mirror of
https://github.com/jhshi/openofdm.git
synced 2025-06-17 14:48:14 +00:00
port dot11 to zynq
This commit is contained in:
454
axi4_ip_gen.tcl
Normal file
454
axi4_ip_gen.tcl
Normal file
@ -0,0 +1,454 @@
|
|||||||
|
#*****************************************************************************************
|
||||||
|
# Vivado (TM) v2017.4.1 (64-bit)
|
||||||
|
#
|
||||||
|
# ip_gen_test1.tcl: Tcl script for re-creating project 'edit_power_trigger_axi4_v1_0'
|
||||||
|
#
|
||||||
|
# Generated by Vivado on Mon Jan 21 11:32:41 +0100 2019
|
||||||
|
# IP Build 2095745 on Tue Jan 30 17:13:15 MST 2018
|
||||||
|
#
|
||||||
|
# This file contains the Vivado Tcl commands for re-creating the project to the state*
|
||||||
|
# when this script was generated. In order to re-create the project, please source this
|
||||||
|
# file in the Vivado Tcl Shell.
|
||||||
|
#
|
||||||
|
# * Note that the runs in the created project will be configured the same way as the
|
||||||
|
# original project, however they will not be launched automatically. To regenerate the
|
||||||
|
# run results please launch the synthesis/implementation runs as needed.
|
||||||
|
#
|
||||||
|
#*****************************************************************************************
|
||||||
|
# NOTE: In order to use this script for source control purposes, please make sure that the
|
||||||
|
# following files are added to the source control system:-
|
||||||
|
#
|
||||||
|
# 1. This project restoration tcl script (ip_gen_test1.tcl) that was generated.
|
||||||
|
#
|
||||||
|
# 2. The following source(s) files that were local or imported into the original project.
|
||||||
|
# (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script)
|
||||||
|
#
|
||||||
|
# "C:/Users/lwei/Downloads/ip_repo-20190121T095109Z-001/ip_repo/power_trigger_axi4_1.0/src/delayT.v"
|
||||||
|
# "C:/Users/lwei/Downloads/ip_repo-20190121T095109Z-001/ip_repo/power_trigger_axi4_1.0/src/power_trigger.v"
|
||||||
|
# "C:/Users/lwei/Downloads/ip_repo-20190121T095109Z-001/ip_repo/power_trigger_axi4_1.0/hdl/power_trigger_axi4_v1_0_S00_AXI.v"
|
||||||
|
# "C:/Users/lwei/Downloads/ip_repo-20190121T095109Z-001/ip_repo/power_trigger_axi4_1.0/hdl/power_trigger_axi4_v1_0.v"
|
||||||
|
# "C:/Users/lwei/Downloads/ip_repo-20190121T095109Z-001/ip_repo/power_trigger_axi4_1.0/component.xml"
|
||||||
|
#
|
||||||
|
# 3. The following remote source files that were added to the original project:-
|
||||||
|
#
|
||||||
|
# <none>
|
||||||
|
#
|
||||||
|
#*****************************************************************************************
|
||||||
|
|
||||||
|
# Set the reference directory for source file relative paths (by default the value is script directory path)
|
||||||
|
set origin_dir [file dirname [info script]]
|
||||||
|
|
||||||
|
# Use origin directory path location variable, if specified in the tcl shell
|
||||||
|
if { [info exists ::origin_dir_loc] } {
|
||||||
|
set origin_dir $::origin_dir_loc
|
||||||
|
}
|
||||||
|
|
||||||
|
# Set the project name
|
||||||
|
set project_name "edit_dot11_axi4_ip"
|
||||||
|
|
||||||
|
# Use project name variable, if specified in the tcl shell
|
||||||
|
if { [info exists ::user_project_name] } {
|
||||||
|
set project_name $::user_project_name
|
||||||
|
}
|
||||||
|
|
||||||
|
variable script_file
|
||||||
|
set script_file "axi4_ip_gen.tcl"
|
||||||
|
|
||||||
|
# Help information for this script
|
||||||
|
proc help {} {
|
||||||
|
variable script_file
|
||||||
|
puts "\nDescription:"
|
||||||
|
puts "Recreate a Vivado project from this script. The created project will be"
|
||||||
|
puts "functionally equivalent to the original project for which this script was"
|
||||||
|
puts "generated. The script contains commands for creating a project, filesets,"
|
||||||
|
puts "runs, adding/importing sources and setting properties on various objects.\n"
|
||||||
|
puts "Syntax:"
|
||||||
|
puts "$script_file"
|
||||||
|
puts "$script_file -tclargs \[--origin_dir <path>\]"
|
||||||
|
puts "$script_file -tclargs \[--project_name <name>\]"
|
||||||
|
puts "$script_file -tclargs \[--help\]\n"
|
||||||
|
puts "Usage:"
|
||||||
|
puts "Name Description"
|
||||||
|
puts "-------------------------------------------------------------------------"
|
||||||
|
puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default"
|
||||||
|
puts " origin_dir path value is \".\", otherwise, the value"
|
||||||
|
puts " that was set with the \"-paths_relative_to\" switch"
|
||||||
|
puts " when this script was generated.\n"
|
||||||
|
puts "\[--project_name <name>\] Create project with the specified name. Default"
|
||||||
|
puts " name is the name of the project from where this"
|
||||||
|
puts " script was generated.\n"
|
||||||
|
puts "\[--help\] Print help information for this script"
|
||||||
|
puts "-------------------------------------------------------------------------\n"
|
||||||
|
exit 0
|
||||||
|
}
|
||||||
|
|
||||||
|
if { $::argc > 0 } {
|
||||||
|
for {set i 0} {$i < [llength $::argc]} {incr i} {
|
||||||
|
set option [string trim [lindex $::argv $i]]
|
||||||
|
switch -regexp -- $option {
|
||||||
|
"--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
|
||||||
|
"--project_name" { incr i; set project_name [lindex $::argv $i] }
|
||||||
|
"--help" { help }
|
||||||
|
default {
|
||||||
|
if { [regexp {^-} $option] } {
|
||||||
|
puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
|
||||||
|
return 1
|
||||||
|
}
|
||||||
|
}
|
||||||
|
}
|
||||||
|
}
|
||||||
|
}
|
||||||
|
|
||||||
|
# Set the directory path for the original project from where this script was exported
|
||||||
|
set src_dir "[file normalize "$origin_dir/verilog"]"
|
||||||
|
|
||||||
|
# Create project
|
||||||
|
create_project ${project_name} ./${project_name} -part xc7z045ffg900-2
|
||||||
|
|
||||||
|
# Set the directory path for the new project
|
||||||
|
set proj_dir [get_property directory [current_project]]
|
||||||
|
|
||||||
|
# Reconstruct message rules
|
||||||
|
# None
|
||||||
|
|
||||||
|
# Set project properties
|
||||||
|
set obj [current_project]
|
||||||
|
set_property -name "board_part" -value "xilinx.com:zc706:part0:1.4" -objects $obj
|
||||||
|
set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
|
||||||
|
set_property -name "dsa.num_compute_units" -value "60" -objects $obj
|
||||||
|
set_property -name "ip_cache_permissions" -value "read write" -objects $obj
|
||||||
|
set_property -name "ip_output_repo" -value "$proj_dir/${project_name}.cache/ip" -objects $obj
|
||||||
|
set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
|
||||||
|
set_property -name "simulator_language" -value "Mixed" -objects $obj
|
||||||
|
set_property -name "xpm_libraries" -value "XPM_MEMORY" -objects $obj
|
||||||
|
|
||||||
|
# Create 'sources_1' fileset (if not found)
|
||||||
|
if {[string equal [get_filesets -quiet sources_1] ""]} {
|
||||||
|
create_fileset -srcset sources_1
|
||||||
|
}
|
||||||
|
|
||||||
|
# Set IP repository paths
|
||||||
|
set obj [get_filesets sources_1]
|
||||||
|
|
||||||
|
# Rebuild user ip_repo's index before adding any source files
|
||||||
|
#update_ip_catalog -rebuild
|
||||||
|
|
||||||
|
# Set 'sources_1' fileset object
|
||||||
|
set obj [get_filesets sources_1]
|
||||||
|
# Import local files from the original project
|
||||||
|
set files [list \
|
||||||
|
"[file normalize "$origin_dir/verilog/Xilinx/vivado2017.4.1/viterbi/viterbi_v7_0.xci"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/Xilinx/vivado2017.4.1/deinter_lut/deinter_lut.xci"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/coregen/div_gen_v3_0.ngc"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/Xilinx/vivado2017.4.1/complex_multiplier/complex_multiplier.xci"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/Xilinx/vivado2017.4.1/xfft/xfft_v9.xci"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/Xilinx/vivado2017.4.1/atan_lut/atan_lut.xci"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/Xilinx/vivado2017.4.1/rot_lut/rot_lut.xci"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/bits_to_bytes.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/calc_mean.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/complex_mult.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/complex_to_mag.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/complex_to_mag_sq.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/crc32.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/deinterleave.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/delayT.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/delay_sample.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/common_defs.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/demodulate.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/descramble.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/coregen/div_gen_v3_0.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/divider.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/dot11.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/equalizer.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/ht_sig_crc.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/moving_avg.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/ofdm_decoder.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/phase.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/power_trigger.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/dot11zynq_S00_AXI.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/usrp2/ram_2port.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/rotate.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/stage_mult.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/sync_long.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/sync_short.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/dot11zynq.v"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/Xilinx/vivado2017.4.1/deinter_lut/deinter_lut.coe"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/Xilinx/vivado2017.4.1/atan_lut/atan_lut.coe"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/Xilinx/vivado2017.4.1/rot_lut/rot_lut.coe"]"\
|
||||||
|
"[file normalize "$origin_dir/verilog/intf_64bit.v"]"\
|
||||||
|
]
|
||||||
|
# If you want to make a copy of the file to new src folder, use following command
|
||||||
|
# set imported_files [import_files -fileset sources_1 $files]
|
||||||
|
# If you want to keep the files remote, use the following command
|
||||||
|
# set added_files [add_files -fileset sources_1 $files]
|
||||||
|
add_files -norecurse -fileset $obj $files
|
||||||
|
|
||||||
|
# Set 'sources_1' fileset file properties for remote files
|
||||||
|
set file "$origin_dir/verilog/coregen/div_gen_v3_0.ngc"
|
||||||
|
set file [file normalize $file]
|
||||||
|
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
|
||||||
|
set_property -name "file_type" -value "NGC" -objects $file_obj
|
||||||
|
|
||||||
|
set file "dot11zynq_S00_AXI.v"
|
||||||
|
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
|
||||||
|
set_property -name "used_in" -value "synthesis simulation" -objects $file_obj
|
||||||
|
set_property -name "used_in_implementation" -value "0" -objects $file_obj
|
||||||
|
|
||||||
|
set file "dot11zynq.v"
|
||||||
|
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
|
||||||
|
set_property -name "used_in" -value "synthesis simulation" -objects $file_obj
|
||||||
|
set_property -name "used_in_implementation" -value "0" -objects $file_obj
|
||||||
|
|
||||||
|
|
||||||
|
# Set 'sources_1' fileset file properties for local files
|
||||||
|
|
||||||
|
# Set 'sources_1' fileset properties
|
||||||
|
set obj [get_filesets sources_1]
|
||||||
|
set_property -name "top" -value "dot11zynq" -objects $obj
|
||||||
|
|
||||||
|
# Create 'constrs_1' fileset (if not found)
|
||||||
|
if {[string equal [get_filesets -quiet constrs_1] ""]} {
|
||||||
|
create_fileset -constrset constrs_1
|
||||||
|
}
|
||||||
|
|
||||||
|
# Set 'constrs_1' fileset object
|
||||||
|
set obj [get_filesets constrs_1]
|
||||||
|
|
||||||
|
# Empty (no sources present)
|
||||||
|
|
||||||
|
|
||||||
|
# Create constraints !
|
||||||
|
# Set 'constrs_1' fileset properties
|
||||||
|
set obj [get_filesets constrs_1]
|
||||||
|
|
||||||
|
# Create runs
|
||||||
|
# Create 'sim_1' fileset (if not found)
|
||||||
|
if {[string equal [get_filesets -quiet sim_1] ""]} {
|
||||||
|
create_fileset -simset sim_1
|
||||||
|
}
|
||||||
|
|
||||||
|
# Set 'sim_1' fileset object
|
||||||
|
set obj [get_filesets sim_1]
|
||||||
|
set files [list \
|
||||||
|
"[file normalize "$origin_dir/verilog/dot11_tb.v"]"\
|
||||||
|
]
|
||||||
|
add_files -norecurse -fileset $obj $files
|
||||||
|
# Empty (no sources present)
|
||||||
|
|
||||||
|
# Set 'sim_1' fileset properties
|
||||||
|
set obj [get_filesets sim_1]
|
||||||
|
set_property -name "top" -value "dot11_tb" -objects $obj
|
||||||
|
|
||||||
|
# Create 'synth_1' run (if not found)
|
||||||
|
if {[string equal [get_runs -quiet synth_1] ""]} {
|
||||||
|
create_run -name synth_1 -part xc7z045ffg900-2 -flow {Vivado Synthesis 2017} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1
|
||||||
|
} else {
|
||||||
|
set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
|
||||||
|
set_property flow "Vivado Synthesis 2017" [get_runs synth_1]
|
||||||
|
}
|
||||||
|
set obj [get_runs synth_1]
|
||||||
|
set_property set_report_strategy_name 1 $obj
|
||||||
|
set_property report_strategy {Vivado Synthesis Default Reports} $obj
|
||||||
|
set_property set_report_strategy_name 0 $obj
|
||||||
|
# Create 'synth_1_synth_report_utilization_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } {
|
||||||
|
create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
set obj [get_runs synth_1]
|
||||||
|
set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
|
||||||
|
|
||||||
|
# set the current synth run
|
||||||
|
current_run -synthesis [get_runs synth_1]
|
||||||
|
|
||||||
|
# Create 'impl_1' run (if not found)
|
||||||
|
if {[string equal [get_runs -quiet impl_1] ""]} {
|
||||||
|
create_run -name impl_1 -part xc7z045ffg900-2 -flow {Vivado Implementation 2017} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1
|
||||||
|
} else {
|
||||||
|
set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
|
||||||
|
set_property flow "Vivado Implementation 2017" [get_runs impl_1]
|
||||||
|
}
|
||||||
|
set obj [get_runs impl_1]
|
||||||
|
set_property set_report_strategy_name 1 $obj
|
||||||
|
set_property report_strategy {Vivado Implementation Default Reports} $obj
|
||||||
|
set_property set_report_strategy_name 0 $obj
|
||||||
|
# Create 'impl_1_init_report_timing_summary_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
set_property -name "is_enabled" -value "0" -objects $obj
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_opt_report_drc_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_opt_report_timing_summary_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
set_property -name "is_enabled" -value "0" -objects $obj
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
set_property -name "is_enabled" -value "0" -objects $obj
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_place_report_io_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_place_report_utilization_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_place_report_control_sets_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_place_report_incremental_reuse_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
set_property -name "is_enabled" -value "0" -objects $obj
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_place_report_incremental_reuse_1' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1]
|
||||||
|
if { $obj != "" } {
|
||||||
|
set_property -name "is_enabled" -value "0" -objects $obj
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_place_report_timing_summary_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
set_property -name "is_enabled" -value "0" -objects $obj
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
set_property -name "is_enabled" -value "0" -objects $obj
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
set_property -name "is_enabled" -value "0" -objects $obj
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_route_report_drc_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_route_report_methodology_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_route_report_power_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_route_report_route_status_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_route_report_timing_summary_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_route_report_incremental_reuse_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_route_report_clock_utilization_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found)
|
||||||
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } {
|
||||||
|
create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1
|
||||||
|
}
|
||||||
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0]
|
||||||
|
if { $obj != "" } {
|
||||||
|
|
||||||
|
}
|
||||||
|
set obj [get_runs impl_1]
|
||||||
|
set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj
|
||||||
|
set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj
|
||||||
|
set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
|
||||||
|
|
||||||
|
# set the current impl run
|
||||||
|
current_run -implementation [get_runs impl_1]
|
||||||
|
|
||||||
|
puts "INFO: Project created:$project_name"
|
258
verilog/Xilinx/vivado2017.4.1/atan_lut/atan_lut.coe
Normal file
258
verilog/Xilinx/vivado2017.4.1/atan_lut/atan_lut.coe
Normal file
@ -0,0 +1,258 @@
|
|||||||
|
memory_initialization_radix=2;
|
||||||
|
memory_initialization_vector=
|
||||||
|
000000000,
|
||||||
|
000000010,
|
||||||
|
000000100,
|
||||||
|
000000110,
|
||||||
|
000001000,
|
||||||
|
000001010,
|
||||||
|
000001100,
|
||||||
|
000001110,
|
||||||
|
000010000,
|
||||||
|
000010010,
|
||||||
|
000010100,
|
||||||
|
000010110,
|
||||||
|
000011000,
|
||||||
|
000011010,
|
||||||
|
000011100,
|
||||||
|
000011110,
|
||||||
|
000100000,
|
||||||
|
000100010,
|
||||||
|
000100100,
|
||||||
|
000100110,
|
||||||
|
000101000,
|
||||||
|
000101010,
|
||||||
|
000101100,
|
||||||
|
000101110,
|
||||||
|
000110000,
|
||||||
|
000110010,
|
||||||
|
000110100,
|
||||||
|
000110110,
|
||||||
|
000111000,
|
||||||
|
000111010,
|
||||||
|
000111100,
|
||||||
|
000111110,
|
||||||
|
001000000,
|
||||||
|
001000010,
|
||||||
|
001000100,
|
||||||
|
001000110,
|
||||||
|
001001000,
|
||||||
|
001001001,
|
||||||
|
001001011,
|
||||||
|
001001101,
|
||||||
|
001001111,
|
||||||
|
001010001,
|
||||||
|
001010011,
|
||||||
|
001010101,
|
||||||
|
001010111,
|
||||||
|
001011001,
|
||||||
|
001011011,
|
||||||
|
001011101,
|
||||||
|
001011111,
|
||||||
|
001100001,
|
||||||
|
001100011,
|
||||||
|
001100101,
|
||||||
|
001100111,
|
||||||
|
001101001,
|
||||||
|
001101010,
|
||||||
|
001101100,
|
||||||
|
001101110,
|
||||||
|
001110000,
|
||||||
|
001110010,
|
||||||
|
001110100,
|
||||||
|
001110110,
|
||||||
|
001111000,
|
||||||
|
001111010,
|
||||||
|
001111100,
|
||||||
|
001111101,
|
||||||
|
001111111,
|
||||||
|
010000001,
|
||||||
|
010000011,
|
||||||
|
010000101,
|
||||||
|
010000111,
|
||||||
|
010001001,
|
||||||
|
010001011,
|
||||||
|
010001100,
|
||||||
|
010001110,
|
||||||
|
010010000,
|
||||||
|
010010010,
|
||||||
|
010010100,
|
||||||
|
010010110,
|
||||||
|
010010111,
|
||||||
|
010011001,
|
||||||
|
010011011,
|
||||||
|
010011101,
|
||||||
|
010011111,
|
||||||
|
010100001,
|
||||||
|
010100010,
|
||||||
|
010100100,
|
||||||
|
010100110,
|
||||||
|
010101000,
|
||||||
|
010101010,
|
||||||
|
010101011,
|
||||||
|
010101101,
|
||||||
|
010101111,
|
||||||
|
010110001,
|
||||||
|
010110010,
|
||||||
|
010110100,
|
||||||
|
010110110,
|
||||||
|
010111000,
|
||||||
|
010111001,
|
||||||
|
010111011,
|
||||||
|
010111101,
|
||||||
|
010111111,
|
||||||
|
011000000,
|
||||||
|
011000010,
|
||||||
|
011000100,
|
||||||
|
011000110,
|
||||||
|
011000111,
|
||||||
|
011001001,
|
||||||
|
011001011,
|
||||||
|
011001100,
|
||||||
|
011001110,
|
||||||
|
011010000,
|
||||||
|
011010001,
|
||||||
|
011010011,
|
||||||
|
011010101,
|
||||||
|
011010111,
|
||||||
|
011011000,
|
||||||
|
011011010,
|
||||||
|
011011011,
|
||||||
|
011011101,
|
||||||
|
011011111,
|
||||||
|
011100000,
|
||||||
|
011100010,
|
||||||
|
011100100,
|
||||||
|
011100101,
|
||||||
|
011100111,
|
||||||
|
011101001,
|
||||||
|
011101010,
|
||||||
|
011101100,
|
||||||
|
011101101,
|
||||||
|
011101111,
|
||||||
|
011110001,
|
||||||
|
011110010,
|
||||||
|
011110100,
|
||||||
|
011110101,
|
||||||
|
011110111,
|
||||||
|
011111000,
|
||||||
|
011111010,
|
||||||
|
011111100,
|
||||||
|
011111101,
|
||||||
|
011111111,
|
||||||
|
100000000,
|
||||||
|
100000010,
|
||||||
|
100000011,
|
||||||
|
100000101,
|
||||||
|
100000110,
|
||||||
|
100001000,
|
||||||
|
100001001,
|
||||||
|
100001011,
|
||||||
|
100001100,
|
||||||
|
100001110,
|
||||||
|
100001111,
|
||||||
|
100010001,
|
||||||
|
100010010,
|
||||||
|
100010100,
|
||||||
|
100010101,
|
||||||
|
100010111,
|
||||||
|
100011000,
|
||||||
|
100011010,
|
||||||
|
100011011,
|
||||||
|
100011101,
|
||||||
|
100011110,
|
||||||
|
100011111,
|
||||||
|
100100001,
|
||||||
|
100100010,
|
||||||
|
100100100,
|
||||||
|
100100101,
|
||||||
|
100100111,
|
||||||
|
100101000,
|
||||||
|
100101001,
|
||||||
|
100101011,
|
||||||
|
100101100,
|
||||||
|
100101110,
|
||||||
|
100101111,
|
||||||
|
100110000,
|
||||||
|
100110010,
|
||||||
|
100110011,
|
||||||
|
100110100,
|
||||||
|
100110110,
|
||||||
|
100110111,
|
||||||
|
100111000,
|
||||||
|
100111010,
|
||||||
|
100111011,
|
||||||
|
100111100,
|
||||||
|
100111110,
|
||||||
|
100111111,
|
||||||
|
101000000,
|
||||||
|
101000010,
|
||||||
|
101000011,
|
||||||
|
101000100,
|
||||||
|
101000110,
|
||||||
|
101000111,
|
||||||
|
101001000,
|
||||||
|
101001001,
|
||||||
|
101001011,
|
||||||
|
101001100,
|
||||||
|
101001101,
|
||||||
|
101001111,
|
||||||
|
101010000,
|
||||||
|
101010001,
|
||||||
|
101010010,
|
||||||
|
101010100,
|
||||||
|
101010101,
|
||||||
|
101010110,
|
||||||
|
101010111,
|
||||||
|
101011000,
|
||||||
|
101011010,
|
||||||
|
101011011,
|
||||||
|
101011100,
|
||||||
|
101011101,
|
||||||
|
101011111,
|
||||||
|
101100000,
|
||||||
|
101100001,
|
||||||
|
101100010,
|
||||||
|
101100011,
|
||||||
|
101100100,
|
||||||
|
101100110,
|
||||||
|
101100111,
|
||||||
|
101101000,
|
||||||
|
101101001,
|
||||||
|
101101010,
|
||||||
|
101101011,
|
||||||
|
101101101,
|
||||||
|
101101110,
|
||||||
|
101101111,
|
||||||
|
101110000,
|
||||||
|
101110001,
|
||||||
|
101110010,
|
||||||
|
101110011,
|
||||||
|
101110101,
|
||||||
|
101110110,
|
||||||
|
101110111,
|
||||||
|
101111000,
|
||||||
|
101111001,
|
||||||
|
101111010,
|
||||||
|
101111011,
|
||||||
|
101111100,
|
||||||
|
101111101,
|
||||||
|
101111110,
|
||||||
|
101111111,
|
||||||
|
110000001,
|
||||||
|
110000010,
|
||||||
|
110000011,
|
||||||
|
110000100,
|
||||||
|
110000101,
|
||||||
|
110000110,
|
||||||
|
110000111,
|
||||||
|
110001000,
|
||||||
|
110001001,
|
||||||
|
110001010,
|
||||||
|
110001011,
|
||||||
|
110001100,
|
||||||
|
110001101,
|
||||||
|
110001110,
|
||||||
|
110001111,
|
||||||
|
110010000,
|
||||||
|
110010001;
|
268
verilog/Xilinx/vivado2017.4.1/atan_lut/atan_lut.xci
Normal file
268
verilog/Xilinx/vivado2017.4.1/atan_lut/atan_lut.xci
Normal file
@ -0,0 +1,268 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
|
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
|
||||||
|
<spirit:vendor>xilinx.com</spirit:vendor>
|
||||||
|
<spirit:library>xci</spirit:library>
|
||||||
|
<spirit:name>unknown</spirit:name>
|
||||||
|
<spirit:version>1.0</spirit:version>
|
||||||
|
<spirit:componentInstances>
|
||||||
|
<spirit:componentInstance>
|
||||||
|
<spirit:instanceName>atan_lut</spirit:instanceName>
|
||||||
|
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="blk_mem_gen" spirit:version="8.4"/>
|
||||||
|
<spirit:configurableElementValues>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="ADDRBLOCK_RANGE.S_1.Mem0">4096</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_BRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_CACHE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_LOCK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_PROT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_QOS">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_REGION">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_RRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.ID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_BRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_CACHE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_LOCK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_PROT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_QOS">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_REGION">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_RRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.ID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MASTER_TYPE">OTHER</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MEM_ECC">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MEM_SIZE">8192</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MEM_WIDTH">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MASTER_TYPE">OTHER</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MEM_ECC">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MEM_SIZE">8192</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MEM_WIDTH">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRA_WIDTH">8</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRB_WIDTH">8</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ALGORITHM">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SLAVE_TYPE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_BYTE_SIZE">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMMON_CLK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COUNT_18K_BRAM">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COUNT_36K_BRAM">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CTRL_ECC_ALGO">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEFAULT_DATA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DISABLE_WARN_BHV_COLL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DISABLE_WARN_BHV_RANGE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ELABORATION_DIR">./</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_32BIT_ADDRESS">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_DEEPSLEEP_PIN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_ECC_PIPE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_RDADDRA_CHG">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_RDADDRB_CHG">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SAFETY_CKT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SHUTDOWN_PIN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SLEEP_PIN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EST_POWER_SUMMARY">Estimated Power for IP : 2.4184000000000001 mW</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ID">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_INJECTERR">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEM_OUTPUT_REGS_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEM_OUTPUT_REGS_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MUX_OUTPUT_REGS_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MUX_OUTPUT_REGS_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_REGCEA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_REGCEB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RSTA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RSTB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SOFTECC_INPUT_REGS_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SOFTECC_OUTPUT_REGS_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INITA_VAL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INITB_VAL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_FILE">atan_lut.mem</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_FILE_NAME">atan_lut.mif</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_TYPE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LOAD_INIT_FILE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MEM_TYPE">3</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MUX_PIPELINE_STAGES">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_A">256</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_B">256</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_WIDTH_A">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_WIDTH_B">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_A">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_B">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SIM_COLLISION_CHECK">ALL</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BRAM_BLOCK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DEFAULT_DATA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SOFTECC">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_URAM">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEA_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEB_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_A">256</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_B">256</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_A">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_B">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICEFAMILY">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_ID_Width">4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_Slave_Type">Memory_Slave</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_Type">AXI4_Full</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Additional_Inputs_for_Power_Estimation">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Algorithm">Minimum_Area</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Assume_Synchronous_Clk">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Byte_Size">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CTRL_ECC_ALGO">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coe_File">atan_lut.coe</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Collision_Warnings">ALL</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">atan_lut</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Collision_Warnings">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Out_of_Range_Warnings">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECC">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_DEEPSLEEP_PIN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_ECC_PIPE">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_SAFETY_CKT">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_SHUTDOWN_PIN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_SLEEP_PIN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_32bit_Address">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_A">Always_Enabled</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_B">Always_Enabled</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Error_Injection_Type">Single_Bit_Error_Injection</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Fill_Remaining_Memory_Locations">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Interface_Type">Native</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Load_Init_File">true</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEM_FILE">no_mem_loaded</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Memory_Type">Single_Port_ROM</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Operating_Mode_A">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Operating_Mode_B">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Reset_Value_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Reset_Value_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_type_to_Implement">BRAM</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Pipeline_Stages">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_A_Clock">100</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_A_Enable_Rate">100</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_A_Write_Rate">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_B_Clock">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_B_Enable_Rate">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_B_Write_Rate">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Primitive">8kx2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RD_ADDR_CHNG_A">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RD_ADDR_CHNG_B">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Width_A">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Width_B">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortA_Output_of_Memory_Core">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortA_Output_of_Memory_Primitives">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortB_Output_of_Memory_Core">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortB_Output_of_Memory_Primitives">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Remaining_Memory_Locations">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Memory_Latch_A">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Memory_Latch_B">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Priority_A">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Priority_B">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Type">SYNC</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_AXI_ID">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Byte_Write_Enable">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Error_Injection_Pins">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_REGCEA_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_REGCEB_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_RSTA_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_RSTB_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Depth_A">256</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Width_A">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Width_B">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ecctype">No_ECC</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.register_porta_input_of_softecc">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.register_portb_output_of_softecc">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.softecc">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.use_bram_block">Stand_Alone</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD">xilinx.com:zc706:part0:1.4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z045</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg900</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2017.4.1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
|
||||||
|
</spirit:configurableElementValues>
|
||||||
|
<spirit:vendorExtensions>
|
||||||
|
<xilinx:componentInstanceExtensions>
|
||||||
|
<xilinx:configElementInfos>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coe_File" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Enable_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Load_Init_File" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Memory_Type" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Port_A_Write_Rate" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Read_Width_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Read_Width_B" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Register_PortA_Output_of_Memory_Primitives" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Depth_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Width_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Width_B" xilinx:valueSource="user"/>
|
||||||
|
</xilinx:configElementInfos>
|
||||||
|
</xilinx:componentInstanceExtensions>
|
||||||
|
</spirit:vendorExtensions>
|
||||||
|
</spirit:componentInstance>
|
||||||
|
</spirit:componentInstances>
|
||||||
|
</spirit:design>
|
@ -0,0 +1,173 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
|
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
|
||||||
|
<spirit:vendor>xilinx.com</spirit:vendor>
|
||||||
|
<spirit:library>xci</spirit:library>
|
||||||
|
<spirit:name>unknown</spirit:name>
|
||||||
|
<spirit:version>1.0</spirit:version>
|
||||||
|
<spirit:componentInstances>
|
||||||
|
<spirit:componentInstance>
|
||||||
|
<spirit:instanceName>complex_multiplier</spirit:instanceName>
|
||||||
|
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="cmpy" spirit:version="6.0"/>
|
||||||
|
<spirit:configurableElementValues>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLKEN_INTF.POLARITY">ACTIVE_LOW</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.FREQ_HZ">10000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.HAS_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.HAS_TREADY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.TDATA_NUM_BYTES">8</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.HAS_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.HAS_TREADY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.TDATA_NUM_BYTES">4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.HAS_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.HAS_TREADY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.TDATA_NUM_BYTES">4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.HAS_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.HAS_TREADY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_A_WIDTH">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_B_WIDTH">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ACLKEN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ARESETN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_S_AXIS_A_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_S_AXIS_A_TUSER">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_S_AXIS_B_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_S_AXIS_B_TUSER">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_S_AXIS_CTRL_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_S_AXIS_CTRL_TUSER">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LATENCY">3</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MULT_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXIS_DOUT_TDATA_WIDTH">64</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXIS_DOUT_TUSER_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPTIMIZE_GOAL">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUT_WIDTH">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_A_TDATA_WIDTH">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_A_TUSER_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_B_TDATA_WIDTH">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_B_TUSER_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_CTRL_TDATA_WIDTH">8</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_CTRL_TUSER_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_THROTTLE_SCHEME">3</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TLAST_RESOLUTION">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_VERBOSITY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICE">xc7z045</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICEFAMILY">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.HAS_NEGATE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.ROUND">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.SINGLE_OUTPUT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.USE_DSP_CASCADES">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ACLKEN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.APortWidth">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ARESETN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ATUSERWidth">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BPortWidth">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BTUSERWidth">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CTRLTUSERWidth">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">complex_multiplier</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.FlowControl">NonBlocking</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HasATLAST">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HasATUSER">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HasBTLAST">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HasBTUSER">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HasCTRLTLAST">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.HasCTRLTUSER">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.LatencyConfig">Manual</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MinimumLatency">3</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MultType">Use_Mults</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OptimizeGoal">Performance</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OutTLASTBehv">Null</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.OutputWidth">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RoundMode">Truncate</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD">xilinx.com:zc706:part0:1.4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z045</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg900</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">14</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2017.4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">GLOBAL</spirit:configurableElementValue>
|
||||||
|
</spirit:configurableElementValues>
|
||||||
|
<spirit:vendorExtensions>
|
||||||
|
<xilinx:componentInstanceExtensions>
|
||||||
|
<xilinx:configElementInfos>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.HAS_TREADY" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DOUT.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.HAS_TREADY" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_A.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.HAS_TREADY" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_B.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.HAS_TREADY" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CTRL.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.LatencyConfig" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.MinimumLatency" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.OptimizeGoal" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.OutputWidth" xilinx:valueSource="user"/>
|
||||||
|
</xilinx:configElementInfos>
|
||||||
|
</xilinx:componentInstanceExtensions>
|
||||||
|
</spirit:vendorExtensions>
|
||||||
|
</spirit:componentInstance>
|
||||||
|
</spirit:componentInstances>
|
||||||
|
</spirit:design>
|
2050
verilog/Xilinx/vivado2017.4.1/deinter_lut/deinter_lut.coe
Normal file
2050
verilog/Xilinx/vivado2017.4.1/deinter_lut/deinter_lut.coe
Normal file
File diff suppressed because it is too large
Load Diff
268
verilog/Xilinx/vivado2017.4.1/deinter_lut/deinter_lut.xci
Normal file
268
verilog/Xilinx/vivado2017.4.1/deinter_lut/deinter_lut.xci
Normal file
@ -0,0 +1,268 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
|
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
|
||||||
|
<spirit:vendor>xilinx.com</spirit:vendor>
|
||||||
|
<spirit:library>xci</spirit:library>
|
||||||
|
<spirit:name>unknown</spirit:name>
|
||||||
|
<spirit:version>1.0</spirit:version>
|
||||||
|
<spirit:componentInstances>
|
||||||
|
<spirit:componentInstance>
|
||||||
|
<spirit:instanceName>deinter_lut</spirit:instanceName>
|
||||||
|
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="blk_mem_gen" spirit:version="8.4"/>
|
||||||
|
<spirit:configurableElementValues>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="ADDRBLOCK_RANGE.S_1.Mem0">4096</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_BRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_CACHE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_LOCK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_PROT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_QOS">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_REGION">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_RRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.ID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_BRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_CACHE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_LOCK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_PROT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_QOS">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_REGION">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_RRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.ID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MASTER_TYPE">OTHER</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MEM_ECC">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MEM_SIZE">8192</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MEM_WIDTH">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MASTER_TYPE">OTHER</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MEM_ECC">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MEM_SIZE">8192</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MEM_WIDTH">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRA_WIDTH">11</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRB_WIDTH">11</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ALGORITHM">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SLAVE_TYPE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_BYTE_SIZE">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMMON_CLK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COUNT_18K_BRAM">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COUNT_36K_BRAM">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CTRL_ECC_ALGO">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEFAULT_DATA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DISABLE_WARN_BHV_COLL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DISABLE_WARN_BHV_RANGE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ELABORATION_DIR">./</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_32BIT_ADDRESS">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_DEEPSLEEP_PIN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_ECC_PIPE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_RDADDRA_CHG">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_RDADDRB_CHG">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SAFETY_CKT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SHUTDOWN_PIN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SLEEP_PIN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EST_POWER_SUMMARY">Estimated Power for IP : 3.6199499999999998 mW</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ID">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_INJECTERR">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEM_OUTPUT_REGS_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEM_OUTPUT_REGS_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MUX_OUTPUT_REGS_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MUX_OUTPUT_REGS_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_REGCEA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_REGCEB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RSTA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RSTB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SOFTECC_INPUT_REGS_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SOFTECC_OUTPUT_REGS_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INITA_VAL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INITB_VAL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_FILE">deinter_lut.mem</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_FILE_NAME">deinter_lut.mif</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_TYPE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LOAD_INIT_FILE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MEM_TYPE">3</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MUX_PIPELINE_STAGES">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_A">2048</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_B">2048</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_WIDTH_A">22</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_WIDTH_B">22</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_A">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_B">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SIM_COLLISION_CHECK">ALL</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BRAM_BLOCK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DEFAULT_DATA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SOFTECC">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_URAM">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEA_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEB_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_A">2048</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_B">2048</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_A">22</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_B">22</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICEFAMILY">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_ID_Width">4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_Slave_Type">Memory_Slave</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_Type">AXI4_Full</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Additional_Inputs_for_Power_Estimation">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Algorithm">Minimum_Area</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Assume_Synchronous_Clk">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Byte_Size">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CTRL_ECC_ALGO">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coe_File">deinter_lut.coe</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Collision_Warnings">ALL</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">deinter_lut</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Collision_Warnings">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Out_of_Range_Warnings">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECC">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_DEEPSLEEP_PIN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_ECC_PIPE">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_SAFETY_CKT">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_SHUTDOWN_PIN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_SLEEP_PIN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_32bit_Address">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_A">Always_Enabled</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_B">Always_Enabled</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Error_Injection_Type">Single_Bit_Error_Injection</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Fill_Remaining_Memory_Locations">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Interface_Type">Native</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Load_Init_File">true</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEM_FILE">no_mem_loaded</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Memory_Type">Single_Port_ROM</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Operating_Mode_A">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Operating_Mode_B">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Reset_Value_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Reset_Value_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_type_to_Implement">BRAM</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Pipeline_Stages">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_A_Clock">100</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_A_Enable_Rate">100</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_A_Write_Rate">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_B_Clock">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_B_Enable_Rate">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_B_Write_Rate">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Primitive">8kx2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RD_ADDR_CHNG_A">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RD_ADDR_CHNG_B">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Width_A">22</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Width_B">22</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortA_Output_of_Memory_Core">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortA_Output_of_Memory_Primitives">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortB_Output_of_Memory_Core">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortB_Output_of_Memory_Primitives">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Remaining_Memory_Locations">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Memory_Latch_A">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Memory_Latch_B">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Priority_A">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Priority_B">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Type">SYNC</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_AXI_ID">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Byte_Write_Enable">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Error_Injection_Pins">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_REGCEA_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_REGCEB_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_RSTA_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_RSTB_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Depth_A">2048</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Width_A">22</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Width_B">22</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ecctype">No_ECC</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.register_porta_input_of_softecc">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.register_portb_output_of_softecc">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.softecc">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.use_bram_block">Stand_Alone</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD">xilinx.com:zc706:part0:1.4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z045</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg900</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2017.4.1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
|
||||||
|
</spirit:configurableElementValues>
|
||||||
|
<spirit:vendorExtensions>
|
||||||
|
<xilinx:componentInstanceExtensions>
|
||||||
|
<xilinx:configElementInfos>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coe_File" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Enable_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Load_Init_File" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Memory_Type" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Port_A_Write_Rate" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Read_Width_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Read_Width_B" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Register_PortA_Output_of_Memory_Primitives" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Depth_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Width_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Width_B" xilinx:valueSource="user"/>
|
||||||
|
</xilinx:configElementInfos>
|
||||||
|
</xilinx:componentInstanceExtensions>
|
||||||
|
</spirit:vendorExtensions>
|
||||||
|
</spirit:componentInstance>
|
||||||
|
</spirit:componentInstances>
|
||||||
|
</spirit:design>
|
514
verilog/Xilinx/vivado2017.4.1/rot_lut/rot_lut.coe
Normal file
514
verilog/Xilinx/vivado2017.4.1/rot_lut/rot_lut.coe
Normal file
@ -0,0 +1,514 @@
|
|||||||
|
memory_initialization_radix=2;
|
||||||
|
memory_initialization_vector=
|
||||||
|
00001000000000000000000000000000,
|
||||||
|
00001000000000000000000000000100,
|
||||||
|
00001000000000000000000000001000,
|
||||||
|
00001000000000000000000000001100,
|
||||||
|
00001000000000000000000000010000,
|
||||||
|
00001000000000000000000000010100,
|
||||||
|
00001000000000000000000000011000,
|
||||||
|
00001000000000000000000000011100,
|
||||||
|
00001000000000000000000000100000,
|
||||||
|
00001000000000000000000000100100,
|
||||||
|
00001000000000000000000000101000,
|
||||||
|
00001000000000000000000000101100,
|
||||||
|
00000111111111110000000000110000,
|
||||||
|
00000111111111110000000000110100,
|
||||||
|
00000111111111110000000000111000,
|
||||||
|
00000111111111110000000000111100,
|
||||||
|
00000111111111110000000001000000,
|
||||||
|
00000111111111110000000001000100,
|
||||||
|
00000111111111110000000001001000,
|
||||||
|
00000111111111110000000001001100,
|
||||||
|
00000111111111100000000001010000,
|
||||||
|
00000111111111100000000001010100,
|
||||||
|
00000111111111100000000001011000,
|
||||||
|
00000111111111100000000001011100,
|
||||||
|
00000111111111100000000001100000,
|
||||||
|
00000111111111100000000001100100,
|
||||||
|
00000111111111010000000001101000,
|
||||||
|
00000111111111010000000001101100,
|
||||||
|
00000111111111010000000001110000,
|
||||||
|
00000111111111010000000001110100,
|
||||||
|
00000111111111000000000001111000,
|
||||||
|
00000111111111000000000001111100,
|
||||||
|
00000111111111000000000010000000,
|
||||||
|
00000111111111000000000010000100,
|
||||||
|
00000111111110110000000010001000,
|
||||||
|
00000111111110110000000010001100,
|
||||||
|
00000111111110110000000010010000,
|
||||||
|
00000111111110110000000010010100,
|
||||||
|
00000111111110100000000010011000,
|
||||||
|
00000111111110100000000010011100,
|
||||||
|
00000111111110100000000010100000,
|
||||||
|
00000111111110010000000010100100,
|
||||||
|
00000111111110010000000010101000,
|
||||||
|
00000111111110010000000010101100,
|
||||||
|
00000111111110000000000010110000,
|
||||||
|
00000111111110000000000010110100,
|
||||||
|
00000111111110000000000010111000,
|
||||||
|
00000111111101110000000010111100,
|
||||||
|
00000111111101110000000011000000,
|
||||||
|
00000111111101110000000011000100,
|
||||||
|
00000111111101100000000011001000,
|
||||||
|
00000111111101100000000011001100,
|
||||||
|
00000111111101010000000011010000,
|
||||||
|
00000111111101010000000011010100,
|
||||||
|
00000111111101010000000011011000,
|
||||||
|
00000111111101000000000011011100,
|
||||||
|
00000111111101000000000011100000,
|
||||||
|
00000111111100110000000011100100,
|
||||||
|
00000111111100110000000011101000,
|
||||||
|
00000111111100100000000011101100,
|
||||||
|
00000111111100100000000011110000,
|
||||||
|
00000111111100010000000011110011,
|
||||||
|
00000111111100010000000011110111,
|
||||||
|
00000111111100010000000011111011,
|
||||||
|
00000111111100000000000011111111,
|
||||||
|
00000111111100000000000100000011,
|
||||||
|
00000111111011110000000100000111,
|
||||||
|
00000111111011100000000100001011,
|
||||||
|
00000111111011100000000100001111,
|
||||||
|
00000111111011010000000100010011,
|
||||||
|
00000111111011010000000100010111,
|
||||||
|
00000111111011000000000100011011,
|
||||||
|
00000111111011000000000100011111,
|
||||||
|
00000111111010110000000100100011,
|
||||||
|
00000111111010110000000100100111,
|
||||||
|
00000111111010100000000100101011,
|
||||||
|
00000111111010010000000100101111,
|
||||||
|
00000111111010010000000100110011,
|
||||||
|
00000111111010000000000100110111,
|
||||||
|
00000111111010000000000100111011,
|
||||||
|
00000111111001110000000100111111,
|
||||||
|
00000111111001100000000101000011,
|
||||||
|
00000111111001100000000101000111,
|
||||||
|
00000111111001010000000101001011,
|
||||||
|
00000111111001000000000101001111,
|
||||||
|
00000111111001000000000101010011,
|
||||||
|
00000111111000110000000101010110,
|
||||||
|
00000111111000100000000101011010,
|
||||||
|
00000111111000100000000101011110,
|
||||||
|
00000111111000010000000101100010,
|
||||||
|
00000111111000000000000101100110,
|
||||||
|
00000111111000000000000101101010,
|
||||||
|
00000111110111110000000101101110,
|
||||||
|
00000111110111100000000101110010,
|
||||||
|
00000111110111100000000101110110,
|
||||||
|
00000111110111010000000101111010,
|
||||||
|
00000111110111000000000101111110,
|
||||||
|
00000111110110110000000110000010,
|
||||||
|
00000111110110110000000110000110,
|
||||||
|
00000111110110100000000110001010,
|
||||||
|
00000111110110010000000110001110,
|
||||||
|
00000111110110000000000110010010,
|
||||||
|
00000111110101110000000110010101,
|
||||||
|
00000111110101110000000110011001,
|
||||||
|
00000111110101100000000110011101,
|
||||||
|
00000111110101010000000110100001,
|
||||||
|
00000111110101000000000110100101,
|
||||||
|
00000111110100110000000110101001,
|
||||||
|
00000111110100110000000110101101,
|
||||||
|
00000111110100100000000110110001,
|
||||||
|
00000111110100010000000110110101,
|
||||||
|
00000111110100000000000110111001,
|
||||||
|
00000111110011110000000110111101,
|
||||||
|
00000111110011100000000111000000,
|
||||||
|
00000111110011010000000111000100,
|
||||||
|
00000111110011010000000111001000,
|
||||||
|
00000111110011000000000111001100,
|
||||||
|
00000111110010110000000111010000,
|
||||||
|
00000111110010100000000111010100,
|
||||||
|
00000111110010010000000111011000,
|
||||||
|
00000111110010000000000111011100,
|
||||||
|
00000111110001110000000111100000,
|
||||||
|
00000111110001100000000111100100,
|
||||||
|
00000111110001010000000111100111,
|
||||||
|
00000111110001000000000111101011,
|
||||||
|
00000111110000110000000111101111,
|
||||||
|
00000111110000100000000111110011,
|
||||||
|
00000111110000010000000111110111,
|
||||||
|
00000111110000000000000111111011,
|
||||||
|
00000111101111110000000111111111,
|
||||||
|
00000111101111100000001000000011,
|
||||||
|
00000111101111010000001000000110,
|
||||||
|
00000111101111000000001000001010,
|
||||||
|
00000111101110110000001000001110,
|
||||||
|
00000111101110100000001000010010,
|
||||||
|
00000111101110010000001000010110,
|
||||||
|
00000111101110000000001000011010,
|
||||||
|
00000111101101110000001000011110,
|
||||||
|
00000111101101100000001000100010,
|
||||||
|
00000111101101010000001000100101,
|
||||||
|
00000111101101000000001000101001,
|
||||||
|
00000111101100110000001000101101,
|
||||||
|
00000111101100100000001000110001,
|
||||||
|
00000111101100010000001000110101,
|
||||||
|
00000111101011110000001000111001,
|
||||||
|
00000111101011100000001000111100,
|
||||||
|
00000111101011010000001001000000,
|
||||||
|
00000111101011000000001001000100,
|
||||||
|
00000111101010110000001001001000,
|
||||||
|
00000111101010100000001001001100,
|
||||||
|
00000111101010010000001001010000,
|
||||||
|
00000111101010000000001001010011,
|
||||||
|
00000111101001100000001001010111,
|
||||||
|
00000111101001010000001001011011,
|
||||||
|
00000111101001000000001001011111,
|
||||||
|
00000111101000110000001001100011,
|
||||||
|
00000111101000100000001001100111,
|
||||||
|
00000111101000000000001001101010,
|
||||||
|
00000111100111110000001001101110,
|
||||||
|
00000111100111100000001001110010,
|
||||||
|
00000111100111010000001001110110,
|
||||||
|
00000111100111000000001001111010,
|
||||||
|
00000111100110100000001001111101,
|
||||||
|
00000111100110010000001010000001,
|
||||||
|
00000111100110000000001010000101,
|
||||||
|
00000111100101110000001010001001,
|
||||||
|
00000111100101010000001010001101,
|
||||||
|
00000111100101000000001010010000,
|
||||||
|
00000111100100110000001010010100,
|
||||||
|
00000111100100010000001010011000,
|
||||||
|
00000111100100000000001010011100,
|
||||||
|
00000111100011110000001010100000,
|
||||||
|
00000111100011010000001010100011,
|
||||||
|
00000111100011000000001010100111,
|
||||||
|
00000111100010110000001010101011,
|
||||||
|
00000111100010010000001010101111,
|
||||||
|
00000111100010000000001010110010,
|
||||||
|
00000111100001110000001010110110,
|
||||||
|
00000111100001010000001010111010,
|
||||||
|
00000111100001000000001010111110,
|
||||||
|
00000111100000110000001011000001,
|
||||||
|
00000111100000010000001011000101,
|
||||||
|
00000111100000000000001011001001,
|
||||||
|
00000111011111100000001011001101,
|
||||||
|
00000111011111010000001011010000,
|
||||||
|
00000111011111000000001011010100,
|
||||||
|
00000111011110100000001011011000,
|
||||||
|
00000111011110010000001011011100,
|
||||||
|
00000111011101110000001011011111,
|
||||||
|
00000111011101100000001011100011,
|
||||||
|
00000111011101010000001011100111,
|
||||||
|
00000111011100110000001011101011,
|
||||||
|
00000111011100100000001011101110,
|
||||||
|
00000111011100000000001011110010,
|
||||||
|
00000111011011110000001011110110,
|
||||||
|
00000111011011010000001011111010,
|
||||||
|
00000111011011000000001011111101,
|
||||||
|
00000111011010100000001100000001,
|
||||||
|
00000111011010010000001100000101,
|
||||||
|
00000111011001110000001100001000,
|
||||||
|
00000111011001100000001100001100,
|
||||||
|
00000111011001000000001100010000,
|
||||||
|
00000111011000110000001100010011,
|
||||||
|
00000111011000010000001100010111,
|
||||||
|
00000111010111110000001100011011,
|
||||||
|
00000111010111100000001100011110,
|
||||||
|
00000111010111000000001100100010,
|
||||||
|
00000111010110110000001100100110,
|
||||||
|
00000111010110010000001100101010,
|
||||||
|
00000111010110000000001100101101,
|
||||||
|
00000111010101100000001100110001,
|
||||||
|
00000111010101000000001100110101,
|
||||||
|
00000111010100110000001100111000,
|
||||||
|
00000111010100010000001100111100,
|
||||||
|
00000111010100000000001101000000,
|
||||||
|
00000111010011100000001101000011,
|
||||||
|
00000111010011000000001101000111,
|
||||||
|
00000111010010110000001101001010,
|
||||||
|
00000111010010010000001101001110,
|
||||||
|
00000111010001110000001101010010,
|
||||||
|
00000111010001100000001101010101,
|
||||||
|
00000111010001000000001101011001,
|
||||||
|
00000111010000100000001101011101,
|
||||||
|
00000111010000010000001101100000,
|
||||||
|
00000111001111110000001101100100,
|
||||||
|
00000111001111010000001101101000,
|
||||||
|
00000111001111000000001101101011,
|
||||||
|
00000111001110100000001101101111,
|
||||||
|
00000111001110000000001101110010,
|
||||||
|
00000111001101100000001101110110,
|
||||||
|
00000111001101010000001101111010,
|
||||||
|
00000111001100110000001101111101,
|
||||||
|
00000111001100010000001110000001,
|
||||||
|
00000111001011110000001110000100,
|
||||||
|
00000111001011100000001110001000,
|
||||||
|
00000111001011000000001110001100,
|
||||||
|
00000111001010100000001110001111,
|
||||||
|
00000111001010000000001110010011,
|
||||||
|
00000111001001110000001110010110,
|
||||||
|
00000111001001010000001110011010,
|
||||||
|
00000111001000110000001110011101,
|
||||||
|
00000111001000010000001110100001,
|
||||||
|
00000111000111110000001110100101,
|
||||||
|
00000111000111100000001110101000,
|
||||||
|
00000111000111000000001110101100,
|
||||||
|
00000111000110100000001110101111,
|
||||||
|
00000111000110000000001110110011,
|
||||||
|
00000111000101100000001110110110,
|
||||||
|
00000111000101000000001110111010,
|
||||||
|
00000111000100100000001110111101,
|
||||||
|
00000111000100010000001111000001,
|
||||||
|
00000111000011110000001111000101,
|
||||||
|
00000111000011010000001111001000,
|
||||||
|
00000111000010110000001111001100,
|
||||||
|
00000111000010010000001111001111,
|
||||||
|
00000111000001110000001111010011,
|
||||||
|
00000111000001010000001111010110,
|
||||||
|
00000111000000110000001111011010,
|
||||||
|
00000111000000010000001111011101,
|
||||||
|
00000110111111110000001111100001,
|
||||||
|
00000110111111010000001111100100,
|
||||||
|
00000110111110110000001111101000,
|
||||||
|
00000110111110100000001111101011,
|
||||||
|
00000110111110000000001111101111,
|
||||||
|
00000110111101100000001111110010,
|
||||||
|
00000110111101000000001111110110,
|
||||||
|
00000110111100100000001111111001,
|
||||||
|
00000110111100000000001111111101,
|
||||||
|
00000110111011100000010000000000,
|
||||||
|
00000110111011000000010000000011,
|
||||||
|
00000110111010100000010000000111,
|
||||||
|
00000110111010000000010000001010,
|
||||||
|
00000110111001100000010000001110,
|
||||||
|
00000110111001000000010000010001,
|
||||||
|
00000110111000010000010000010101,
|
||||||
|
00000110110111110000010000011000,
|
||||||
|
00000110110111010000010000011100,
|
||||||
|
00000110110110110000010000011111,
|
||||||
|
00000110110110010000010000100010,
|
||||||
|
00000110110101110000010000100110,
|
||||||
|
00000110110101010000010000101001,
|
||||||
|
00000110110100110000010000101101,
|
||||||
|
00000110110100010000010000110000,
|
||||||
|
00000110110011110000010000110100,
|
||||||
|
00000110110011010000010000110111,
|
||||||
|
00000110110010110000010000111010,
|
||||||
|
00000110110010010000010000111110,
|
||||||
|
00000110110001100000010001000001,
|
||||||
|
00000110110001000000010001000101,
|
||||||
|
00000110110000100000010001001000,
|
||||||
|
00000110110000000000010001001011,
|
||||||
|
00000110101111100000010001001111,
|
||||||
|
00000110101111000000010001010010,
|
||||||
|
00000110101110100000010001010101,
|
||||||
|
00000110101101110000010001011001,
|
||||||
|
00000110101101010000010001011100,
|
||||||
|
00000110101100110000010001011111,
|
||||||
|
00000110101100010000010001100011,
|
||||||
|
00000110101011110000010001100110,
|
||||||
|
00000110101011000000010001101001,
|
||||||
|
00000110101010100000010001101101,
|
||||||
|
00000110101010000000010001110000,
|
||||||
|
00000110101001100000010001110011,
|
||||||
|
00000110101001000000010001110111,
|
||||||
|
00000110101000010000010001111010,
|
||||||
|
00000110100111110000010001111101,
|
||||||
|
00000110100111010000010010000001,
|
||||||
|
00000110100110110000010010000100,
|
||||||
|
00000110100110000000010010000111,
|
||||||
|
00000110100101100000010010001011,
|
||||||
|
00000110100101000000010010001110,
|
||||||
|
00000110100100010000010010010001,
|
||||||
|
00000110100011110000010010010100,
|
||||||
|
00000110100011010000010010011000,
|
||||||
|
00000110100010110000010010011011,
|
||||||
|
00000110100010000000010010011110,
|
||||||
|
00000110100001100000010010100010,
|
||||||
|
00000110100001000000010010100101,
|
||||||
|
00000110100000010000010010101000,
|
||||||
|
00000110011111110000010010101011,
|
||||||
|
00000110011111010000010010101111,
|
||||||
|
00000110011110100000010010110010,
|
||||||
|
00000110011110000000010010110101,
|
||||||
|
00000110011101100000010010111000,
|
||||||
|
00000110011100110000010010111100,
|
||||||
|
00000110011100010000010010111111,
|
||||||
|
00000110011011100000010011000010,
|
||||||
|
00000110011011000000010011000101,
|
||||||
|
00000110011010100000010011001000,
|
||||||
|
00000110011001110000010011001100,
|
||||||
|
00000110011001010000010011001111,
|
||||||
|
00000110011000100000010011010010,
|
||||||
|
00000110011000000000010011010101,
|
||||||
|
00000110010111100000010011011000,
|
||||||
|
00000110010110110000010011011100,
|
||||||
|
00000110010110010000010011011111,
|
||||||
|
00000110010101100000010011100010,
|
||||||
|
00000110010101000000010011100101,
|
||||||
|
00000110010100010000010011101000,
|
||||||
|
00000110010011110000010011101011,
|
||||||
|
00000110010011010000010011101111,
|
||||||
|
00000110010010100000010011110010,
|
||||||
|
00000110010010000000010011110101,
|
||||||
|
00000110010001010000010011111000,
|
||||||
|
00000110010000110000010011111011,
|
||||||
|
00000110010000000000010011111110,
|
||||||
|
00000110001111100000010100000001,
|
||||||
|
00000110001110110000010100000100,
|
||||||
|
00000110001110010000010100001000,
|
||||||
|
00000110001101100000010100001011,
|
||||||
|
00000110001101000000010100001110,
|
||||||
|
00000110001100010000010100010001,
|
||||||
|
00000110001011100000010100010100,
|
||||||
|
00000110001011000000010100010111,
|
||||||
|
00000110001010010000010100011010,
|
||||||
|
00000110001001110000010100011101,
|
||||||
|
00000110001001000000010100100000,
|
||||||
|
00000110001000100000010100100011,
|
||||||
|
00000110000111110000010100100110,
|
||||||
|
00000110000111010000010100101010,
|
||||||
|
00000110000110100000010100101101,
|
||||||
|
00000110000101110000010100110000,
|
||||||
|
00000110000101010000010100110011,
|
||||||
|
00000110000100100000010100110110,
|
||||||
|
00000110000100000000010100111001,
|
||||||
|
00000110000011010000010100111100,
|
||||||
|
00000110000010100000010100111111,
|
||||||
|
00000110000010000000010101000010,
|
||||||
|
00000110000001010000010101000101,
|
||||||
|
00000110000000100000010101001000,
|
||||||
|
00000110000000000000010101001011,
|
||||||
|
00000101111111010000010101001110,
|
||||||
|
00000101111110100000010101010001,
|
||||||
|
00000101111110000000010101010100,
|
||||||
|
00000101111101010000010101010111,
|
||||||
|
00000101111100100000010101011010,
|
||||||
|
00000101111100000000010101011101,
|
||||||
|
00000101111011010000010101100000,
|
||||||
|
00000101111010100000010101100011,
|
||||||
|
00000101111010000000010101100110,
|
||||||
|
00000101111001010000010101101001,
|
||||||
|
00000101111000100000010101101100,
|
||||||
|
00000101111000000000010101101110,
|
||||||
|
00000101110111010000010101110001,
|
||||||
|
00000101110110100000010101110100,
|
||||||
|
00000101110101110000010101110111,
|
||||||
|
00000101110101010000010101111010,
|
||||||
|
00000101110100100000010101111101,
|
||||||
|
00000101110011110000010110000000,
|
||||||
|
00000101110011000000010110000011,
|
||||||
|
00000101110010100000010110000110,
|
||||||
|
00000101110001110000010110001001,
|
||||||
|
00000101110001000000010110001100,
|
||||||
|
00000101110000010000010110001110,
|
||||||
|
00000101101111110000010110010001,
|
||||||
|
00000101101111000000010110010100,
|
||||||
|
00000101101110010000010110010111,
|
||||||
|
00000101101101100000010110011010,
|
||||||
|
00000101101100110000010110011101,
|
||||||
|
00000101101100010000010110100000,
|
||||||
|
00000101101011100000010110100010,
|
||||||
|
00000101101010110000010110100101,
|
||||||
|
00000101101010000000010110101000,
|
||||||
|
00000101101001010000010110101011,
|
||||||
|
00000101101000100000010110101110,
|
||||||
|
00000101101000000000010110110001,
|
||||||
|
00000101100111010000010110110011,
|
||||||
|
00000101100110100000010110110110,
|
||||||
|
00000101100101110000010110111001,
|
||||||
|
00000101100101000000010110111100,
|
||||||
|
00000101100100010000010110111111,
|
||||||
|
00000101100011100000010111000001,
|
||||||
|
00000101100011000000010111000100,
|
||||||
|
00000101100010010000010111000111,
|
||||||
|
00000101100001100000010111001010,
|
||||||
|
00000101100000110000010111001100,
|
||||||
|
00000101100000000000010111001111,
|
||||||
|
00000101011111010000010111010010,
|
||||||
|
00000101011110100000010111010101,
|
||||||
|
00000101011101110000010111010111,
|
||||||
|
00000101011101000000010111011010,
|
||||||
|
00000101011100010000010111011101,
|
||||||
|
00000101011011100000010111100000,
|
||||||
|
00000101011011000000010111100010,
|
||||||
|
00000101011010010000010111100101,
|
||||||
|
00000101011001100000010111101000,
|
||||||
|
00000101011000110000010111101010,
|
||||||
|
00000101011000000000010111101101,
|
||||||
|
00000101010111010000010111110000,
|
||||||
|
00000101010110100000010111110010,
|
||||||
|
00000101010101110000010111110101,
|
||||||
|
00000101010101000000010111111000,
|
||||||
|
00000101010100010000010111111010,
|
||||||
|
00000101010011100000010111111101,
|
||||||
|
00000101010010110000011000000000,
|
||||||
|
00000101010010000000011000000010,
|
||||||
|
00000101010001010000011000000101,
|
||||||
|
00000101010000100000011000001000,
|
||||||
|
00000101001111110000011000001010,
|
||||||
|
00000101001111000000011000001101,
|
||||||
|
00000101001110010000011000010000,
|
||||||
|
00000101001101100000011000010010,
|
||||||
|
00000101001100110000011000010101,
|
||||||
|
00000101001100000000011000010111,
|
||||||
|
00000101001011010000011000011010,
|
||||||
|
00000101001010100000011000011101,
|
||||||
|
00000101001001100000011000011111,
|
||||||
|
00000101001000110000011000100010,
|
||||||
|
00000101001000000000011000100100,
|
||||||
|
00000101000111010000011000100111,
|
||||||
|
00000101000110100000011000101001,
|
||||||
|
00000101000101110000011000101100,
|
||||||
|
00000101000101000000011000101110,
|
||||||
|
00000101000100010000011000110001,
|
||||||
|
00000101000011100000011000110100,
|
||||||
|
00000101000010110000011000110110,
|
||||||
|
00000101000010000000011000111001,
|
||||||
|
00000101000001000000011000111011,
|
||||||
|
00000101000000010000011000111110,
|
||||||
|
00000100111111100000011001000000,
|
||||||
|
00000100111110110000011001000011,
|
||||||
|
00000100111110000000011001000101,
|
||||||
|
00000100111101010000011001001000,
|
||||||
|
00000100111100100000011001001010,
|
||||||
|
00000100111011110000011001001101,
|
||||||
|
00000100111010110000011001001111,
|
||||||
|
00000100111010000000011001010001,
|
||||||
|
00000100111001010000011001010100,
|
||||||
|
00000100111000100000011001010110,
|
||||||
|
00000100110111110000011001011001,
|
||||||
|
00000100110111000000011001011011,
|
||||||
|
00000100110110000000011001011110,
|
||||||
|
00000100110101010000011001100000,
|
||||||
|
00000100110100100000011001100010,
|
||||||
|
00000100110011110000011001100101,
|
||||||
|
00000100110011000000011001100111,
|
||||||
|
00000100110010000000011001101010,
|
||||||
|
00000100110001010000011001101100,
|
||||||
|
00000100110000100000011001101110,
|
||||||
|
00000100101111110000011001110001,
|
||||||
|
00000100101111000000011001110011,
|
||||||
|
00000100101110000000011001110110,
|
||||||
|
00000100101101010000011001111000,
|
||||||
|
00000100101100100000011001111010,
|
||||||
|
00000100101011110000011001111101,
|
||||||
|
00000100101010110000011001111111,
|
||||||
|
00000100101010000000011010000001,
|
||||||
|
00000100101001010000011010000100,
|
||||||
|
00000100101000100000011010000110,
|
||||||
|
00000100100111100000011010001000,
|
||||||
|
00000100100110110000011010001011,
|
||||||
|
00000100100110000000011010001101,
|
||||||
|
00000100100101000000011010001111,
|
||||||
|
00000100100100010000011010010001,
|
||||||
|
00000100100011100000011010010100,
|
||||||
|
00000100100010110000011010010110,
|
||||||
|
00000100100001110000011010011000,
|
||||||
|
00000100100001000000011010011011,
|
||||||
|
00000100100000010000011010011101,
|
||||||
|
00000100011111010000011010011111,
|
||||||
|
00000100011110100000011010100001,
|
||||||
|
00000100011101110000011010100100,
|
||||||
|
00000100011100110000011010100110,
|
||||||
|
00000100011100000000011010101000,
|
||||||
|
00000100011011010000011010101010,
|
||||||
|
00000100011010010000011010101100,
|
||||||
|
00000100011001100000011010101111,
|
||||||
|
00000100011000110000011010110001,
|
||||||
|
00000100010111110000011010110011,
|
||||||
|
00000100010111000000011010110101,
|
||||||
|
00000100010110010000011010110111,
|
||||||
|
00000100010101010000011010111010;
|
271
verilog/Xilinx/vivado2017.4.1/rot_lut/rot_lut.xci
Normal file
271
verilog/Xilinx/vivado2017.4.1/rot_lut/rot_lut.xci
Normal file
@ -0,0 +1,271 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
|
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
|
||||||
|
<spirit:vendor>xilinx.com</spirit:vendor>
|
||||||
|
<spirit:library>xci</spirit:library>
|
||||||
|
<spirit:name>unknown</spirit:name>
|
||||||
|
<spirit:version>1.0</spirit:version>
|
||||||
|
<spirit:componentInstances>
|
||||||
|
<spirit:componentInstance>
|
||||||
|
<spirit:instanceName>rot_lut</spirit:instanceName>
|
||||||
|
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="blk_mem_gen" spirit:version="8.4"/>
|
||||||
|
<spirit:configurableElementValues>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="ADDRBLOCK_RANGE.S_1.Mem0">4096</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_BRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_CACHE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_LOCK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_PROT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_QOS">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_REGION">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_RRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.ID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXILITE_SLAVE_S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.ADDR_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.ARUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.AWUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.BUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.DATA_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_BRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_CACHE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_LOCK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_PROT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_QOS">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_REGION">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_RRESP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.HAS_WSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.ID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.MAX_BURST_LENGTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_READ_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_READ_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_WRITE_OUTSTANDING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.NUM_WRITE_THREADS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.PROTOCOL">AXI4LITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.RUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.RUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.SUPPORTS_NARROW_BURST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.WUSER_BITS_PER_BYTE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.AXI_SLAVE_S_AXI.WUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MASTER_TYPE">OTHER</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MEM_ECC">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MEM_SIZE">8192</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.MEM_WIDTH">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTA.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MASTER_TYPE">OTHER</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MEM_ECC">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MEM_SIZE">8192</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.MEM_WIDTH">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.BRAM_PORTB.READ_WRITE_MODE">READ_WRITE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.CLK.ACLK.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRA_WIDTH">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ADDRB_WIDTH">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ALGORITHM">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_ID_WIDTH">4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_SLAVE_TYPE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_AXI_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_BYTE_SIZE">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMMON_CLK">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COUNT_18K_BRAM">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COUNT_36K_BRAM">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CTRL_ECC_ALGO">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DEFAULT_DATA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DISABLE_WARN_BHV_COLL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DISABLE_WARN_BHV_RANGE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ELABORATION_DIR">./</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ENABLE_32BIT_ADDRESS">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_DEEPSLEEP_PIN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_ECC_PIPE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_RDADDRA_CHG">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_RDADDRB_CHG">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SAFETY_CKT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SHUTDOWN_PIN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EN_SLEEP_PIN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_EST_POWER_SUMMARY">Estimated Power for IP : 5.244 mW</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_FAMILY">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_AXI_ID">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ENB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_INJECTERR">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEM_OUTPUT_REGS_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MEM_OUTPUT_REGS_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MUX_OUTPUT_REGS_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_MUX_OUTPUT_REGS_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_REGCEA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_REGCEB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RSTA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_RSTB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SOFTECC_INPUT_REGS_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SOFTECC_OUTPUT_REGS_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INITA_VAL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INITB_VAL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_FILE">rot_lut.mem</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INIT_FILE_NAME">rot_lut.mif</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INTERFACE_TYPE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_LOAD_INIT_FILE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MEM_TYPE">4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_MUX_PIPELINE_STAGES">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_PRIM_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_A">512</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_DEPTH_B">512</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_WIDTH_A">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_READ_WIDTH_B">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RSTRAM_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_A">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RST_PRIORITY_B">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SIM_COLLISION_CHECK">ALL</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BRAM_BLOCK">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_BYTE_WEB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_DEFAULT_DATA">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_ECC">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_SOFTECC">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_URAM">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEA_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WEB_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_A">512</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_DEPTH_B">512</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_A">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_MODE_B">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_A">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_WRITE_WIDTH_B">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICEFAMILY">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_ID_Width">4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_Slave_Type">Memory_Slave</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.AXI_Type">AXI4_Full</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Additional_Inputs_for_Power_Estimation">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Algorithm">Minimum_Area</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Assume_Synchronous_Clk">true</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Byte_Size">9</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.CTRL_ECC_ALGO">NONE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coe_File">rot_lut.coe</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Collision_Warnings">ALL</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">rot_lut</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Collision_Warnings">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Disable_Out_of_Range_Warnings">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ECC">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_DEEPSLEEP_PIN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_ECC_PIPE">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_SAFETY_CKT">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_SHUTDOWN_PIN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.EN_SLEEP_PIN">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_32bit_Address">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_A">Always_Enabled</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Enable_B">Always_Enabled</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Error_Injection_Type">Single_Bit_Error_Injection</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Fill_Remaining_Memory_Locations">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Interface_Type">Native</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Load_Init_File">true</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.MEM_FILE">no_mem_loaded</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Memory_Type">Dual_Port_ROM</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Operating_Mode_A">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Operating_Mode_B">WRITE_FIRST</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Reset_Value_A">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Reset_Value_B">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.PRIM_type_to_Implement">BRAM</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Pipeline_Stages">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_A_Clock">100</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_A_Enable_Rate">100</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_A_Write_Rate">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_B_Clock">100</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_B_Enable_Rate">100</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Port_B_Write_Rate">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Primitive">8kx2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RD_ADDR_CHNG_A">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.RD_ADDR_CHNG_B">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Width_A">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Read_Width_B">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortA_Output_of_Memory_Core">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortA_Output_of_Memory_Primitives">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortB_Output_of_Memory_Core">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Register_PortB_Output_of_Memory_Primitives">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Remaining_Memory_Locations">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Memory_Latch_A">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Memory_Latch_B">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Priority_A">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Priority_B">CE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reset_Type">SYNC</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_AXI_ID">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Byte_Write_Enable">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_Error_Injection_Pins">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_REGCEA_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_REGCEB_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_RSTA_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Use_RSTB_Pin">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Depth_A">512</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Width_A">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Write_Width_B">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ecctype">No_ECC</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.register_porta_input_of_softecc">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.register_portb_output_of_softecc">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.softecc">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.use_bram_block">Stand_Alone</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD">xilinx.com:zc706:part0:1.4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z045</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg900</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2017.4.1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
|
||||||
|
</spirit:configurableElementValues>
|
||||||
|
<spirit:vendorExtensions>
|
||||||
|
<xilinx:componentInstanceExtensions>
|
||||||
|
<xilinx:configElementInfos>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Assume_Synchronous_Clk" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Coe_File" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Enable_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Load_Init_File" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Memory_Type" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Port_A_Write_Rate" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Port_B_Clock" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Port_B_Enable_Rate" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Read_Width_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Read_Width_B" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Register_PortA_Output_of_Memory_Primitives" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Depth_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Width_A" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Write_Width_B" xilinx:valueSource="user"/>
|
||||||
|
</xilinx:configElementInfos>
|
||||||
|
</xilinx:componentInstanceExtensions>
|
||||||
|
</spirit:vendorExtensions>
|
||||||
|
</spirit:componentInstance>
|
||||||
|
</spirit:componentInstances>
|
||||||
|
</spirit:design>
|
214
verilog/Xilinx/vivado2017.4.1/viterbi/viterbi_v7_0.xci
Normal file
214
verilog/Xilinx/vivado2017.4.1/viterbi/viterbi_v7_0.xci
Normal file
@ -0,0 +1,214 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
|
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
|
||||||
|
<spirit:vendor>xilinx.com</spirit:vendor>
|
||||||
|
<spirit:library>xci</spirit:library>
|
||||||
|
<spirit:name>unknown</spirit:name>
|
||||||
|
<spirit:version>1.0</spirit:version>
|
||||||
|
<spirit:componentInstances>
|
||||||
|
<spirit:componentInstance>
|
||||||
|
<spirit:instanceName>viterbi_v7_0</spirit:instanceName>
|
||||||
|
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="viterbi" spirit:version="9.1"/>
|
||||||
|
<spirit:configurableElementValues>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLKEN_INTF.POLARITY">ACTIVE_LOW</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TREADY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDATA_NUM_BYTES">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.HAS_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.HAS_TREADY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TREADY">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDATA_NUM_BYTES">2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TUSER_WIDTH">8</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.HAS_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.HAS_TREADY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_BEST_STATE_WIDTH">3</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CHANNEL_COUNT">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_COMPONENT_NAME">viterbi_v7_0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONSTRAINT_LENGTH">7</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION0_CODE0">91</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION0_CODE1">121</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION0_CODE2">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION0_CODE3">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION0_CODE4">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION0_CODE5">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION0_CODE6">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION1_CODE0">121</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION1_CODE1">91</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION1_CODE2">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION1_CODE3">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION1_CODE4">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION1_CODE5">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CONVOLUTION1_CODE6">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DUAL_DECODER">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ELABORATION_DIR">./</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ACLKEN">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_BER">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_BEST_STATE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_BLOCK_VALID">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_DSTAT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ERASED">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_M_AXIS_DATA_TREADY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_M_AXIS_DATA_TUSER">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_M_AXIS_DSTAT_TREADY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_NORM">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_S_AXIS_DATA_TUSER">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXIS_DATA_TDATA_WIDTH">8</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXIS_DATA_TUSER_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXIS_DSTAT_TDATA_WIDTH">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTPUT_RATE0">2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTPUT_RATE1">2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_RED_LATENCY">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SERIAL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SOFT_CODE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SOFT_CODING">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_SOFT_WIDTH">3</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_DATA_TDATA_WIDTH">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_DATA_TUSER_WIDTH">8</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_DSTAT_TDATA_WIDTH">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TRACEBACK_LENGTH">24</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TRELLIS_MODE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICEFAMILY">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ACLKEN">true</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Architecture">Parallel</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.BER_Symbol_Count">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Best_State">true</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Best_State_Width">3</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Block_Valid">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Channels">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Coding">Soft_Coding</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">viterbi_v7_0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Constraint_Length">7</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution0_Code0">133</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution0_Code1">171</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution0_Code2">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution0_Code3">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution0_Code4">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution0_Code5">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution0_Code6">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution1_Code0">1111001</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution1_Code1">1011011</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution1_Code2">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution1_Code3">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution1_Code4">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution1_Code5">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution1_Code6">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution_Code_0_Radix">Octal</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Convolution_Code_1_Radix">Binary</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Data_Format">Signed_Magnitude</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Norm">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Rate0">2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Output_Rate1">2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Puncturing">External</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Reduced_Latency">true</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Soft_Width">3</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.TREADY">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Traceback_Length">24</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Viterbi_Type">Standard</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD">xilinx.com:zc706:part0:1.4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z045</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg900</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">8</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2017.4.1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
|
||||||
|
</spirit:configurableElementValues>
|
||||||
|
<spirit:vendorExtensions>
|
||||||
|
<xilinx:componentInstanceExtensions>
|
||||||
|
<xilinx:configElementInfos>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TLAST" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TREADY" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.HAS_TLAST" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.HAS_TREADY" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DSTAT.TUSER_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TLAST" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TREADY" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TUSER_WIDTH" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.HAS_TLAST" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.HAS_TREADY" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DSTAT.TUSER_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.ACLKEN" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.BER_Symbol_Count" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Convolution0_Code0" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Convolution0_Code1" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Convolution_Code_0_Radix" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Puncturing" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Reduced_Latency" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.TREADY" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.Traceback_Length" xilinx:valueSource="user"/>
|
||||||
|
</xilinx:configElementInfos>
|
||||||
|
</xilinx:componentInstanceExtensions>
|
||||||
|
</spirit:vendorExtensions>
|
||||||
|
</spirit:componentInstance>
|
||||||
|
</spirit:componentInstances>
|
||||||
|
</spirit:design>
|
195
verilog/Xilinx/vivado2017.4.1/xfft/xfft_v9.xci
Normal file
195
verilog/Xilinx/vivado2017.4.1/xfft/xfft_v9.xci
Normal file
@ -0,0 +1,195 @@
|
|||||||
|
<?xml version="1.0" encoding="UTF-8"?>
|
||||||
|
<spirit:design xmlns:xilinx="http://www.xilinx.com" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
|
||||||
|
<spirit:vendor>xilinx.com</spirit:vendor>
|
||||||
|
<spirit:library>xci</spirit:library>
|
||||||
|
<spirit:name>unknown</spirit:name>
|
||||||
|
<spirit:version>1.0</spirit:version>
|
||||||
|
<spirit:componentInstances>
|
||||||
|
<spirit:componentInstance>
|
||||||
|
<spirit:instanceName>xfft_v7_1</spirit:instanceName>
|
||||||
|
<spirit:componentRef spirit:vendor="xilinx.com" spirit:library="ip" spirit:name="xfft" spirit:version="9.0"/>
|
||||||
|
<spirit:configurableElementValues>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLKEN_INTF.POLARITY">ACTIVE_LOW</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.ACLK_INTF.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_DATA_IN_CHANNEL_HALT_INTF.PortWidth">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_DATA_OUT_CHANNEL_HALT_INTF.PortWidth">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_FFT_OVERFLOW_INTF.PortWidth">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_FRAME_STARTED_INTF.PortWidth">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_STATUS_CHANNEL_HALT_INTF.PortWidth">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_TLAST_MISSING_INTF.PortWidth">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.EVENT_TLAST_UNEXPECTED_INTF.PortWidth">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TLAST">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TREADY">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDATA_NUM_BYTES">6</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.HAS_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.HAS_TREADY">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.TDATA_NUM_BYTES">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TLAST">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TREADY">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDATA_NUM_BYTES">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.CLK_DOMAIN"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.FREQ_HZ">100000000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TKEEP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TLAST">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TREADY">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TSTRB">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.LAYERED_METADATA">undef</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.PHASE">0.000</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDATA_NUM_BYTES">4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDEST_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TID_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TUSER_WIDTH">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_ARCH">3</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_BFLY_TYPE">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_BRAM_STAGES">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CHANNELS">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_CMPY_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_DATA_MEM_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ACLKEN">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ARESETN">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_BFP">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_CYCLIC_PREFIX">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_NATURAL_INPUT">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_NATURAL_OUTPUT">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_NFFT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_OVFLO">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_ROUNDING">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_SCALING">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_HAS_XK_INDEX">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_INPUT_WIDTH">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXIS_DATA_TDATA_WIDTH">48</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXIS_DATA_TUSER_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_M_AXIS_STATUS_TDATA_WIDTH">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_NFFT_MAX">6</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OPTIMIZE_GOAL">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_OUTPUT_WIDTH">23</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_REORDER_MEM_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_CONFIG_TDATA_WIDTH">8</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXIS_DATA_TDATA_WIDTH">32</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_THROTTLE_SCHEME">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TWIDDLE_MEM_TYPE">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TWIDDLE_WIDTH">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_FLT_PT">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_HYBRID_RAM">0</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_XDEVICEFAMILY">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.Component_Name">xfft_v7_1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.aclken">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.aresetn">true</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.butterfly_type">use_luts</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.channels">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.complex_mult_type">use_mults_resources</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.cyclic_prefix_insertion">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.data_format">fixed_point</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.implementation_options">pipelined_streaming_io</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.input_width">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.memory_options_data">block_ram</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.memory_options_hybrid">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.memory_options_phase_factors">block_ram</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.memory_options_reorder">block_ram</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.number_of_stages_using_block_ram_for_data_and_phase_factors">1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.output_ordering">natural_order</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.ovflo">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.phase_factor_width">16</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.rounding_modes">truncation</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.run_time_configurable_transform_length">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.scaling_options">unscaled</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.target_clock_frequency">100</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.target_data_throughput">50</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.throttle_scheme">nonrealtime</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.transform_length">64</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.xk_index">false</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.ARCHITECTURE">zynq</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.BOARD">xilinx.com:zc706:part0:1.4</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.DEVICE">xc7z045</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PACKAGE">ffg900</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.PREFHDL">VERILOG</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SILICON_REVISION"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SIMULATOR_LANGUAGE">MIXED</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.SPEEDGRADE">-2</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.TEMPERATURE_GRADE"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_CUSTOMIZATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="PROJECT_PARAM.USE_RDI_GENERATION">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPCONTEXT">IP_Flow</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.IPREVISION">14</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.MANAGED">TRUE</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.OUTPUTDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SELECTEDSIMMODEL"/>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SHAREDDIR">.</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SWVERSION">2017.4.1</spirit:configurableElementValue>
|
||||||
|
<spirit:configurableElementValue spirit:referenceId="RUNTIME_PARAM.SYNTHESISFLOW">OUT_OF_CONTEXT</spirit:configurableElementValue>
|
||||||
|
</spirit:configurableElementValues>
|
||||||
|
<spirit:vendorExtensions>
|
||||||
|
<xilinx:componentInstanceExtensions>
|
||||||
|
<xilinx:configElementInfos>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TLAST" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_DATA.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.HAS_TLAST" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.HAS_TREADY" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.M_AXIS_STATUS.TUSER_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TLAST" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TREADY" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_CONFIG.TUSER_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TKEEP" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TLAST" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TREADY" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.HAS_TSTRB" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDATA_NUM_BYTES" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TDEST_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TID_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="BUSIFPARAM_VALUE.S_AXIS_DATA.TUSER_WIDTH" xilinx:valueSource="constant"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.aresetn" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.complex_mult_type" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.implementation_options" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.number_of_stages_using_block_ram_for_data_and_phase_factors" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.output_ordering" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.scaling_options" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.target_clock_frequency" xilinx:valueSource="user"/>
|
||||||
|
<xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.transform_length" xilinx:valueSource="user"/>
|
||||||
|
</xilinx:configElementInfos>
|
||||||
|
</xilinx:componentInstanceExtensions>
|
||||||
|
</spirit:vendorExtensions>
|
||||||
|
</spirit:componentInstance>
|
||||||
|
</spirit:componentInstances>
|
||||||
|
</spirit:design>
|
@ -26,14 +26,25 @@ reg [15:0] bi;
|
|||||||
wire [31:0] prod_i;
|
wire [31:0] prod_i;
|
||||||
wire [31:0] prod_q;
|
wire [31:0] prod_q;
|
||||||
|
|
||||||
|
|
||||||
|
// instantiation of complex multiplier
|
||||||
|
wire [31:0] s_axis_a_tdata;
|
||||||
|
assign s_axis_a_tdata = {ai,ar} ;
|
||||||
|
wire [31:0] s_axis_b_tdata;
|
||||||
|
assign s_axis_b_tdata = {bi, br} ;
|
||||||
|
wire [63:0] m_axis_dout_tdata;
|
||||||
|
assign prod_q = m_axis_dout_tdata[63:32];
|
||||||
|
assign prod_i = m_axis_dout_tdata[31:0];
|
||||||
|
wire m_axis_dout_tvalid ; // first try not use it
|
||||||
|
|
||||||
complex_multiplier mult_inst (
|
complex_multiplier mult_inst (
|
||||||
.clk(clock),
|
.aclk(clock), // input wire aclk
|
||||||
.ar(ar),
|
.s_axis_a_tvalid(input_strobe), // input wire s_axis_a_tvalid
|
||||||
.ai(ai),
|
.s_axis_a_tdata(s_axis_a_tdata), // input wire [31 : 0] s_axis_a_tdata
|
||||||
.br(br),
|
.s_axis_b_tvalid(input_strobe), // input wire s_axis_b_tvalid
|
||||||
.bi(bi),
|
.s_axis_b_tdata(s_axis_b_tdata), // input wire [31 : 0] s_axis_b_tdata
|
||||||
.pr(prod_i),
|
.m_axis_dout_tvalid(m_axis_dout_tvalid), // output wire m_axis_dout_tvalid
|
||||||
.pi(prod_q)
|
.m_axis_dout_tdata(m_axis_dout_tdata) // output wire [63 : 0] m_axis_dout_tdata
|
||||||
);
|
);
|
||||||
|
|
||||||
delayT #(.DATA_WIDTH(1), .DELAY(5)) stb_delay_inst (
|
delayT #(.DATA_WIDTH(1), .DELAY(5)) stb_delay_inst (
|
||||||
|
@ -6,9 +6,16 @@ module dot11 (
|
|||||||
input reset,
|
input reset,
|
||||||
|
|
||||||
// setting registers
|
// setting registers
|
||||||
input set_stb,
|
//input set_stb,
|
||||||
input [7:0] set_addr,
|
//input [7:0] set_addr,
|
||||||
input [31:0] set_data,
|
//input [31:0] set_data,
|
||||||
|
|
||||||
|
// add ports for register based inputs
|
||||||
|
input [15:0] power_thres,
|
||||||
|
input [15:0] window_size,
|
||||||
|
input [31:0] num_sample_to_skip,
|
||||||
|
input num_sample_changed,
|
||||||
|
input [31:0] min_plateau,
|
||||||
|
|
||||||
// INPUT: I/Q sample
|
// INPUT: I/Q sample
|
||||||
input [31:0] sample_in,
|
input [31:0] sample_in,
|
||||||
@ -23,6 +30,8 @@ module dot11 (
|
|||||||
output [7:0] byte_out,
|
output [7:0] byte_out,
|
||||||
output reg fcs_out_strobe,
|
output reg fcs_out_strobe,
|
||||||
output reg fcs_ok,
|
output reg fcs_ok,
|
||||||
|
output wire [63:0] data_out,
|
||||||
|
output wire data_out_valid,
|
||||||
|
|
||||||
/////////////////////////////////////////////////////////
|
/////////////////////////////////////////////////////////
|
||||||
// DEBUG PORTS
|
// DEBUG PORTS
|
||||||
@ -35,6 +44,7 @@ module dot11 (
|
|||||||
|
|
||||||
// power trigger
|
// power trigger
|
||||||
output power_trigger,
|
output power_trigger,
|
||||||
|
output [1:0] pw_state_spy,
|
||||||
|
|
||||||
// sync short
|
// sync short
|
||||||
output short_preamble_detected,
|
output short_preamble_detected,
|
||||||
@ -61,6 +71,8 @@ module dot11 (
|
|||||||
output legacy_sig_parity,
|
output legacy_sig_parity,
|
||||||
output legacy_sig_parity_ok,
|
output legacy_sig_parity_ok,
|
||||||
output [5:0] legacy_sig_tail,
|
output [5:0] legacy_sig_tail,
|
||||||
|
output [23:0] sig_bits_spy,
|
||||||
|
output [31:0] byte_count_spy,
|
||||||
|
|
||||||
// ht signal info
|
// ht signal info
|
||||||
output reg ht_sig_stb,
|
output reg ht_sig_stb,
|
||||||
@ -160,10 +172,10 @@ phase phase_inst (
|
|||||||
////////////////////////////////////////////////////////////////////////////////
|
////////////////////////////////////////////////////////////////////////////////
|
||||||
|
|
||||||
|
|
||||||
reg sync_short_reset;
|
(* mark_debug = "true" *) reg sync_short_reset;
|
||||||
reg sync_long_reset;
|
(* mark_debug = "true" *) reg sync_long_reset;
|
||||||
wire sync_short_enable = state == S_SYNC_SHORT;
|
(* mark_debug = "true" *) wire sync_short_enable = state == S_SYNC_SHORT;
|
||||||
reg sync_long_enable;
|
(* mark_debug = "true" *) reg sync_long_enable;
|
||||||
|
|
||||||
reg equalizer_reset;
|
reg equalizer_reset;
|
||||||
reg equalizer_enable;
|
reg equalizer_enable;
|
||||||
@ -197,6 +209,8 @@ assign state_changed = state != old_state;
|
|||||||
// SIGNAL information
|
// SIGNAL information
|
||||||
reg [23:0] signal_bits;
|
reg [23:0] signal_bits;
|
||||||
reg [31:0] byte_count;
|
reg [31:0] byte_count;
|
||||||
|
assign sig_bits_spy = signal_bits;
|
||||||
|
assign byte_count_spy = byte_count ;
|
||||||
|
|
||||||
assign legacy_rate = signal_bits[3:0];
|
assign legacy_rate = signal_bits[3:0];
|
||||||
assign legacy_sig_rsvd = signal_bits[4];
|
assign legacy_sig_rsvd = signal_bits[4];
|
||||||
@ -262,10 +276,12 @@ power_trigger power_trigger_inst (
|
|||||||
.sample_in(sample_in),
|
.sample_in(sample_in),
|
||||||
.sample_in_strobe(sample_in_strobe),
|
.sample_in_strobe(sample_in_strobe),
|
||||||
|
|
||||||
.set_stb(set_stb),
|
.power_thres(power_thres),
|
||||||
.set_addr(set_addr),
|
.window_size(window_size),
|
||||||
.set_data(set_data),
|
.num_sample_to_skip(num_sample_to_skip),
|
||||||
|
.num_sample_changed(num_sample_changed),
|
||||||
|
|
||||||
|
.pw_state_spy(pw_state_spy),
|
||||||
.trigger(power_trigger)
|
.trigger(power_trigger)
|
||||||
);
|
);
|
||||||
|
|
||||||
@ -274,10 +290,7 @@ sync_short sync_short_inst (
|
|||||||
.reset(reset | sync_short_reset),
|
.reset(reset | sync_short_reset),
|
||||||
.enable(enable & sync_short_enable),
|
.enable(enable & sync_short_enable),
|
||||||
|
|
||||||
.set_stb(set_stb),
|
.min_plateau(min_plateau),
|
||||||
.set_addr(set_addr),
|
|
||||||
.set_data(set_data),
|
|
||||||
|
|
||||||
.sample_in(sample_in),
|
.sample_in(sample_in),
|
||||||
.sample_in_strobe(sample_in_strobe),
|
.sample_in_strobe(sample_in_strobe),
|
||||||
|
|
||||||
@ -297,10 +310,6 @@ sync_long sync_long_inst (
|
|||||||
.reset(reset | sync_long_reset),
|
.reset(reset | sync_long_reset),
|
||||||
.enable(enable & sync_long_enable),
|
.enable(enable & sync_long_enable),
|
||||||
|
|
||||||
.set_stb(set_stb),
|
|
||||||
.set_addr(set_addr),
|
|
||||||
.set_data(set_data),
|
|
||||||
|
|
||||||
.sample_in(sample_in),
|
.sample_in(sample_in),
|
||||||
.sample_in_strobe(sample_in_strobe),
|
.sample_in_strobe(sample_in_strobe),
|
||||||
.phase_offset(phase_offset),
|
.phase_offset(phase_offset),
|
||||||
@ -399,6 +408,21 @@ crc32 fcs_inst (
|
|||||||
.crc_out(pkt_fcs)
|
.crc_out(pkt_fcs)
|
||||||
);
|
);
|
||||||
|
|
||||||
|
intf_64bit intf64bit_inst (
|
||||||
|
.clock(clock),
|
||||||
|
.reset(reset | sync_short_reset),
|
||||||
|
.enable(enable),
|
||||||
|
.pkt_len(pkt_len),
|
||||||
|
.byte_index(byte_count),
|
||||||
|
|
||||||
|
.byte_in(byte_out),
|
||||||
|
.byte_strobe(byte_out_strobe),
|
||||||
|
|
||||||
|
.data_out(data_out),
|
||||||
|
.output_strobe(data_out_valid)
|
||||||
|
);
|
||||||
|
|
||||||
|
|
||||||
|
|
||||||
always @(posedge clock) begin
|
always @(posedge clock) begin
|
||||||
if (reset) begin
|
if (reset) begin
|
||||||
@ -817,6 +841,7 @@ always @(posedge clock) begin
|
|||||||
end
|
end
|
||||||
`endif
|
`endif
|
||||||
fcs_out_strobe <= 0;
|
fcs_out_strobe <= 0;
|
||||||
|
fcs_ok <= 0 ;
|
||||||
state <= S_WAIT_POWER_TRIGGER;
|
state <= S_WAIT_POWER_TRIGGER;
|
||||||
end
|
end
|
||||||
|
|
||||||
|
@ -40,9 +40,11 @@ wire descramble_out_strobe;
|
|||||||
wire [3:0] legacy_rate;
|
wire [3:0] legacy_rate;
|
||||||
wire legacy_sig_rsvd;
|
wire legacy_sig_rsvd;
|
||||||
wire [11:0] legacy_len;
|
wire [11:0] legacy_len;
|
||||||
wire legacy_sig_parity;
|
wire legacy_sig_parity, legacy_sig_parity_ok;
|
||||||
wire [5:0] legacy_sig_tail;
|
wire [5:0] legacy_sig_tail;
|
||||||
wire legacy_sig_stb;
|
wire legacy_sig_stb;
|
||||||
|
wire [23:0] sig_bits_spy;
|
||||||
|
wire [31:0] byte_count_spy;
|
||||||
reg signal_done;
|
reg signal_done;
|
||||||
|
|
||||||
wire [3:0] dot11_state;
|
wire [3:0] dot11_state;
|
||||||
@ -50,11 +52,17 @@ wire [3:0] dot11_state;
|
|||||||
wire [7:0] byte_out;
|
wire [7:0] byte_out;
|
||||||
wire byte_out_strobe;
|
wire byte_out_strobe;
|
||||||
|
|
||||||
|
wire [63:0] data_out ;
|
||||||
|
wire data_out_valid ;
|
||||||
|
|
||||||
|
|
||||||
reg set_stb;
|
reg set_stb;
|
||||||
reg [7:0] set_addr;
|
reg [7:0] set_addr;
|
||||||
reg [31:0] set_data;
|
reg [31:0] set_data;
|
||||||
|
|
||||||
|
|
||||||
|
wire fcs_out_strobe, fcs_ok;
|
||||||
|
|
||||||
localparam RAM_SIZE = 1<<25;
|
localparam RAM_SIZE = 1<<25;
|
||||||
|
|
||||||
reg [31:0] ram [0:RAM_SIZE-1];
|
reg [31:0] ram [0:RAM_SIZE-1];
|
||||||
@ -79,6 +87,11 @@ integer signal_fd;
|
|||||||
|
|
||||||
integer byte_out_fd;
|
integer byte_out_fd;
|
||||||
|
|
||||||
|
integer fcs_fd ;
|
||||||
|
|
||||||
|
// spy ports added (lwei)
|
||||||
|
wire [1:0] pw_state_spy;
|
||||||
|
|
||||||
`ifndef SAMPLE_FILE
|
`ifndef SAMPLE_FILE
|
||||||
`define SAMPLE_FILE "../testing_inputs/conducted/dot11a_24mbps_qos_data_e4_90_7e_15_2a_16_e8_de_27_90_6e_42.txt"
|
`define SAMPLE_FILE "../testing_inputs/conducted/dot11a_24mbps_qos_data_e4_90_7e_15_2a_16_e8_de_27_90_6e_42.txt"
|
||||||
`endif
|
`endif
|
||||||
@ -131,6 +144,9 @@ initial begin
|
|||||||
signal_fd = $fopen("./sim_out/signal_out.txt", "w");
|
signal_fd = $fopen("./sim_out/signal_out.txt", "w");
|
||||||
|
|
||||||
byte_out_fd = $fopen("./sim_out/byte_out.txt", "w");
|
byte_out_fd = $fopen("./sim_out/byte_out.txt", "w");
|
||||||
|
|
||||||
|
fcs_fd = $fopen("./sim_out/fcs_out.txt", "w");
|
||||||
|
//# 50100; enable = 0 ;
|
||||||
end
|
end
|
||||||
|
|
||||||
|
|
||||||
@ -227,6 +243,11 @@ always @(posedge clock) begin
|
|||||||
$fflush(byte_out_fd);
|
$fflush(byte_out_fd);
|
||||||
end
|
end
|
||||||
|
|
||||||
|
if (fcs_out_strobe) begin
|
||||||
|
$fwrite(fcs_fd, "%d\n", fcs_ok);
|
||||||
|
$fflush(fcs_fd);
|
||||||
|
end
|
||||||
|
|
||||||
end
|
end
|
||||||
end
|
end
|
||||||
|
|
||||||
@ -234,17 +255,20 @@ dot11 dot11_inst (
|
|||||||
.clock(clock),
|
.clock(clock),
|
||||||
.reset(reset),
|
.reset(reset),
|
||||||
.enable(enable),
|
.enable(enable),
|
||||||
|
|
||||||
.set_addr(set_addr),
|
|
||||||
.set_stb(set_stb),
|
|
||||||
.set_data(set_data),
|
|
||||||
|
|
||||||
.sample_in(sample_in),
|
.sample_in(sample_in),
|
||||||
.sample_in_strobe(sample_in_strobe),
|
.sample_in_strobe(sample_in_strobe),
|
||||||
|
//.set_addr(set_addr),
|
||||||
|
//.set_stb(set_stb),
|
||||||
|
//.set_data(set_data),
|
||||||
|
.power_thres(16'd100),
|
||||||
|
.window_size(16'd80),
|
||||||
|
.num_sample_to_skip(32'd10),
|
||||||
|
.num_sample_changed(1'b0),
|
||||||
|
.min_plateau(32'd100),
|
||||||
.state(dot11_state),
|
.state(dot11_state),
|
||||||
|
|
||||||
.power_trigger(power_trigger),
|
.power_trigger(power_trigger),
|
||||||
|
.pw_state_spy(pw_state_spy),
|
||||||
.short_preamble_detected(short_preamble_detected),
|
.short_preamble_detected(short_preamble_detected),
|
||||||
|
|
||||||
.sync_long_metric(sync_long_metric),
|
.sync_long_metric(sync_long_metric),
|
||||||
@ -272,12 +296,21 @@ dot11 dot11_inst (
|
|||||||
.byte_out(byte_out),
|
.byte_out(byte_out),
|
||||||
.byte_out_strobe(byte_out_strobe),
|
.byte_out_strobe(byte_out_strobe),
|
||||||
|
|
||||||
|
.data_out(data_out),
|
||||||
|
.data_out_valid(data_out_valid),
|
||||||
|
|
||||||
.legacy_rate(legacy_rate),
|
.legacy_rate(legacy_rate),
|
||||||
.legacy_sig_rsvd(legacy_sig_rsvd),
|
.legacy_sig_rsvd(legacy_sig_rsvd),
|
||||||
.legacy_len(legacy_len),
|
.legacy_len(legacy_len),
|
||||||
.legacy_sig_parity(legacy_sig_parity),
|
.legacy_sig_parity(legacy_sig_parity),
|
||||||
|
.legacy_sig_parity_ok(legacy_sig_parity_ok),
|
||||||
.legacy_sig_tail(legacy_sig_tail),
|
.legacy_sig_tail(legacy_sig_tail),
|
||||||
.legacy_sig_stb(legacy_sig_stb)
|
.legacy_sig_stb(legacy_sig_stb),
|
||||||
|
.sig_bits_spy(sig_bits_spy),
|
||||||
|
.byte_count_spy(byte_count_spy),
|
||||||
|
|
||||||
|
.fcs_out_strobe(fcs_out_strobe),
|
||||||
|
.fcs_ok(fcs_ok)
|
||||||
);
|
);
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
132
verilog/dot11zynq.v
Normal file
132
verilog/dot11zynq.v
Normal file
@ -0,0 +1,132 @@
|
|||||||
|
|
||||||
|
`timescale 1 ns / 1 ps
|
||||||
|
|
||||||
|
module dot11zynq #
|
||||||
|
(
|
||||||
|
// Users to add parameters here
|
||||||
|
|
||||||
|
// User parameters ends
|
||||||
|
// Do not modify the parameters beyond this line
|
||||||
|
|
||||||
|
|
||||||
|
// Parameters of Axi Slave Bus Interface S00_AXI
|
||||||
|
parameter integer C_S00_AXI_DATA_WIDTH = 32,
|
||||||
|
parameter integer C_S00_AXI_ADDR_WIDTH = 7
|
||||||
|
)
|
||||||
|
(
|
||||||
|
// Users to add ports here
|
||||||
|
|
||||||
|
// User ports ends
|
||||||
|
// Do not modify the ports beyond this line
|
||||||
|
input wire enable,
|
||||||
|
input wire [31:0] sample_in,
|
||||||
|
input wire sample_in_strobe,
|
||||||
|
output wire trigger,
|
||||||
|
|
||||||
|
output wire ofdm_byte_valid,
|
||||||
|
output wire [7:0] ofdm_byte,
|
||||||
|
output wire [63:0] data_out,
|
||||||
|
output wire data_out_valid,
|
||||||
|
output wire fcs_valid,
|
||||||
|
output wire fcs_invalid,
|
||||||
|
|
||||||
|
output wire sig_valid,
|
||||||
|
output wire sig_invalid,
|
||||||
|
output wire [2:0] mcs_io,
|
||||||
|
output wire [11:0] pkt_len_io,
|
||||||
|
|
||||||
|
output wire [6:0] ht_mcs_io,
|
||||||
|
output wire [15:0] ht_pkt_len_io,
|
||||||
|
output wire ht_sig_invalid,
|
||||||
|
output wire ht_sig_valid,
|
||||||
|
output wire ht_unsupported,
|
||||||
|
|
||||||
|
|
||||||
|
// ports to interract with fifo
|
||||||
|
input wire fifo_empty,
|
||||||
|
output wire rd_en,
|
||||||
|
output wire fifo_rst,
|
||||||
|
// Ports of Axi Slave Bus Interface S00_AXI
|
||||||
|
input wire s00_axi_aclk,
|
||||||
|
input wire s00_axi_aresetn,
|
||||||
|
input wire [C_S00_AXI_ADDR_WIDTH-1 : 0] s00_axi_awaddr,
|
||||||
|
input wire [2 : 0] s00_axi_awprot,
|
||||||
|
input wire s00_axi_awvalid,
|
||||||
|
output wire s00_axi_awready,
|
||||||
|
input wire [C_S00_AXI_DATA_WIDTH-1 : 0] s00_axi_wdata,
|
||||||
|
input wire [(C_S00_AXI_DATA_WIDTH/8)-1 : 0] s00_axi_wstrb,
|
||||||
|
input wire s00_axi_wvalid,
|
||||||
|
output wire s00_axi_wready,
|
||||||
|
output wire [1 : 0] s00_axi_bresp,
|
||||||
|
output wire s00_axi_bvalid,
|
||||||
|
input wire s00_axi_bready,
|
||||||
|
input wire [C_S00_AXI_ADDR_WIDTH-1 : 0] s00_axi_araddr,
|
||||||
|
input wire [2 : 0] s00_axi_arprot,
|
||||||
|
input wire s00_axi_arvalid,
|
||||||
|
output wire s00_axi_arready,
|
||||||
|
output wire [C_S00_AXI_DATA_WIDTH-1 : 0] s00_axi_rdata,
|
||||||
|
output wire [1 : 0] s00_axi_rresp,
|
||||||
|
output wire s00_axi_rvalid,
|
||||||
|
input wire s00_axi_rready
|
||||||
|
);
|
||||||
|
// Instantiation of Axi Bus Interface S00_AXI
|
||||||
|
dot11zynq_S00_AXI # (
|
||||||
|
.C_S_AXI_DATA_WIDTH(C_S00_AXI_DATA_WIDTH),
|
||||||
|
.C_S_AXI_ADDR_WIDTH(C_S00_AXI_ADDR_WIDTH)
|
||||||
|
) dot11zynq_S00_AXI_inst (
|
||||||
|
// user ports
|
||||||
|
.enable(enable),
|
||||||
|
.sample_in(sample_in),
|
||||||
|
.sample_in_strobe(sample_in_strobe),
|
||||||
|
.trigger(trigger),
|
||||||
|
|
||||||
|
.ofdm_byte_valid(ofdm_byte_valid),
|
||||||
|
.ofdm_byte(ofdm_byte),
|
||||||
|
.data_out(data_out),
|
||||||
|
.data_out_valid(data_out_valid),
|
||||||
|
.fcs_valid(fcs_valid),
|
||||||
|
.fcs_invalid(fcs_invalid),
|
||||||
|
|
||||||
|
.sig_valid(sig_valid),
|
||||||
|
.sig_invalid(sig_invalid),
|
||||||
|
.mcs_io(mcs_io),
|
||||||
|
.pkt_len_io(pkt_len_io),
|
||||||
|
|
||||||
|
.ht_mcs_io(ht_mcs_io),
|
||||||
|
.ht_pkt_len_io(ht_pkt_len_io),
|
||||||
|
.ht_sig_invalid(ht_sig_invalid),
|
||||||
|
.ht_sig_valid(ht_sig_valid),
|
||||||
|
.ht_unsupported(ht_unsupported),
|
||||||
|
|
||||||
|
.fifo_empty(fifo_empty),
|
||||||
|
.rd_en(rd_en),
|
||||||
|
.fifo_rst(fifo_rst),
|
||||||
|
// user ports end
|
||||||
|
.S_AXI_ACLK(s00_axi_aclk),
|
||||||
|
.S_AXI_ARESETN(s00_axi_aresetn),
|
||||||
|
.S_AXI_AWADDR(s00_axi_awaddr),
|
||||||
|
.S_AXI_AWPROT(s00_axi_awprot),
|
||||||
|
.S_AXI_AWVALID(s00_axi_awvalid),
|
||||||
|
.S_AXI_AWREADY(s00_axi_awready),
|
||||||
|
.S_AXI_WDATA(s00_axi_wdata),
|
||||||
|
.S_AXI_WSTRB(s00_axi_wstrb),
|
||||||
|
.S_AXI_WVALID(s00_axi_wvalid),
|
||||||
|
.S_AXI_WREADY(s00_axi_wready),
|
||||||
|
.S_AXI_BRESP(s00_axi_bresp),
|
||||||
|
.S_AXI_BVALID(s00_axi_bvalid),
|
||||||
|
.S_AXI_BREADY(s00_axi_bready),
|
||||||
|
.S_AXI_ARADDR(s00_axi_araddr),
|
||||||
|
.S_AXI_ARPROT(s00_axi_arprot),
|
||||||
|
.S_AXI_ARVALID(s00_axi_arvalid),
|
||||||
|
.S_AXI_ARREADY(s00_axi_arready),
|
||||||
|
.S_AXI_RDATA(s00_axi_rdata),
|
||||||
|
.S_AXI_RRESP(s00_axi_rresp),
|
||||||
|
.S_AXI_RVALID(s00_axi_rvalid),
|
||||||
|
.S_AXI_RREADY(s00_axi_rready)
|
||||||
|
);
|
||||||
|
|
||||||
|
// Add user logic here
|
||||||
|
|
||||||
|
// User logic ends
|
||||||
|
|
||||||
|
endmodule
|
953
verilog/dot11zynq_S00_AXI.v
Normal file
953
verilog/dot11zynq_S00_AXI.v
Normal file
@ -0,0 +1,953 @@
|
|||||||
|
|
||||||
|
`timescale 1 ns / 1 ps
|
||||||
|
|
||||||
|
module dot11zynq_S00_AXI #
|
||||||
|
(
|
||||||
|
// Users to add parameters here
|
||||||
|
|
||||||
|
// User parameters ends
|
||||||
|
// Do not modify the parameters beyond this line
|
||||||
|
|
||||||
|
// Width of S_AXI data bus
|
||||||
|
parameter integer C_S_AXI_DATA_WIDTH = 32,
|
||||||
|
// Width of S_AXI address bus
|
||||||
|
parameter integer C_S_AXI_ADDR_WIDTH = 7
|
||||||
|
)
|
||||||
|
(
|
||||||
|
// Users to add ports here
|
||||||
|
input wire enable,
|
||||||
|
input wire [31:0] sample_in,
|
||||||
|
input wire sample_in_strobe,
|
||||||
|
|
||||||
|
output wire trigger,
|
||||||
|
output wire ofdm_byte_valid,
|
||||||
|
output wire [7:0] ofdm_byte,
|
||||||
|
output wire [63:0] data_out, // only has payload, doesn't have signal
|
||||||
|
output wire data_out_valid,
|
||||||
|
output wire fcs_valid,
|
||||||
|
output wire fcs_invalid,
|
||||||
|
|
||||||
|
output wire sig_valid,
|
||||||
|
output wire sig_invalid,
|
||||||
|
output reg [2:0] mcs_io,
|
||||||
|
output wire [11:0] pkt_len_io,
|
||||||
|
|
||||||
|
output wire [6:0] ht_mcs_io,
|
||||||
|
output wire [15:0] ht_pkt_len_io,
|
||||||
|
output wire ht_sig_invalid,
|
||||||
|
output wire ht_sig_valid,
|
||||||
|
output reg ht_unsupported,
|
||||||
|
|
||||||
|
// ports to interract with fifo
|
||||||
|
input wire fifo_empty,
|
||||||
|
output reg rd_en,
|
||||||
|
output wire fifo_rst,
|
||||||
|
// User ports ends
|
||||||
|
// Do not modify the ports beyond this line
|
||||||
|
|
||||||
|
// Global Clock Signal
|
||||||
|
input wire S_AXI_ACLK,
|
||||||
|
// Global Reset Signal. This Signal is Active LOW
|
||||||
|
input wire S_AXI_ARESETN,
|
||||||
|
// Write address (issued by master, acceped by Slave)
|
||||||
|
input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_AWADDR,
|
||||||
|
// Write channel Protection type. This signal indicates the
|
||||||
|
// privilege and security level of the transaction, and whether
|
||||||
|
// the transaction is a data access or an instruction access.
|
||||||
|
input wire [2 : 0] S_AXI_AWPROT,
|
||||||
|
// Write address valid. This signal indicates that the master signaling
|
||||||
|
// valid write address and control information.
|
||||||
|
input wire S_AXI_AWVALID,
|
||||||
|
// Write address ready. This signal indicates that the slave is ready
|
||||||
|
// to accept an address and associated control signals.
|
||||||
|
output wire S_AXI_AWREADY,
|
||||||
|
// Write data (issued by master, acceped by Slave)
|
||||||
|
input wire [C_S_AXI_DATA_WIDTH-1 : 0] S_AXI_WDATA,
|
||||||
|
// Write strobes. This signal indicates which byte lanes hold
|
||||||
|
// valid data. There is one write strobe bit for each eight
|
||||||
|
// bits of the write data bus.
|
||||||
|
input wire [(C_S_AXI_DATA_WIDTH/8)-1 : 0] S_AXI_WSTRB,
|
||||||
|
// Write valid. This signal indicates that valid write
|
||||||
|
// data and strobes are available.
|
||||||
|
input wire S_AXI_WVALID,
|
||||||
|
// Write ready. This signal indicates that the slave
|
||||||
|
// can accept the write data.
|
||||||
|
output wire S_AXI_WREADY,
|
||||||
|
// Write response. This signal indicates the status
|
||||||
|
// of the write transaction.
|
||||||
|
output wire [1 : 0] S_AXI_BRESP,
|
||||||
|
// Write response valid. This signal indicates that the channel
|
||||||
|
// is signaling a valid write response.
|
||||||
|
output wire S_AXI_BVALID,
|
||||||
|
// Response ready. This signal indicates that the master
|
||||||
|
// can accept a write response.
|
||||||
|
input wire S_AXI_BREADY,
|
||||||
|
// Read address (issued by master, acceped by Slave)
|
||||||
|
input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_ARADDR,
|
||||||
|
// Protection type. This signal indicates the privilege
|
||||||
|
// and security level of the transaction, and whether the
|
||||||
|
// transaction is a data access or an instruction access.
|
||||||
|
input wire [2 : 0] S_AXI_ARPROT,
|
||||||
|
// Read address valid. This signal indicates that the channel
|
||||||
|
// is signaling valid read address and control information.
|
||||||
|
input wire S_AXI_ARVALID,
|
||||||
|
// Read address ready. This signal indicates that the slave is
|
||||||
|
// ready to accept an address and associated control signals.
|
||||||
|
output wire S_AXI_ARREADY,
|
||||||
|
// Read data (issued by slave)
|
||||||
|
output wire [C_S_AXI_DATA_WIDTH-1 : 0] S_AXI_RDATA,
|
||||||
|
// Read response. This signal indicates the status of the
|
||||||
|
// read transfer.
|
||||||
|
output wire [1 : 0] S_AXI_RRESP,
|
||||||
|
// Read valid. This signal indicates that the channel is
|
||||||
|
// signaling the required read data.
|
||||||
|
output wire S_AXI_RVALID,
|
||||||
|
// Read ready. This signal indicates that the master can
|
||||||
|
// accept the read data and response information.
|
||||||
|
input wire S_AXI_RREADY
|
||||||
|
);
|
||||||
|
|
||||||
|
// AXI4LITE signals
|
||||||
|
reg [C_S_AXI_ADDR_WIDTH-1 : 0] axi_awaddr;
|
||||||
|
reg axi_awready;
|
||||||
|
reg axi_wready;
|
||||||
|
reg [1 : 0] axi_bresp;
|
||||||
|
reg axi_bvalid;
|
||||||
|
reg [C_S_AXI_ADDR_WIDTH-1 : 0] axi_araddr;
|
||||||
|
reg axi_arready;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1 : 0] axi_rdata;
|
||||||
|
reg [1 : 0] axi_rresp;
|
||||||
|
reg axi_rvalid;
|
||||||
|
|
||||||
|
// Example-specific design signals
|
||||||
|
// local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
|
||||||
|
// ADDR_LSB is used for addressing 32/64 bit registers/memories
|
||||||
|
// ADDR_LSB = 2 for 32 bits (n downto 2)
|
||||||
|
// ADDR_LSB = 3 for 64 bits (n downto 3)
|
||||||
|
localparam integer ADDR_LSB = (C_S_AXI_DATA_WIDTH/32) + 1;
|
||||||
|
localparam integer OPT_MEM_ADDR_BITS = 4;
|
||||||
|
|
||||||
|
// openofdm local parameters for ht signal condition
|
||||||
|
// erros in HT-SIGNAL
|
||||||
|
localparam E_UNSUPPORTED_MCS = 1;
|
||||||
|
localparam E_UNSUPPORTED_CBW = 2;
|
||||||
|
localparam E_HT_WRONG_RSVD = 3;
|
||||||
|
localparam E_UNSUPPORTED_STBC = 4;
|
||||||
|
localparam E_UNSUPPORTED_FEC = 5;
|
||||||
|
localparam E_UNSUPPORTED_SGI = 6;
|
||||||
|
localparam E_UNSUPPORTED_SPATIAL = 7;
|
||||||
|
localparam E_HT_WRONG_TAIL = 8;
|
||||||
|
localparam E_WRONG_CRC = 9;
|
||||||
|
|
||||||
|
//----------------------------------------------
|
||||||
|
//-- Signals for user logic register space example
|
||||||
|
//------------------------------------------------
|
||||||
|
//-- Number of Slave Registers 32
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg0;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg1;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg2;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg3;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg4;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg5;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg6;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg7;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg8;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg9;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg10;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg11;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg12;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg13;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg14;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg15;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg16;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg17;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg18;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg19;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg20;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg21;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg22;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg23;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg24;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg25;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg26;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg27;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg28;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg29;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg30;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg31;
|
||||||
|
wire slv_reg_rden;
|
||||||
|
wire slv_reg_wren;
|
||||||
|
reg [C_S_AXI_DATA_WIDTH-1:0] reg_data_out;
|
||||||
|
integer byte_index;
|
||||||
|
reg aw_en;
|
||||||
|
|
||||||
|
// I/O Connections assignments
|
||||||
|
|
||||||
|
assign S_AXI_AWREADY = axi_awready;
|
||||||
|
assign S_AXI_WREADY = axi_wready;
|
||||||
|
assign S_AXI_BRESP = axi_bresp;
|
||||||
|
assign S_AXI_BVALID = axi_bvalid;
|
||||||
|
assign S_AXI_ARREADY = axi_arready;
|
||||||
|
assign S_AXI_RDATA = axi_rdata;
|
||||||
|
assign S_AXI_RRESP = axi_rresp;
|
||||||
|
assign S_AXI_RVALID = axi_rvalid;
|
||||||
|
// Implement axi_awready generation
|
||||||
|
// axi_awready is asserted for one S_AXI_ACLK clock cycle when both
|
||||||
|
// S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
|
||||||
|
// de-asserted when reset is low.
|
||||||
|
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if ( S_AXI_ARESETN == 1'b0 )
|
||||||
|
begin
|
||||||
|
axi_awready <= 1'b0;
|
||||||
|
aw_en <= 1'b1;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
if (~axi_awready && S_AXI_AWVALID && S_AXI_WVALID && aw_en)
|
||||||
|
begin
|
||||||
|
// slave is ready to accept write address when
|
||||||
|
// there is a valid write address and write data
|
||||||
|
// on the write address and data bus. This design
|
||||||
|
// expects no outstanding transactions.
|
||||||
|
axi_awready <= 1'b1;
|
||||||
|
aw_en <= 1'b0;
|
||||||
|
end
|
||||||
|
else if (S_AXI_BREADY && axi_bvalid)
|
||||||
|
begin
|
||||||
|
aw_en <= 1'b1;
|
||||||
|
axi_awready <= 1'b0;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
axi_awready <= 1'b0;
|
||||||
|
end
|
||||||
|
end
|
||||||
|
end
|
||||||
|
|
||||||
|
// Implement axi_awaddr latching
|
||||||
|
// This process is used to latch the address when both
|
||||||
|
// S_AXI_AWVALID and S_AXI_WVALID are valid.
|
||||||
|
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if ( S_AXI_ARESETN == 1'b0 )
|
||||||
|
begin
|
||||||
|
axi_awaddr <= 0;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
if (~axi_awready && S_AXI_AWVALID && S_AXI_WVALID && aw_en)
|
||||||
|
begin
|
||||||
|
// Write Address latching
|
||||||
|
axi_awaddr <= S_AXI_AWADDR;
|
||||||
|
end
|
||||||
|
end
|
||||||
|
end
|
||||||
|
|
||||||
|
// Implement axi_wready generation
|
||||||
|
// axi_wready is asserted for one S_AXI_ACLK clock cycle when both
|
||||||
|
// S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is
|
||||||
|
// de-asserted when reset is low.
|
||||||
|
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if ( S_AXI_ARESETN == 1'b0 )
|
||||||
|
begin
|
||||||
|
axi_wready <= 1'b0;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
if (~axi_wready && S_AXI_WVALID && S_AXI_AWVALID && aw_en )
|
||||||
|
begin
|
||||||
|
// slave is ready to accept write data when
|
||||||
|
// there is a valid write address and write data
|
||||||
|
// on the write address and data bus. This design
|
||||||
|
// expects no outstanding transactions.
|
||||||
|
axi_wready <= 1'b1;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
axi_wready <= 1'b0;
|
||||||
|
end
|
||||||
|
end
|
||||||
|
end
|
||||||
|
|
||||||
|
// Implement memory mapped register select and write logic generation
|
||||||
|
// The write data is accepted and written to memory mapped registers when
|
||||||
|
// axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
|
||||||
|
// select byte enables of slave registers while writing.
|
||||||
|
// These registers are cleared when reset (active low) is applied.
|
||||||
|
// Slave register write enable is asserted when valid address and data are available
|
||||||
|
// and the slave is ready to accept the write address and write data.
|
||||||
|
assign slv_reg_wren = axi_wready && S_AXI_WVALID && axi_awready && S_AXI_AWVALID;
|
||||||
|
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if ( S_AXI_ARESETN == 1'b0 )
|
||||||
|
begin
|
||||||
|
slv_reg0 <= 32'd100; // power_thresh register, 16 bit LSB used, MSB used as general reset
|
||||||
|
slv_reg1 <= 32'd80; // power window register, 16 bit LSB used
|
||||||
|
slv_reg2 <= 32'd5000000; // num sample to skip register, 32 bit used
|
||||||
|
slv_reg3 <= 32'd100; // min plateau for short synq to be detected
|
||||||
|
slv_reg4 <= 0;
|
||||||
|
slv_reg5 <= 0;
|
||||||
|
slv_reg6 <= 0;
|
||||||
|
slv_reg7 <= 0;
|
||||||
|
slv_reg8 <= 0;
|
||||||
|
slv_reg9 <= 0;
|
||||||
|
slv_reg10 <= 0;
|
||||||
|
slv_reg11 <= 0;
|
||||||
|
slv_reg12 <= 0;
|
||||||
|
slv_reg13 <= 0;
|
||||||
|
slv_reg14 <= 0;
|
||||||
|
slv_reg15 <= 0;
|
||||||
|
// slv_reg16 <= 0;
|
||||||
|
// slv_reg17 <= 0;
|
||||||
|
// slv_reg18 <= 0;
|
||||||
|
// slv_reg19 <= 0;
|
||||||
|
// slv_reg20 <= 0;
|
||||||
|
slv_reg21 <= 0;
|
||||||
|
slv_reg22 <= 0;
|
||||||
|
slv_reg23 <= 0;
|
||||||
|
slv_reg24 <= 0;
|
||||||
|
slv_reg25 <= 0;
|
||||||
|
slv_reg26 <= 0;
|
||||||
|
slv_reg27 <= 0;
|
||||||
|
slv_reg28 <= 0;
|
||||||
|
slv_reg29 <= 0;
|
||||||
|
slv_reg30 <= 0;
|
||||||
|
slv_reg31 <= 0;
|
||||||
|
end
|
||||||
|
else begin
|
||||||
|
if (slv_reg_wren)
|
||||||
|
begin
|
||||||
|
case ( axi_awaddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] )
|
||||||
|
5'h00:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 0
|
||||||
|
slv_reg0[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h01:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 1
|
||||||
|
slv_reg1[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h02:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 2
|
||||||
|
slv_reg2[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h03:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 3
|
||||||
|
slv_reg3[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h04:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 4
|
||||||
|
slv_reg4[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h05:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 5
|
||||||
|
slv_reg5[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h06:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 6
|
||||||
|
slv_reg6[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h07:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 7
|
||||||
|
slv_reg7[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h08:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 8
|
||||||
|
slv_reg8[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h09:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 9
|
||||||
|
slv_reg9[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h0A:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 10
|
||||||
|
slv_reg10[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h0B:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 11
|
||||||
|
slv_reg11[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h0C:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 12
|
||||||
|
slv_reg12[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h0D:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 13
|
||||||
|
slv_reg13[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h0E:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 14
|
||||||
|
slv_reg14[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h0F:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 15
|
||||||
|
slv_reg15[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
// 5'h10:
|
||||||
|
// for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
// if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// // Respective byte enables are asserted as per write strobes
|
||||||
|
// // Slave register 16
|
||||||
|
// slv_reg16[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
// end
|
||||||
|
// 5'h11:
|
||||||
|
// for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
// if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// // Respective byte enables are asserted as per write strobes
|
||||||
|
// // Slave register 17
|
||||||
|
// slv_reg17[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
// end
|
||||||
|
// 5'h12:
|
||||||
|
// for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
// if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// // Respective byte enables are asserted as per write strobes
|
||||||
|
// // Slave register 18
|
||||||
|
// slv_reg18[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
// end
|
||||||
|
// 5'h13:
|
||||||
|
// for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
// if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// // Respective byte enables are asserted as per write strobes
|
||||||
|
// // Slave register 19
|
||||||
|
// slv_reg19[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
// end
|
||||||
|
// 5'h14:
|
||||||
|
// for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
// if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// // Respective byte enables are asserted as per write strobes
|
||||||
|
// // Slave register 20
|
||||||
|
// slv_reg20[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
// end
|
||||||
|
5'h15:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 21
|
||||||
|
slv_reg21[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h16:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 22
|
||||||
|
slv_reg22[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h17:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 23
|
||||||
|
slv_reg23[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h18:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 24
|
||||||
|
slv_reg24[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h19:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 25
|
||||||
|
slv_reg25[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h1A:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 26
|
||||||
|
slv_reg26[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h1B:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 27
|
||||||
|
slv_reg27[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h1C:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 28
|
||||||
|
slv_reg28[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h1D:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 29
|
||||||
|
slv_reg29[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h1E:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 30
|
||||||
|
slv_reg30[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
5'h1F:
|
||||||
|
for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
|
||||||
|
if ( S_AXI_WSTRB[byte_index] == 1 ) begin
|
||||||
|
// Respective byte enables are asserted as per write strobes
|
||||||
|
// Slave register 31
|
||||||
|
slv_reg31[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
|
||||||
|
end
|
||||||
|
default : begin
|
||||||
|
slv_reg0 <= slv_reg0;
|
||||||
|
slv_reg1 <= slv_reg1;
|
||||||
|
slv_reg2 <= slv_reg2;
|
||||||
|
slv_reg3 <= slv_reg3;
|
||||||
|
slv_reg4 <= slv_reg4;
|
||||||
|
slv_reg5 <= slv_reg5;
|
||||||
|
slv_reg6 <= slv_reg6;
|
||||||
|
slv_reg7 <= slv_reg7;
|
||||||
|
slv_reg8 <= slv_reg8;
|
||||||
|
slv_reg9 <= slv_reg9;
|
||||||
|
slv_reg10 <= slv_reg10;
|
||||||
|
slv_reg11 <= slv_reg11;
|
||||||
|
slv_reg12 <= slv_reg12;
|
||||||
|
slv_reg13 <= slv_reg13;
|
||||||
|
slv_reg14 <= slv_reg14;
|
||||||
|
slv_reg15 <= slv_reg15;
|
||||||
|
// slv_reg16 <= slv_reg16;
|
||||||
|
// slv_reg17 <= slv_reg17;
|
||||||
|
// slv_reg18 <= slv_reg18;
|
||||||
|
// slv_reg19 <= slv_reg19;
|
||||||
|
// slv_reg20 <= slv_reg20;
|
||||||
|
slv_reg21 <= slv_reg21;
|
||||||
|
slv_reg22 <= slv_reg22;
|
||||||
|
slv_reg23 <= slv_reg23;
|
||||||
|
slv_reg24 <= slv_reg24;
|
||||||
|
slv_reg25 <= slv_reg25;
|
||||||
|
slv_reg26 <= slv_reg26;
|
||||||
|
slv_reg27 <= slv_reg27;
|
||||||
|
slv_reg28 <= slv_reg28;
|
||||||
|
slv_reg29 <= slv_reg29;
|
||||||
|
slv_reg30 <= slv_reg30;
|
||||||
|
slv_reg31 <= slv_reg31;
|
||||||
|
end
|
||||||
|
endcase
|
||||||
|
end
|
||||||
|
end
|
||||||
|
end
|
||||||
|
|
||||||
|
// Implement write response logic generation
|
||||||
|
// The write response and response valid signals are asserted by the slave
|
||||||
|
// when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted.
|
||||||
|
// This marks the acceptance of address and indicates the status of
|
||||||
|
// write transaction.
|
||||||
|
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if ( S_AXI_ARESETN == 1'b0 )
|
||||||
|
begin
|
||||||
|
axi_bvalid <= 0;
|
||||||
|
axi_bresp <= 2'b0;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
if (axi_awready && S_AXI_AWVALID && ~axi_bvalid && axi_wready && S_AXI_WVALID)
|
||||||
|
begin
|
||||||
|
// indicates a valid write response is available
|
||||||
|
axi_bvalid <= 1'b1;
|
||||||
|
axi_bresp <= 2'b0; // 'OKAY' response
|
||||||
|
end // work error responses in future
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
if (S_AXI_BREADY && axi_bvalid)
|
||||||
|
//check if bready is asserted while bvalid is high)
|
||||||
|
//(there is a possibility that bready is always asserted high)
|
||||||
|
begin
|
||||||
|
axi_bvalid <= 1'b0;
|
||||||
|
end
|
||||||
|
end
|
||||||
|
end
|
||||||
|
end
|
||||||
|
|
||||||
|
// Implement axi_arready generation
|
||||||
|
// axi_arready is asserted for one S_AXI_ACLK clock cycle when
|
||||||
|
// S_AXI_ARVALID is asserted. axi_awready is
|
||||||
|
// de-asserted when reset (active low) is asserted.
|
||||||
|
// The read address is also latched when S_AXI_ARVALID is
|
||||||
|
// asserted. axi_araddr is reset to zero on reset assertion.
|
||||||
|
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if ( S_AXI_ARESETN == 1'b0 )
|
||||||
|
begin
|
||||||
|
axi_arready <= 1'b0;
|
||||||
|
axi_araddr <= 32'b0;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
if (~axi_arready && S_AXI_ARVALID)
|
||||||
|
begin
|
||||||
|
// indicates that the slave has acceped the valid read address
|
||||||
|
axi_arready <= 1'b1;
|
||||||
|
// Read address latching
|
||||||
|
axi_araddr <= S_AXI_ARADDR;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
axi_arready <= 1'b0;
|
||||||
|
end
|
||||||
|
end
|
||||||
|
end
|
||||||
|
|
||||||
|
// Implement axi_arvalid generation
|
||||||
|
// axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both
|
||||||
|
// S_AXI_ARVALID and axi_arready are asserted. The slave registers
|
||||||
|
// data are available on the axi_rdata bus at this instance. The
|
||||||
|
// assertion of axi_rvalid marks the validity of read data on the
|
||||||
|
// bus and axi_rresp indicates the status of read transaction.axi_rvalid
|
||||||
|
// is deasserted on reset (active low). axi_rresp and axi_rdata are
|
||||||
|
// cleared to zero on reset (active low).
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if ( S_AXI_ARESETN == 1'b0 )
|
||||||
|
begin
|
||||||
|
axi_rvalid <= 0;
|
||||||
|
axi_rresp <= 0;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
if (axi_arready && S_AXI_ARVALID && ~axi_rvalid)
|
||||||
|
begin
|
||||||
|
// Valid read data is available at the read data bus
|
||||||
|
axi_rvalid <= 1'b1;
|
||||||
|
axi_rresp <= 2'b0; // 'OKAY' response
|
||||||
|
end
|
||||||
|
else if (axi_rvalid && S_AXI_RREADY)
|
||||||
|
begin
|
||||||
|
// Read data is accepted by the master
|
||||||
|
axi_rvalid <= 1'b0;
|
||||||
|
end
|
||||||
|
end
|
||||||
|
end
|
||||||
|
|
||||||
|
// Implement memory mapped register select and read logic generation
|
||||||
|
// Slave register read enable is asserted when valid address is available
|
||||||
|
// and the slave is ready to accept the read address.
|
||||||
|
assign slv_reg_rden = axi_arready & S_AXI_ARVALID & ~axi_rvalid;
|
||||||
|
always @(*)
|
||||||
|
begin
|
||||||
|
// Address decoding for reading registers
|
||||||
|
case ( axi_araddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] )
|
||||||
|
5'h00 : reg_data_out <= slv_reg0;
|
||||||
|
5'h01 : reg_data_out <= slv_reg1;
|
||||||
|
5'h02 : reg_data_out <= slv_reg2;
|
||||||
|
5'h03 : reg_data_out <= slv_reg3;
|
||||||
|
5'h04 : reg_data_out <= slv_reg4;
|
||||||
|
5'h05 : reg_data_out <= slv_reg5;
|
||||||
|
5'h06 : reg_data_out <= slv_reg6;
|
||||||
|
5'h07 : reg_data_out <= slv_reg7;
|
||||||
|
5'h08 : reg_data_out <= slv_reg8;
|
||||||
|
5'h09 : reg_data_out <= slv_reg9;
|
||||||
|
5'h0A : reg_data_out <= slv_reg10;
|
||||||
|
5'h0B : reg_data_out <= slv_reg11;
|
||||||
|
5'h0C : reg_data_out <= slv_reg12;
|
||||||
|
5'h0D : reg_data_out <= slv_reg13;
|
||||||
|
5'h0E : reg_data_out <= slv_reg14;
|
||||||
|
5'h0F : reg_data_out <= slv_reg15;
|
||||||
|
5'h10 : reg_data_out <= slv_reg16;
|
||||||
|
5'h11 : reg_data_out <= slv_reg17;
|
||||||
|
5'h12 : reg_data_out <= slv_reg18;
|
||||||
|
5'h13 : reg_data_out <= slv_reg19;
|
||||||
|
5'h14 : reg_data_out <= slv_reg20;
|
||||||
|
5'h15 : reg_data_out <= slv_reg21;
|
||||||
|
5'h16 : reg_data_out <= slv_reg22;
|
||||||
|
5'h17 : reg_data_out <= slv_reg23;
|
||||||
|
5'h18 : reg_data_out <= slv_reg24;
|
||||||
|
5'h19 : reg_data_out <= slv_reg25;
|
||||||
|
5'h1A : reg_data_out <= slv_reg26;
|
||||||
|
5'h1B : reg_data_out <= slv_reg27;
|
||||||
|
5'h1C : reg_data_out <= slv_reg28;
|
||||||
|
5'h1D : reg_data_out <= slv_reg29;
|
||||||
|
5'h1E : reg_data_out <= slv_reg30;
|
||||||
|
5'h1F : reg_data_out <= slv_reg31;
|
||||||
|
default : reg_data_out <= 0;
|
||||||
|
endcase
|
||||||
|
end
|
||||||
|
|
||||||
|
// Output register or memory read data
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if ( S_AXI_ARESETN == 1'b0 )
|
||||||
|
begin
|
||||||
|
axi_rdata <= 0;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
// When there is a valid read address (S_AXI_ARVALID) with
|
||||||
|
// acceptance of read address by the slave (axi_arready),
|
||||||
|
// output the read dada
|
||||||
|
if (slv_reg_rden)
|
||||||
|
begin
|
||||||
|
axi_rdata <= reg_data_out; // register read data
|
||||||
|
end
|
||||||
|
end
|
||||||
|
end
|
||||||
|
|
||||||
|
// Add user logic here
|
||||||
|
(* mark_debug = "true" *) reg num_sample_changed;
|
||||||
|
(* mark_debug = "true" *) wire [31:0] num_sample_delayed ;
|
||||||
|
(* mark_debug = "true" *) wire reset = ~S_AXI_ARESETN | slv_reg0[C_S_AXI_DATA_WIDTH-1];
|
||||||
|
assign fifo_rst = reset ;
|
||||||
|
delayT #(.DATA_WIDTH(32), .DELAY(1)) num_sp_to_skip_delay_inst (
|
||||||
|
.clock(S_AXI_ACLK),
|
||||||
|
.reset(reset),
|
||||||
|
.data_in(slv_reg2),
|
||||||
|
.data_out(num_sample_delayed)
|
||||||
|
);
|
||||||
|
|
||||||
|
// write process for status registers to read header information
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if( reset ) begin
|
||||||
|
slv_reg16 <= 0;
|
||||||
|
slv_reg17 <= 0;
|
||||||
|
slv_reg18 <= 0;
|
||||||
|
slv_reg19 <= 0;
|
||||||
|
slv_reg20 <= 0;
|
||||||
|
end else begin
|
||||||
|
if (sig_valid) begin
|
||||||
|
slv_reg16 <= {29'b0, mcs_io} ;
|
||||||
|
slv_reg17 <= {20'b0, legacy_len} ;
|
||||||
|
end
|
||||||
|
if (ht_sig_valid) begin
|
||||||
|
slv_reg18 <= {25'b0, ht_mcs_io} ;
|
||||||
|
slv_reg19 <= {16'b0, ht_pkt_len_io} ;
|
||||||
|
end
|
||||||
|
if (fcs_valid)
|
||||||
|
slv_reg20 <= slv_reg20 + 1 ;
|
||||||
|
end
|
||||||
|
end
|
||||||
|
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if( S_AXI_ARESETN == 1'b0 )
|
||||||
|
num_sample_changed <= 1'b0 ;
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
if( num_sample_delayed == slv_reg2)
|
||||||
|
num_sample_changed <= 1'b0 ;
|
||||||
|
else
|
||||||
|
num_sample_changed <= 1'b1 ;
|
||||||
|
|
||||||
|
end
|
||||||
|
end
|
||||||
|
(* mark_debug = "true" *) wire [31:0] phase_offset ;
|
||||||
|
(* mark_debug = "true" *) wire short_preamble_detected ;
|
||||||
|
(* mark_debug = "true" *) wire [3:0] state;
|
||||||
|
(* mark_debug = "true" *) wire [3:0] status_code;
|
||||||
|
(* mark_debug = "true" *) wire state_changed;
|
||||||
|
|
||||||
|
(* mark_debug = "true" *) wire [31:0] sync_long_metric;
|
||||||
|
(* mark_debug = "true" *) wire sync_long_metric_stb;
|
||||||
|
(* mark_debug = "true" *) wire long_preamble_detected;
|
||||||
|
(* mark_debug = "true" *) wire [31:0] sync_long_out;
|
||||||
|
(* mark_debug = "true" *) wire sync_long_out_strobe;
|
||||||
|
(* mark_debug = "true" *) wire [2:0] sync_long_state;
|
||||||
|
|
||||||
|
(* mark_debug = "true" *) wire pkt_begin;
|
||||||
|
(* mark_debug = "true" *) wire pkt_ht ;
|
||||||
|
(* mark_debug = "true" *) wire [7:0] pkt_rate ;
|
||||||
|
(* mark_debug = "true" *) wire [15:0] pkt_len ;
|
||||||
|
(* mark_debug = "true" *) wire [7:0] byte_out ;
|
||||||
|
(* mark_debug = "true" *) wire fcs_out_strobe;
|
||||||
|
(* mark_debug = "true" *) wire fcs_ok ;
|
||||||
|
(* mark_debug = "true" *) wire byte_out_strobe;
|
||||||
|
|
||||||
|
(* mark_debug = "true" *) reg [3:0] rd_en_counter ;
|
||||||
|
|
||||||
|
wire [3:0] legacy_rate;
|
||||||
|
wire [11:0] legacy_len;
|
||||||
|
wire legacy_sig_parity_ok;
|
||||||
|
wire legacy_sig_stb;
|
||||||
|
wire [2:0] mcs_sel = legacy_rate[2:0] ;
|
||||||
|
|
||||||
|
wire ht_sig_stb;
|
||||||
|
wire ht_sig_crc_ok;
|
||||||
|
|
||||||
|
// assign top level output to spy signal
|
||||||
|
assign ofdm_byte = byte_out ;
|
||||||
|
assign ofdm_byte_valid = byte_out_strobe ;
|
||||||
|
assign fcs_valid = fcs_ok & fcs_out_strobe ;
|
||||||
|
assign fcs_invalid = (~fcs_ok) & fcs_out_strobe;
|
||||||
|
assign pkt_len_io = legacy_len ;
|
||||||
|
assign sig_valid = legacy_sig_stb & legacy_sig_parity_ok;
|
||||||
|
assign sig_invalid = (~legacy_sig_parity_ok) & legacy_sig_stb;
|
||||||
|
|
||||||
|
always @ (mcs_sel)
|
||||||
|
case (mcs_sel)
|
||||||
|
3'b000: mcs_io = 6;
|
||||||
|
3'b001: mcs_io = 4;
|
||||||
|
3'b010: mcs_io = 2;
|
||||||
|
3'b011: mcs_io = 0;
|
||||||
|
3'b100: mcs_io = 7;
|
||||||
|
3'b101: mcs_io = 5;
|
||||||
|
3'b110: mcs_io = 3;
|
||||||
|
3'b111: mcs_io = 1;
|
||||||
|
default: mcs_io = 0;
|
||||||
|
endcase
|
||||||
|
|
||||||
|
assign ht_sig_valid = ht_sig_stb & ht_sig_crc_ok ;
|
||||||
|
assign ht_sig_invalid = ht_sig_stb & (~ht_sig_crc_ok) ;
|
||||||
|
|
||||||
|
always @ (state or status_code)
|
||||||
|
begin
|
||||||
|
|
||||||
|
if(state == 13) // ht sig error state
|
||||||
|
ht_unsupported = (status_code == E_UNSUPPORTED_MCS) || (status_code == E_UNSUPPORTED_CBW) || (status_code == E_UNSUPPORTED_STBC) || (status_code == E_UNSUPPORTED_FEC) || (status_code == E_UNSUPPORTED_SGI) || (status_code == E_UNSUPPORTED_SPATIAL);
|
||||||
|
else
|
||||||
|
ht_unsupported = 0;
|
||||||
|
|
||||||
|
end
|
||||||
|
|
||||||
|
//
|
||||||
|
|
||||||
|
always @( posedge S_AXI_ACLK )
|
||||||
|
begin
|
||||||
|
if( reset == 1'b1 )
|
||||||
|
begin
|
||||||
|
rd_en_counter <= 4'b0 ;
|
||||||
|
rd_en <= 1'b0 ;
|
||||||
|
end
|
||||||
|
else
|
||||||
|
begin
|
||||||
|
if( enable == 1'b1 )
|
||||||
|
begin
|
||||||
|
rd_en_counter = rd_en_counter + 1 ;
|
||||||
|
if(rd_en_counter == 4'd5)
|
||||||
|
begin
|
||||||
|
rd_en_counter = 4'b0 ;
|
||||||
|
if (~fifo_empty)
|
||||||
|
rd_en <= 1'b1;
|
||||||
|
else
|
||||||
|
rd_en <= 1'b0 ;
|
||||||
|
|
||||||
|
end
|
||||||
|
else
|
||||||
|
rd_en <= 1'b0 ;
|
||||||
|
end
|
||||||
|
|
||||||
|
end
|
||||||
|
end
|
||||||
|
|
||||||
|
|
||||||
|
dot11 dot11_inst (
|
||||||
|
.clock(S_AXI_ACLK),
|
||||||
|
.enable(enable),
|
||||||
|
.reset(reset),
|
||||||
|
|
||||||
|
.sample_in(sample_in),
|
||||||
|
.sample_in_strobe(sample_in_strobe),
|
||||||
|
|
||||||
|
.power_thres(slv_reg0[15:0]),
|
||||||
|
.window_size(slv_reg1[15:0]),
|
||||||
|
.num_sample_to_skip(slv_reg2),
|
||||||
|
.num_sample_changed(num_sample_changed),
|
||||||
|
.min_plateau(slv_reg3),
|
||||||
|
// OUTPUT: bytes and FCS status
|
||||||
|
.pkt_begin(pkt_begin),
|
||||||
|
.pkt_ht(pkt_ht),
|
||||||
|
.pkt_rate(pkt_rate),
|
||||||
|
.pkt_len(pkt_len),
|
||||||
|
.byte_out_strobe(byte_out_strobe),
|
||||||
|
.byte_out(byte_out),
|
||||||
|
.data_out(data_out),
|
||||||
|
.data_out_valid(data_out_valid),
|
||||||
|
.fcs_out_strobe(fcs_out_strobe),
|
||||||
|
.fcs_ok(fcs_ok),
|
||||||
|
|
||||||
|
// debug info
|
||||||
|
.state(state),
|
||||||
|
.status_code(status_code),
|
||||||
|
.state_changed(state_changed),
|
||||||
|
.power_trigger(trigger),
|
||||||
|
.short_preamble_detected(short_preamble_detected),
|
||||||
|
.phase_offset(phase_offset),
|
||||||
|
|
||||||
|
.sync_long_metric(sync_long_metric),
|
||||||
|
.sync_long_metric_stb(sync_long_metric_stb),
|
||||||
|
.long_preamble_detected(long_preamble_detected),
|
||||||
|
.sync_long_out(sync_long_out),
|
||||||
|
.sync_long_out_strobe(sync_long_out_strobe),
|
||||||
|
.sync_long_state(sync_long_state),
|
||||||
|
|
||||||
|
.legacy_rate(legacy_rate),
|
||||||
|
//.legacy_sig_rsvd(legacy_sig_rsvd),
|
||||||
|
.legacy_len(legacy_len),
|
||||||
|
//.legacy_sig_parity(legacy_sig_parity),
|
||||||
|
.legacy_sig_parity_ok(legacy_sig_parity_ok),
|
||||||
|
//.legacy_sig_tail(legacy_sig_tail),
|
||||||
|
.legacy_sig_stb(legacy_sig_stb),
|
||||||
|
//.sig_bits_spy(sig_bits_spy),
|
||||||
|
//.byte_count_spy(byte_count_spy),
|
||||||
|
|
||||||
|
.ht_sig_stb(ht_sig_stb),
|
||||||
|
.ht_mcs(ht_mcs_io),
|
||||||
|
.ht_len(ht_pkt_len_io),
|
||||||
|
.ht_sig_crc_ok(ht_sig_crc_ok)
|
||||||
|
|
||||||
|
|
||||||
|
);
|
||||||
|
// User logic ends
|
||||||
|
|
||||||
|
endmodule
|
67
verilog/intf_64bit.v
Normal file
67
verilog/intf_64bit.v
Normal file
@ -0,0 +1,67 @@
|
|||||||
|
/********************************************************
|
||||||
|
* An interface to assemble bytes into 64 bits. *
|
||||||
|
* *
|
||||||
|
* Author: Wei Liu *
|
||||||
|
********************************************************/
|
||||||
|
module intf_64bit (
|
||||||
|
input clock,
|
||||||
|
input reset,
|
||||||
|
input enable,
|
||||||
|
input wire [15:0] pkt_len,
|
||||||
|
input wire [31:0] byte_index,
|
||||||
|
input wire [7:0] byte_in,
|
||||||
|
input wire byte_strobe,
|
||||||
|
|
||||||
|
output reg [63:0] data_out,
|
||||||
|
output reg output_strobe
|
||||||
|
);
|
||||||
|
|
||||||
|
|
||||||
|
reg byte_strobe_delay ;
|
||||||
|
reg [63:0] dout ;
|
||||||
|
always @ (posedge clock)
|
||||||
|
begin
|
||||||
|
byte_strobe_delay <= byte_strobe ;
|
||||||
|
//data_out <= dout ;
|
||||||
|
end
|
||||||
|
|
||||||
|
always @ (posedge clock)
|
||||||
|
begin
|
||||||
|
if(reset) begin
|
||||||
|
dout <= 64'h0 ;
|
||||||
|
data_out <= 64'h0;
|
||||||
|
output_strobe <= 1'b0 ;
|
||||||
|
end
|
||||||
|
else if(enable) begin
|
||||||
|
output_strobe <= 1'b0 ;
|
||||||
|
data_out <= dout ;
|
||||||
|
if(byte_strobe) begin
|
||||||
|
dout <= {byte_in, dout[63:8]} ;
|
||||||
|
end
|
||||||
|
if(byte_strobe_delay) begin
|
||||||
|
if(byte_index[2:0] == 3'b0 && byte_index[31:3] > 0 )
|
||||||
|
output_strobe <= 1'b1 ;
|
||||||
|
else if (pkt_len == byte_index) begin
|
||||||
|
output_strobe <= 1'b1 ;
|
||||||
|
case (pkt_len[2:0])
|
||||||
|
3'b000: data_out <= dout;
|
||||||
|
3'b001: begin data_out <= {56'b0,dout[63:56]}; dout <= {56'b0,dout[63:56]}; end
|
||||||
|
3'b010: begin data_out <= {48'b0,dout[63:48]}; dout <= {48'b0,dout[63:48]}; end
|
||||||
|
3'b011: begin data_out <= {40'b0,dout[63:40]}; dout <= {40'b0,dout[63:40]}; end
|
||||||
|
3'b100: begin data_out <= {32'b0,dout[63:32]}; dout <= {32'b0,dout[63:32]}; end
|
||||||
|
3'b101: begin data_out <= {24'b0,dout[63:24]}; dout <= {24'b0,dout[63:24]}; end
|
||||||
|
3'b110: begin data_out <= {16'b0,dout[63:16]}; dout <= {16'b0,dout[63:16]}; end
|
||||||
|
3'b111: begin data_out <= {8'b0,dout[63:8]}; dout <= {8'b0,dout[63:8]}; end
|
||||||
|
default: data_out <= dout;
|
||||||
|
|
||||||
|
endcase
|
||||||
|
|
||||||
|
end
|
||||||
|
|
||||||
|
end
|
||||||
|
|
||||||
|
end
|
||||||
|
|
||||||
|
end
|
||||||
|
|
||||||
|
endmodule
|
@ -28,22 +28,24 @@ module ofdm_decoder
|
|||||||
output byte_out_strobe
|
output byte_out_strobe
|
||||||
);
|
);
|
||||||
|
|
||||||
reg conv_in_stb;
|
reg conv_in_stb, conv_in_stb_dly, do_descramble_dly;
|
||||||
reg [2:0] conv_in0;
|
reg [2:0] conv_in0, conv_in0_dly;
|
||||||
reg [2:0] conv_in1;
|
reg [2:0] conv_in1, conv_in1_dly;
|
||||||
reg [1:0] conv_erase;
|
reg [1:0] conv_erase, conv_erase_dly;
|
||||||
|
|
||||||
wire [15:0] input_i = sample_in[31:16];
|
wire [15:0] input_i = sample_in[31:16];
|
||||||
wire [15:0] input_q = sample_in[15:0];
|
wire [15:0] input_q = sample_in[15:0];
|
||||||
|
|
||||||
wire vit_ce = reset | (enable & conv_in_stb);
|
wire vit_ce = reset | (enable & conv_in_stb) | conv_in_stb_dly;
|
||||||
|
|
||||||
wire vit_clr = reset;
|
wire vit_clr = reset;
|
||||||
|
reg vit_clr_dly;
|
||||||
wire vit_rdy;
|
wire vit_rdy;
|
||||||
|
|
||||||
wire [1:0] erase;
|
wire [1:0] erase;
|
||||||
|
|
||||||
assign conv_decoder_out_stb = vit_ce & vit_rdy;
|
// assign conv_decoder_out_stb = vit_ce & vit_rdy;
|
||||||
|
assign conv_decoder_out_stb = m_axis_data_tvalid; // vit_rdy was used as data valid in the old version of the core, which is no longer the case
|
||||||
reg [3:0] skip_bit;
|
reg [3:0] skip_bit;
|
||||||
reg bit_in;
|
reg bit_in;
|
||||||
reg bit_in_stb;
|
reg bit_in_stb;
|
||||||
@ -78,15 +80,18 @@ deinterleave deinterleave_inst (
|
|||||||
.erase(erase)
|
.erase(erase)
|
||||||
);
|
);
|
||||||
|
|
||||||
|
wire m_axis_data_tvalid ;
|
||||||
|
|
||||||
viterbi_v7_0 viterbi_inst (
|
viterbi_v7_0 viterbi_inst (
|
||||||
.clk(clock),
|
.aclk(clock), // input wire aclk
|
||||||
.ce(vit_ce),
|
.aresetn(~vit_clr), // input wire aresetn
|
||||||
.sclr(vit_clr),
|
.aclken(vit_ce), // input wire aclken
|
||||||
.data_in0(conv_in0),
|
.s_axis_data_tdata({5'b0,conv_in1_dly,5'b0,conv_in0_dly}), // input wire [15 : 0] s_axis_data_tdata
|
||||||
.data_in1(conv_in1),
|
.s_axis_data_tuser({6'b0,conv_erase_dly}), // input wire [7 : 0] s_axis_data_tuser
|
||||||
.erase(conv_erase),
|
.s_axis_data_tvalid(conv_in_stb_dly), // input wire s_axis_data_tvalid
|
||||||
.rdy(vit_rdy),
|
.s_axis_data_tready(vit_rdy), // output wire s_axis_data_tready
|
||||||
.data_out(conv_decoder_out)
|
.m_axis_data_tdata({idle_wire_7bit, conv_decoder_out}), // output wire [7 : 0] m_axis_data_tdata
|
||||||
|
.m_axis_data_tvalid(m_axis_data_tvalid) // output wire m_axis_data_tvalid
|
||||||
);
|
);
|
||||||
|
|
||||||
|
|
||||||
@ -153,7 +158,7 @@ always @(posedge clock) begin
|
|||||||
end
|
end
|
||||||
|
|
||||||
if (deinter_out_count > 0) begin
|
if (deinter_out_count > 0) begin
|
||||||
if (~do_descramble) begin
|
if (~do_descramble_dly) begin
|
||||||
bit_in <= conv_decoder_out;
|
bit_in <= conv_decoder_out;
|
||||||
bit_in_stb <= conv_decoder_out_stb;
|
bit_in_stb <= conv_decoder_out_stb;
|
||||||
end else begin
|
end else begin
|
||||||
@ -173,4 +178,14 @@ always @(posedge clock) begin
|
|||||||
end
|
end
|
||||||
end
|
end
|
||||||
|
|
||||||
|
// process used to delay things
|
||||||
|
// TODO: this is only a temp solution, as tready only rise one clock after ce goes high, delay statically by one clock, in future should take into account tready
|
||||||
|
always @(posedge clock) begin
|
||||||
|
conv_in1_dly <= conv_in1;
|
||||||
|
conv_in0_dly <= conv_in0;
|
||||||
|
conv_erase_dly <= conv_erase;
|
||||||
|
conv_in_stb_dly <= conv_in_stb ;
|
||||||
|
do_descramble_dly <= do_descramble;
|
||||||
|
end
|
||||||
|
|
||||||
endmodule
|
endmodule
|
||||||
|
@ -4,13 +4,14 @@ module power_trigger
|
|||||||
input enable,
|
input enable,
|
||||||
input reset,
|
input reset,
|
||||||
|
|
||||||
input set_stb,
|
|
||||||
input [7:0] set_addr,
|
|
||||||
input [31:0] set_data,
|
|
||||||
|
|
||||||
input [31:0] sample_in,
|
input [31:0] sample_in,
|
||||||
input sample_in_strobe,
|
input sample_in_strobe,
|
||||||
|
input [15:0] power_thres,
|
||||||
|
input [15:0] window_size,
|
||||||
|
input [31:0] num_sample_to_skip,
|
||||||
|
input num_sample_changed,
|
||||||
|
|
||||||
|
output [1:0] pw_state_spy,
|
||||||
output reg trigger
|
output reg trigger
|
||||||
);
|
);
|
||||||
`include "common_params.v"
|
`include "common_params.v"
|
||||||
@ -18,34 +19,20 @@ module power_trigger
|
|||||||
localparam S_SKIP = 0;
|
localparam S_SKIP = 0;
|
||||||
localparam S_IDLE = 1;
|
localparam S_IDLE = 1;
|
||||||
localparam S_PACKET = 2;
|
localparam S_PACKET = 2;
|
||||||
reg [1:0] state;
|
(* mark_debug = "true" *) reg [1:0] state;
|
||||||
|
|
||||||
wire [15:0] power_thres;
|
|
||||||
wire [15:0] window_size;
|
|
||||||
wire [31:0] num_sample_to_skip;
|
|
||||||
wire num_sample_changed;
|
|
||||||
|
|
||||||
|
(* mark_debug = "true" *) wire [15:0] power_thres;
|
||||||
|
(* mark_debug = "true" *) wire [15:0] window_size;
|
||||||
|
(* mark_debug = "true" *) wire [31:0] num_sample_to_skip;
|
||||||
|
(* mark_debug = "true" *) wire num_sample_changed;
|
||||||
|
(* mark_debug = "true" *) wire sample_in_strobe_dbg;
|
||||||
|
assign sample_in_strobe_dbg = sample_in_strobe ;
|
||||||
|
|
||||||
reg [31:0] sample_count;
|
reg [31:0] sample_count;
|
||||||
|
|
||||||
wire [15:0] input_i = sample_in[31:16];
|
(* mark_debug = "true" *) wire [15:0] input_i = sample_in[31:16];
|
||||||
reg [15:0] abs_i;
|
reg [15:0] abs_i;
|
||||||
|
assign pw_state_spy = state ;
|
||||||
// threshold to claim a power trigger.
|
|
||||||
setting_reg #(.my_addr(SR_POWER_THRES), .width(16), .at_reset(100)) sr_0 (
|
|
||||||
.clk(clock), .rst(reset), .strobe(set_stb), .addr(set_addr), .in(set_data),
|
|
||||||
.out(power_thres), .changed());
|
|
||||||
|
|
||||||
// power trigger window
|
|
||||||
setting_reg #(.my_addr(SR_POWER_WINDOW), .width(16), .at_reset(80)) sr_1 (
|
|
||||||
.clk(clock), .rst(reset), .strobe(set_stb), .addr(set_addr), .in(set_data),
|
|
||||||
.out(window_size), .changed());
|
|
||||||
|
|
||||||
// num samples to skip initially
|
|
||||||
setting_reg #(.my_addr(SR_SKIP_SAMPLE), .width(32), .at_reset(5000000)) sr_2 (
|
|
||||||
.clk(clock), .rst(reset), .strobe(set_stb), .addr(set_addr), .in(set_data),
|
|
||||||
.out(num_sample_to_skip), .changed(num_sample_changed));
|
|
||||||
|
|
||||||
|
|
||||||
always @(posedge clock) begin
|
always @(posedge clock) begin
|
||||||
if (reset) begin
|
if (reset) begin
|
||||||
|
@ -38,44 +38,45 @@ wire signed [31:0] prod_2_q;
|
|||||||
wire signed [31:0] prod_3_i;
|
wire signed [31:0] prod_3_i;
|
||||||
wire signed [31:0] prod_3_q;
|
wire signed [31:0] prod_3_q;
|
||||||
|
|
||||||
complex_multiplier mult_inst1 (
|
complex_multiplier mult_inst (
|
||||||
.clk(clock),
|
.aclk(clock),
|
||||||
.ar(X0),
|
.s_axis_a_tvalid(input_strobe),
|
||||||
.ai(X1),
|
.s_axis_a_tdata({X1,X0}),
|
||||||
.br(Y0),
|
.s_axis_b_tvalid(input_strobe),
|
||||||
.bi(Y1),
|
.s_axis_b_tdata({Y1,Y0}),
|
||||||
.pr(prod_0_i),
|
.m_axis_dout_tvalid(),
|
||||||
.pi(prod_0_q)
|
.m_axis_dout_tdata({prod_0_q,prod_0_i})
|
||||||
);
|
);
|
||||||
|
|
||||||
complex_multiplier mult_inst2 (
|
complex_multiplier mult_inst2 (
|
||||||
.clk(clock),
|
.aclk(clock),
|
||||||
.ar(X2),
|
.s_axis_a_tvalid(input_strobe),
|
||||||
.ai(X3),
|
.s_axis_a_tdata({X3,X2}),
|
||||||
.br(Y2),
|
.s_axis_b_tvalid(input_strobe),
|
||||||
.bi(Y3),
|
.s_axis_b_tdata({Y3,Y2}),
|
||||||
.pr(prod_1_i),
|
.m_axis_dout_tvalid(),
|
||||||
.pi(prod_1_q)
|
.m_axis_dout_tdata({prod_1_q,prod_1_i})
|
||||||
);
|
);
|
||||||
|
|
||||||
complex_multiplier mult_inst3 (
|
complex_multiplier mult_inst3 (
|
||||||
.clk(clock),
|
.aclk(clock),
|
||||||
.ar(X4),
|
.s_axis_a_tvalid(input_strobe),
|
||||||
.ai(X5),
|
.s_axis_a_tdata({X5,X4}),
|
||||||
.br(Y4),
|
.s_axis_b_tvalid(input_strobe),
|
||||||
.bi(Y5),
|
.s_axis_b_tdata({Y5,Y4}),
|
||||||
.pr(prod_2_i),
|
.m_axis_dout_tvalid(),
|
||||||
.pi(prod_2_q)
|
.m_axis_dout_tdata({prod_2_q,prod_2_i})
|
||||||
);
|
);
|
||||||
|
|
||||||
|
|
||||||
complex_multiplier mult_inst4 (
|
complex_multiplier mult_inst4 (
|
||||||
.clk(clock),
|
.aclk(clock),
|
||||||
.ar(X6),
|
.s_axis_a_tvalid(input_strobe),
|
||||||
.ai(X7),
|
.s_axis_a_tdata({X7,X6}),
|
||||||
.br(Y6),
|
.s_axis_b_tvalid(input_strobe),
|
||||||
.bi(Y7),
|
.s_axis_b_tdata({Y7,Y6}),
|
||||||
.pr(prod_3_i),
|
.m_axis_dout_tvalid(),
|
||||||
.pi(prod_3_q)
|
.m_axis_dout_tdata({prod_3_q,prod_3_i})
|
||||||
);
|
);
|
||||||
|
|
||||||
reg signed [31:0] sum_i1;
|
reg signed [31:0] sum_i1;
|
||||||
|
@ -3,10 +3,6 @@ module sync_long (
|
|||||||
input reset,
|
input reset,
|
||||||
input enable,
|
input enable,
|
||||||
|
|
||||||
input set_stb,
|
|
||||||
input [7:0] set_addr,
|
|
||||||
input [31:0] set_data,
|
|
||||||
|
|
||||||
input [31:0] sample_in,
|
input [31:0] sample_in,
|
||||||
input sample_in_strobe,
|
input sample_in_strobe,
|
||||||
input signed [31:0] phase_offset,
|
input signed [31:0] phase_offset,
|
||||||
@ -30,13 +26,13 @@ localparam IN_BUF_LEN_SHIFT = 8;
|
|||||||
|
|
||||||
localparam NUM_STS_TAIL = 32;
|
localparam NUM_STS_TAIL = 32;
|
||||||
|
|
||||||
reg [15:0] in_offset;
|
(* mark_debug = "true" *) reg [15:0] in_offset;
|
||||||
reg [IN_BUF_LEN_SHIFT-1:0] in_waddr;
|
(* mark_debug = "true" *) reg [IN_BUF_LEN_SHIFT-1:0] in_waddr;
|
||||||
reg [IN_BUF_LEN_SHIFT-1:0] in_raddr;
|
(* mark_debug = "true" *) reg [IN_BUF_LEN_SHIFT-1:0] in_raddr;
|
||||||
wire [IN_BUF_LEN_SHIFT-1:0] gi_skip = short_gi? 9: 17;
|
(* mark_debug = "true" *) wire [IN_BUF_LEN_SHIFT-1:0] gi_skip = short_gi? 9: 17;
|
||||||
reg signed [31:0] num_input_produced;
|
(* mark_debug = "true" *) reg signed [31:0] num_input_produced;
|
||||||
reg signed [31:0] num_input_consumed;
|
(* mark_debug = "true" *) reg signed [31:0] num_input_consumed;
|
||||||
reg signed [31:0] num_input_avail;
|
(* mark_debug = "true" *) reg signed [31:0] num_input_avail;
|
||||||
|
|
||||||
reg [2:0] mult_stage;
|
reg [2:0] mult_stage;
|
||||||
reg [1:0] sum_stage;
|
reg [1:0] sum_stage;
|
||||||
@ -54,6 +50,13 @@ reg sum_stb;
|
|||||||
reg signed [31:0] phase_correction;
|
reg signed [31:0] phase_correction;
|
||||||
reg signed [31:0] next_phase_correction;
|
reg signed [31:0] next_phase_correction;
|
||||||
|
|
||||||
|
reg reset_delay ; // add reset signal for fft, somehow all kinds of event flag raises when feeding real rf signal, maybe reset will help
|
||||||
|
(* mark_debug = "true" *) wire fft_resetn ;
|
||||||
|
|
||||||
|
always @(posedge clock) begin
|
||||||
|
reset_delay = reset ;
|
||||||
|
end
|
||||||
|
assign fft_resetn = (~reset) & (~reset_delay); // make sure resetn is at least 2 clock cycles low
|
||||||
|
|
||||||
complex_to_mag #(.DATA_WIDTH(32)) sum_mag_inst (
|
complex_to_mag #(.DATA_WIDTH(32)) sum_mag_inst (
|
||||||
.clock(clock),
|
.clock(clock),
|
||||||
@ -72,7 +75,7 @@ reg [31:0] metric_max1;
|
|||||||
reg [(IN_BUF_LEN_SHIFT-1):0] addr1;
|
reg [(IN_BUF_LEN_SHIFT-1):0] addr1;
|
||||||
reg [31:0] metric_max2;
|
reg [31:0] metric_max2;
|
||||||
reg [(IN_BUF_LEN_SHIFT-1):0] addr2;
|
reg [(IN_BUF_LEN_SHIFT-1):0] addr2;
|
||||||
reg [15:0] gap;
|
(* mark_debug = "true" *) reg [15:0] gap;
|
||||||
|
|
||||||
reg [31:0] cross_corr_buf[0:15];
|
reg [31:0] cross_corr_buf[0:15];
|
||||||
|
|
||||||
@ -121,25 +124,27 @@ localparam S_WAIT_FOR_SECOND_PEAK = 2;
|
|||||||
localparam S_IDLE = 3;
|
localparam S_IDLE = 3;
|
||||||
localparam S_FFT = 4;
|
localparam S_FFT = 4;
|
||||||
|
|
||||||
reg fft_start;
|
(* mark_debug = "true" *) reg fft_start;
|
||||||
wire fft_start_delayed;
|
(* mark_debug = "true" *) wire fft_in_stb;
|
||||||
wire fft_in_stb;
|
(* mark_debug = "true" *) reg fft_loading;
|
||||||
reg fft_loading;
|
(* mark_debug = "true" *) wire signed [15:0] fft_in_re;
|
||||||
wire signed [15:0] fft_in_re;
|
(* mark_debug = "true" *) wire signed [15:0] fft_in_im;
|
||||||
wire signed [15:0] fft_in_im;
|
(* mark_debug = "true" *) wire [22:0] fft_out_re;
|
||||||
wire [22:0] fft_out_re;
|
(* mark_debug = "true" *) wire [22:0] fft_out_im;
|
||||||
wire [22:0] fft_out_im;
|
(* mark_debug = "true" *) wire fft_ready;
|
||||||
wire fft_ready;
|
(* mark_debug = "true" *) wire fft_done;
|
||||||
wire fft_done;
|
(* mark_debug = "true" *) wire fft_busy;
|
||||||
wire fft_busy;
|
(* mark_debug = "true" *) wire fft_valid;
|
||||||
wire fft_valid;
|
|
||||||
|
|
||||||
wire [31:0] fft_out = {fft_out_re[22:7], fft_out_im[22:7]};
|
wire [31:0] fft_out = {fft_out_re[22:7], fft_out_im[22:7]};
|
||||||
|
|
||||||
wire signed [15:0] raw_i;
|
(* mark_debug = "true" *) wire signed [15:0] raw_i;
|
||||||
wire signed [15:0] raw_q;
|
(* mark_debug = "true" *) wire signed [15:0] raw_q;
|
||||||
reg raw_stb;
|
(* mark_debug = "true" *) reg raw_stb;
|
||||||
|
wire idle_line1, idle_line2 ;
|
||||||
|
(* mark_debug = "true" *) wire fft_din_data_tlast_delayed ;
|
||||||
|
(* mark_debug = "true" *) reg fft_din_data_tlast ;
|
||||||
|
(* mark_debug = "true" *) wire m_axis_data_tlast, s_axis_config_tready, event_frame_started, event_tlast_unexpected, event_tlast_missing, event_status_channel_halt, event_data_in_channel_halt, event_data_out_channel_halt;
|
||||||
ram_2port #(.DWIDTH(32), .AWIDTH(IN_BUF_LEN_SHIFT)) in_buf (
|
ram_2port #(.DWIDTH(32), .AWIDTH(IN_BUF_LEN_SHIFT)) in_buf (
|
||||||
.clka(clock),
|
.clka(clock),
|
||||||
.ena(1),
|
.ena(1),
|
||||||
@ -173,29 +178,35 @@ rotate rotate_inst (
|
|||||||
.output_strobe(fft_in_stb)
|
.output_strobe(fft_in_stb)
|
||||||
);
|
);
|
||||||
|
|
||||||
delayT #(.DATA_WIDTH(1), .DELAY(9)) fft_delay_inst (
|
delayT #(.DATA_WIDTH(1), .DELAY(10)) fft_delay_inst (
|
||||||
.clock(clock),
|
.clock(clock),
|
||||||
.reset(reset),
|
.reset(reset),
|
||||||
|
|
||||||
.data_in(fft_start),
|
.data_in(fft_din_data_tlast),
|
||||||
.data_out(fft_start_delayed)
|
.data_out(fft_din_data_tlast_delayed)
|
||||||
);
|
);
|
||||||
|
|
||||||
|
|
||||||
xfft_v7_1 dft_inst (
|
xfft_v9 dft_inst (
|
||||||
.clk(clock),
|
.aclk(clock),
|
||||||
.fwd_inv(1),
|
.aresetn(fft_resetn),
|
||||||
.start(fft_start_delayed),
|
.s_axis_config_tdata({7'b0, 1'b1}), // input wire [7 : 0] s_axis_config_tdata, use LSB to indicate it is forward transform, the rest should be ignored
|
||||||
.fwd_inv_we(1),
|
.s_axis_config_tvalid(1'b1), // input wire s_axis_config_tvalid
|
||||||
|
.s_axis_config_tready(s_axis_config_tready), // output wire s_axis_config_tready
|
||||||
.xn_re(fft_in_re),
|
.s_axis_data_tdata({fft_in_im, fft_in_re}), // input wire [31 : 0] s_axis_data_tdata
|
||||||
.xn_im(fft_in_im),
|
.s_axis_data_tvalid(fft_in_stb), // input wire s_axis_data_tvalid
|
||||||
.xk_re(fft_out_re),
|
.s_axis_data_tready(fft_ready), // output wire s_axis_data_tready
|
||||||
.xk_im(fft_out_im),
|
.s_axis_data_tlast(fft_din_data_tlast_delayed), // input wire s_axis_data_tlast
|
||||||
.rfd(fft_ready),
|
.m_axis_data_tdata({idle_line1,fft_out_im, idle_line2, fft_out_re}), // output wire [47 : 0] m_axis_data_tdata
|
||||||
.done(fft_done),
|
.m_axis_data_tvalid(fft_valid), // output wire m_axis_data_tvalid
|
||||||
.busy(fft_busy),
|
.m_axis_data_tready(1'b1), // input wire m_axis_data_tready
|
||||||
.dv(fft_valid)
|
.m_axis_data_tlast(m_axis_data_tlast), // output wire m_axis_data_tlast
|
||||||
|
.event_frame_started(event_frame_started), // output wire event_frame_started
|
||||||
|
.event_tlast_unexpected(event_tlast_unexpected), // output wire event_tlast_unexpected
|
||||||
|
.event_tlast_missing(event_tlast_missing), // output wire event_tlast_missing
|
||||||
|
.event_status_channel_halt(event_status_channel_halt), // output wire event_status_channel_halt
|
||||||
|
.event_data_in_channel_halt(event_data_in_channel_halt), // output wire event_data_in_channel_halt
|
||||||
|
.event_data_out_channel_halt(event_data_out_channel_halt) // output wire event_data_out_channel_halt
|
||||||
);
|
);
|
||||||
|
|
||||||
reg [15:0] num_sample;
|
reg [15:0] num_sample;
|
||||||
@ -210,6 +221,7 @@ always @(posedge clock) begin
|
|||||||
end
|
end
|
||||||
do_clear();
|
do_clear();
|
||||||
state <= S_SKIPPING;
|
state <= S_SKIPPING;
|
||||||
|
fft_din_data_tlast <= 1'b0;
|
||||||
end else if (enable) begin
|
end else if (enable) begin
|
||||||
if (sample_in_strobe && state != S_SKIPPING) begin
|
if (sample_in_strobe && state != S_SKIPPING) begin
|
||||||
in_waddr <= in_waddr + 1;
|
in_waddr <= in_waddr + 1;
|
||||||
@ -324,7 +336,12 @@ always @(posedge clock) begin
|
|||||||
if (fft_start | fft_loading) begin
|
if (fft_start | fft_loading) begin
|
||||||
in_offset <= in_offset + 1;
|
in_offset <= in_offset + 1;
|
||||||
|
|
||||||
|
if( in_offset == 62) begin
|
||||||
|
fft_din_data_tlast <= 1'b1;
|
||||||
|
end
|
||||||
|
|
||||||
if (in_offset == 63) begin
|
if (in_offset == 63) begin
|
||||||
|
fft_din_data_tlast <= 1'b0;
|
||||||
fft_loading <= 0;
|
fft_loading <= 0;
|
||||||
num_ofdm_symbol <= num_ofdm_symbol + 1;
|
num_ofdm_symbol <= num_ofdm_symbol + 1;
|
||||||
if (num_ofdm_symbol > 0) begin
|
if (num_ofdm_symbol > 0) begin
|
||||||
|
@ -5,9 +5,7 @@ module sync_short (
|
|||||||
input reset,
|
input reset,
|
||||||
input enable,
|
input enable,
|
||||||
|
|
||||||
input set_stb,
|
input [31:0] min_plateau,
|
||||||
input [7:0] set_addr,
|
|
||||||
input [31:0] set_data,
|
|
||||||
|
|
||||||
input [31:0] sample_in,
|
input [31:0] sample_in,
|
||||||
input sample_in_strobe,
|
input sample_in_strobe,
|
||||||
@ -33,7 +31,7 @@ wire mag_sq_stb;
|
|||||||
|
|
||||||
wire [31:0] mag_sq_avg;
|
wire [31:0] mag_sq_avg;
|
||||||
wire mag_sq_avg_stb;
|
wire mag_sq_avg_stb;
|
||||||
reg [31:0] prod_thres;
|
(* mark_debug = "true" *) reg [31:0] prod_thres;
|
||||||
|
|
||||||
wire [31:0] sample_delayed;
|
wire [31:0] sample_delayed;
|
||||||
wire sample_delayed_stb;
|
wire sample_delayed_stb;
|
||||||
@ -56,28 +54,20 @@ wire freq_offset_stb;
|
|||||||
|
|
||||||
reg [31:0] phase_out_neg;
|
reg [31:0] phase_out_neg;
|
||||||
|
|
||||||
wire [31:0] delay_prod_avg_mag;
|
(* mark_debug = "true" *) wire [31:0] delay_prod_avg_mag;
|
||||||
wire delay_prod_avg_mag_stb;
|
(* mark_debug = "true" *) wire delay_prod_avg_mag_stb;
|
||||||
|
|
||||||
reg [31:0] plateau_count;
|
(* mark_debug = "true" *) reg [31:0] plateau_count;
|
||||||
|
|
||||||
// this is to ensure that the short preambles contains both positive and
|
// this is to ensure that the short preambles contains both positive and
|
||||||
// negative in-phase, to avoid raise false positives when there is a constant
|
// negative in-phase, to avoid raise false positives when there is a constant
|
||||||
// power
|
// power
|
||||||
reg [31:0] pos_count;
|
reg [31:0] pos_count;
|
||||||
reg [31:0] min_pos;
|
reg [31:0] min_pos;
|
||||||
reg has_pos;
|
(* mark_debug = "true" *) reg has_pos;
|
||||||
reg [31:0] neg_count;
|
reg [31:0] neg_count;
|
||||||
reg [31:0] min_neg;
|
reg [31:0] min_neg;
|
||||||
reg has_neg;
|
(* mark_debug = "true" *) reg has_neg;
|
||||||
|
|
||||||
wire [31:0] min_plateau;
|
|
||||||
|
|
||||||
// minimal number of samples that has to exceed plateau threshold to claim
|
|
||||||
// a short preamble
|
|
||||||
setting_reg #(.my_addr(SR_MIN_PLATEAU), .width(32), .at_reset(100)) sr_0 (
|
|
||||||
.clk(clock), .rst(reset), .strobe(set_stb), .addr(set_addr), .in(set_data),
|
|
||||||
.out(min_plateau), .changed());
|
|
||||||
|
|
||||||
|
|
||||||
complex_to_mag_sq mag_sq_inst (
|
complex_to_mag_sq mag_sq_inst (
|
||||||
|
Reference in New Issue
Block a user