mirror of
https://github.com/jhshi/openofdm.git
synced 2024-12-18 13:26:49 +00:00
772 lines
45 KiB
Tcl
772 lines
45 KiB
Tcl
#*****************************************************************************************
|
|
#
|
|
# By xianjun.jiao@imec.be; wei.liu@imec.be
|
|
#
|
|
# This file contains the Vivado Tcl commands for re-creating the project to the state*
|
|
# when this script was generated. In order to re-create the project, please source this
|
|
# file in the Vivado Tcl Shell.
|
|
#
|
|
# * Note that the runs in the created project will be configured the same way as the
|
|
# original project, however they will not be launched automatically. To regenerate the
|
|
# run results please launch the synthesis/implementation runs as needed.
|
|
#
|
|
#
|
|
#*****************************************************************************************
|
|
|
|
# -----------generate openofdm_rx_git_rev.v---------------
|
|
set fd [open "./verilog/openofdm_rx_git_rev.v" w]
|
|
set HASHCODE [exec ./get_git_rev.sh]
|
|
puts $fd "`define OPENOFDM_RX_GIT_REV (32'h$HASHCODE)"
|
|
close $fd
|
|
# ----end of generate openofdm_rx_git_rev.v---------------
|
|
|
|
# Set the reference directory for source file relative paths (by default the value is script directory path)
|
|
set origin_dir [file dirname [info script]]
|
|
|
|
# Use origin directory path location variable, if specified in the tcl shell
|
|
if { [info exists ::origin_dir_loc] } {
|
|
set origin_dir $::origin_dir_loc
|
|
}
|
|
|
|
# Set the project name
|
|
set project_name "openofdm_rx_side_ch_sim_ultra_scale"
|
|
exec rm -rf $project_name
|
|
|
|
# Use project name variable, if specified in the tcl shell
|
|
if { [info exists ::user_project_name] } {
|
|
set project_name $::user_project_name
|
|
}
|
|
|
|
variable script_file
|
|
set script_file "openofdm_rx_side_ch_sim_ultra_scale.tcl"
|
|
|
|
# Help information for this script
|
|
proc help {} {
|
|
variable script_file
|
|
puts "\nDescription:"
|
|
puts "Recreate a Vivado project from this script. The created project will be"
|
|
puts "functionally equivalent to the original project for which this script was"
|
|
puts "generated. The script contains commands for creating a project, filesets,"
|
|
puts "runs, adding/importing sources and setting properties on various objects.\n"
|
|
puts "Syntax:"
|
|
puts "$script_file"
|
|
puts "$script_file -tclargs \[--origin_dir <path>\]"
|
|
puts "$script_file -tclargs \[--project_name <name>\]"
|
|
puts "$script_file -tclargs \[--help\]\n"
|
|
puts "Usage:"
|
|
puts "Name Description"
|
|
puts "-------------------------------------------------------------------------"
|
|
puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default"
|
|
puts " origin_dir path value is \".\", otherwise, the value"
|
|
puts " that was set with the \"-paths_relative_to\" switch"
|
|
puts " when this script was generated.\n"
|
|
puts "\[--project_name <name>\] Create project with the specified name. Default"
|
|
puts " name is the name of the project from where this"
|
|
puts " script was generated.\n"
|
|
puts "\[--help\] Print help information for this script"
|
|
puts "-------------------------------------------------------------------------\n"
|
|
exit 0
|
|
}
|
|
|
|
if { $::argc > 0 } {
|
|
for {set i 0} {$i < [llength $::argc]} {incr i} {
|
|
set option [string trim [lindex $::argv $i]]
|
|
switch -regexp -- $option {
|
|
"--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
|
|
"--project_name" { incr i; set project_name [lindex $::argv $i] }
|
|
"--help" { help }
|
|
default {
|
|
if { [regexp {^-} $option] } {
|
|
puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
|
|
return 1
|
|
}
|
|
}
|
|
}
|
|
}
|
|
}
|
|
|
|
# Set the directory path for the original project from where this script was exported
|
|
set src_dir "[file normalize "$origin_dir/verilog"]"
|
|
|
|
# Create project
|
|
create_project ${project_name} ./${project_name} -part xczu9eg-ffvb1156-2-e
|
|
|
|
# Set the directory path for the new project
|
|
set proj_dir [get_property directory [current_project]]
|
|
|
|
# Reconstruct message rules
|
|
# None
|
|
|
|
# Set project properties
|
|
set obj [current_project]
|
|
set_property -name "board_connections" -value "" -objects $obj
|
|
set_property -name "board_part" -value "xilinx.com:zcu102:part0:3.1" -objects $obj
|
|
set_property -name "compxlib.activehdl_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/activehdl" -objects $obj
|
|
set_property -name "compxlib.funcsim" -value "1" -objects $obj
|
|
set_property -name "compxlib.ies_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/ies" -objects $obj
|
|
set_property -name "compxlib.modelsim_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/modelsim" -objects $obj
|
|
set_property -name "compxlib.overwrite_libs" -value "0" -objects $obj
|
|
set_property -name "compxlib.questa_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/questa" -objects $obj
|
|
set_property -name "compxlib.riviera_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/riviera" -objects $obj
|
|
set_property -name "compxlib.timesim" -value "1" -objects $obj
|
|
set_property -name "compxlib.vcs_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/vcs" -objects $obj
|
|
set_property -name "compxlib.xsim_compiled_library_dir" -value "" -objects $obj
|
|
set_property -name "corecontainer.enable" -value "0" -objects $obj
|
|
set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
|
|
set_property -name "dsa.num_compute_units" -value "60" -objects $obj
|
|
set_property -name "dsa.rom.debug_type" -value "0" -objects $obj
|
|
set_property -name "dsa.rom.prom_type" -value "0" -objects $obj
|
|
set_property -name "enable_optional_runs_sta" -value "0" -objects $obj
|
|
set_property -name "generate_ip_upgrade_log" -value "1" -objects $obj
|
|
set_property -name "ip_cache_permissions" -value "read write" -objects $obj
|
|
set_property -name "ip_interface_inference_priority" -value "" -objects $obj
|
|
set_property -name "ip_output_repo" -value "$proj_dir/${project_name}.cache/ip" -objects $obj
|
|
set_property -name "project_type" -value "Default" -objects $obj
|
|
set_property -name "pr_flow" -value "0" -objects $obj
|
|
set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
|
|
set_property -name "sim.use_ip_compiled_libs" -value "1" -objects $obj
|
|
set_property -name "simulator_language" -value "Mixed" -objects $obj
|
|
set_property -name "source_mgmt_mode" -value "All" -objects $obj
|
|
set_property -name "target_language" -value "Verilog" -objects $obj
|
|
set_property -name "target_simulator" -value "XSim" -objects $obj
|
|
set_property -name "xpm_libraries" -value "XPM_MEMORY" -objects $obj
|
|
set_property -name "xsim.array_display_limit" -value "1024" -objects $obj
|
|
set_property -name "xsim.radix" -value "hex" -objects $obj
|
|
set_property -name "xsim.time_unit" -value "ns" -objects $obj
|
|
set_property -name "xsim.trace_limit" -value "65536" -objects $obj
|
|
|
|
# Create 'sources_1' fileset (if not found)
|
|
if {[string equal [get_filesets -quiet sources_1] ""]} {
|
|
create_fileset -srcset sources_1
|
|
}
|
|
|
|
# Set IP repository paths
|
|
set obj [get_filesets sources_1]
|
|
set_property "ip_repo_paths" "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynquplus"]" $obj
|
|
|
|
# Rebuild user ip_repo's index before adding any source files
|
|
update_ip_catalog -rebuild
|
|
|
|
# Set 'sources_1' fileset object
|
|
set obj [get_filesets sources_1]
|
|
set files [list \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/complex_multiplier/complex_multiplier.xci"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/atan_lut/atan_lut.xci"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/bits_to_bytes.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/calc_mean.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/complex_mult.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/complex_to_mag.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/complex_to_mag_sq.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/crc32.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/deinterleave.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/delayT.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/delay_sample.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/common_defs.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/demodulate.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/descramble.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/divider.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/dot11.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/equalizer.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/ht_sig_crc.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/moving_avg.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/ofdm_decoder.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/openofdm_rx_s_axi.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/phase.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/usrp2/ram_2port.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/rotate.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/stage_mult.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/sync_long.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/sync_short.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/openofdm_rx.v"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/deinter_lut/deinter_lut.coe"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/atan_lut/atan_lut.coe"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/rot_lut/rot_lut.coe"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/viterbi/viterbi_v7_0.xci"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/deinter_lut/deinter_lut.xci"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen_div_gen_0_0/div_gen_div_gen_0_0.xci"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen_xlslice_0_0/div_gen_xlslice_0_0.xci"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/xfft/xfft_v9.xci"] \
|
|
[file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/rot_lut/rot_lut.xci"] \
|
|
[file normalize "${origin_dir}/../openwifi-hw/ip/xpu/src/phy_rx_parse.v"] \
|
|
[file normalize "${origin_dir}/../openwifi-hw/ip/side_ch/src/side_ch_control.v"] \
|
|
[file normalize "${origin_dir}/../openwifi-hw/ip/side_ch/src/side_ch_m_axis.v"] \
|
|
[file normalize "${origin_dir}/../openwifi-hw/ip_repo/ultra_scale/fifo64_1clk/src/fifo64_1clk_fifo_generator_0_0/fifo64_1clk_fifo_generator_0_0.xci"]\
|
|
[file normalize "${origin_dir}/../openwifi-hw/ip_repo/ultra_scale/fifo64_1clk/src/fifo64_1clk.v"]\
|
|
]
|
|
# If you want to make a copy of the file to new src folder, use following command
|
|
# set imported_files [import_files -fileset sources_1 $files]
|
|
# If you want to keep the files remote, use the following command
|
|
# set added_files [add_files -fileset sources_1 $files]
|
|
add_files -norecurse -fileset $obj $files
|
|
|
|
# #Set 'sources_1' fileset file properties for remote files
|
|
#set file "$origin_dir/verilog/coregen/div_gen_v3_0.ngc"
|
|
#set file [file normalize $file]
|
|
#set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
|
|
#set_property -name "file_type" -value "NGC" -objects $file_obj
|
|
|
|
set file "openofdm_rx_s_axi.v"
|
|
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
|
|
set_property -name "used_in" -value "synthesis simulation" -objects $file_obj
|
|
set_property -name "used_in_implementation" -value "0" -objects $file_obj
|
|
|
|
set file "openofdm_rx.v"
|
|
set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]]
|
|
set_property -name "used_in" -value "synthesis simulation" -objects $file_obj
|
|
set_property -name "used_in_implementation" -value "0" -objects $file_obj
|
|
|
|
|
|
# Set 'sources_1' fileset file properties for local files
|
|
|
|
# Set 'sources_1' fileset properties
|
|
set obj [get_filesets sources_1]
|
|
set_property -name "top" -value "openofdm_rx" -objects $obj
|
|
|
|
# Create 'constrs_1' fileset (if not found)
|
|
if {[string equal [get_filesets -quiet constrs_1] ""]} {
|
|
create_fileset -constrset constrs_1
|
|
}
|
|
|
|
# Set 'constrs_1' fileset object
|
|
set obj [get_filesets constrs_1]
|
|
|
|
# Empty (no sources present)
|
|
|
|
|
|
# Create constraints !
|
|
# Set 'constrs_1' fileset properties
|
|
set obj [get_filesets constrs_1]
|
|
|
|
# Create runs
|
|
# Create 'sim_1' fileset (if not found)
|
|
if {[string equal [get_filesets -quiet sim_1] ""]} {
|
|
create_fileset -simset sim_1
|
|
}
|
|
|
|
# Set 'sim_1' fileset object
|
|
set obj [get_filesets sim_1]
|
|
set files [list \
|
|
"[file normalize "$origin_dir/verilog/dot11_side_ch_tb.v"]"
|
|
]
|
|
add_files -norecurse -fileset $obj $files
|
|
# Empty (no sources present)
|
|
|
|
# Set 'sim_1' fileset properties
|
|
set obj [get_filesets sim_1]
|
|
set_property -name "32bit" -value "0" -objects $obj
|
|
set_property -name "generic" -value "" -objects $obj
|
|
set_property -name "include_dirs" -value "" -objects $obj
|
|
set_property -name "incremental" -value "1" -objects $obj
|
|
set_property -name "name" -value "sim_1" -objects $obj
|
|
set_property -name "nl.cell" -value "" -objects $obj
|
|
set_property -name "nl.incl_unisim_models" -value "0" -objects $obj
|
|
set_property -name "nl.process_corner" -value "slow" -objects $obj
|
|
set_property -name "nl.rename_top" -value "" -objects $obj
|
|
set_property -name "nl.sdf_anno" -value "1" -objects $obj
|
|
set_property -name "nl.write_all_overrides" -value "0" -objects $obj
|
|
set_property -name "source_set" -value "sources_1" -objects $obj
|
|
set_property -name "top" -value "dot11_side_ch_tb" -objects $obj
|
|
set_property -name "transport_int_delay" -value "0" -objects $obj
|
|
set_property -name "transport_path_delay" -value "0" -objects $obj
|
|
set_property -name "verilog_define" -value "" -objects $obj
|
|
set_property -name "verilog_uppercase" -value "0" -objects $obj
|
|
set_property -name "xelab.dll" -value "0" -objects $obj
|
|
set_property -name "xsim.compile.tcl.pre" -value "" -objects $obj
|
|
set_property -name "xsim.compile.xvhdl.more_options" -value "" -objects $obj
|
|
set_property -name "xsim.compile.xvhdl.nosort" -value "1" -objects $obj
|
|
set_property -name "xsim.compile.xvhdl.relax" -value "1" -objects $obj
|
|
set_property -name "xsim.compile.xvlog.more_options" -value "" -objects $obj
|
|
set_property -name "xsim.compile.xvlog.nosort" -value "1" -objects $obj
|
|
set_property -name "xsim.compile.xvlog.relax" -value "1" -objects $obj
|
|
set_property -name "xsim.elaborate.debug_level" -value "typical" -objects $obj
|
|
set_property -name "xsim.elaborate.load_glbl" -value "1" -objects $obj
|
|
set_property -name "xsim.elaborate.mt_level" -value "auto" -objects $obj
|
|
set_property -name "xsim.elaborate.rangecheck" -value "0" -objects $obj
|
|
set_property -name "xsim.elaborate.relax" -value "1" -objects $obj
|
|
set_property -name "xsim.elaborate.sdf_delay" -value "sdfmax" -objects $obj
|
|
set_property -name "xsim.elaborate.snapshot" -value "" -objects $obj
|
|
set_property -name "xsim.elaborate.xelab.more_options" -value "" -objects $obj
|
|
set_property -name "xsim.simulate.custom_tcl" -value "" -objects $obj
|
|
set_property -name "xsim.simulate.log_all_signals" -value "0" -objects $obj
|
|
set_property -name "xsim.simulate.runtime" -value "1000ns" -objects $obj
|
|
set_property -name "xsim.simulate.saif" -value "" -objects $obj
|
|
set_property -name "xsim.simulate.saif_all_signals" -value "0" -objects $obj
|
|
set_property -name "xsim.simulate.saif_scope" -value "" -objects $obj
|
|
set_property -name "xsim.simulate.tcl.post" -value "" -objects $obj
|
|
set_property -name "xsim.simulate.wdb" -value "" -objects $obj
|
|
set_property -name "xsim.simulate.xsim.more_options" -value "" -objects $obj
|
|
|
|
# Create 'synth_1' run (if not found)
|
|
if {[string equal [get_runs -quiet synth_1] ""]} {
|
|
create_run -name synth_1 -part xczu9eg-ffvb1156-2-e -flow {Vivado Synthesis 2018} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1
|
|
} else {
|
|
set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
|
|
set_property flow "Vivado Synthesis 2018" [get_runs synth_1]
|
|
}
|
|
set obj [get_runs synth_1]
|
|
set_property set_report_strategy_name 1 $obj
|
|
set_property report_strategy {Vivado Synthesis Default Reports} $obj
|
|
set_property set_report_strategy_name 0 $obj
|
|
# Create 'synth_1_synth_report_utilization_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } {
|
|
create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.pblocks" -value "" -objects $obj
|
|
set_property -name "options.cells" -value "" -objects $obj
|
|
set_property -name "options.slr" -value "0" -objects $obj
|
|
set_property -name "options.packthru" -value "0" -objects $obj
|
|
set_property -name "options.hierarchical" -value "0" -objects $obj
|
|
set_property -name "options.hierarchical_depth" -value "" -objects $obj
|
|
set_property -name "options.hierarchical_percentages" -value "0" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
set obj [get_runs synth_1]
|
|
set_property -name "constrset" -value "constrs_1" -objects $obj
|
|
set_property -name "description" -value "Vivado Synthesis Defaults" -objects $obj
|
|
set_property -name "flow" -value "Vivado Synthesis 2018" -objects $obj
|
|
set_property -name "name" -value "synth_1" -objects $obj
|
|
set_property -name "needs_refresh" -value "0" -objects $obj
|
|
set_property -name "srcset" -value "sources_1" -objects $obj
|
|
# set_property -name "incremental_checkpoint" -value "" -objects $obj
|
|
set_property -name "include_in_archive" -value "1" -objects $obj
|
|
set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
|
|
set_property -name "steps.synth_design.tcl.pre" -value "" -objects $obj
|
|
set_property -name "steps.synth_design.tcl.post" -value "" -objects $obj
|
|
set_property -name "steps.synth_design.args.flatten_hierarchy" -value "rebuilt" -objects $obj
|
|
set_property -name "steps.synth_design.args.gated_clock_conversion" -value "off" -objects $obj
|
|
set_property -name "steps.synth_design.args.bufg" -value "12" -objects $obj
|
|
set_property -name "steps.synth_design.args.fanout_limit" -value "10000" -objects $obj
|
|
set_property -name "steps.synth_design.args.directive" -value "Default" -objects $obj
|
|
set_property -name "steps.synth_design.args.retiming" -value "0" -objects $obj
|
|
set_property -name "steps.synth_design.args.fsm_extraction" -value "auto" -objects $obj
|
|
set_property -name "steps.synth_design.args.keep_equivalent_registers" -value "0" -objects $obj
|
|
set_property -name "steps.synth_design.args.resource_sharing" -value "auto" -objects $obj
|
|
set_property -name "steps.synth_design.args.control_set_opt_threshold" -value "auto" -objects $obj
|
|
set_property -name "steps.synth_design.args.no_lc" -value "0" -objects $obj
|
|
set_property -name "steps.synth_design.args.no_srlextract" -value "0" -objects $obj
|
|
set_property -name "steps.synth_design.args.shreg_min_size" -value "3" -objects $obj
|
|
set_property -name "steps.synth_design.args.max_bram" -value "-1" -objects $obj
|
|
set_property -name "steps.synth_design.args.max_uram" -value "-1" -objects $obj
|
|
set_property -name "steps.synth_design.args.max_dsp" -value "-1" -objects $obj
|
|
set_property -name "steps.synth_design.args.max_bram_cascade_height" -value "-1" -objects $obj
|
|
set_property -name "steps.synth_design.args.max_uram_cascade_height" -value "-1" -objects $obj
|
|
set_property -name "steps.synth_design.args.cascade_dsp" -value "auto" -objects $obj
|
|
set_property -name "steps.synth_design.args.assert" -value "0" -objects $obj
|
|
set_property -name "steps.synth_design.args.more options" -value "" -objects $obj
|
|
|
|
# set the current synth run
|
|
current_run -synthesis [get_runs synth_1]
|
|
|
|
# Create 'impl_1' run (if not found)
|
|
if {[string equal [get_runs -quiet impl_1] ""]} {
|
|
create_run -name impl_1 -part xczu9eg-ffvb1156-2-e -flow {Vivado Implementation 2018} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1
|
|
} else {
|
|
set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
|
|
set_property flow "Vivado Implementation 2018" [get_runs impl_1]
|
|
}
|
|
set obj [get_runs impl_1]
|
|
set_property set_report_strategy_name 1 $obj
|
|
set_property report_strategy {Vivado Implementation Default Reports} $obj
|
|
set_property set_report_strategy_name 0 $obj
|
|
# Create 'impl_1_init_report_timing_summary_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } {
|
|
create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "0" -objects $obj
|
|
set_property -name "options.check_timing_verbose" -value "0" -objects $obj
|
|
set_property -name "options.delay_type" -value "" -objects $obj
|
|
set_property -name "options.setup" -value "0" -objects $obj
|
|
set_property -name "options.hold" -value "0" -objects $obj
|
|
set_property -name "options.max_paths" -value "10" -objects $obj
|
|
set_property -name "options.nworst" -value "" -objects $obj
|
|
set_property -name "options.unique_pins" -value "0" -objects $obj
|
|
set_property -name "options.path_type" -value "" -objects $obj
|
|
set_property -name "options.slack_lesser_than" -value "" -objects $obj
|
|
set_property -name "options.report_unconstrained" -value "0" -objects $obj
|
|
set_property -name "options.warn_on_violation" -value "0" -objects $obj
|
|
set_property -name "options.significant_digits" -value "" -objects $obj
|
|
set_property -name "options.cell" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_opt_report_drc_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } {
|
|
create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.upgrade_cw" -value "0" -objects $obj
|
|
set_property -name "options.checks" -value "" -objects $obj
|
|
set_property -name "options.ruledecks" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_opt_report_timing_summary_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } {
|
|
create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "0" -objects $obj
|
|
set_property -name "options.check_timing_verbose" -value "0" -objects $obj
|
|
set_property -name "options.delay_type" -value "" -objects $obj
|
|
set_property -name "options.setup" -value "0" -objects $obj
|
|
set_property -name "options.hold" -value "0" -objects $obj
|
|
set_property -name "options.max_paths" -value "10" -objects $obj
|
|
set_property -name "options.nworst" -value "" -objects $obj
|
|
set_property -name "options.unique_pins" -value "0" -objects $obj
|
|
set_property -name "options.path_type" -value "" -objects $obj
|
|
set_property -name "options.slack_lesser_than" -value "" -objects $obj
|
|
set_property -name "options.report_unconstrained" -value "0" -objects $obj
|
|
set_property -name "options.warn_on_violation" -value "0" -objects $obj
|
|
set_property -name "options.significant_digits" -value "" -objects $obj
|
|
set_property -name "options.cell" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } {
|
|
create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "0" -objects $obj
|
|
set_property -name "options.check_timing_verbose" -value "0" -objects $obj
|
|
set_property -name "options.delay_type" -value "" -objects $obj
|
|
set_property -name "options.setup" -value "0" -objects $obj
|
|
set_property -name "options.hold" -value "0" -objects $obj
|
|
set_property -name "options.max_paths" -value "10" -objects $obj
|
|
set_property -name "options.nworst" -value "" -objects $obj
|
|
set_property -name "options.unique_pins" -value "0" -objects $obj
|
|
set_property -name "options.path_type" -value "" -objects $obj
|
|
set_property -name "options.slack_lesser_than" -value "" -objects $obj
|
|
set_property -name "options.report_unconstrained" -value "0" -objects $obj
|
|
set_property -name "options.warn_on_violation" -value "0" -objects $obj
|
|
set_property -name "options.significant_digits" -value "" -objects $obj
|
|
set_property -name "options.cell" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_place_report_io_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } {
|
|
create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_place_report_utilization_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } {
|
|
create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.pblocks" -value "" -objects $obj
|
|
set_property -name "options.cells" -value "" -objects $obj
|
|
set_property -name "options.slr" -value "0" -objects $obj
|
|
set_property -name "options.packthru" -value "0" -objects $obj
|
|
set_property -name "options.hierarchical" -value "0" -objects $obj
|
|
set_property -name "options.hierarchical_depth" -value "" -objects $obj
|
|
set_property -name "options.hierarchical_percentages" -value "0" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_place_report_control_sets_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } {
|
|
create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.verbose" -value "1" -objects $obj
|
|
set_property -name "options.cells" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_place_report_incremental_reuse_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } {
|
|
create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "0" -objects $obj
|
|
set_property -name "options.cells" -value "" -objects $obj
|
|
set_property -name "options.hierarchical" -value "0" -objects $obj
|
|
set_property -name "options.hierarchical_depth" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_place_report_incremental_reuse_1' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } {
|
|
create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "0" -objects $obj
|
|
set_property -name "options.cells" -value "" -objects $obj
|
|
set_property -name "options.hierarchical" -value "0" -objects $obj
|
|
set_property -name "options.hierarchical_depth" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_place_report_timing_summary_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } {
|
|
create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "0" -objects $obj
|
|
set_property -name "options.check_timing_verbose" -value "0" -objects $obj
|
|
set_property -name "options.delay_type" -value "" -objects $obj
|
|
set_property -name "options.setup" -value "0" -objects $obj
|
|
set_property -name "options.hold" -value "0" -objects $obj
|
|
set_property -name "options.max_paths" -value "10" -objects $obj
|
|
set_property -name "options.nworst" -value "" -objects $obj
|
|
set_property -name "options.unique_pins" -value "0" -objects $obj
|
|
set_property -name "options.path_type" -value "" -objects $obj
|
|
set_property -name "options.slack_lesser_than" -value "" -objects $obj
|
|
set_property -name "options.report_unconstrained" -value "0" -objects $obj
|
|
set_property -name "options.warn_on_violation" -value "0" -objects $obj
|
|
set_property -name "options.significant_digits" -value "" -objects $obj
|
|
set_property -name "options.cell" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } {
|
|
create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "0" -objects $obj
|
|
set_property -name "options.check_timing_verbose" -value "0" -objects $obj
|
|
set_property -name "options.delay_type" -value "" -objects $obj
|
|
set_property -name "options.setup" -value "0" -objects $obj
|
|
set_property -name "options.hold" -value "0" -objects $obj
|
|
set_property -name "options.max_paths" -value "10" -objects $obj
|
|
set_property -name "options.nworst" -value "" -objects $obj
|
|
set_property -name "options.unique_pins" -value "0" -objects $obj
|
|
set_property -name "options.path_type" -value "" -objects $obj
|
|
set_property -name "options.slack_lesser_than" -value "" -objects $obj
|
|
set_property -name "options.report_unconstrained" -value "0" -objects $obj
|
|
set_property -name "options.warn_on_violation" -value "0" -objects $obj
|
|
set_property -name "options.significant_digits" -value "" -objects $obj
|
|
set_property -name "options.cell" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } {
|
|
create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "0" -objects $obj
|
|
set_property -name "options.check_timing_verbose" -value "0" -objects $obj
|
|
set_property -name "options.delay_type" -value "" -objects $obj
|
|
set_property -name "options.setup" -value "0" -objects $obj
|
|
set_property -name "options.hold" -value "0" -objects $obj
|
|
set_property -name "options.max_paths" -value "10" -objects $obj
|
|
set_property -name "options.nworst" -value "" -objects $obj
|
|
set_property -name "options.unique_pins" -value "0" -objects $obj
|
|
set_property -name "options.path_type" -value "" -objects $obj
|
|
set_property -name "options.slack_lesser_than" -value "" -objects $obj
|
|
set_property -name "options.report_unconstrained" -value "0" -objects $obj
|
|
set_property -name "options.warn_on_violation" -value "0" -objects $obj
|
|
set_property -name "options.significant_digits" -value "" -objects $obj
|
|
set_property -name "options.cell" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_route_report_drc_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } {
|
|
create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.upgrade_cw" -value "0" -objects $obj
|
|
set_property -name "options.checks" -value "" -objects $obj
|
|
set_property -name "options.ruledecks" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_route_report_methodology_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } {
|
|
create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.checks" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_route_report_power_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } {
|
|
create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.advisory" -value "0" -objects $obj
|
|
set_property -name "options.xpe" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_route_report_route_status_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } {
|
|
create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.of_objects" -value "" -objects $obj
|
|
set_property -name "options.route_type" -value "" -objects $obj
|
|
set_property -name "options.list_all_nets" -value "0" -objects $obj
|
|
set_property -name "options.show_all" -value "0" -objects $obj
|
|
set_property -name "options.has_routing" -value "0" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_route_report_timing_summary_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } {
|
|
create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.check_timing_verbose" -value "0" -objects $obj
|
|
set_property -name "options.delay_type" -value "" -objects $obj
|
|
set_property -name "options.setup" -value "0" -objects $obj
|
|
set_property -name "options.hold" -value "0" -objects $obj
|
|
set_property -name "options.max_paths" -value "10" -objects $obj
|
|
set_property -name "options.nworst" -value "" -objects $obj
|
|
set_property -name "options.unique_pins" -value "0" -objects $obj
|
|
set_property -name "options.path_type" -value "" -objects $obj
|
|
set_property -name "options.slack_lesser_than" -value "" -objects $obj
|
|
set_property -name "options.report_unconstrained" -value "0" -objects $obj
|
|
set_property -name "options.warn_on_violation" -value "0" -objects $obj
|
|
set_property -name "options.significant_digits" -value "" -objects $obj
|
|
set_property -name "options.cell" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_route_report_incremental_reuse_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } {
|
|
create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.cells" -value "" -objects $obj
|
|
set_property -name "options.hierarchical" -value "0" -objects $obj
|
|
set_property -name "options.hierarchical_depth" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_route_report_clock_utilization_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } {
|
|
create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.write_xdc" -value "0" -objects $obj
|
|
set_property -name "options.clock_roots_only" -value "0" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found)
|
|
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } {
|
|
create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1
|
|
}
|
|
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0]
|
|
if { $obj != "" } {
|
|
set_property -name "is_enabled" -value "1" -objects $obj
|
|
set_property -name "options.check_timing_verbose" -value "0" -objects $obj
|
|
set_property -name "options.delay_type" -value "" -objects $obj
|
|
set_property -name "options.setup" -value "0" -objects $obj
|
|
set_property -name "options.hold" -value "0" -objects $obj
|
|
set_property -name "options.max_paths" -value "10" -objects $obj
|
|
set_property -name "options.nworst" -value "" -objects $obj
|
|
set_property -name "options.unique_pins" -value "0" -objects $obj
|
|
set_property -name "options.path_type" -value "" -objects $obj
|
|
set_property -name "options.slack_lesser_than" -value "" -objects $obj
|
|
set_property -name "options.report_unconstrained" -value "0" -objects $obj
|
|
set_property -name "options.warn_on_violation" -value "1" -objects $obj
|
|
set_property -name "options.significant_digits" -value "" -objects $obj
|
|
set_property -name "options.cell" -value "" -objects $obj
|
|
set_property -name "options.more_options" -value "" -objects $obj
|
|
|
|
}
|
|
set obj [get_runs impl_1]
|
|
set_property -name "constrset" -value "constrs_1" -objects $obj
|
|
set_property -name "description" -value "Default settings for Implementation." -objects $obj
|
|
set_property -name "flow" -value "Vivado Implementation 2018" -objects $obj
|
|
set_property -name "name" -value "impl_1" -objects $obj
|
|
set_property -name "needs_refresh" -value "0" -objects $obj
|
|
set_property -name "pr_configuration" -value "" -objects $obj
|
|
set_property -name "srcset" -value "sources_1" -objects $obj
|
|
# set_property -name "incremental_checkpoint" -value "" -objects $obj
|
|
set_property -name "include_in_archive" -value "1" -objects $obj
|
|
set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj
|
|
set_property -name "steps.opt_design.is_enabled" -value "1" -objects $obj
|
|
set_property -name "steps.opt_design.tcl.pre" -value "" -objects $obj
|
|
set_property -name "steps.opt_design.tcl.post" -value "" -objects $obj
|
|
set_property -name "steps.opt_design.args.verbose" -value "0" -objects $obj
|
|
set_property -name "steps.opt_design.args.directive" -value "Default" -objects $obj
|
|
set_property -name "steps.opt_design.args.more options" -value "" -objects $obj
|
|
set_property -name "steps.power_opt_design.is_enabled" -value "0" -objects $obj
|
|
set_property -name "steps.power_opt_design.tcl.pre" -value "" -objects $obj
|
|
set_property -name "steps.power_opt_design.tcl.post" -value "" -objects $obj
|
|
set_property -name "steps.power_opt_design.args.more options" -value "" -objects $obj
|
|
set_property -name "steps.place_design.tcl.pre" -value "" -objects $obj
|
|
set_property -name "steps.place_design.tcl.post" -value "" -objects $obj
|
|
set_property -name "steps.place_design.args.directive" -value "Default" -objects $obj
|
|
set_property -name "steps.place_design.args.more options" -value "" -objects $obj
|
|
set_property -name "steps.post_place_power_opt_design.is_enabled" -value "0" -objects $obj
|
|
set_property -name "steps.post_place_power_opt_design.tcl.pre" -value "" -objects $obj
|
|
set_property -name "steps.post_place_power_opt_design.tcl.post" -value "" -objects $obj
|
|
set_property -name "steps.post_place_power_opt_design.args.more options" -value "" -objects $obj
|
|
set_property -name "steps.phys_opt_design.is_enabled" -value "0" -objects $obj
|
|
set_property -name "steps.phys_opt_design.tcl.pre" -value "" -objects $obj
|
|
set_property -name "steps.phys_opt_design.tcl.post" -value "" -objects $obj
|
|
set_property -name "steps.phys_opt_design.args.directive" -value "Default" -objects $obj
|
|
set_property -name "steps.phys_opt_design.args.more options" -value "" -objects $obj
|
|
set_property -name "steps.route_design.tcl.pre" -value "" -objects $obj
|
|
set_property -name "steps.route_design.tcl.post" -value "" -objects $obj
|
|
set_property -name "steps.route_design.args.directive" -value "Default" -objects $obj
|
|
set_property -name "steps.route_design.args.more options" -value "" -objects $obj
|
|
set_property -name "steps.post_route_phys_opt_design.is_enabled" -value "0" -objects $obj
|
|
set_property -name "steps.post_route_phys_opt_design.tcl.pre" -value "" -objects $obj
|
|
set_property -name "steps.post_route_phys_opt_design.tcl.post" -value "" -objects $obj
|
|
set_property -name "steps.post_route_phys_opt_design.args.directive" -value "Default" -objects $obj
|
|
set_property -name "steps.post_route_phys_opt_design.args.more options" -value "" -objects $obj
|
|
set_property -name "steps.write_bitstream.tcl.pre" -value "" -objects $obj
|
|
set_property -name "steps.write_bitstream.tcl.post" -value "" -objects $obj
|
|
set_property -name "steps.write_bitstream.args.raw_bitfile" -value "0" -objects $obj
|
|
set_property -name "steps.write_bitstream.args.mask_file" -value "0" -objects $obj
|
|
set_property -name "steps.write_bitstream.args.no_binary_bitfile" -value "0" -objects $obj
|
|
set_property -name "steps.write_bitstream.args.bin_file" -value "0" -objects $obj
|
|
set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj
|
|
set_property -name "steps.write_bitstream.args.logic_location_file" -value "0" -objects $obj
|
|
set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj
|
|
set_property -name "steps.write_bitstream.args.more options" -value "" -objects $obj
|
|
|
|
# set the current impl run
|
|
current_run -implementation [get_runs impl_1]
|
|
|
|
puts "INFO: Project created:$project_name"
|