diff --git a/openofdm_rx_side_ch_sim_ultra_scale.tcl b/openofdm_rx_side_ch_sim_ultra_scale.tcl new file mode 100644 index 0000000..21b924d --- /dev/null +++ b/openofdm_rx_side_ch_sim_ultra_scale.tcl @@ -0,0 +1,763 @@ +#***************************************************************************************** +# +# By xianjun.jiao@imec.be; wei.liu@imec.be +# +# This file contains the Vivado Tcl commands for re-creating the project to the state* +# when this script was generated. In order to re-create the project, please source this +# file in the Vivado Tcl Shell. +# +# * Note that the runs in the created project will be configured the same way as the +# original project, however they will not be launched automatically. To regenerate the +# run results please launch the synthesis/implementation runs as needed. +# +# +#***************************************************************************************** + +# Set the reference directory for source file relative paths (by default the value is script directory path) +set origin_dir [file dirname [info script]] + +# Use origin directory path location variable, if specified in the tcl shell +if { [info exists ::origin_dir_loc] } { + set origin_dir $::origin_dir_loc +} + +# Set the project name +set project_name "openofdm_rx_side_ch_sim_ultra_scale" + +# Use project name variable, if specified in the tcl shell +if { [info exists ::user_project_name] } { + set project_name $::user_project_name +} + +variable script_file +set script_file "openofdm_rx_side_ch_sim_ultra_scale.tcl" + +# Help information for this script +proc help {} { + variable script_file + puts "\nDescription:" + puts "Recreate a Vivado project from this script. The created project will be" + puts "functionally equivalent to the original project for which this script was" + puts "generated. The script contains commands for creating a project, filesets," + puts "runs, adding/importing sources and setting properties on various objects.\n" + puts "Syntax:" + puts "$script_file" + puts "$script_file -tclargs \[--origin_dir \]" + puts "$script_file -tclargs \[--project_name \]" + puts "$script_file -tclargs \[--help\]\n" + puts "Usage:" + puts "Name Description" + puts "-------------------------------------------------------------------------" + puts "\[--origin_dir \] Determine source file paths wrt this path. Default" + puts " origin_dir path value is \".\", otherwise, the value" + puts " that was set with the \"-paths_relative_to\" switch" + puts " when this script was generated.\n" + puts "\[--project_name \] Create project with the specified name. Default" + puts " name is the name of the project from where this" + puts " script was generated.\n" + puts "\[--help\] Print help information for this script" + puts "-------------------------------------------------------------------------\n" + exit 0 +} + +if { $::argc > 0 } { + for {set i 0} {$i < [llength $::argc]} {incr i} { + set option [string trim [lindex $::argv $i]] + switch -regexp -- $option { + "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } + "--project_name" { incr i; set project_name [lindex $::argv $i] } + "--help" { help } + default { + if { [regexp {^-} $option] } { + puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" + return 1 + } + } + } + } +} + +# Set the directory path for the original project from where this script was exported +set src_dir "[file normalize "$origin_dir/verilog"]" + +# Create project +create_project ${project_name} ./${project_name} -part xczu9eg-ffvb1156-2-e + +# Set the directory path for the new project +set proj_dir [get_property directory [current_project]] + +# Reconstruct message rules +# None + +# Set project properties +set obj [current_project] +set_property -name "board_connections" -value "" -objects $obj +set_property -name "board_part" -value "xilinx.com:zcu102:part0:3.1" -objects $obj +set_property -name "compxlib.activehdl_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/activehdl" -objects $obj +set_property -name "compxlib.funcsim" -value "1" -objects $obj +set_property -name "compxlib.ies_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/ies" -objects $obj +set_property -name "compxlib.modelsim_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/modelsim" -objects $obj +set_property -name "compxlib.overwrite_libs" -value "0" -objects $obj +set_property -name "compxlib.questa_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/questa" -objects $obj +set_property -name "compxlib.riviera_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/riviera" -objects $obj +set_property -name "compxlib.timesim" -value "1" -objects $obj +set_property -name "compxlib.vcs_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/vcs" -objects $obj +set_property -name "compxlib.xsim_compiled_library_dir" -value "" -objects $obj +set_property -name "corecontainer.enable" -value "0" -objects $obj +set_property -name "default_lib" -value "xil_defaultlib" -objects $obj +set_property -name "dsa.num_compute_units" -value "60" -objects $obj +set_property -name "dsa.rom.debug_type" -value "0" -objects $obj +set_property -name "dsa.rom.prom_type" -value "0" -objects $obj +set_property -name "enable_optional_runs_sta" -value "0" -objects $obj +set_property -name "generate_ip_upgrade_log" -value "1" -objects $obj +set_property -name "ip_cache_permissions" -value "read write" -objects $obj +set_property -name "ip_interface_inference_priority" -value "" -objects $obj +set_property -name "ip_output_repo" -value "$proj_dir/${project_name}.cache/ip" -objects $obj +set_property -name "project_type" -value "Default" -objects $obj +set_property -name "pr_flow" -value "0" -objects $obj +set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj +set_property -name "sim.use_ip_compiled_libs" -value "1" -objects $obj +set_property -name "simulator_language" -value "Mixed" -objects $obj +set_property -name "source_mgmt_mode" -value "All" -objects $obj +set_property -name "target_language" -value "Verilog" -objects $obj +set_property -name "target_simulator" -value "XSim" -objects $obj +set_property -name "xpm_libraries" -value "XPM_MEMORY" -objects $obj +set_property -name "xsim.array_display_limit" -value "1024" -objects $obj +set_property -name "xsim.radix" -value "hex" -objects $obj +set_property -name "xsim.time_unit" -value "ns" -objects $obj +set_property -name "xsim.trace_limit" -value "65536" -objects $obj + +# Create 'sources_1' fileset (if not found) +if {[string equal [get_filesets -quiet sources_1] ""]} { + create_fileset -srcset sources_1 +} + +# Set IP repository paths +set obj [get_filesets sources_1] +set_property "ip_repo_paths" "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynquplus"]" $obj + +# Rebuild user ip_repo's index before adding any source files +update_ip_catalog -rebuild + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +set files [list \ + [file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/complex_multiplier/complex_multiplier.xci"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/atan_lut/atan_lut.xci"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/bits_to_bytes.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/calc_mean.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/complex_mult.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/complex_to_mag.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/complex_to_mag_sq.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/crc32.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/deinterleave.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/delayT.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/delay_sample.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/common_defs.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/demodulate.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/descramble.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/divider.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/dot11.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/equalizer.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/ht_sig_crc.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/moving_avg.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/ofdm_decoder.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/openofdm_rx_s_axi.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/phase.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/usrp2/ram_2port.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/rotate.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/stage_mult.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/sync_long.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/sync_short.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/openofdm_rx.v"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/deinter_lut/deinter_lut.coe"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/atan_lut/atan_lut.coe"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/rot_lut/rot_lut.coe"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/viterbi/viterbi_v7_0.xci"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/deinter_lut/deinter_lut.xci"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen_div_gen_0_0/div_gen_div_gen_0_0.xci"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen_xlslice_0_0/div_gen_xlslice_0_0.xci"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/xfft/xfft_v9.xci"] \ + [file normalize "${origin_dir}/../openofdminternal/verilog/Xilinx/zynquplus/rot_lut/rot_lut.xci"] \ + [file normalize "${origin_dir}/../openwifi-hw/ip/xpu/src/phy_rx_parse.v"] \ + [file normalize "${origin_dir}/../openwifi-hw/ip/side_ch/src/side_ch_control.v"] \ + [file normalize "${origin_dir}/../openwifi-hw/ip/side_ch/src/side_ch_m_axis.v"] \ + [file normalize "${origin_dir}/../openwifi-hw/ip_repo/ultra_scale/fifo64_1clk_dep4k/src/fifo64_1clk_dep4k_fifo_generator_0_0/fifo64_1clk_dep4k_fifo_generator_0_0.xci"]\ + [file normalize "${origin_dir}/../openwifi-hw/ip_repo/ultra_scale/fifo64_1clk_dep4k/src/fifo64_1clk_dep4k.v"]\ +] +# If you want to make a copy of the file to new src folder, use following command +# set imported_files [import_files -fileset sources_1 $files] +# If you want to keep the files remote, use the following command +# set added_files [add_files -fileset sources_1 $files] +add_files -norecurse -fileset $obj $files + +# #Set 'sources_1' fileset file properties for remote files +#set file "$origin_dir/verilog/coregen/div_gen_v3_0.ngc" +#set file [file normalize $file] +#set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +#set_property -name "file_type" -value "NGC" -objects $file_obj + +set file "openofdm_rx_s_axi.v" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "synthesis simulation" -objects $file_obj +set_property -name "used_in_implementation" -value "0" -objects $file_obj + +set file "openofdm_rx.v" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "synthesis simulation" -objects $file_obj +set_property -name "used_in_implementation" -value "0" -objects $file_obj + + +# Set 'sources_1' fileset file properties for local files + +# Set 'sources_1' fileset properties +set obj [get_filesets sources_1] +set_property -name "top" -value "openofdm_rx" -objects $obj + +# Create 'constrs_1' fileset (if not found) +if {[string equal [get_filesets -quiet constrs_1] ""]} { + create_fileset -constrset constrs_1 +} + +# Set 'constrs_1' fileset object +set obj [get_filesets constrs_1] + +# Empty (no sources present) + + +# Create constraints ! +# Set 'constrs_1' fileset properties +set obj [get_filesets constrs_1] + +# Create runs +# Create 'sim_1' fileset (if not found) +if {[string equal [get_filesets -quiet sim_1] ""]} { + create_fileset -simset sim_1 +} + +# Set 'sim_1' fileset object +set obj [get_filesets sim_1] +set files [list \ + "[file normalize "$origin_dir/verilog/dot11_side_ch_tb.v"]" +] +add_files -norecurse -fileset $obj $files +# Empty (no sources present) + +# Set 'sim_1' fileset properties +set obj [get_filesets sim_1] +set_property -name "32bit" -value "0" -objects $obj +set_property -name "generic" -value "" -objects $obj +set_property -name "include_dirs" -value "" -objects $obj +set_property -name "incremental" -value "1" -objects $obj +set_property -name "name" -value "sim_1" -objects $obj +set_property -name "nl.cell" -value "" -objects $obj +set_property -name "nl.incl_unisim_models" -value "0" -objects $obj +set_property -name "nl.process_corner" -value "slow" -objects $obj +set_property -name "nl.rename_top" -value "" -objects $obj +set_property -name "nl.sdf_anno" -value "1" -objects $obj +set_property -name "nl.write_all_overrides" -value "0" -objects $obj +set_property -name "source_set" -value "sources_1" -objects $obj +set_property -name "top" -value "dot11_side_ch_tb" -objects $obj +set_property -name "transport_int_delay" -value "0" -objects $obj +set_property -name "transport_path_delay" -value "0" -objects $obj +set_property -name "verilog_define" -value "" -objects $obj +set_property -name "verilog_uppercase" -value "0" -objects $obj +set_property -name "xelab.dll" -value "0" -objects $obj +set_property -name "xsim.compile.tcl.pre" -value "" -objects $obj +set_property -name "xsim.compile.xvhdl.more_options" -value "" -objects $obj +set_property -name "xsim.compile.xvhdl.nosort" -value "1" -objects $obj +set_property -name "xsim.compile.xvhdl.relax" -value "1" -objects $obj +set_property -name "xsim.compile.xvlog.more_options" -value "" -objects $obj +set_property -name "xsim.compile.xvlog.nosort" -value "1" -objects $obj +set_property -name "xsim.compile.xvlog.relax" -value "1" -objects $obj +set_property -name "xsim.elaborate.debug_level" -value "typical" -objects $obj +set_property -name "xsim.elaborate.load_glbl" -value "1" -objects $obj +set_property -name "xsim.elaborate.mt_level" -value "auto" -objects $obj +set_property -name "xsim.elaborate.rangecheck" -value "0" -objects $obj +set_property -name "xsim.elaborate.relax" -value "1" -objects $obj +set_property -name "xsim.elaborate.sdf_delay" -value "sdfmax" -objects $obj +set_property -name "xsim.elaborate.snapshot" -value "" -objects $obj +set_property -name "xsim.elaborate.xelab.more_options" -value "" -objects $obj +set_property -name "xsim.simulate.custom_tcl" -value "" -objects $obj +set_property -name "xsim.simulate.log_all_signals" -value "0" -objects $obj +set_property -name "xsim.simulate.runtime" -value "1000ns" -objects $obj +set_property -name "xsim.simulate.saif" -value "" -objects $obj +set_property -name "xsim.simulate.saif_all_signals" -value "0" -objects $obj +set_property -name "xsim.simulate.saif_scope" -value "" -objects $obj +set_property -name "xsim.simulate.tcl.post" -value "" -objects $obj +set_property -name "xsim.simulate.wdb" -value "" -objects $obj +set_property -name "xsim.simulate.xsim.more_options" -value "" -objects $obj + +# Create 'synth_1' run (if not found) +if {[string equal [get_runs -quiet synth_1] ""]} { + create_run -name synth_1 -part xczu9eg-ffvb1156-2-e -flow {Vivado Synthesis 2018} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1 +} else { + set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] + set_property flow "Vivado Synthesis 2018" [get_runs synth_1] +} +set obj [get_runs synth_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Synthesis Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'synth_1_synth_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } { + create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1 +} +set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.pblocks" -value "" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.slr" -value "0" -objects $obj +set_property -name "options.packthru" -value "0" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.hierarchical_percentages" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +set obj [get_runs synth_1] +set_property -name "constrset" -value "constrs_1" -objects $obj +set_property -name "description" -value "Vivado Synthesis Defaults" -objects $obj +set_property -name "flow" -value "Vivado Synthesis 2018" -objects $obj +set_property -name "name" -value "synth_1" -objects $obj +set_property -name "needs_refresh" -value "0" -objects $obj +set_property -name "srcset" -value "sources_1" -objects $obj +# set_property -name "incremental_checkpoint" -value "" -objects $obj +set_property -name "include_in_archive" -value "1" -objects $obj +set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj +set_property -name "steps.synth_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.synth_design.tcl.post" -value "" -objects $obj +set_property -name "steps.synth_design.args.flatten_hierarchy" -value "rebuilt" -objects $obj +set_property -name "steps.synth_design.args.gated_clock_conversion" -value "off" -objects $obj +set_property -name "steps.synth_design.args.bufg" -value "12" -objects $obj +set_property -name "steps.synth_design.args.fanout_limit" -value "10000" -objects $obj +set_property -name "steps.synth_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.synth_design.args.retiming" -value "0" -objects $obj +set_property -name "steps.synth_design.args.fsm_extraction" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.keep_equivalent_registers" -value "0" -objects $obj +set_property -name "steps.synth_design.args.resource_sharing" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.control_set_opt_threshold" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.no_lc" -value "0" -objects $obj +set_property -name "steps.synth_design.args.no_srlextract" -value "0" -objects $obj +set_property -name "steps.synth_design.args.shreg_min_size" -value "3" -objects $obj +set_property -name "steps.synth_design.args.max_bram" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_uram" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_dsp" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_bram_cascade_height" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_uram_cascade_height" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.cascade_dsp" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.assert" -value "0" -objects $obj +set_property -name "steps.synth_design.args.more options" -value "" -objects $obj + +# set the current synth run +current_run -synthesis [get_runs synth_1] + +# Create 'impl_1' run (if not found) +if {[string equal [get_runs -quiet impl_1] ""]} { + create_run -name impl_1 -part xczu9eg-ffvb1156-2-e -flow {Vivado Implementation 2018} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1 +} else { + set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] + set_property flow "Vivado Implementation 2018" [get_runs impl_1] +} +set obj [get_runs impl_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Implementation Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'impl_1_init_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_opt_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } { + create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.upgrade_cw" -value "0" -objects $obj +set_property -name "options.checks" -value "" -objects $obj +set_property -name "options.ruledecks" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_io_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } { + create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } { + create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.pblocks" -value "" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.slr" -value "0" -objects $obj +set_property -name "options.packthru" -value "0" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.hierarchical_percentages" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_control_sets_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } { + create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.verbose" -value "1" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_1' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } { + create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.upgrade_cw" -value "0" -objects $obj +set_property -name "options.checks" -value "" -objects $obj +set_property -name "options.ruledecks" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_methodology_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } { + create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.checks" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_power_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } { + create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.advisory" -value "0" -objects $obj +set_property -name "options.xpe" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_route_status_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } { + create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.of_objects" -value "" -objects $obj +set_property -name "options.route_type" -value "" -objects $obj +set_property -name "options.list_all_nets" -value "0" -objects $obj +set_property -name "options.show_all" -value "0" -objects $obj +set_property -name "options.has_routing" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_clock_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } { + create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.write_xdc" -value "0" -objects $obj +set_property -name "options.clock_roots_only" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "1" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +set obj [get_runs impl_1] +set_property -name "constrset" -value "constrs_1" -objects $obj +set_property -name "description" -value "Default settings for Implementation." -objects $obj +set_property -name "flow" -value "Vivado Implementation 2018" -objects $obj +set_property -name "name" -value "impl_1" -objects $obj +set_property -name "needs_refresh" -value "0" -objects $obj +set_property -name "pr_configuration" -value "" -objects $obj +set_property -name "srcset" -value "sources_1" -objects $obj +# set_property -name "incremental_checkpoint" -value "" -objects $obj +set_property -name "include_in_archive" -value "1" -objects $obj +set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj +set_property -name "steps.opt_design.is_enabled" -value "1" -objects $obj +set_property -name "steps.opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.opt_design.args.verbose" -value "0" -objects $obj +set_property -name "steps.opt_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.power_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.power_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.power_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.power_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.place_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.place_design.tcl.post" -value "" -objects $obj +set_property -name "steps.place_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.place_design.args.more options" -value "" -objects $obj +set_property -name "steps.post_place_power_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.post_place_power_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.post_place_power_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.post_place_power_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.phys_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.phys_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.phys_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.phys_opt_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.phys_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.route_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.route_design.tcl.post" -value "" -objects $obj +set_property -name "steps.route_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.route_design.args.more options" -value "" -objects $obj +set_property -name "steps.post_route_phys_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.post_route_phys_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.post_route_phys_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.post_route_phys_opt_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.post_route_phys_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.write_bitstream.tcl.pre" -value "" -objects $obj +set_property -name "steps.write_bitstream.tcl.post" -value "" -objects $obj +set_property -name "steps.write_bitstream.args.raw_bitfile" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.mask_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.no_binary_bitfile" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.bin_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.logic_location_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.more options" -value "" -objects $obj + +# set the current impl run +current_run -implementation [get_runs impl_1] + +puts "INFO: Project created:$project_name" diff --git a/openofdm_rx_ultra_scale.tcl b/openofdm_rx_ultra_scale.tcl index b27cff0..8f15d1d 100644 --- a/openofdm_rx_ultra_scale.tcl +++ b/openofdm_rx_ultra_scale.tcl @@ -2,9 +2,6 @@ # # By xianjun.jiao@imec.be; wei.liu@imec.be # -# Generated by Vivado on Mon Jan 21 11:32:41 +0100 2019 -# IP Build 2095745 on Tue Jan 30 17:13:15 MST 2018 -# # This file contains the Vivado Tcl commands for re-creating the project to the state* # when this script was generated. In order to re-create the project, please source this # file in the Vivado Tcl Shell. diff --git a/testing_inputs/conducted/dot11a_48mbps_qos_data_e4_90_7e_15_2a_16_e8_de_27_90_6e_42_openwifi-1.txt b/testing_inputs/conducted/dot11a_48mbps_qos_data_e4_90_7e_15_2a_16_e8_de_27_90_6e_42_openwifi-1.txt new file mode 100644 index 0000000..08a8736 --- /dev/null +++ b/testing_inputs/conducted/dot11a_48mbps_qos_data_e4_90_7e_15_2a_16_e8_de_27_90_6e_42_openwifi-1.txt @@ -0,0 +1,801 @@ +-2 -1 +-8 -4 +-10 1 +-2 7 +-1 1 +1 -3 +-1 1 +3 2 +6 5 +-4 2 +-6 -1 +-7 1 +-4 1 +0 3 +-3 7 +-9 1 +-7 0 +-6 -4 +-4 1 +-4 2 +-4 -3 +3 6 +2 1 +5 -5 +9 -3 +6 -4 +6 -2 +4 -1 +5 -1 +7 0 +1 -2 +-6 0 +-1 -3 +5 -5 +8 -7 +5 -12 +4 -8 +2 1 +-1 3 +-6 -2 +0 -1 +-2 3 +6 -2 +3 1 +-6 1 +-1 -4 +1 -4 +-2 -5 +-3 -7 +1 -4 +6 0 +1 -4 +1 -8 +2 -5 +6 -4 +10 5 +6 1 +3 -8 +7 1 +1 -1 +-1 -1 +1 4 +4 7 +5 1 +4 -3 +1 -5 +-2 -5 +-5 1 +3 3 +21 -21 +37 -37 +49 -51 +72 -45 +65 -31 +185 581 +1051 4976 +3126 7961 +4265 -542 +-293 -6718 +-960 128 +5981 -777 +947 -4540 +-8880 -19 +-9301 2452 +-8659 946 +-1465 -4225 +6510 -3204 +342 955 +-2762 -5640 +3852 -3560 +5479 7207 +3503 8732 +4616 7000 +4126 -1512 +-1716 -6639 +-953 261 +5875 -2048 +61 -4692 +-8865 1849 +-8765 4417 +-8494 2757 +-2386 -3943 +5848 -4566 +576 899 +-3912 -4944 +3096 -4260 +6946 6014 +5299 7931 +6071 6029 +3944 -2290 +-2982 -6186 +-884 506 +5498 -3116 +-849 -4647 +-8567 3555 +-7965 6079 +-7917 4319 +-3108 -3438 +4959 -5596 +749 783 +-4854 -4184 +2315 -4789 +8080 4643 +6763 6836 +7165 4835 +3437 -3012 +-4170 -5535 +-808 640 +4858 -4137 +-1729 -4440 +-7847 5091 +-6786 7420 +-7103 5680 +-3745 -2774 +3942 -6396 +876 616 +-5622 -3256 +1430 -5109 +8944 3093 +8027 5457 +8058 3380 +2851 -3660 +-5207 -4687 +-695 781 +4093 -4964 +-2540 -3972 +-6818 6557 +-5310 8619 +-5978 6959 +-4286 -2023 +2679 -7035 +999 501 +-6215 -2021 +337 -5273 +9404 1290 +9038 3835 +8635 1831 +2182 -4065 +-5973 -3602 +-521 941 +3211 -5578 +-3245 -3490 +-5755 7483 +-3933 9268 +-4817 7771 +-4495 -1346 +1606 -7288 +1031 300 +-6473 -1220 +-392 -5268 +9558 64 +9484 2592 +8789 608 +1595 -4298 +-6490 -2736 +-432 929 +2346 -5981 +-3700 -2962 +-4530 8220 +-2459 9717 +-3582 8368 +-4751 -659 +313 -7444 +1094 126 +-6587 -54 +-1286 -5081 +9471 -1616 +9817 882 +8814 -915 +907 -4509 +-6876 -1574 +-225 1020 +1325 -6224 +-4207 -2245 +-3066 8870 +-715 9973 +-2028 8829 +-4734 227 +-950 -7304 +1149 -70 +-6498 1105 +-2177 -4758 +9019 -3249 +9843 -861 +8595 -2467 +41 -4554 +-7120 -257 +-74 1044 +145 -6324 +-4584 -1475 +-1457 9218 +1088 9886 +-435 9017 +-4665 1096 +-2247 -7023 +1066 -265 +-6287 2154 +-2921 -4301 +8412 -4645 +9605 -2444 +8087 -3816 +-747 -4518 +-7162 821 +109 1011 +-750 -6295 +-4745 -738 +0 9313 +2590 9543 +922 8920 +-4475 1746 +-3301 -6606 +1049 -375 +-5865 3130 +-3597 -3780 +7320 -5884 +7073 -2788 +4010 2172 +-5333 5388 +-8273 6505 +-1114 9712 +-2652 4919 +-5349 -2784 +1417 -5111 +1383 -6908 +-8325 -2416 +-7476 -1545 +-455 -5806 +5925 -1936 +5981 -3823 +-2691 -8646 +1336 -3287 +3408 3627 +-3378 8094 +1347 6844 +5228 -527 +6217 -1163 +2275 7091 +-2377 9104 +3754 3597 +3077 -3033 +2672 -6565 +6799 2526 +7061 6181 +6795 -4457 +-1912 -8956 +-2310 -7600 +7085 -1731 +4716 -494 +-1956 -5356 +-8301 -1350 +-7385 -2853 +1901 -6580 +2753 -4751 +-5498 -4130 +-9502 794 +-3622 -2349 +3062 -2978 +6968 4514 +5828 -3157 +2357 -6970 +4919 1287 +1365 6948 +-940 8990 +6633 1880 +6344 -1740 +1386 5006 +-4539 3455 +-9960 -1857 +-8691 4475 +-6868 5927 +-2364 -3519 +2692 843 +4707 8506 +9131 2541 +6676 3058 +-4214 7529 +-7061 -2132 +-5396 -8625 +-9150 -1656 +-4719 -684 +4266 -828 +-675 7435 +-2564 10263 +5293 8082 +1070 5415 +-6052 1310 +-2139 -4809 +-3288 -6144 +-8165 3515 +-6968 3621 +-4087 -4099 +3542 -5237 +2466 -6706 +-7566 -4803 +-1097 -3309 +4989 584 +2611 8287 +5548 4202 +3759 -3857 +4042 -5008 +6508 3685 +4239 8258 +5307 130 +381 -4268 +-2378 -6609 +6846 -2645 +9636 -74 +2455 -7808 +-7360 -5428 +-6871 -4093 +4302 -5867 +3394 -3460 +-5009 -2729 +-7425 4316 +-7664 2594 +-2723 -6089 +-853 -5262 +-6985 435 +-7038 6605 +-4392 514 +518 -4175 +8478 -985 +2715 -6071 +-2514 -6728 +4765 -2148 +5588 4380 +5011 7372 +6418 -2794 +3931 -5299 +4353 2871 +-1312 5479 +-9071 5058 +-3980 8967 +-1543 8834 +-4244 -1116 +2583 -1105 +9276 3227 +8810 -4116 +7175 -2026 +1706 8382 +-6827 3109 +-9886 -2793 +-8188 4754 +-4070 2563 +2728 -3425 +4296 5885 +4703 9256 +9464 2534 +4430 3314 +-3830 4926 +-4807 -2183 +-6608 -2436 +-4144 7894 +-3103 7144 +-5950 -394 +-765 -6163 +-2527 -6512 +-9015 1434 +-3076 -1769 +4245 -2923 +7520 4295 +7077 -625 +365 -5293 +-155 -6307 +7441 -1596 +8779 3258 +4238 -3444 +-2500 -3395 +-6178 -3265 +3526 -6480 +7376 -6464 +-3350 -7318 +-9370 898 +-8087 1459 +-512 -7439 +3153 -7542 +5112 -6516 +10490 -5762 +10569 -6651 +5190 -4842 +4478 2174 +5571 8251 +-3426 5416 +-5231 -2156 +-360 -1931 +-1851 4932 +-2986 243 +-5495 -7302 +-1379 -3453 +5343 -712 +-436 -785 +-2322 -4398 +5188 -4066 +7383 6135 +1948 6440 +-5217 3259 +-9067 8004 +-8350 9812 +-7474 4478 +-4791 527 +4752 2489 +4939 -994 +-6194 2525 +-7688 9664 +-3719 -1728 +-5507 -5610 +-8516 -90 +-4216 4964 +371 13334 +1197 2997 +9036 -7607 +8908 -2546 +-789 -1064 +1183 393 +2028 -1113 +-2476 -4558 +2899 -4010 +7394 -4680 +28 -3390 +-5680 -3006 +-3462 186 +-1135 8135 +-1734 6005 +-5229 -418 +-1932 1208 +1755 2207 +-2038 250 +695 7002 +-927 12459 +559 2028 +11791 -6498 +4738 -5270 +-4656 -6310 +-6697 -3712 +-5088 -1325 +4840 -9395 +1564 -8623 +-878 3875 +4786 4849 +-228 -3713 +-728 -8585 +4585 -11081 +3975 -11708 +890 -6893 +4807 -1265 +9592 2559 +751 6269 +-5551 1771 +-1542 -1167 +1728 4924 +-2171 2122 +-9056 -1964 +-3327 -1737 +3747 -3909 +-393 45 +-4045 2524 +-7730 -1700 +-1838 -9224 +4954 -4851 +-168 -4853 +567 -13029 +9353 -4928 +11168 5315 +6307 2106 +4400 1635 +-2590 3050 +-6986 -4058 +-4322 -7420 +-7247 -390 +-6442 3733 +-3223 1332 +-3150 -2265 +421 -4848 +1109 -1438 +-4432 3235 +-3035 -738 +7324 -7331 +8850 -7574 +5789 -3639 +2907 -2868 +-2633 -6732 +1005 -6565 +2713 -146 +-212 3178 +-4056 1477 +-10349 -787 +-1625 -1500 +7125 -1378 +7782 -4099 +10304 -6262 +2630 -4001 +-4111 3126 +-404 7226 +297 -618 +-6024 1824 +-4979 12579 +502 3931 +-3198 -1080 +-744 5014 +-206 1734 +-2961 -2609 +4202 -1788 +2665 3309 +-672 5849 +-4526 2997 +-5542 3389 +1802 4393 +-4272 4959 +-571 3727 +5793 1079 +-1181 718 +5966 532 +6622 2293 +2856 4030 +4020 9314 +-3455 15522 +1121 10722 +7650 -1674 +5993 -12074 +4138 -5089 +-6011 3851 +-7722 -5404 +576 -6922 +-3349 -3517 +-8216 -9991 +3912 -9691 +12171 -3284 +6351 -2500 +4529 -1575 +53 3938 +-8060 1478 +-8274 -2715 +-5991 4423 +-2662 6931 +-1548 2892 +-2343 470 +-1121 -2618 +-3939 -4605 +-2200 4272 +2586 5415 +5876 -5594 +8395 -6744 +4341 -5273 +3159 -9763 +2857 -8282 +-884 -5439 +-6117 -3551 +-12605 7354 +-8513 13177 +1661 7838 +8160 1792 +4860 1851 +-3845 10318 +-1517 11123 +5446 7475 +12168 8753 +10724 3643 +-1671 2745 +-3485 4438 +5318 -2850 +8193 -699 +-1135 6735 +-666 4639 +8156 2646 +-1270 -1070 +-5037 -4303 +-309 3308 +-4689 5333 +-6187 -706 +-4957 -2890 +-736 -6696 +-1829 -6739 +-2997 -3927 +4218 -1063 +900 2374 +78 -6498 +924 -10065 +-4331 -2213 +3815 -3258 +7833 -3040 +3490 3979 +2378 8805 +-6182 5586 +-9033 -1532 +-1035 -3571 +-2518 -1625 +-7728 3703 +-2601 -122 +776 -7345 +-3181 -1387 +-3038 257 +3249 -3761 +7328 -1733 +2080 6932 +-3228 10991 +-935 1134 +2593 -1842 +105 -1770 +-8146 -7485 +-6525 -4071 +-2522 -1348 +-6042 -745 +1067 4530 +5564 2259 +867 -7989 +2080 -10449 +-87 -6695 +-3959 -9274 +-3209 -7995 +-4220 -3454 +-7064 1332 +-5208 13621 +1733 15274 +6337 4747 +7335 -3732 +3191 -3234 +-87 53 +4 23 +23 -33 +3 -4 +3 1 +1 2 +1 1 +1 0 +0 3 +1 9 +3 1 +2 -6 +7 -11 +0 -4 +-8 1 +-3 3 +3 6 +9 2 +3 6 +1 10 +5 4 +5 3 +6 5 +8 7 +5 4 +0 1 +3 -1 +2 1 +11 3 +0 -6 +-5 -11 +-2 -9 +1 -5 +3 0 +3 -4 +1 -6 +2 -2 +-5 1 +-10 1 +-9 1 +-2 3 +-5 2 +-4 -4 +2 -8 +3 -10 +-1 -5 +-2 -5 +-2 -5 +-3 -7 +-1 0 +3 2 +-3 1 +-2 4 +3 8 +2 6 +4 5 +1 0 +-4 0 +-3 2 +-2 4 +-1 -5 +4 3 +-3 1 +-5 2 +-1 1 +3 3 +3 -1 +2 1 +-1 1 +0 0 +4 -2 +6 1 +4 -6 +4 -8 +2 1 +5 2 +3 1 +-3 5 +1 5 +-2 -2 +-3 1 +2 7 +7 12 +8 8 +1 7 +-4 12 +-2 9 +-3 -1 +-4 2 +0 2 +1 3 +0 1 +-2 2 +-3 6 +5 -2 +2 -6 +-1 -4 +-1 3 +2 2 +6 7 +8 9 +12 -5 +11 -6 +7 -5 +3 -10 +6 -3 +9 -6 +7 -2 +-1 1 +-1 2 +3 6 +3 4 +1 -7 +2 -5 +-1 0 +-1 5 +1 6 +7 2 +9 1 +1 0 +0 -9 +5 2 +8 0 +6 -7 +6 -2 +-3 3 +1 5 +-4 9 +-3 -3 +-2 -2 +3 8 +3 10 +2 5 +4 -1 +9 4 +7 1 +6 -6 +4 -3 +4 -2 +8 0 +7 -1 +7 -1 +-3 4 +6 -3 +7 -3 +4 2 +1 8 +0 7 +-3 0 +-3 -2 +-5 -2 +-5 2 +-6 -2 +-3 2 +-3 5 +-2 4 +-2 3 +3 3 +2 -2 +6 2 +6 2 +4 1 +-5 -1 +-1 0 +6 6 diff --git a/testing_inputs/conducted/dot11n_52mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt b/testing_inputs/conducted/dot11n_52mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt new file mode 100644 index 0000000..2057007 --- /dev/null +++ b/testing_inputs/conducted/dot11n_52mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt @@ -0,0 +1,23040 @@ +3 11 +-5 10 +-5 4 +-6 2 +2 3 +9 0 +22 8 +30 19 +40 13 +38 19 +49 20 +-114 322 +-2090 3811 +-3968 8880 +1894 4508 +5593 -5505 +-253 -2606 +3981 3543 +5579 -1912 +-5674 -6230 +-9135 -4658 +-8228 -5229 +-739 -5209 +7884 1189 +1217 2702 +758 -5407 +5845 -2274 +-31 8711 +-3619 9907 +-2300 9669 +2919 3989 +4539 -6612 +-699 -2554 +4784 2684 +5240 -3007 +-7028 -4857 +-10158 -2583 +-9304 -3425 +-1801 -5032 +8141 -570 +1750 2344 +-485 -5486 +5272 -3445 +1843 8602 +-1422 10531 +-147 9998 +3803 3334 +3137 -7468 +-1294 -2376 +5255 1664 +4565 -4045 +-8042 -3335 +-10749 -484 +-10040 -1475 +-2873 -4540 +7962 -2088 +2236 2026 +-1515 -5355 +4615 -4438 +3503 8124 +578 10666 +1689 9910 +4379 2512 +1625 -7939 +-1770 -2064 +5558 547 +3756 -4911 +-8590 -1680 +-10751 1684 +-10299 543 +-3778 -3908 +7497 -3737 +2636 1487 +-2604 -4967 +3674 -5335 +5252 7239 +2877 10320 +3777 9265 +4908 1438 +47 -8137 +-2123 -1655 +5665 -542 +2736 -5505 +-8908 68 +-10289 3682 +-10015 2448 +-4486 -3124 +6742 -5002 +2893 997 +-3500 -4313 +2636 -5791 +6432 6197 +4638 9652 +5397 8485 +5105 614 +-1424 -7959 +-2443 -1218 +5531 -1504 +1757 -5901 +-8874 1554 +-9597 5397 +-9511 4267 +-5025 -2193 +5744 -6120 +3091 415 +-4282 -3627 +1482 -6257 +7542 4793 +6398 8535 +6897 7266 +5191 -341 +-2831 -7573 +-2648 -821 +5178 -2490 +726 -6067 +-8416 3164 +-8504 7033 +-8712 5810 +-5376 -1395 +4678 -7046 +3129 -115 +-4831 -2850 +485 -6314 +8200 3608 +7664 7421 +8011 6041 +5173 -1123 +-3997 -6950 +-2770 -345 +4728 -3212 +-264 -6055 +-7880 4425 +-7355 8277 +-7704 7113 +-5536 -454 +3456 -7579 +3106 -563 +-5198 -2050 +-530 -6267 +8746 2221 +8836 6056 +8921 4747 +4937 -1862 +-5056 -6178 +-2835 81 +4209 -3939 +-1202 -5971 +-7160 5531 +-6047 9231 +-6607 8153 +-5589 383 +2280 -8029 +2973 -1073 +-5502 -1275 +-1472 -6136 +9041 901 +9694 4677 +9556 3335 +4577 -2650 +-5956 -5361 +-2767 514 +3602 -4522 +-2109 -5643 +-6247 6613 +-4541 10039 +-5267 9007 +-5510 1198 +1067 -8235 +2795 -1417 +-5658 -355 +-2408 -5769 +8903 -558 +8463 2599 +3092 4575 +-6632 2380 +-11695 -572 +-8180 6919 +-5903 4397 +-3081 -5371 +3760 -3810 +7361 -4272 +-2911 -7831 +-6446 -6175 +2256 -5703 +5512 980 +7641 3059 +4766 -7865 +2859 -4056 +1680 4977 +-7530 4435 +-5410 7049 +3550 4200 +6499 1982 +-109 7165 +-7945 6625 +-960 5689 +4099 878 +6174 -4897 +5699 4180 +1467 10489 +7650 2668 +5430 -7525 +2087 -9704 +7106 675 +4531 3847 +2298 -4508 +-4667 -6304 +-6154 -7169 +4523 -5603 +6101 -1690 +12 -6085 +-7624 -5917 +-3709 -4016 +4179 -2131 +2660 7937 +6447 4055 +7456 -4582 +3762 2801 +-1831 6612 +-7607 6588 +1643 6790 +7273 2624 +-438 4480 +-5310 1786 +-6782 -7920 +-9776 -3688 +-10524 1270 +-1180 -4188 +2833 731 +-1514 10259 +4932 8973 +5562 7215 +-7129 4997 +-6079 -4943 +1529 -11462 +-5086 -8651 +-4901 -4584 +4248 1195 +-3418 6128 +-9759 7091 +-2086 10457 +-1885 7078 +-5881 -2094 +579 -5279 +3140 -7915 +-7271 -4027 +-9072 -519 +-1933 -5721 +4987 -2770 +8062 -2606 +-1214 -8919 +-361 -4860 +4561 2619 +-3097 8180 +231 8785 +5526 861 +6398 -2707 +4596 5350 +-1945 9974 +2887 4825 +3793 -2042 +1629 -7674 +7152 -634 +7946 6806 +7724 -2984 +-587 -9157 +-4746 -8595 +5924 -4099 +6075 -80 +-1047 -4845 +-7703 -1706 +-9585 -1431 +-161 -7105 +3769 -5182 +-3871 -4515 +-9855 523 +-5615 -645 +1864 -4326 +7337 4226 +7710 -1189 +2782 -8223 +4805 -326 +3025 6095 +-1524 9855 +5749 3890 +7424 -2795 +2660 3644 +-2889 4755 +-10508 -1460 +-10085 3664 +-7393 7732 +-3674 -2455 +2690 -1317 +5508 8627 +9742 3489 +9005 1813 +-2381 8374 +-8008 228 +-6236 -9608 +-9655 -3221 +-6851 -275 +4163 -1925 +1431 6722 +-2995 11594 +5201 9082 +3210 6480 +-6011 2358 +-3112 -4242 +-2772 -7895 +-8325 1803 +-7405 5495 +-5316 -2991 +1964 -5341 +4494 -7281 +-6860 -5681 +-3490 -3277 +5314 -1091 +3074 8039 +6014 6298 +4879 -2981 +3238 -6136 +7168 1028 +5021 8711 +5439 1706 +1703 -3983 +-3678 -6808 +5233 -5091 +10680 -34 +4152 -7278 +-6394 -6479 +-9289 -3391 +2111 -6631 +6187 -774 +3298 1004 +4124 -2524 +-2770 -7174 +-3873 -12430 +8507 -5698 +7493 4571 +6344 6656 +7920 5259 +-1408 -6716 +107 -12812 +5921 -4688 +1558 -4748 +-4281 -4145 +-4545 -7127 +2154 -12382 +-2711 -68 +-9744 7720 +-5843 5827 +-8923 788 +-3117 -10239 +7199 -11143 +-532 -4024 +-4788 -298 +-1738 7913 +3251 15422 +5696 3789 +-2314 -4006 +-1825 4635 +4275 -762 +1859 -6675 +-3762 -2457 +-8491 -4284 +-3132 -3675 +4124 -2120 +3834 -2044 +3224 -1959 +3530 509 +6841 8160 +5139 7774 +388 3495 +7973 934 +11219 349 +66 3456 +-2609 575 +3740 -304 +-2793 5726 +-9761 7974 +-1594 4329 +2280 4772 +-336 11649 +-1133 7323 +-3483 -40 +-4020 428 +-4544 543 +-2976 -1099 +2580 -7853 +3622 -7519 +-1743 -3054 +-6292 -1665 +83 4484 +3973 -786 +-2728 -1793 +2014 12156 +8722 10840 +4060 -466 +1429 -4949 +-6866 -3373 +-11256 -6509 +2774 -9802 +8881 -1648 +9404 723 +9731 -1307 +-5432 -4062 +-8371 -9525 +1502 -7307 +-1868 -4516 +-6065 -260 +-8175 -2400 +-6447 -10992 +-2721 -3130 +-4742 996 +-3710 -1029 +-2311 537 +-7512 -5294 +-11336 -18405 +-4131 -14790 +-3646 -5628 +-1182 -367 +2923 6569 +-10464 303 +-6890 -4043 +2338 -3810 +-2019 -2058 +4856 8234 +527 5302 +-3239 1987 +9848 5704 +14398 1657 +18941 4371 +14404 4966 +-2274 -821 +-5997 1547 +-453 2725 +2693 1565 +-2187 2045 +-3570 837 +3655 1214 +5757 4198 +-873 743 +-7218 -7614 +-567 -3576 +7442 4584 +6596 1339 +6578 -2712 +5374 451 +518 4027 +-6493 1679 +-10198 926 +-5619 5160 +2586 1339 +5942 -7144 +1145 -3482 +730 4032 +3753 5 +1188 -1107 +423 3327 +-516 -5782 +-5312 -10382 +-7374 3817 +108 9107 +7552 3017 +3218 5363 +-209 3655 +1330 -6968 +-1690 -4820 +-9667 951 +-8952 -4271 +3188 -3686 +3147 1583 +-5363 -936 +-3868 -771 +4189 3494 +8108 2106 +1362 1066 +-4341 2970 +-3469 1767 +-1382 1930 +-9362 1138 +-21134 -5805 +-13190 -7914 +-6597 -1576 +-1168 509 +6594 2791 +-7904 7346 +-8190 1761 +-873 -4297 +-2970 -82 +9156 2717 +3662 3363 +-6303 3525 +235 1507 +5402 1260 +-3243 6154 +-5747 5388 +2409 -5591 +1721 -10066 +-4543 -1796 +-3010 6233 +3807 1086 +4886 -2377 +3958 -1360 +-907 -2934 +-7494 1978 +-7038 711 +-3849 -1927 +5913 5445 +11554 -771 +2510 -10137 +-5821 -4543 +-2771 -24 +3385 3313 +-3462 8267 +-6444 3588 +4113 -5215 +9741 -4479 +8379 2472 +532 7465 +-3067 8686 +-8155 5191 +-17280 3665 +-10056 4088 +-6020 -1205 +-570 -3252 +7574 290 +-7293 -5819 +-7612 -9379 +3741 704 +-4680 2193 +-9169 -2468 +-8495 5442 +-8272 12669 +-2348 7588 +4025 2506 +5713 2416 +3040 926 +5677 2095 +11373 1582 +7935 -3846 +-266 -3974 +-598 -4304 +810 -909 +-1742 -2250 +14 -15603 +494 -6548 +-944 4815 +-253 -3900 +-323 -4966 +5719 -7319 +8078 -7745 +5445 -1285 +10087 73 +8322 4372 +-3042 3917 +-7226 2013 +13 719 +5213 -2335 +1447 6631 +-1063 7578 +-1729 -5737 +-5107 -8558 +-4745 1607 +1590 6575 +3688 -1664 +2313 -4839 +2264 -3485 +-2585 -1614 +-4681 6258 +-5116 4964 +-4564 785 +6254 -417 +7689 656 +-2234 8745 +-5803 9231 +-4327 9074 +2226 4692 +6015 -5577 +-480 -2595 +3941 4174 +6060 -1741 +-5764 -6758 +-9488 -5011 +-8256 -5507 +-354 -5422 +8158 1382 +854 2637 +794 -5895 +6151 -2222 +-340 9251 +-4096 10134 +-2599 9673 +3178 4126 +5007 -6606 +-942 -2419 +4693 3369 +5626 -2815 +-7039 -5513 +-10317 -3140 +-9167 -3815 +-1393 -5236 +8310 -226 +1316 2406 +-431 -5907 +5630 -3376 +1492 9081 +-2049 10721 +-634 9952 +3892 3394 +3558 -7352 +-1447 -2146 +5263 2333 +4983 -3864 +-8033 -4116 +-10794 -1144 +-9827 -1933 +-2399 -4788 +8147 -1692 +1799 2107 +-1416 -5722 +4997 -4293 +3049 8623 +-231 10818 +1028 9860 +4446 2676 +2341 -7875 +-1739 -1933 +5587 1359 +4321 -4590 +-8571 -2592 +-10841 758 +-9998 -265 +-3108 -4363 +7843 -3070 +2118 1733 +-2429 -5373 +4135 -5048 +4478 7866 +1726 10556 +2792 9411 +4882 1853 +874 -8066 +-2126 -1516 +5709 381 +3369 -5242 +-8985 -944 +-10636 2730 +-9974 1560 +-3933 -3646 +7114 -4333 +2564 1885 +-1599 401 +7374 -2275 +5831 521 +-4794 4215 +-6053 -4737 +-8369 -1118 +-2008 5108 +1595 6232 +-7378 3287 +-7734 -4497 +-6436 2471 +2006 3700 +6323 3291 +-1682 6636 +-7997 5694 +-14 8315 +10196 447 +7838 -2157 +2884 5946 +-1984 6112 +4988 -155 +4083 -7326 +2507 -7840 +7704 831 +2892 5137 +6035 -3613 +1410 -9225 +1867 -4841 +4139 2550 +-6472 6426 +231 6577 +6440 4498 +-357 3952 +-6920 1841 +-8830 -3260 +-4553 4680 +422 7844 +-3703 1086 +-4412 -3324 +4156 -9601 +-1153 -9613 +-5643 -8085 +3312 -3006 +473 2743 +-9227 2974 +-5647 8344 +-3595 7889 +-7128 -3867 +1467 -7260 +10250 -3769 +4990 -8213 +1448 -6135 +972 3952 +-6651 243 +-9594 -5334 +-9653 3303 +-6639 1847 +-386 -6291 +4146 -1533 +8289 -1509 +-229 -7564 +-2951 -8523 +4149 -3832 +4421 3103 +5869 5019 +6546 -4795 +4565 -3419 +-1018 6225 +-7838 481 +-7336 4708 +1710 5127 +5309 3662 +-3572 7230 +-8917 1762 +-3365 6095 +3890 1496 +6986 -1638 +3043 5917 +-2417 9365 +5695 6491 +10918 -2767 +4434 -1855 +-2255 -4065 +-2916 -8143 +-3079 2140 +6508 8126 +6585 1555 +2957 -6006 +-1502 -10710 +-8075 -5537 +-1789 -4948 +-3123 -4837 +-2748 723 +861 -2629 +1455 -3232 +-2357 -255 +-5191 -4106 +983 1204 +-5230 4951 +-9105 1602 +-5041 6721 +-2119 1655 +-4313 -6898 +-7962 -4986 +4662 -4154 +6280 -7831 +-3324 -7805 +562 -2827 +1096 -3368 +-5075 -5537 +-677 -5058 +10868 -1764 +9418 2405 +3259 4178 +9472 -4184 +9965 -6104 +2894 5813 +-3200 6042 +-8928 -374 +-4233 -9709 +44 -4469 +1072 6419 +-532 5184 +-5462 6054 +143 4654 +2756 13019 +800 14284 +3612 7859 +1492 3796 +-844 -6298 +5321 -1965 +5678 8601 +-58 6122 +3913 -3523 +4309 -1672 +-2317 -4110 +-812 -4993 +2361 7552 +-1929 7772 +-3588 6385 +2606 1070 +6338 -5938 +1647 -762 +-1125 2220 +5955 -4105 +4202 -3740 +-4665 -1689 +-7682 -3975 +-960 3622 +10361 1708 +6214 -3191 +-1536 -6398 +-8127 -6941 +-9924 1094 +-4574 -2640 +-5570 -1672 +-1640 2255 +-1020 -2503 +-1028 -3284 +-1703 1439 +-5287 1720 +-2659 3771 +35 4759 +5138 -4148 +5462 -9547 +1831 -3788 +-3106 5044 +6562 -525 +13805 1100 +8164 6927 +3880 2912 +-6774 5972 +-13522 5706 +-1242 639 +10321 -214 +1393 7637 +-6907 8125 +275 5287 +3729 6725 +-2395 4551 +-4006 6670 +2397 3229 +138 -2184 +107 -6976 +9350 -5631 +5508 -7413 +309 -11262 +-2614 282 +-4971 5808 +1156 6414 +385 141 +-5399 -7457 +-7501 -5586 +-4088 1612 +-7675 6042 +-9967 15 +2011 -2545 +3550 -7150 +3979 -8396 +-2595 -7481 +-10363 -3970 +1466 4141 +-1049 -2013 +-2280 -6925 +5342 -8781 +-1001 -2991 +-556 7714 +1051 -1391 +-5657 -3083 +-2909 3705 +-1168 354 +-6031 7589 +-740 7925 +6479 4308 +1839 12250 +-1869 6444 +1911 -3769 +-2910 -12225 +-2394 -7626 +2400 3380 +-5114 1345 +-735 5297 +4274 3107 +1274 -6131 +3061 -6092 +2465 3070 +2909 3767 +1255 -6485 +-2104 -10486 +-1137 -3938 +930 5631 +4704 -4855 +11353 -8383 +10897 -401 +4960 -527 +-1230 8753 +-6656 13027 +-544 1246 +7762 -6848 +6169 4997 +-48 13867 +853 9966 +8124 6145 +4650 1982 +-4958 -6995 +3310 -9535 +8385 -2296 +-1300 809 +-6831 -3473 +-3225 -7086 +-724 -11156 +-1591 -2974 +7847 8112 +10736 5067 +2971 -5727 +-4748 -7352 +-9502 448 +-4658 2547 +-5298 -415 +-2898 -5543 +788 -5824 +-3551 -3050 +3365 -1973 +3218 -3206 +-5411 -1584 +-1468 -372 +-4629 1908 +-11725 11028 +-8059 2909 +-2243 -4961 +4488 2001 +6733 2283 +4225 131 +3967 4676 +6802 4193 +3307 360 +-8823 775 +-9607 -6726 +-4576 -7222 +179 -1150 +56 7152 +-6360 7365 +-2476 -1921 +-8133 -246 +-10616 -9581 +3776 -8214 +6402 -556 +9093 -8104 +7774 -5876 +2057 -1403 +3684 -860 +-1611 -2738 +1088 1304 +9553 4440 +5631 8291 +-498 10547 +-2888 7190 +-3008 5838 +-2322 -1268 +-1549 3613 +5336 5299 +12252 -2169 +2408 -2475 +-2283 283 +7403 8631 +8621 7471 +10402 -642 +5015 -1558 +-8403 -2109 +-3587 -9387 +5170 -7252 +-430 1421 +-7616 1897 +-7196 -3121 +-7974 -7755 +-3290 -1202 +11390 685 +11694 -3367 +-1420 -6034 +-8229 -2403 +-4346 4497 +3897 1019 +887 3358 +-5261 5322 +-4553 -211 +-2312 -679 +5902 -3972 +12186 -18 +1705 6574 +-1951 -4396 +-2726 -7338 +-4377 -2608 +-154 1652 +-3195 3935 +8752 -6224 +8384 -3861 +-6016 8159 +-2262 6015 +-5505 3557 +-9347 6766 +-5597 1284 +4439 -3477 +4808 3643 +-3067 4673 +-4070 -202 +-4300 1544 +6035 3417 +7166 345 +2421 -3945 +2110 -10573 +-4033 -5779 +-3147 5058 +-2306 -6266 +-10325 -5925 +-13152 9280 +-2567 1822 +1244 -5184 +-5236 1804 +-3483 3870 +2500 2832 +-520 10390 +-3280 6634 +5658 -1483 +4381 1655 +-8435 1270 +-13143 2952 +-9613 811 +-6027 -328 +-1863 -1358 +1424 -5453 +252 2656 +1406 2814 +1260 -4563 +2975 -4379 +5868 -3910 +3430 -8627 +-3147 -8681 +-10022 -2113 +-4199 -3199 +7760 -6665 +14530 -5606 +15340 675 +10628 2667 +5731 -971 +4224 -1361 +3892 -3094 +2859 2214 +-534 7349 +-3694 2835 +-2280 1086 +1822 -6749 +9374 -8100 +5787 3652 +-4412 -1821 +-6978 -3459 +-5119 1065 +1009 1388 +195 5016 +2536 -10247 +3743 -8200 +-179 12246 +3874 10445 +7317 -4616 +4473 -9583 +6513 -6796 +7722 -137 +3899 4261 +1995 7514 +-195 11043 +6762 5833 +5664 3520 +1628 1476 +8743 427 +10015 4134 +4769 -140 +-1283 1819 +-251 7141 +-3331 843 +-1693 -3598 +6763 -5497 +-1836 1385 +-9873 6144 +-1202 -2306 +4029 -5711 +-6416 -6957 +-7592 -4273 +-3023 -4501 +-1458 -3570 +5440 2799 +7225 -4224 +5260 -3014 +-159 9341 +-1743 6600 +-233 3159 +208 12030 +1330 5016 +-350 -8994 +1289 -3257 +-255 2703 +-5823 2783 +-10427 4375 +-11162 9398 +-2991 7602 +3023 -587 +230 -2705 +4967 -2401 +8036 265 +-250 -4763 +438 -11381 +-3358 -5085 +-11059 3043 +-10161 1479 +-9160 -1485 +-7160 -1782 +-5968 -6138 +-5594 -2267 +-2695 1024 +4644 -421 +6163 1464 +5443 200 +2274 891 +-5504 -945 +-6262 -914 +-7060 3873 +1107 8590 +9400 4633 +2784 -8135 +-3007 -10028 +473 -9298 +5856 -5244 +5848 502 +6572 4143 +7262 8129 +9079 -283 +6747 -1180 +2207 -20 +6916 -5543 +10481 -3706 +3586 -3259 +5 2360 +2925 7879 +-2780 7279 +-6200 -791 +-2285 -4823 +5980 -3543 +6979 -1302 +324 -262 +-5743 -2620 +-3662 -9166 +3027 -7788 +990 -4740 +-1955 -3230 +-1159 9618 +-175 7131 +2477 311 +878 -1562 +-4477 -3835 +-11503 6315 +-11636 4787 +-5241 1635 +-6746 4308 +1770 -4024 +6488 -5643 +-9227 1491 +-5425 487 +4821 3354 +161 9369 +-652 -5183 +7094 -15310 +12732 -3056 +8507 4829 +6716 -138 +-687 -1961 +-5848 3745 +-2675 7331 +-748 3004 +3706 -7619 +-994 -4240 +990 5030 +1358 2091 +-3821 5112 +6527 2782 +7980 -8926 +418 2327 +-6542 16047 +-8788 7912 +-6773 2114 +-5628 3515 +424 5471 +651 525 +1841 -5175 +4658 -1155 +6428 -4579 +10712 -6771 +7924 -5646 +425 -8117 +-1242 -2907 +5709 -54 +5653 -1245 +4625 1576 +5506 -1605 +-4739 -4383 +-9499 -157 +-5482 -2052 +640 1753 +1870 8138 +-5121 3380 +-4994 -2076 +2287 -6494 +4529 -5575 +108 -440 +-6164 1860 +-8922 -4280 +-2840 -7653 +-2411 -4075 +-3683 -1008 +5588 7904 +4695 5345 +2128 -1406 +-23 -1790 +-3400 -2520 +-356 -251 +156 87 +-9 -19 +-3 0 +-5 1 +-3 1 +2 4 +-5 1 +-2 2 +1 3 +7 5 +1 11 +-7 2 +-2 1 +0 6 +3 5 +0 4 +3 9 +1 9 +-2 3 +2 -1 +3 -1 +2 1 +-3 4 +-1 8 +3 8 +2 8 +2 3 +0 5 +-1 11 +-1 9 +0 1 +4 1 +1 0 +0 5 +0 7 +2 9 +1 7 +-1 8 +-4 5 +4 1 +3 4 +-7 -3 +-4 6 +4 9 +-3 -1 +-7 -3 +-5 5 +0 3 +3 -5 +-4 -4 +0 -4 +1 -3 +3 2 +3 1 +1 6 +-2 8 +2 6 +1 9 +1 6 +-1 1 +4 1 +0 1 +1 -8 +-4 -3 +-3 -8 +-5 -6 +-3 7 +-4 5 +2 3 +7 -1 +2 -4 +3 0 +2 0 +-7 1 +-12 -1 +-7 -6 +-2 -6 +-1 0 +1 -3 +11 -6 +31 -24 +46 -25 +46 -21 +51 -17 +71 -47 +1416 -732 +6439 -3440 +5919 -5516 +-4554 -2042 +-5107 3254 +664 -1501 +-4297 -5146 +-2632 3964 +3893 8966 +4513 8126 +1512 7740 +-5285 -637 +-2510 -5782 +194 1616 +-6488 2656 +-835 -5038 +7499 -6828 +7522 -5924 +4447 -6540 +-5014 -1021 +-4508 4294 +309 -1672 +-5384 -4231 +-1842 4442 +5713 8098 +6129 7134 +3022 7328 +-5405 409 +-3638 -5205 +569 1520 +-5857 3864 +-1809 -4827 +6066 -8281 +6217 -7395 +3053 -7377 +-5222 28 +-3596 5196 +50 -1715 +-6193 -3085 +-979 4737 +7341 6848 +7562 5814 +4467 6627 +-5285 1420 +-4616 -4480 +834 1418 +-5168 4980 +-2759 -4425 +4418 -9291 +4786 -8509 +1658 -7893 +-5201 1056 +-2559 5820 +-305 -1663 +-6826 -1801 +-73 4864 +8627 5245 +8640 4188 +5794 5625 +-4951 2479 +-5575 -3440 +1074 1246 +-4169 5911 +-3611 -3844 +2595 -10025 +3167 -9269 +106 -8031 +-5053 2067 +-1464 6191 +-607 -1619 +-7131 -513 +891 4780 +9635 3553 +9368 2565 +6752 4492 +-4507 3283 +-6139 -2426 +1350 1044 +-3044 6471 +-4217 -3156 +923 -10308 +1598 -9675 +-1194 -7917 +-4598 2831 +-362 6302 +-827 -1470 +-7145 724 +1686 4574 +10105 1923 +9762 961 +7536 3292 +-3880 4002 +-6470 -1339 +1468 782 +-1916 6875 +-4761 -2320 +-946 -10214 +-139 -9691 +-2574 -7521 +-4116 3572 +638 6291 +-1146 -1234 +-6968 1954 +2435 4155 +10360 135 +9851 -753 +7994 1928 +-3216 4593 +-6701 -213 +1566 519 +-708 7100 +-5122 -1519 +-2724 -9931 +-1873 -9509 +-3933 -6930 +-3450 4212 +1772 5997 +-1313 -1097 +-6558 3097 +3128 3705 +10377 -1567 +9702 -2304 +8263 650 +-2428 4988 +-6664 783 +1629 272 +342 7096 +-5280 -745 +-4067 -9336 +-3159 -9129 +-4843 -6319 +-2807 4675 +2673 5689 +-1438 -832 +-6120 4038 +3615 3238 +10049 -3053 +9266 -3698 +8351 -573 +-1620 5318 +-6541 1862 +1643 34 +1470 6907 +-5415 131 +-5698 -8482 +-4755 -8389 +-5968 -5274 +-1947 5089 +3628 5035 +-1621 -566 +-5340 5035 +4165 2407 +9357 -4920 +8418 -5329 +8072 -2097 +-598 5461 +-6087 2937 +1666 -308 +2680 6482 +-5267 1017 +-6743 -6763 +-2804 -5808 +3489 -3001 +7825 6000 +9127 3199 +9341 -2644 +2860 3627 +-3047 4466 +-6901 -1639 +-6166 3401 +1285 9409 +-1763 5785 +-5235 142 +-2599 -6911 +-6559 -1943 +-6841 4989 +-1512 -3330 +4893 -2177 +9292 497 +4167 -4928 +-3344 -4643 +-744 -6090 +8235 -2898 +7374 -1462 +323 -4945 +-5494 -34 +-6384 -2494 +3113 -8688 +1253 -8150 +-7819 -2454 +-7258 6899 +-6634 1378 +-2358 -7156 +-2625 -1569 +-3290 5130 +2057 9171 +-3557 6213 +-6748 -1576 +-4514 665 +-822 7973 +3314 7540 +-3485 2463 +-1135 -4337 +2012 -8823 +-7185 -2884 +-5268 -1401 +2079 -5661 +7808 -1575 +7352 -3287 +-2404 -7302 +-1383 -4367 +5427 -1794 +2329 6220 +1528 10458 +8480 5855 +4190 4744 +-4137 1367 +3431 -5208 +5430 -8625 +-1610 -10102 +4414 -4994 +7147 4435 +-4492 7980 +-5345 8705 +1940 9050 +-1671 1232 +465 -4735 +10060 -475 +9324 -3405 +5708 -7902 +4595 986 +471 5302 +-6560 3166 +-2758 6519 +7058 6226 +2387 5471 +-4063 3457 +-6605 -3573 +-6488 2768 +-2080 8176 +-3330 -1469 +2385 -4849 +7616 -5759 +-33 -6372 +-5739 -1224 +-4680 -4010 +4545 -7517 +4947 -5897 +-2924 -3918 +-4313 3542 +-6658 2320 +-3298 -8465 +-4359 -6874 +-7757 3350 +-1177 9945 +-4182 5469 +-6531 -3641 +-3071 592 +805 5957 +7625 5356 +1393 6846 +-6266 3220 +-3095 3375 +4522 6347 +7460 3412 +-1101 4135 +-3774 -2486 +-4211 -7810 +-7404 2571 +-5012 2331 +-2191 -5570 +4934 -6368 +3506 -7325 +-6690 -3724 +-4018 -2276 +2926 -4875 +5882 2998 +8061 6592 +10368 -1258 +6332 832 +-2335 3757 +-674 -6049 +-1346 -9911 +-7840 -6440 +197 -6535 +8522 -1339 +1702 8829 +1416 10031 +7427 5508 +-495 1971 +-2836 -3788 +7482 -6830 +5074 -8571 +-773 -9603 +4191 -2308 +3940 3598 +-2956 6684 +2174 6604 +9579 -95 +5463 2503 +-789 5256 +-7394 1791 +-3192 6323 +3804 7333 +-3588 1139 +-1467 -5110 +2031 -9294 +-4326 -4671 +-5254 2871 +-6280 97 +-1553 -8506 +-132 -7604 +-4926 -941 +-999 5421 +-3631 6023 +-8200 -4136 +-7957 -2214 +-3906 7618 +5560 8161 +287 6755 +-6523 596 +-1241 -4460 +-5489 -1207 +-5196 7327 +-804 3505 +1523 -1967 +2491 3798 +-4131 5487 +-3494 -1245 +-1159 -4353 +397 3154 +934 3203 +-5379 -3183 +1359 2408 +3199 2936 +-5739 -315 +698 836 +5109 57 +-589 5011 +-5061 3775 +-2011 -2885 +922 -553 +-5384 4370 +-1761 7371 +4569 -242 +-417 -7337 +-3062 -4911 +-1999 -8340 +-2496 -8283 +-4808 -4245 +-4367 -7221 +-5799 -8392 +-9486 -7245 +-5518 -1633 +1452 8419 +7582 7715 +3016 -3197 +-3076 -7571 +6062 -258 +4644 6790 +-4090 7577 +-5267 8930 +-10548 5203 +-3680 -4679 +7434 -5816 +-593 -5451 +-1366 -6924 +8607 3398 +3958 11383 +-496 9154 +-2219 6841 +-8871 871 +222 -5160 +5980 -5750 +-6626 -999 +-9143 6815 +528 4779 +10299 -2139 +11984 -4158 +8013 -5924 +7050 -3612 +5781 -3221 +5843 -7810 +5106 -4702 +4591 -3626 +615 -5834 +-5228 2911 +996 8854 +1837 3023 +-148 -2430 +4463 1073 +565 6726 +-3551 1455 +-3844 -2318 +2503 2037 +2996 1704 +-6198 1342 +2659 771 +4461 -97 +-4487 3655 +1878 614 +8559 -1265 +3916 4558 +-7172 6190 +-8928 3302 +-5812 -1111 +1040 1035 +7789 4835 +7961 708 +6465 -3082 +4315 -1643 +3674 2748 +3795 4147 +311 4439 +-1453 5885 +-2495 2889 +-6015 834 +-6761 2885 +-4271 7322 +-1447 6426 +2033 -2520 +6700 -4594 +10291 -1406 +5601 3160 +-5227 6810 +-4739 856 +1674 17 +-3201 6529 +-8163 -884 +-7412 -7744 +-3729 4622 +2557 6065 +2697 -1280 +806 7099 +813 316 +-601 -13366 +-1903 -8602 +690 -5518 +8451 -2787 +7222 -3700 +453 -3538 +290 3358 +3003 -4545 +8573 -11265 +4144 -9563 +-7619 -4582 +-12177 5829 +-10879 4659 +-70 1246 +1845 637 +-5901 1484 +-4537 8319 +-5073 4127 +-6181 -3300 +-10031 -3850 +-8713 -1974 +6354 2337 +3840 2120 +-786 2959 +8021 1776 +346 -6638 +-5342 -9692 +5791 -5484 +4842 1050 +-1514 76 +5163 -5048 +6298 944 +-1906 9217 +-5053 8086 +-5410 2784 +1361 92 +9219 -1275 +6780 -4518 +3142 -6419 +2343 -3930 +4609 -287 +5607 640 +3071 3126 +2650 5312 +-107 3730 +-4136 3255 +-4465 -509 +-3966 -4637 +-446 -4976 +6523 -5211 +8476 -4590 +3275 4374 +1707 6800 +7122 -2490 +-2408 790 +-12568 2882 +-2284 228 +1376 3897 +1098 -1073 +3203 -2061 +-5180 -4780 +-5628 -9302 +2167 4107 +4954 3699 +6280 -5287 +4149 4336 +1826 10270 +-1141 5789 +-5886 1879 +-6184 490 +-4812 2029 +-3807 10811 +-5384 18473 +-5135 9934 +4511 -2391 +7757 -2513 +3762 3118 +2610 40 +65 -2127 +-1222 2911 +-3404 -1400 +-2722 -3579 +1681 -3600 +-5972 -7447 +-6602 -1091 +8412 678 +10333 -1267 +1754 1973 +-2846 1044 +-3879 527 +-3581 -333 +-3453 -763 +-795 -1401 +5805 -929 +11441 1156 +2050 -935 +-9793 4612 +-2384 7155 +922 2145 +-4462 3359 +-1773 -1180 +-60 -1807 +1204 2457 +3377 -2717 +5090 -1857 +7273 3411 +-329 -2657 +-7567 -15321 +-4880 -16523 +-4068 -5783 +-5466 -253 +-6138 -531 +-3669 -3242 +1469 -8141 +3405 -8948 +5393 992 +5795 3711 +3772 -6589 +-1244 2193 +-7716 10339 +-1701 1631 +3600 1855 +119 -1514 +1079 -3584 +-7264 -70 +-11571 -2776 +-2730 1153 +-5923 1480 +-10960 2730 +-1928 -685 +6319 -6583 +-5058 3104 +-11397 5367 +-5044 81 +-1893 -160 +992 1778 +1433 8813 +1647 6568 +-154 -2641 +-7918 -5426 +-4928 -4017 +155 339 +-1530 3139 +-5086 6456 +-10012 7109 +1569 -160 +7609 -2095 +-5708 5550 +-6408 8308 +-3472 1587 +-3238 -2807 +-2851 -1624 +-5537 -50 +447 -6 +7113 -1184 +12906 -2532 +11500 -8867 +-458 -13113 +3412 -5800 +8256 1485 +768 1484 +1209 1111 +-186 2336 +-9188 -2340 +-6076 -4847 +2855 711 +-212 -12 +3041 760 +10949 4950 +3099 3383 +-3233 1292 +-5750 -6591 +-5786 -8365 +841 349 +-846 -945 +1274 -333 +6944 8176 +554 5572 +-2913 -1974 +-737 -683 +-2511 -3720 +-460 -8770 +10067 -2471 +11516 -6484 +1593 -15367 +-1316 -9847 +-396 -3750 +130 3376 +1604 5655 +2606 1760 +2125 3211 +-4200 5248 +-6771 9057 +-1931 705 +620 -8903 +-1882 5580 +-5334 11331 +-3829 3276 +-1584 1106 +1901 702 +6845 5599 +5590 3780 +-1786 -1941 +-9634 962 +-6418 112 +762 374 +2581 2210 +1823 3733 +-1265 11653 +-2038 8896 +-1803 1165 +4656 -3543 +10753 -8867 +92 -11010 +-1304 -8995 +9632 -996 +6712 5629 +1479 29 +7 -4186 +-4750 2069 +-10308 998 +-3850 -7139 +6940 -9257 +-1653 -10971 +-7735 -8503 +-180 -435 +682 5496 +-2159 11956 +-2769 8677 +-3693 1513 +-3335 6162 +4367 4569 +5875 2140 +-3071 6618 +-3479 1799 +-7343 -1669 +-10584 -4545 +-1696 -5987 +-2003 4429 +-5974 6219 +-3354 1099 +-718 -3880 +-777 -9240 +-2557 -501 +-2758 5165 +-661 -1137 +3968 -1753 +2790 3318 +2798 3729 +5072 -8003 +-5348 -11505 +-6397 1145 +929 5702 +-3198 2500 +-3160 -1034 +2850 192 +4490 2543 +-398 -5863 +-2955 -7723 +1325 -1296 +5612 752 +7205 4055 +649 -334 +2116 -8902 +9235 -6857 +3841 -2097 +4560 2896 +1789 5666 +-6153 4961 +1915 6312 +5844 2045 +3883 1377 +6480 9553 +4137 7962 +-673 2042 +1369 -5589 +2733 -13494 +-6998 -8269 +-6823 -5852 +6846 -6837 +8903 -60 +1203 -934 +-2723 -3151 +-2438 4547 +-7449 7287 +-7184 -1852 +2642 -7540 +113 -6012 +281 -1178 +2761 8707 +-5138 13125 +-6218 2874 +-3338 -3735 +-305 2012 +4760 7297 +9116 4315 +5717 4122 +-2117 7379 +3791 729 +6972 839 +1488 9125 +4894 8046 +5703 2625 +-1022 -2602 +-1736 -5056 +8899 -5322 +13019 137 +2685 4223 +-4729 -1545 +-6510 1194 +-3945 -163 +-5575 -6697 +-9296 3090 +-1241 4864 +2554 -1604 +3346 873 +2876 3423 +-6830 4148 +-4708 1402 +3260 -2818 +2475 -7113 +-4817 -8001 +-14782 -3482 +-7683 -2754 +2547 834 +-2506 2391 +1809 -5314 +9786 -7362 +4299 -4525 +2859 -965 +3648 3186 +-1584 4135 +-1637 9055 +-2373 10428 +-3169 -851 +2262 -2521 +-1220 760 +-10086 -8856 +-11088 -6720 +-7452 4694 +-2177 5783 +-37 1109 +-903 -7620 +2176 -4899 +4579 2875 +634 2341 +747 1190 +2160 -7536 +-6506 -6963 +-7054 371 +-367 -5182 +-3803 -4434 +-653 -1085 +7889 4521 +4747 12861 +-2826 6157 +-5018 -474 +1127 1708 +8475 2191 +9820 -2851 +7114 -799 +3247 6659 +3404 -2006 +5950 -3926 +7217 5651 +9589 2331 +9149 -3517 +4986 -1148 +2111 -531 +-7732 -10980 +-8279 -12200 +1312 -6021 +-1696 -4587 +-4965 3219 +-1890 4248 +403 -2825 +173 1551 +-573 5056 +-626 -1767 +-643 -4873 +530 1383 +-371 1025 +-3684 -4954 +-4462 1540 +715 6428 +-1026 8291 +-9013 14865 +-2464 6779 +4760 -1911 +7027 2142 +8400 2596 +-1061 -480 +-2487 -4558 +-355 -5139 +247 -3394 +10258 -3062 +9244 1996 +6457 3012 +8062 3075 +-2585 4922 +-7360 2189 +-2932 6588 +-5420 7852 +-5171 999 +6369 1731 +8769 3428 +-2595 459 +-7562 -263 +-3544 7202 +5072 8544 +4301 -5628 +-6133 -8664 +-6759 2386 +-3785 5295 +270 -3262 +4889 -9296 +197 -1122 +-7684 2587 +-8933 -3915 +-5739 6 +-6507 2804 +-887 -5693 +5760 -8066 +2972 -6483 +8317 -7120 +7488 -1270 +881 4279 +3289 4441 +-580 4028 +1094 -3209 +5029 -8926 +3517 -4064 +1350 -1635 +-12921 -3449 +-14161 -4051 +-2697 -5324 +-4178 -2297 +-1866 5586 +1213 4366 +-1483 -2360 +1148 1013 +2819 4103 +-1629 -891 +-3644 -3145 +1397 673 +2925 956 +6920 -918 +8592 2221 +-168 68 +-3599 -2222 +-1720 372 +225 1428 +3619 -6618 +1684 -9549 +-2150 -1346 +6053 2760 +8007 3445 +959 1124 +101 -796 +-3303 -2875 +-5891 -7677 +-1608 -1504 +5821 807 +8987 -6040 +1819 -2637 +-2118 1664 +1672 1919 +2228 2056 +-2660 -3096 +-3599 -10749 +2464 -9828 +-1082 -3480 +-7036 -705 +-1367 3659 +-2136 360 +-5117 -1197 +-824 9675 +-4208 6283 +-2824 329 +8677 2089 +6869 2186 +-200 5410 +2660 -4557 +5519 -12677 +1666 -2207 +299 6435 +8643 12149 +7529 11953 +-2028 6112 +-717 1502 +-8 -648 +-1163 3787 +-6346 6401 +-16220 5221 +-10038 5177 +-745 2282 +1583 -8487 +3184 -12385 +-1434 1009 +-4754 3527 +-8236 -3998 +-4616 -717 +3797 4799 +-3714 8780 +-1737 5853 +6323 320 +-1120 2215 +1960 3459 +6697 1138 +2031 -6020 +6848 -9481 +8648 -3775 +-215 280 +-4269 530 +-1143 1365 +1074 965 +-1265 -7287 +-4689 -8325 +-2609 303 +6589 -1633 +8641 -2302 +1507 226 +-456 -729 +-4477 -161 +-9586 -2259 +-2409 3 +4070 -1900 +4086 -9984 +4427 -5801 +1095 584 +3807 -4619 +4298 -3458 +678 4247 +7644 532 +12887 -3537 +3474 -1176 +-7115 -3545 +-6439 -7487 +-499 -8776 +4531 -8756 +2386 -2953 +-9005 2903 +-12470 3552 +-2118 4503 +-332 1999 +-7132 -3052 +-2634 -1069 +-1711 276 +-7864 -6099 +-6188 -4690 +-1491 5731 +8815 6886 +8466 3993 +-3075 353 +-258 -8136 +954 -7803 +-680 -3424 +1795 -3091 +3613 -3580 +7886 -3140 +1280 5536 +-1406 8081 +4631 2783 +2383 4911 +4986 2186 +4573 2694 +438 12723 +1404 6671 +-2141 -7804 +-2052 -6288 +-1403 -1512 +-6329 -5908 +-1933 -1324 +10522 6605 +8265 5121 +-1842 5642 +2359 4863 +2677 4221 +2411 4251 +8698 1231 +-907 4879 +-7432 4575 +-3637 117 +-3365 -608 +-3882 -2648 +-8194 -2307 +-3231 -3814 +2934 1844 +211 12418 +5064 6670 +3473 -4104 +-3543 -10092 +-338 -7141 +1276 -296 +-2 -5942 +1142 -5408 +3226 2677 +6305 -4523 +7795 -10931 +1975 -3083 +-7792 2039 +-9849 -1272 +-6150 -6095 +-2271 -9318 +-87 -3640 +-4908 6462 +-7770 4687 +-2812 1003 +515 2501 +-4963 3224 +-7911 -32 +4008 389 +4967 4383 +1400 5505 +8350 7902 +8362 2703 +4654 -4150 +4297 122 +4180 2300 +1112 4367 +1705 6118 +10899 2834 +9339 -772 +4245 -2292 +6100 2576 +-1535 -653 +-5004 -7993 +1743 -3365 +1471 -1125 +-3247 -4099 +-8203 -4426 +-4021 2780 +6408 7807 +4764 5887 +802 9872 +-752 3545 +-2952 -4946 +-2294 1533 +-10217 1106 +-12214 -1372 +941 -2531 +1273 -8270 +-4010 -7126 +448 -1494 +3977 689 +980 1109 +-8531 1448 +-9946 -3969 +1910 -2646 +9625 5898 +10992 -1262 +7422 -2947 +-5006 4864 +-11939 -116 +-3912 -2653 +3746 -85 +2912 466 +-2754 3794 +-4721 8229 +1441 6334 +-4571 280 +-14341 -1125 +-5326 -3322 +-1323 422 +-3011 2678 +2309 -8188 +3902 -8287 +7325 -4630 +3936 -6217 +-8311 506 +-7851 4672 +-1278 2591 +2079 1562 +-1720 5622 +-6143 5123 +3342 -2348 +6735 10 +4674 3245 +11715 494 +8343 -3450 +982 -6165 +3458 -2691 +4813 -941 +3741 2550 +5333 3431 +9776 -4526 +8960 -4478 +11340 186 +5783 61 +-3214 1483 +-5145 6225 +-6218 7081 +3013 10320 +4965 5596 +-4137 -5059 +-3456 -842 +-5093 5529 +-6038 2097 +-3059 1711 +-7159 2588 +-5582 78 +501 5464 +379 1799 +-3211 -11361 +-7917 -4790 +-9228 1500 +-5799 18 +187 292 +6429 -7749 +6630 -6589 +1759 527 +905 702 +-675 2356 +-4696 2194 +835 130 +7090 -562 +1601 7524 +-7966 8314 +-8960 -5901 +1356 -5159 +6044 -3054 +855 -6935 +438 522 +3634 5680 +4263 7319 +3176 6722 +2064 5656 +6174 2975 +10914 -9452 +4398 -8759 +2022 -1284 +9873 -9156 +2713 -10730 +-6964 -2813 +-6014 -1665 +-7776 -3500 +-6915 -3774 +-3452 -2129 +3673 267 +6722 -2891 +1990 -5380 +6563 3452 +461 10112 +-11323 4091 +-3706 -5246 +1243 -5795 +-1139 2490 +-2260 3724 +-1539 -1053 +-382 -1042 +1737 -3604 +8777 -7573 +4587 -3840 +-1455 3239 +232 7949 +-48 9330 +9238 5471 +7666 703 +-6498 -818 +-3173 1765 +-122 7395 +-3203 5522 +-1172 3249 +-3677 6642 +-4227 3742 +3141 3866 +1804 5178 +293 3199 +-3970 5562 +-6412 4718 +3254 -2838 +-995 -3451 +-10242 -1962 +-11163 -6915 +-7577 -7991 +1134 -1303 +1813 3017 +-467 -4305 +2954 -8249 +799 -9 +-3246 1172 +4574 -3283 +13532 -2958 +12147 890 +6795 1724 +-874 -4553 +-493 370 +3523 9063 +-1466 4087 +-1685 3054 +368 3583 +3493 -3425 +1484 -6683 +-10149 -1135 +-3769 4730 +5910 -415 +5835 -247 +8188 10966 +951 12880 +-498 9117 +6523 467 +3380 -11128 +2867 -9756 +5964 -2634 +1356 -139 +-1353 -1641 +3628 -1952 +83 -437 +-4288 -3613 +1682 -693 +-352 3736 +-664 -3252 +3227 -3643 +-3084 568 +-2865 -1916 +960 -1297 +-4181 686 +-6599 -3515 +-4683 -10272 +-6603 -4663 +-3158 7451 +4489 10748 +-1166 14107 +-6377 8527 +-5486 -1468 +-2421 2690 +9320 504 +5414 -6477 +-6093 -4376 +-1741 760 +1497 4336 +2390 2127 +833 6658 +-1690 7744 +586 -4220 +-3035 -1835 +-9129 5469 +-13215 2522 +-11204 -689 +-24 -1765 +3460 939 +-3260 -2774 +-3402 -7931 +612 -551 +-1727 3042 +-541 -3083 +-1215 -442 +-3250 5025 +-1268 5155 +-4777 1854 +-8871 2243 +-4162 2188 +2866 1537 +2373 -334 +4597 -3974 +3971 -4243 +-1090 -14281 +4472 -13808 +-3588 3042 +-18072 976 +-9398 -1539 +-3577 5174 +-3462 2491 +1322 2465 +1961 837 +4002 -6923 +3673 -7450 +3735 -1702 +5892 -115 +6194 -3280 +9594 -353 +8638 5769 +3112 3856 +-47 -1804 +1117 -2862 +2365 496 +-635 3499 +1369 1166 +960 -4083 +-3800 -5222 +468 -5040 +7146 -6133 +3522 -1937 +-6834 8055 +-2910 6104 +3429 -10548 +-2811 -12329 +-2009 -4009 +-922 -2339 +3229 4990 +10365 5002 +1127 -892 +-4543 980 +-7544 1007 +-12301 6800 +-1799 4447 +5477 -10284 +1625 -4571 +-1066 8765 +-2933 7223 +-5696 425 +-5812 522 +-445 2985 +2960 -1378 +2710 -993 +409 3186 +-2474 1932 +996 903 +7819 2311 +8190 7011 +1539 8684 +537 5951 +2520 4410 +-2370 4551 +-5276 7494 +-1772 4391 +3186 -700 +1628 -1794 +924 -5932 +234 -5634 +-10302 -9683 +-5682 -12971 +-685 4711 +-13285 12663 +-8248 5115 +1406 5275 +186 2087 +-150 -246 +-16 15 +-1 10 +3 3 +7 0 +6 5 +-1 3 +1 -2 +6 -5 +4 2 +8 0 +2 4 +0 -3 +5 -3 +8 -5 +4 -7 +-3 -3 +-3 -4 +1 -4 +-3 -6 +4 0 +6 1 +2 -3 +4 -3 +3 4 +-1 -1 +3 -3 +0 -4 +-4 -5 +-4 -1 +4 -2 +3 -1 +-3 0 +-1 1 +6 -3 +0 -2 +-8 -6 +0 -4 +2 -2 +-2 -4 +0 -9 +7 -7 +4 2 +-5 3 +-5 0 +-4 2 +-1 3 +1 2 +2 2 +2 4 +5 -10 +3 -5 +4 7 +-1 4 +-1 2 +-2 5 +-2 7 +-2 1 +-4 5 +-4 -1 +-1 0 +3 2 +7 4 +0 2 +1 0 +1 1 +0 -1 +0 -1 +4 -2 +6 -4 +1 -4 +-5 7 +-2 4 +-1 -1 +-6 -6 +0 -6 +4 0 +3 3 +1 5 +0 0 +1 -3 +0 -3 +2 -2 +4 0 +0 -4 +10 1 +48 21 +64 32 +55 28 +43 22 +57 12 +640 555 +4264 3804 +7432 4621 +2494 -3368 +-4654 -5042 +-293 426 +4220 -4942 +-2706 -3302 +-7208 6202 +-6049 7562 +-6858 5823 +-3154 -3010 +4200 -6163 +672 643 +-5239 -3484 +2048 -4431 +8817 3244 +8103 4851 +8232 2733 +1755 -3926 +-5776 -4000 +-195 406 +3212 -5796 +-3395 -2728 +-6017 7545 +-4612 8640 +-5793 6989 +-3688 -2497 +3095 -6918 +779 505 +-5849 -2495 +1292 -4703 +9454 1713 +9006 3374 +8733 1250 +1099 -4200 +-6494 -2943 +-112 514 +2224 -6259 +-3888 -2106 +-4688 8622 +-3068 9487 +-4485 8045 +-4136 -1692 +1814 -7363 +910 366 +-6416 -1320 +333 -4934 +9747 -265 +9682 1503 +9063 -525 +218 -4359 +-7121 -1523 +-31 519 +916 -6663 +-4330 -1345 +-2925 9377 +-1098 9860 +-2826 8739 +-4475 -837 +264 -7623 +945 149 +-6614 -96 +-610 -4939 +9700 -2141 +9910 -371 +8812 -2266 +-724 -4324 +-7405 -112 +74 500 +-391 -6702 +-4525 -362 +-956 9803 +899 9873 +-1044 9111 +-4573 35 +-1211 -7503 +986 43 +-6525 1231 +-1583 -4696 +9170 -3945 +9796 -2266 +8389 -3889 +-1437 -4117 +-7341 1202 +178 481 +-1580 -6500 +-4611 429 +576 9779 +2431 9579 +396 9175 +-4544 731 +-2352 -7199 +983 -138 +-6357 2154 +-2335 -4406 +8560 -5175 +9411 -3587 +7791 -4959 +-1962 -3845 +-7170 2178 +169 419 +-2526 -6166 +-4476 1059 +2115 9461 +3957 8997 +1903 8953 +-4404 1446 +-3564 -6721 +954 -326 +-5906 3140 +-3002 -3980 +7576 -6563 +8654 -5155 +6822 -6251 +-2608 -3425 +-6671 3415 +325 380 +-3510 -5596 +-4292 1821 +3663 9024 +5406 8293 +3258 8511 +-4109 2124 +-4549 -6032 +892 -474 +-5359 3974 +-3555 -3415 +6551 -7497 +7871 -6261 +5904 -7096 +-3141 -2934 +-6136 4359 +379 313 +-4335 -5031 +-4041 2408 +4960 8338 +6583 7302 +4514 7857 +-3716 2699 +-5436 -5256 +776 -562 +-4742 4731 +-4067 -2833 +5325 -8405 +6796 -7407 +4699 -7900 +-3590 -2400 +-5399 5209 +403 239 +-5081 -4269 +-3596 2980 +6245 7373 +7664 6138 +5706 7002 +-3266 3257 +-6190 -4280 +735 -664 +-3889 5383 +-4477 -2145 +3633 -8839 +4651 -5812 +4762 309 +-1876 8388 +-2311 10136 +5173 8401 +-357 5045 +-5852 -22 +-1012 -5969 +-3313 -6482 +-8499 3179 +-6688 2395 +-3258 -4535 +4530 -4706 +2592 -6709 +-7060 -5261 +212 -3132 +4593 1663 +1918 8839 +5776 4151 +3834 -3940 +4538 -4519 +6190 4472 +3573 7813 +5128 -145 +-178 -4414 +-1657 -7117 +7759 -2174 +9209 -262 +2024 -7884 +-7644 -5041 +-6200 -4792 +4843 -5775 +2715 -3030 +-4937 -2311 +-7404 4666 +-7837 1574 +-2145 -6530 +-766 -4941 +-6971 502 +-6723 6291 +-4129 -244 +1421 -3737 +9018 -720 +2660 -6772 +-1695 -6600 +5221 -1432 +4695 5058 +4640 7299 +6545 -3141 +3833 -4765 +3951 3244 +-2553 4812 +-9718 4626 +-4162 9264 +-2423 7878 +-3687 -2280 +4013 -481 +9578 3226 +9281 -4418 +7272 -597 +192 8617 +-7922 1199 +-10064 -3285 +-8694 4564 +-3670 1055 +3779 -3032 +4187 7260 +4875 8942 +9544 2652 +3148 3895 +-4505 3884 +-4761 -3702 +-6928 -2544 +-4497 7918 +-3546 6147 +-5479 -1182 +499 -6485 +-2317 -6745 +-8965 619 +-1852 -2465 +4701 -1668 +7272 5383 +7227 -656 +452 -5446 +631 -6324 +7722 -623 +7858 3603 +3993 -3334 +-2973 -3154 +-5991 -4282 +4605 -6617 +7120 -6103 +-3517 -7201 +-9358 1188 +-8069 504 +-27 -7426 +153 -4050 +-5453 1472 +-2794 8319 +-5074 6312 +-5912 -3452 +-3774 -3155 +-5056 4939 +-1081 9011 +-3374 2536 +-1386 -3678 +6632 -6474 +-2333 -6776 +-5680 -3830 +3167 -4523 +7037 690 +8503 2420 +3149 -6549 +-73 -6000 +5219 -167 +1191 5224 +-4582 9762 +2770 9568 +3280 7364 +-4411 764 +2687 -2953 +9494 -3970 +4158 -9370 +5147 -5174 +5969 6147 +-5195 6092 +-9969 4253 +-3627 9018 +-2047 3105 +819 -4768 +8016 2473 +9751 3257 +9207 -4380 +5035 792 +-893 5839 +-6112 434 +-7081 2685 +1720 8732 +1297 6816 +-5061 2786 +-3960 -5014 +-6258 -3294 +-6414 6425 +-3122 -599 +2362 -4375 +9134 -1000 +5094 -5345 +-3292 -4238 +-3770 -5019 +5464 -5669 +8462 -2722 +769 -5131 +-4337 -277 +-7326 944 +-960 -7814 +1286 -9136 +-7553 -2780 +-6375 7087 +-5826 5620 +-5065 -5128 +-527 -5412 +5288 -9082 +8092 -8626 +3422 -6238 +-866 -4631 +694 3297 +701 2896 +-2707 1245 +794 6917 +-983 11337 +-4265 3549 +-5765 -11857 +-13807 -3600 +-10786 8666 +-1155 -4523 +4546 -11034 +4648 -5145 +-1271 -2028 +1435 -1428 +2519 -1872 +-3097 3947 +-247 7785 +3281 10671 +164 10150 +466 -3046 +6418 -4552 +4196 1230 +-2541 2169 +-3970 8880 +-1151 4210 +4311 -652 +768 10184 +-2113 9428 +944 2904 +170 5185 +6945 408 +8713 -7960 +2317 -4746 +1836 3147 +-4624 4016 +-9901 981 +-5433 -4002 +-771 -7436 +-2026 -3004 +-5455 1142 +-1745 3699 +627 7570 +-4447 5420 +-9207 1069 +-5224 -2717 +1967 -3560 +3686 3727 +9302 5643 +7270 -2175 +-1421 -5537 +-216 -3580 +-3369 -8170 +-2134 -10238 +4540 -765 +-1384 -2561 +-5774 -7794 +-2607 -1271 +3664 -1446 +7176 1846 +1354 3332 +-2134 -9702 +715 -11746 +-1329 -6755 +-3646 -2834 +2688 2010 +2445 1677 +-1334 2677 +5064 4661 +6533 9098 +-1012 5434 +-12148 -5116 +-13286 6226 +-2973 13390 +-3756 -2685 +-3345 -11225 +3163 -5537 +7228 6969 +4882 8815 +-5428 7083 +96 6142 +10628 -5638 +5029 -9696 +1296 -5277 +-445 -3660 +-8041 -130 +-12414 1191 +-9614 -5750 +-3849 -8337 +-7919 -440 +-12929 5633 +-2327 9525 +701 9100 +-10048 4413 +-4653 4397 +7545 -1449 +2675 -3777 +-4162 5955 +-905 6997 +3691 4134 +6405 2725 +5869 -429 +4039 2158 +5705 5894 +1796 4534 +-8816 -1767 +-11715 -2527 +-7002 4184 +430 -212 +6554 -7534 +530 -5370 +-5719 -2005 +1231 2194 +5350 -200 +3679 -4849 +5275 2033 +4031 3740 +-1583 325 +-2964 3077 +5000 3163 +10268 3127 +3021 -3393 +-707 -10798 +-1538 -2821 +-6047 1478 +-1256 3344 +-1413 6395 +-6340 -1898 +3372 -5005 +3930 -2678 +-2936 -896 +3472 804 +6367 -1826 +4417 5031 +1643 9536 +-2629 -2557 +-1223 -13006 +-1926 -10997 +-3947 -4178 +-4449 -7528 +-1644 -8096 +9905 462 +9683 3421 +281 8740 +4002 4417 +4760 -11159 +-2226 -10589 +-2365 -4785 +-2705 -2411 +-6389 5089 +-8980 8804 +-11213 1827 +-8339 -3778 +-6406 4756 +-6387 12452 +3212 8156 +4545 1548 +7765 -4593 +9107 -5517 +7233 -1289 +6663 -505 +-4999 -174 +-3842 -5369 +1492 -11459 +-878 -3135 +1481 554 +984 -1897 +-16 4013 +4215 9550 +4805 9609 +740 6673 +-1288 6305 +2002 4703 +4579 -2566 +-1913 -4537 +-11521 620 +-11123 -2574 +-1367 -12204 +4136 -2267 +1996 11128 +4775 2934 +6630 -3649 +1465 -6644 +3565 -10845 +406 -3058 +-6393 3899 +1379 1485 +-188 -801 +-11947 340 +-11911 632 +-6241 -403 +-4879 953 +-4532 2358 +2027 3083 +5413 1841 +4262 2432 +1980 7060 +-6740 5251 +-7606 287 +-1220 -3699 +1094 -5727 +2982 826 +5493 6536 +3552 7739 +-5112 7935 +-1712 1116 +5728 -6721 +1711 -6355 +2203 -2773 +-1429 -2128 +-147 -1787 +8658 1406 +1436 4406 +-2320 5913 +520 6040 +3247 3117 +4184 -907 +-1426 -6529 +2570 -9389 +-857 1633 +-7411 6287 +1883 -7853 +3754 -10347 +4710 -5700 +4854 -4718 +-4026 3204 +-6552 -1438 +-6443 -9390 +-2798 -1726 +1442 -586 +-532 -2061 +2645 2901 +9663 4098 +10175 3728 +5023 4372 +2506 5098 +-1051 3738 +-6621 11462 +-4671 16469 +2973 7119 +8943 750 +-756 3777 +-2305 1967 +2074 -4761 +-6125 507 +-3029 5153 +2140 -652 +3446 969 +12049 4257 +11041 -1051 +2293 -2265 +-1795 -1597 +3719 -2030 +5142 -722 +-5885 -8745 +-9183 -9300 +-5603 3064 +-1173 1650 +2299 876 +332 8576 +-1252 7335 +-1818 1471 +2280 2898 +5464 10175 +7194 3737 +10770 -2574 +1799 516 +-6191 -7206 +-558 -4145 +3819 2686 +-3000 -1990 +-14894 -746 +-5985 -4264 +10967 53 +4837 7961 +-3748 322 +-1394 -3411 +-2964 -7992 +-4385 -8399 +-131 -659 +-3068 -3050 +-8212 -7016 +-4666 -7424 +-1986 -5035 +3911 -5665 +8617 -8390 +2578 -1820 +1449 3122 +2013 6510 +-1872 8109 +-1625 1034 +-19 -2006 +2347 2804 +1783 6940 +-3733 5757 +-4533 5447 +-3694 6584 +-5314 1283 +-1892 -8219 +-1012 -13631 +-4544 -3365 +1859 12817 +7599 14985 +7039 3121 +7358 -5326 +1948 3252 +-455 2883 +-1547 -4893 +-4395 4414 +1062 5651 +1191 -1956 +3238 -1649 +12127 -5037 +7773 -8194 +197 -3146 +-2666 694 +-1486 -151 +140 -92 +44 -7 +-3 -3 +-4 0 +-4 -5 +-6 -7 +-5 3 +-3 5 +-7 1 +-6 3 +-1 -5 +2 -6 +4 5 +5 0 +4 -2 +3 2 +4 -3 +3 -4 +-5 -6 +-6 -7 +-1 -10 +1 -3 +0 -4 +0 -7 +-5 -8 +-2 -1 +-3 -4 +-3 -7 +3 -1 +3 -4 +-4 -3 +-5 -2 +-8 -9 +-5 -13 +0 -4 +3 -6 +0 1 +-2 -1 +-4 -2 +-5 1 +-6 2 +-5 2 +-3 -2 +3 2 +-5 7 +-6 5 +4 11 +2 12 +0 3 +5 0 +3 -7 +-1 -3 +-3 -6 +4 0 +11 3 +11 -5 +4 -1 +-1 -1 +2 -6 +11 -1 +7 -1 +-3 2 +-8 -3 +-2 -7 +3 -1 +7 6 +5 -3 +-1 -6 +0 -2 +0 -2 +2 1 +-2 4 +3 4 +5 -1 +6 -2 +7 -4 +6 2 +-5 -1 +-3 2 +2 1 +4 4 +2 9 +3 8 +6 7 +7 7 +6 7 +7 9 +6 10 +1 3 +6 7 +5 4 +2 8 +7 11 +5 2 +-2 1 +-5 8 +-2 5 +6 3 +7 -5 +4 -3 +1 -7 +5 -6 +3 4 +4 5 +5 4 +5 -1 +3 1 +7 5 +4 0 +2 -2 +-3 -1 +1 3 +2 0 +-4 3 +1 1 +3 -3 +3 -2 +5 2 +5 8 +7 3 +6 -8 +0 -2 +3 -1 +3 0 +3 0 +6 0 +-2 -5 +2 -2 +4 7 +-9 41 +-28 55 +-41 47 +-28 50 +-17 54 +-337 -34 +-4066 -974 +-9458 -1379 +-4161 3095 +6467 3948 +2620 -972 +-2556 4743 +2983 4976 +4703 -7144 +2395 -10181 +3131 -9452 +4918 -2403 +764 7849 +-2409 2097 +5308 -829 +3686 4937 +-8360 2491 +-10452 -697 +-9835 465 +-3395 3941 +7305 2654 +2525 -1399 +-1538 5248 +3991 4370 +3225 -8018 +322 -10575 +1254 -9983 +4504 -3325 +2456 7646 +-1955 2547 +5121 -1989 +4737 4070 +-7840 4255 +-10610 1610 +-9781 2578 +-2689 4648 +7815 1158 +2203 -1931 +-558 5458 +4844 3481 +1596 -8566 +-1818 -10495 +-799 -10066 +3726 -4193 +3924 7016 +-1504 2909 +4686 -2943 +5500 3074 +-6978 5624 +-10277 3482 +-9322 4257 +-1824 5015 +8036 -350 +1868 -2338 +454 5507 +5508 2578 +90 -8701 +-3720 -10002 +-2599 -9773 +2982 -4830 +5274 6160 +-870 3139 +4109 -3738 +6060 2005 +-5870 6860 +-9543 5418 +-8371 6028 +-715 5300 +7975 -1843 +1401 -2596 +1483 5345 +5922 1470 +-1631 -8573 +-5559 -9079 +-4331 -9111 +2117 -5275 +6316 5194 +-353 3204 +3438 -4413 +6336 973 +-4710 7704 +-8622 6865 +-7391 7281 +50 5278 +7521 -3175 +910 -2796 +2393 4953 +6155 400 +-3011 -8202 +-7053 -8034 +-5977 -8221 +1095 -5515 +7149 4065 +212 3267 +2641 -4936 +6522 -169 +-3229 8398 +-7255 8272 +-5979 8458 +1025 5191 +7009 -4418 +505 -2910 +3232 4488 +6235 -551 +-4337 -7505 +-8332 -6724 +-7208 -7099 +272 -5591 +7749 2800 +721 3108 +1793 -5234 +6339 -1153 +-1998 8747 +-5975 9235 +-4632 9208 +1775 5007 +6241 -5326 +-13 -2922 +3789 3948 +6082 -1499 +-5436 -6780 +-9334 -5416 +-8296 -5946 +-663 -5532 +8047 1623 +1184 2976 +1056 -5455 +6214 -2109 +-495 8896 +-4392 9973 +-3149 9788 +2540 4572 +5237 -6313 +-505 -2895 +4492 3162 +5831 -2570 +-6473 -5716 +-10138 -3666 +-9189 -4392 +-1585 -5327 +8263 190 +1718 2680 +99 -5507 +5745 -3081 +949 8811 +-2717 10503 +-1471 10068 +3402 3978 +4297 -7112 +-927 -2739 +4940 2453 +5281 -3355 +-7422 -4447 +-10613 -1897 +-9790 -2793 +-2481 -4976 +8222 -1195 +2138 2323 +-881 -5427 +5177 -3976 +2474 8360 +-799 8942 +-3806 4259 +-3725 -5684 +-1638 -11611 +-8244 -6332 +-5601 -4592 +4611 -4355 +4498 2609 +5469 6209 +7118 -4563 +4868 -7876 +6067 641 +301 5491 +-1663 8228 +8467 2828 +4722 1689 +-4534 2919 +-5758 -6210 +-7918 -3722 +-3620 4449 +-694 6797 +-6956 1750 +-7921 -6147 +-5738 262 +-260 4241 +6001 4803 +-2798 6597 +-10042 4016 +-1365 8111 +8293 3607 +10014 -439 +876 6971 +-2999 5452 +4753 1229 +5331 -5950 +5874 -7818 +6439 2901 +2754 5626 +5884 -1321 +4499 -8871 +3297 -4769 +3054 3477 +-7157 4502 +-3004 7265 +5947 6158 +-1864 4344 +-6833 -41 +-7827 -5795 +-6436 3147 +-1313 7822 +-4387 770 +-2861 -4603 +6480 -8422 +2071 -10413 +-3212 -10008 +3833 -2330 +78 2930 +-10201 1084 +-7827 6935 +-5878 7337 +-6327 -5439 +3466 -7218 +11485 -1446 +7503 -7069 +3537 -6084 +-160 4333 +-6578 -1485 +-8858 -7581 +-10521 652 +-7379 196 +739 -6192 +5197 -934 +8290 1082 +2609 -7944 +-1362 -8905 +5127 -3438 +3819 3972 +4082 7215 +8371 -3380 +4771 -1803 +-1500 5110 +-8513 -757 +-8567 2488 +122 5593 +4003 5385 +-4064 5880 +-10163 815 +-4288 4019 +2637 3221 +7856 -513 +2381 6645 +-5003 9530 +4285 6752 +8766 -2888 +7377 -6996 +5370 4438 +1365 5941 +4452 -2265 +122 -7867 +-779 -9628 +6811 -2182 +5900 2278 +3681 -4883 +-2398 -9530 +-613 -5690 +4717 582 +-2644 8090 +2407 7335 +8654 641 +1407 4439 +-5291 4489 +-9891 917 +-2933 6491 +4141 6555 +-2901 3483 +-5260 -1491 +-501 -10488 +-5230 -9051 +-9006 -5311 +1516 -4252 +2044 2100 +-7235 7446 +-1658 10295 +109 9242 +-8570 -2 +-2070 -7569 +8073 -8489 +1370 -10176 +-1144 -6792 +2772 3417 +-6085 3179 +-11905 124 +-7800 7385 +-5673 4948 +-3536 -5176 +3406 -4138 +7300 -4650 +-3051 -7720 +-6854 -5858 +1823 -5904 +5545 485 +7867 2722 +4451 -7942 +2618 -4366 +2146 4819 +-7162 4867 +-5195 7357 +3701 4123 +6650 1602 +572 7153 +-7561 7143 +-803 5771 +4151 747 +5808 -5349 +6131 3468 +2247 10308 +7807 2202 +5098 -7829 +1280 -9927 +6915 2 +2265 5737 +-276 3493 +3353 3267 +6195 -4520 +11062 -7373 +7570 6282 +-2621 8481 +-5015 7834 +-3458 9106 +5941 -2995 +12476 -3493 +5869 4397 +4884 351 +3206 -5192 +5855 -6242 +12525 -1144 +-161 -2516 +-9422 -7306 +-6874 -4126 +-2737 -8298 +9126 -5857 +12402 3942 +3927 -1487 +-582 -4771 +-7858 193 +-14423 6916 +-2903 6597 +3537 -3149 +-4722 -690 +1364 4009 +6874 150 +1691 -4181 +2496 -9228 +3049 -4088 +2881 3404 +2756 3207 +2519 2642 +330 3470 +-6519 8552 +-6689 7012 +-3398 1221 +542 7837 +1842 11038 +-3358 1084 +-1167 -2465 +1159 3441 +-6036 -960 +-9864 -7172 +-4634 -469 +-3979 3460 +-11186 2882 +-7463 1027 +-768 -3311 +-1261 -3768 +-1542 -4203 +297 -3182 +8063 245 +8203 1375 +2579 -2430 +296 -6544 +-4282 1206 +1484 3691 +1332 -3227 +-11238 5108 +-8876 11526 +1138 3927 +5085 95 +1928 -7364 +3962 -12520 +10097 1 +3485 8107 +1105 9165 +3180 9046 +2713 -6108 +7495 -10721 +7477 -581 +4018 -2939 +-905 -5915 +537 -8432 +9416 -9123 +2721 -3509 +-1905 -4318 +303 -3911 +-939 -2113 +3434 -8383 +15554 -15587 +13724 -7893 +4793 -4916 +270 -1377 +-5772 4579 +-2548 -9840 +2549 -7920 +4144 1267 +1737 -2564 +-6919 6720 +-5187 2130 +-2538 -2738 +-3606 10827 +1245 14289 +-325 19280 +-1998 15429 +338 -2213 +-2609 -5512 +-2811 145 +-1037 3027 +-2432 -1484 +-1543 -3288 +-430 3739 +-2948 6682 +-1053 -458 +5958 -8905 +3575 -1713 +-2935 8305 +-82 6776 +3989 5645 +756 5355 +-3798 1670 +-3041 -5712 +-2987 -9645 +-6188 -4180 +-964 2836 +8098 3890 +3763 177 +-3780 1733 +640 3674 +1440 875 +-3166 1342 +5253 -1918 +9055 -7907 +-5019 -6152 +-8901 2529 +-1391 8125 +-4430 4622 +-3762 842 +6941 -632 +4519 -2952 +-2998 -8923 +2097 -9877 +4346 1847 +-851 3554 +-359 -5330 +-31 -4077 +-2440 4849 +-328 8440 +-694 1694 +-3784 -3376 +-2482 -2885 +-2145 -809 +-3109 -8438 +1085 -21769 +4970 -15048 +276 -6845 +-743 -1164 +-1257 7080 +-8628 -5464 +-3517 -7475 +3951 -1946 +-406 -2996 +-760 9403 +-2483 4607 +-4712 -5150 +-1479 519 +-144 5554 +-6508 -1431 +-6534 -4183 +5657 895 +10141 -840 +1115 -4885 +-6608 -1433 +-493 3967 +3268 4147 +2032 3540 +2739 -1507 +-3232 -6794 +-2165 -6631 +1246 -4327 +-4092 6915 +2738 11110 +10361 -9 +3418 -6848 +-475 -2839 +-2437 4093 +-8719 -967 +-4981 -5257 +5892 2493 +6579 8178 +-450 8824 +-7067 2641 +-9106 -581 +-6812 -6283 +-7170 -15662 +-6123 -8735 +-197 -6140 +3146 -1615 +1338 7501 +3903 -8449 +7561 -10265 +312 3717 +-3258 -3689 +400 -9528 +-6915 -6699 +-14063 -4447 +-8025 -185 +-1567 4496 +-1034 6181 +-217 3201 +-682 5935 +962 11411 +5495 6680 +3827 -1329 +4041 -1833 +1187 523 +1568 -2219 +14947 -4384 +6789 -1476 +-4949 456 +3570 -1307 +4758 -1738 +8259 3399 +9433 5599 +2514 4848 +2197 9666 +-2317 9337 +-4508 -1615 +-3528 -6352 +-759 132 +3472 4324 +-5896 3272 +-7762 1225 +4982 -3143 +7289 -7289 +-2362 -4246 +-6057 3352 +2308 3188 +5290 843 +3929 1204 +1107 -2951 +-7072 -2720 +-6160 -3362 +-1855 -4256 +1799 5781 +1289 7669 +-8907 459 +-10321 -2926 +-9798 -1616 +-4242 3449 +6705 4250 +2612 -1250 +-3039 4869 +3008 5444 +5167 -7389 +2614 -10597 +3255 -9545 +5143 -2168 +777 8154 +-2390 1802 +5769 -1023 +3823 5164 +-8868 2467 +-10774 -928 +-9897 301 +-3432 4252 +7423 2969 +2298 -1631 +-2203 5361 +3884 4743 +3756 -8196 +697 -10823 +1555 -9913 +4732 -3015 +2165 7828 +-2124 2128 +5425 -2113 +4680 4310 +-8307 3989 +-10862 1030 +-9783 2130 +-2644 4729 +7830 1434 +1906 -2073 +-1162 5631 +4774 3863 +2244 -8762 +-1298 -10717 +-381 -10023 +4063 -3839 +3584 7295 +-1691 2512 +5077 -3009 +5528 3419 +-7424 5458 +-10507 3011 +-9307 3888 +-1796 5124 +8056 11 +1593 -2328 +-50 5717 +5429 2929 +515 -8840 +-3342 -10107 +-2255 -9583 +3330 -4454 +4977 6397 +-1166 2735 +4382 -3925 +6034 2288 +-6365 6709 +-9820 4920 +-8409 5567 +-832 5397 +7937 -1471 +1096 -2600 +886 5624 +5899 1929 +-1056 -8850 +-5153 -9481 +-3978 -9148 +2456 -5005 +6038 5429 +-1117 3195 +-850 -1401 +4029 6229 +1149 5829 +-5376 -3029 +2788 -7221 +-991 -8374 +-5428 -373 +-5507 3686 +-5336 -5748 +2135 -8825 +-3967 -5357 +-3063 3010 +-1283 7062 +-6743 771 +-7576 -5665 +-8013 2570 +2107 9927 +4302 6816 +-4765 4748 +-6392 137 +1303 4657 +8017 1694 +8269 -214 +1332 7525 +-4252 4472 +4834 4683 +9205 -1474 +5217 127 +-1274 4833 +-7765 -4077 +-6267 2116 +-2682 7591 +-3838 1042 +-3667 -5835 +862 -9464 +-5456 -3052 +-7530 2899 +-2049 -3049 +2004 -5280 +10225 1019 +9059 -3927 +6414 -7988 +3876 2090 +-2467 1493 +-5205 -7781 +-9348 -2798 +-8619 -735 +1687 -7977 +7422 -1118 +6307 8544 +9131 2250 +6447 -683 +-3375 2263 +-2097 -6031 +2644 -10906 +-5593 -8115 +-3761 -5660 +5903 -2489 +2744 3285 +3618 7403 +7079 -2528 +7342 -5696 +4927 2578 +-1614 5241 +-3269 7289 +6234 4767 +4768 3199 +-6209 1186 +-2580 -7138 +-6242 -5516 +-4527 3226 +-1933 6251 +-7878 -786 +-4110 -7823 +-6672 -1282 +-536 4221 +3596 6066 +-4695 4972 +-9550 872 +-4773 7263 +5658 6733 +2284 977 +-4670 -1779 +-2991 -119 +2279 1067 +3556 -5491 +-1232 -682 +-4685 9600 +-4108 10789 +2709 4327 +8119 -1033 +4869 1752 +3472 6087 +2815 12040 +11342 8119 +6451 453 +-8170 2416 +-9114 3396 +-8570 -6793 +2921 -14926 +-752 -10698 +-6174 -8997 +3335 -531 +-3114 1488 +-1587 2043 +8137 12598 +9383 4368 +7304 2396 +4121 1008 +-1167 -8145 +-5769 -2410 +-7241 1613 +-6935 7831 +1878 3835 +8272 -9327 +5717 -5697 +-3612 -3071 +-4410 -2805 +2178 545 +-1447 3079 +3723 5988 +1113 947 +-7176 -3052 +4199 -4101 +5455 2529 +2522 11041 +1305 -1229 +-4714 -7872 +1572 -2671 +4412 -2705 +1779 -2516 +1029 2615 +-1203 2539 +-2080 -4299 +-9150 448 +-7595 -2089 +1284 -6224 +-228 0 +-5363 -1935 +-7783 -2256 +-1110 -114 +1590 2090 +-4292 2162 +-3642 660 +1575 338 +1405 426 +-4615 1553 +-2539 1838 +2418 -664 +-743 -6351 +-1391 279 +2560 10102 +3835 10632 +4948 1431 +5656 -6007 +4945 -1855 +6683 2329 +10046 7173 +14105 -1257 +5391 -3891 +-4358 5291 +1921 3634 +16619 -1094 +17217 -2184 +7191 3859 +4043 1590 +6528 -6808 +5721 -3604 +-6292 3061 +-6860 -1111 +-6014 -2047 +-10192 5515 +-4030 1468 +2402 -3662 +7474 -1545 +1327 -4520 +-10802 -2259 +818 891 +2266 -2790 +-1657 -1300 +8470 2055 +-7282 -101 +-4932 -3286 +7641 1129 +825 2648 +5515 3155 +-1226 5380 +-12405 -192 +-10323 3077 +-683 3547 +-1759 -1699 +-5761 -4855 +-3457 -7067 +-4431 846 +4241 6254 +1199 11216 +-3323 10890 +-986 7804 +-2161 8976 +1344 1327 +43 -8454 +-1133 -8450 +-1505 842 +2794 4740 +1887 5869 +-4166 3327 +1012 -2374 +3231 -6821 +-1361 -8527 +-3471 4755 +-4480 8283 +-6816 3602 +-3010 4384 +-602 -1871 +-2697 -3690 +-1925 -1796 +5600 -5967 +9028 -5122 +-1114 982 +-3996 -2674 +487 -5676 +2957 -5147 +2242 -4006 +4115 380 +4978 1998 +4119 -37 +11966 -11310 +11800 -12798 +8032 -1852 +4198 -1442 +585 -9217 +2101 -6359 +-2806 6367 +-6084 3698 +-6067 2461 +-4361 10672 +-2173 3613 +-549 -4333 +4728 -6050 +-1842 -4401 +-8866 3958 +3602 -30 +8365 -5919 +3606 -6326 +2380 -3030 +2730 -833 +3708 -4132 +1520 -4474 +528 3157 +-604 5488 +-291 875 +6667 -1599 +6515 -1373 +4608 10680 +6034 16451 +-1856 7857 +-4889 -1280 +6907 -9722 +9832 -1926 +2218 -1091 +1520 -9490 +-5064 -2574 +-7885 1485 +3657 2580 +2749 -362 +-1430 -4940 +1535 -5479 +168 -4484 +-904 -4764 +1317 -6449 +1066 2328 +-2478 5816 +-769 2713 +-4093 3592 +-6343 5316 +-4439 3763 +-13454 -5737 +-13665 -6672 +-8019 3959 +1552 8788 +10107 1579 +3464 -2943 +35 514 +-3330 -1897 +-2236 -6103 +5222 -5041 +11585 -76 +5527 4824 +-11575 6724 +-4275 7249 +1814 6091 +-5866 -744 +158 -5159 +2948 -1693 +-2390 3350 +-6022 7828 +-5091 3998 +-2177 1176 +2897 3354 +10106 -834 +3782 197 +-7963 152 +-4419 -6397 +1810 605 +638 6821 +2736 -3624 +2430 -9607 +-1404 -7040 +-185 -3789 +1526 -2345 +112 -5373 +-1819 -4218 +2404 1999 +3116 4377 +287 849 +4050 -5467 +4161 -5202 +10514 4965 +15377 8233 +3888 7075 +-3497 5491 +-5917 3463 +87 1016 +4915 -1572 +-5244 7864 +-4782 1303 +-1708 -4452 +2977 -801 +2026 -2549 +-1795 5597 +-810 7721 +-2272 -642 +-9 -11738 +-4604 -7486 +-3724 5236 +-2725 9534 +-6770 6952 +1928 -2899 +2575 -10111 +-5277 -8926 +-7182 6039 +-732 4217 +6863 -6130 +3401 -1297 +-5478 -5 +-6676 -3309 +2429 -8177 +4228 1842 +-3753 7995 +-5519 1819 +1213 3001 +5209 -406 +-2302 3468 +-3704 12459 +-2625 2364 +-10544 -4475 +-6081 2004 +376 -3437 +4901 -9395 +5175 -4341 +-7375 -4034 +-6096 -9654 +-1110 -3075 +-5822 6487 +-5550 394 +638 -6422 +-669 -7289 +-1914 -2805 +6072 -3738 +4108 -2419 +5739 4218 +11408 5037 +6985 8831 +10655 4096 +11448 1912 +517 2178 +1553 -4483 +2112 -9539 +-5537 -10359 +148 1224 +4944 7864 +4289 2109 +1917 -2128 +1182 -1775 +3833 -1540 +-969 5130 +547 888 +2772 -4512 +1186 9167 +-2835 4082 +-4365 -2159 +1724 -2665 +-106 -3226 +2388 5231 +4604 6113 +-2150 1069 +-8012 -8469 +-8623 -2251 +725 6296 +4350 8829 +-1289 11591 +-1214 3396 +-967 -1261 +-2753 2380 +884 -2198 +3862 -10464 +1089 -7110 +-5087 247 +-1410 4165 +-5035 -1606 +-8477 -7119 +1123 -7074 +-3700 -2669 +-8210 6771 +-3460 649 +336 -3154 +3091 -3011 +5279 -7209 +10100 -3815 +12092 -1845 +9393 -1658 +5125 -1475 +1037 4022 +-2421 1476 +2763 -2895 +9165 -2343 +6201 -2662 +656 5118 +-3559 5392 +-4809 5159 +-6221 4977 +-5210 7858 +-5359 10012 +-6286 -1635 +-5559 -5647 +-1374 -3953 +4367 2118 +-4222 5355 +-1539 2806 +9187 -628 +1557 -914 +-572 7099 +-971 1764 +-11151 -2120 +-12131 3960 +-3575 5704 +2897 3621 +9929 -3799 +6954 -2576 +-7405 1759 +-5606 -1948 +1942 -205 +-4785 1797 +-2501 631 +7605 1153 +5049 880 +-1588 8737 +905 4242 +15208 -9802 +12139 -8094 +-3934 -9308 +-709 -4676 +-901 393 +-3996 -1325 +408 6070 +1091 3579 +-1501 -250 +-643 3492 +-790 -2287 +-3892 -10279 +-3829 -5980 +-3825 3512 +1640 4031 +-4868 2147 +-11248 376 +-3818 -5847 +-4627 584 +-1942 10366 +-2244 2715 +-1828 -2361 +68 -2223 +-4969 332 +-4096 9295 +6356 10153 +8511 2961 +-918 3553 +-3540 3630 +-8229 -5756 +-4200 -5194 +1559 332 +1340 1096 +1503 4689 +859 3534 +8238 3888 +859 4564 +-2969 -3150 +4019 -7412 +9178 -6215 +12387 -8798 +-4306 -6033 +-7095 -303 +986 -1947 +-3525 -801 +157 -1520 +9565 2750 +4818 12072 +-4602 8773 +-2334 5738 +-6784 5842 +-7997 5603 +3727 6799 +3305 -3564 +-7888 -11803 +-4365 -4157 +2089 -5441 +-5082 -9000 +-5891 -6532 +-4671 -4334 +-6401 3397 +-5568 -1749 +-3788 -3795 +-7703 4442 +-12360 4220 +-2872 1623 +4305 -6660 +3623 -10785 +1724 -9808 +-1436 -7983 +-4227 -2402 +-1183 4448 +5708 10298 +4305 8809 +-1057 6677 +-3434 1404 +175 -718 +3637 3368 +2045 -1669 +2643 -5479 +9716 639 +6719 6793 +-6001 2062 +-2662 -2880 +7412 -2442 +9736 -4649 +2140 -2664 +-3639 3972 +3057 9497 +11715 3127 +8509 -3516 +1715 3175 +-238 4966 +-10197 1351 +-6786 -948 +1438 -814 +1734 -142 +4315 2272 +3068 1873 +8901 -2756 +3814 2687 +-4252 -84 +-1233 -4805 +194 -400 +9 214 +2 -6 +5 -5 +6 -5 +9 0 +7 -2 +-4 -5 +-1 -3 +3 -2 +-1 0 +1 -2 +0 0 +2 -5 +-1 1 +-8 -2 +-4 -3 +-6 -4 +-6 -6 +-4 -6 +-1 -3 +1 1 +-1 0 +-1 2 +-9 -1 +1 -1 +5 3 +-2 2 +-1 0 +-1 -1 +-1 -10 +-2 -7 +0 0 +4 0 +-2 -8 +3 -4 +3 2 +-3 -5 +-2 -7 +-7 4 +-4 5 +-5 -2 +-8 -11 +-4 -2 +1 6 +-1 -2 +0 -3 +6 -4 +4 -1 +6 1 +7 0 +-3 -3 +-6 7 +1 1 +3 -8 +3 -4 +4 -4 +2 1 +-5 6 +0 -1 +0 5 +4 8 +1 6 +-2 -3 +0 -6 +1 -2 +-5 10 +-4 7 +0 -3 +-2 1 +-7 -4 +-1 -8 +-2 -5 +2 -3 +5 -3 +1 1 +-7 5 +-21 -15 +-37 -21 +-46 -22 +-38 -23 +-35 -20 +-383 -296 +-3590 -2813 +-7664 -4625 +-3880 2011 +4448 5535 +1783 -245 +-4116 3910 +1394 4762 +6823 -5281 +5804 -7903 +6424 -6761 +4496 856 +-3017 6954 +-2138 216 +5149 1929 +161 5366 +-8410 -1726 +-8398 -4581 +-8428 -3079 +-3463 2799 +5482 4724 +1764 -462 +-3416 4666 +2209 4455 +5810 -6453 +4333 -8851 +5178 -7794 +4642 120 +-1788 7419 +-2097 584 +5483 990 +1110 5246 +-8752 -188 +-9277 -2900 +-9077 -1405 +-2977 3425 +6393 3556 +1660 -870 +-2458 5189 +3139 3912 +4421 -7559 +2398 -9671 +3446 -8823 +4648 -936 +-160 7661 +-2007 1027 +5636 -258 +2203 4866 +-8753 1665 +-9827 -965 +-9344 408 +-2227 3937 +7133 2127 +1475 -1192 +-1325 5709 +4019 3244 +2977 -8394 +497 -10001 +1712 -9332 +4425 -1834 +1330 7589 +-1753 1404 +5588 -1267 +3085 4396 +-8392 3268 +-9925 905 +-9185 2205 +-1429 4373 +7538 878 +1268 -1406 +-414 5851 +4498 2530 +1538 -8777 +-1094 -9969 +244 -9467 +4112 -2481 +2518 7316 +-1527 1638 +5375 -2127 +3806 3892 +-7867 4463 +-9834 2356 +-8858 3538 +-816 4482 +7569 -320 +1032 -1621 +574 5750 +4881 1647 +12 -8876 +-2839 -9564 +-1488 -9384 +3631 -3154 +3794 6741 +-1222 1887 +4987 -3012 +4520 3160 +-7011 5791 +-9356 4018 +-8191 4975 +-81 4550 +7501 -1563 +805 -1713 +1513 5613 +5121 877 +-1361 -8709 +-4304 -8964 +-2875 -9021 +3185 -3646 +4820 6090 +-924 2052 +4484 -3680 +4917 2472 +-6161 6703 +-8659 5336 +-7332 6140 +592 4495 +7198 -2695 +490 -1826 +2320 5290 +5227 99 +-2725 -8298 +-5708 -8137 +-4315 -8386 +2522 -4089 +5745 5177 +-615 2196 +3746 -4382 +5269 1559 +-4856 7653 +-7616 6756 +-6279 7296 +1347 4260 +6679 -3942 +191 -1886 +3274 4764 +5274 -841 +-4123 -7753 +-7065 -6995 +-5697 -7455 +1767 -4449 +6621 4084 +-152 2212 +3021 -4940 +5501 681 +-3508 8288 +-6407 7862 +-4942 8184 +2139 3942 +5998 -4922 +-124 -1850 +3971 4187 +5064 -1657 +-5328 -6967 +-8099 -5787 +-6805 -6464 +1069 -4650 +7158 3022 +114 2180 +2219 -5303 +5556 -116 +-2157 8581 +-4372 6858 +-4953 1323 +125 -7141 +3078 -10381 +-4754 -8543 +-1692 -5810 +5871 -1147 +2012 4789 +2366 7331 +8093 -1754 +7078 -3901 +4258 3726 +-2998 4757 +-4103 6474 +6455 5603 +1973 3309 +-4989 -35 +-2118 -8097 +-5389 -5555 +-4375 2978 +-3675 5304 +-6534 -2380 +-3931 -8270 +-5032 -1135 +-1136 3863 +2747 6746 +-6044 3703 +-9744 -434 +-3579 7022 +6273 5887 +7804 3971 +-3236 6173 +-4098 3240 +4036 2842 +6994 -3584 +8040 -3419 +3467 5661 +200 5562 +6024 624 +7046 -6107 +4463 -1706 +269 4156 +-8389 817 +-4406 5694 +2367 7310 +-4081 2454 +-5383 -3513 +-4313 -7934 +-6645 1265 +-4075 5797 +-4053 -1947 +524 -5011 +9315 -4501 +5295 -8460 +1750 -9215 +4086 717 +-2271 1892 +-9254 -3395 +-9358 3426 +-7815 2805 +-2053 -7939 +6734 -3494 +10180 3600 +9006 -3570 +4894 -2766 +-2695 3614 +-4614 -5243 +-4245 -9808 +-9273 -3339 +-5115 -3236 +3844 -4630 +4676 2312 +6608 3945 +5194 -6517 +2947 -7460 +5723 -23 +690 5515 +887 7548 +8671 90 +3713 1196 +-3927 3092 +-6899 -4800 +-7864 -721 +-1534 5061 +544 6334 +-6655 2385 +-8410 -3731 +-4752 2313 +1557 3606 +6570 3345 +-2258 6656 +-7948 5919 +1696 7521 +8754 372 +8778 -2068 +1521 6630 +-1135 5052 +5016 -459 +3244 -7183 +4138 -7731 +6324 2061 +3649 4079 +5162 -3303 +1809 -9010 +2500 -4065 +2918 2993 +-6169 5897 +5 7032 +6440 4050 +-1732 4446 +-6534 752 +-8502 -3227 +-4493 5132 +447 6891 +-4454 1149 +-2844 -4064 +4424 -9337 +-1231 -9747 +-4537 -7971 +3725 -2152 +-439 2821 +-9379 3479 +-5088 8572 +-4265 6985 +-6755 -4605 +2898 -6858 +10286 -3809 +4790 -8422 +2002 -5153 +297 4484 +-6970 -817 +-9730 -4438 +-9374 3623 +-6103 965 +-84 -5936 +5107 -1396 +7721 -1472 +-251 -8203 +-2581 -7418 +4490 -3781 +4194 3562 +5667 4888 +6797 -5654 +3674 -1509 +-979 4911 +-8483 1019 +-6622 4629 +2068 4779 +4508 4379 +-3627 6082 +-9060 2679 +-2263 4863 +3528 1724 +7278 -1709 +2534 6479 +-2440 9551 +6244 4527 +7219 -5351 +5587 -7101 +5605 3875 +1217 5633 +-4214 7990 +-8373 9180 +-4533 6129 +399 5589 +-131 -2073 +-1301 -3627 +2516 -864 +-88 -5653 +-22 -10988 +4132 -6207 +4836 10039 +12579 7021 +12360 -8352 +2579 1428 +-3771 11264 +-5359 6071 +517 2394 +-425 1292 +-3259 2201 +2391 -2642 +1229 -7454 +-3340 -9792 +-1032 -11314 +248 707 +-5503 5725 +-5400 -712 +1624 -1578 +3886 -7856 +1986 -6118 +-3674 1322 +-2101 -8191 +2248 -10801 +-773 -3247 +-142 -4730 +-5299 -1963 +-9348 7203 +-2910 6206 +-2048 -2001 +2752 -4389 +9647 -1944 +6582 2724 +1516 7329 +1466 4057 +5191 -902 +2634 -3058 +-1079 -7070 +2996 -6306 +8682 -2054 +6682 1744 +-997 4122 +-3356 -2129 +-8361 -6031 +-8766 910 +711 5586 +662 3666 +2571 6774 +3626 10829 +-4045 2422 +-198 1016 +5863 7827 +3482 2318 +-2384 1085 +-7233 -350 +-2800 -4358 +2280 7387 +-127 12524 +542 7459 +3978 3809 +-1457 -1440 +-3395 -1792 +1403 -2318 +-3768 -4112 +-7272 -8051 +-952 -7286 +10283 4122 +14314 -3273 +3965 -14306 +2933 -634 +4423 10572 +-3493 6538 +-3450 -1608 +1721 -418 +187 6030 +-4127 6568 +542 -1001 +6702 -6443 +2665 -152 +-756 7184 +-4834 3594 +-4262 -203 +-2050 1893 +-12098 -2668 +-13776 -6036 +-2627 -3852 +4256 -3649 +4995 4117 +5531 7167 +5859 -2115 +225 -5486 +2352 -1927 +7577 1553 +3401 -6253 +4803 -11108 +4073 452 +-4312 698 +-5739 -3767 +-3612 -50 +-1673 -5087 +2173 -6736 +5846 3190 +2877 7778 +288 6518 +2080 4804 +-5891 -2035 +-11393 -1574 +-3628 8398 +1001 3658 +1866 -6198 +4567 -11635 +5055 -15782 +2883 -4236 +261 2274 +-1957 -8157 +-1788 -9579 +2163 -2170 +6028 559 +8345 -1843 +4292 -5473 +-2009 -6766 +1575 -1629 +5846 2009 +1770 2042 +-6168 7645 +-9275 3510 +-1530 -3351 +3818 1737 +-176 -135 +-1484 -1861 +2904 1710 +885 6156 +-4619 13333 +1128 10648 +4806 9258 +-2507 10165 +-4398 1498 +1176 -1523 +4183 4311 +1221 7531 +-223 -1130 +896 -9173 +1952 -1864 +4265 5711 +-1258 5713 +-3413 2594 +-302 2750 +-11167 5891 +-14745 4342 +-4584 -1250 +520 -5267 +701 2878 +-6190 9359 +-4143 -2575 +4381 -8621 +9128 -3082 +10967 1132 +-2174 4220 +-11648 4648 +2293 6018 +9534 2385 +766 -5088 +-2403 -1206 +-4980 112 +-9608 -6928 +-6770 -7753 +-627 -3773 +3012 -6030 +-245 -10390 +-3307 587 +-3196 12127 +-3168 6349 +2712 -334 +4134 -1070 +5059 1689 +9949 5484 +7693 3680 +6825 -328 +-576 1837 +-4563 7713 +6725 3832 +1303 -6945 +-8119 -9954 +-3148 -3216 +-3659 3051 +-3945 -2487 +7458 -6932 +10991 -1150 +-1055 -678 +1725 -5303 +10206 -3372 +2373 942 +-229 1451 +5033 3813 +12675 5028 +10664 3135 +41 2443 +2725 -4660 +-851 -9295 +-5141 -6011 +-1216 -2937 +-5774 1876 +-5826 643 +-7806 2954 +-6910 12094 +419 7464 +-5791 853 +-5702 -1299 +-1481 -7416 +-2958 -6246 +2876 4524 +2690 8700 +-3139 3005 +-6988 1680 +-7022 2929 +1042 6846 +1415 11761 +-4814 757 +-2536 -9111 +4780 -8370 +9076 -6695 +1380 4392 +-5648 11212 +5797 2802 +8839 -4750 +-2980 -4218 +-2779 758 +-3851 3463 +-12108 1410 +-10431 -1101 +-2502 -2131 +4393 -3771 +4062 -1311 +286 5081 +-1019 10452 +-10239 5684 +-9162 -3394 +-272 700 +3491 3074 +2998 -1746 +419 -4016 +1661 -8932 +1506 -4580 +-5673 2168 +-10618 -2173 +-5051 -2859 +-2920 -405 +-6396 5444 +-5860 12168 +-7994 6737 +-5253 -1983 +-1632 -6088 +-4691 -4664 +-1768 1292 +1794 505 +213 -6399 +-1570 -10261 +3590 -9130 +5636 -2610 +-3605 3342 +-2417 214 +-1588 -9750 +-8655 -11135 +-4875 -40 +-973 3887 +1238 2431 +1649 3375 +-2339 -336 +1403 5421 +4480 5876 +1384 -7451 +441 -4485 +-1384 -3673 +-2963 -4306 +4588 5997 +9974 4017 +3496 8418 +-977 12885 +113 -2675 +3110 -8758 +-1455 747 +-4816 7356 +11002 3787 +13886 5334 +2490 6833 +3515 -3070 +2073 593 +-2920 3406 +-6269 -1784 +-835 3234 +10012 2140 +5596 -6765 +2405 -14817 +2853 -11278 +74 -2185 +4587 -6114 +3719 -4099 +3278 3635 +5935 8388 +-4274 10740 +-9383 3322 +167 685 +4712 31 +1247 -3242 +-2323 -3266 +-4647 -7720 +-1860 -4401 +-3006 5293 +-9742 5303 +-5843 1164 +-2500 940 +-2465 1807 +-136 143 +43 -40 +-17 11 +8 5 +8 -2 +3 -8 +1 0 +0 3 +-1 1 +0 1 +2 0 +6 6 +13 0 +11 -3 +1 0 +3 4 +2 -1 +-2 0 +-4 7 +-1 13 +5 4 +7 0 +4 5 +-1 -1 +-2 -2 +-5 9 +2 4 +1 1 +2 5 +3 4 +-2 3 +4 1 +0 0 +-1 1 +4 1 +6 -4 +6 3 +1 10 +-2 0 +-4 -8 +-1 -3 +3 -4 +4 -1 +3 4 +9 4 +6 2 +-1 3 +3 4 +7 -3 +4 2 +1 3 +0 -3 +4 -1 +6 1 +10 4 +4 3 +7 3 +5 -4 +2 -12 +1 -9 +7 -3 +8 4 +8 7 +7 0 +4 2 +8 7 +3 2 +2 9 +4 0 +-1 1 +-3 -1 +-3 -2 +-3 4 +0 6 +-1 2 +4 2 +10 -6 +-1 -10 +-1 3 +4 2 +3 -1 +10 6 +8 3 +3 1 +6 -1 +6 -3 +4 -5 +3 -5 +6 -1 +5 2 +3 1 +1 1 +2 5 +9 8 +6 4 +3 6 +5 10 +5 8 +7 2 +9 2 +4 -3 +2 -7 +10 -6 +9 -5 +3 -4 +1 -5 +1 -6 +-3 3 +4 3 +6 -1 +5 2 +2 0 +1 -2 +6 -4 +2 2 +1 -2 +1 -1 +3 3 +2 -5 +6 -7 +6 -11 +5 -9 +0 -4 +-2 0 +3 0 +-1 -4 +1 -5 +2 -4 +-1 1 +1 3 +7 0 +3 5 +3 6 +6 0 +8 -1 +-1 2 +1 5 +3 3 +2 1 +1 2 +5 0 +9 0 +5 5 +1 -3 +-1 -7 +8 -3 +9 -4 +0 0 +-4 -1 +-4 0 +-2 -1 +-1 0 +-2 -1 +-1 2 +5 5 +3 1 +-5 -4 +4 -2 +4 4 +15 22 +31 51 +31 42 +22 34 +20 29 +-26 80 +-1749 1571 +-6317 5957 +-3349 6768 +6395 -688 +3181 -4417 +317 2982 +6372 3031 +29 -6070 +-6818 -7980 +-6609 -7308 +-2259 -7108 +6572 848 +2751 4960 +75 -2964 +6670 -3398 +496 6361 +-6437 8841 +-6018 8215 +-1623 7205 +6270 -2042 +2321 -5060 +985 2858 +7040 1706 +-1168 -5962 +-8487 -6515 +-8093 -5856 +-3683 -6533 +6760 -563 +3797 4243 +-575 -2948 +5851 -4645 +1746 6178 +-4585 10002 +-4259 9299 +-117 7439 +5816 -3268 +1201 -5462 +1470 2658 +7332 276 +-2408 -5572 +-9803 -4624 +-9306 -4092 +-5032 -5667 +6602 -1832 +4612 3493 +-1116 -2758 +4974 -5714 +2961 5782 +-2665 10757 +-2598 10015 +1193 7393 +5173 -4336 +171 -5640 +2011 2284 +7399 -1189 +-3387 -5092 +-10580 -2682 +-9994 -2317 +-6082 -4681 +6212 -3070 +5302 2531 +-1619 -2535 +3843 -6622 +4104 5043 +-459 11028 +-466 10268 +2804 6881 +4332 -5403 +-946 -5530 +2489 1886 +7052 -2627 +-4482 -4206 +-11044 -455 +-10319 -229 +-6964 -3330 +5504 -4280 +5747 1377 +-2218 -2133 +2338 -7195 +5009 4102 +1711 10884 +1517 10194 +4036 6280 +3242 -6000 +-1969 -5193 +2776 1394 +6551 -3838 +-5227 -3417 +-11075 1399 +-10319 1570 +-7481 -2046 +4776 -5087 +5985 475 +-2464 -1771 +1267 -7518 +5713 3212 +3461 10410 +3134 9715 +5021 5484 +2286 -6455 +-2765 -4864 +3009 861 +5913 -4901 +-5680 -2450 +-10732 3336 +-9909 3366 +-7764 -725 +3801 -5914 +5973 -677 +-2795 -1307 +-182 -7539 +6193 2154 +5382 9519 +4982 8878 +6044 4426 +1076 -6659 +-3669 -4172 +3063 387 +4902 -5710 +-6027 -1320 +-10019 5122 +-9253 5021 +-7810 705 +2708 -6354 +5824 -1631 +-2933 -752 +-1468 -7363 +6558 1097 +6976 8509 +6400 7988 +6729 3406 +-37 -6765 +-4388 -3547 +3072 -213 +3915 -6522 +-6201 -416 +-9028 6661 +-8335 6458 +-7681 1947 +1619 -6755 +5503 -2615 +-3068 -307 +-2668 -7028 +6649 18 +8232 7231 +7564 6795 +7182 2221 +-1084 -6689 +-4860 -2836 +3093 -668 +2926 -6959 +-6236 619 +-7961 7908 +-7289 7533 +-7341 3023 +600 -6859 +5077 -3311 +-3096 230 +-3739 -6456 +6502 -1004 +8508 5089 +3702 5179 +-4150 3026 +-10452 -4491 +-10308 1031 +-8388 4859 +-3081 -4316 +2722 -4585 +8125 -484 +3657 -6548 +-4660 -8952 +1487 -5922 +5278 -388 +5094 6511 +7414 -2142 +4958 -6323 +1935 4141 +-5878 2947 +-9408 3174 +-1129 6128 +5417 3471 +1289 6354 +-8655 4791 +-5859 4118 +2059 4377 +6221 -2509 +6581 2140 +-1420 10304 +2923 7549 +8699 -1802 +4940 -9568 +6559 -1413 +3948 6439 +3403 -789 +502 -6703 +-5128 -8731 +3282 -6373 +7268 85 +3743 -3282 +-3033 -8469 +-5104 -6024 +3859 -2932 +1540 5579 +1816 8708 +8696 -706 +4762 1042 +-1418 6413 +-8465 3707 +-4847 6176 +5697 5992 +1304 3913 +-5495 2678 +-4121 -7213 +-5834 -9144 +-11002 -2472 +-3894 -3914 +3904 -1501 +-3170 7504 +-1451 10328 +4921 9316 +-4943 5355 +-7856 -3722 +3470 -10057 +1182 -10365 +-4963 -7833 +2441 -376 +-737 5149 +-11161 3566 +-7406 7486 +-3361 9037 +-5304 -1123 +-951 -5139 +6050 -5718 +-1430 -7188 +-9536 -3412 +-2860 -5299 +3765 -3782 +8299 1332 +4328 -6513 +-433 -7856 +4247 1668 +-2521 6026 +-5106 8593 +3265 5177 +6472 -1138 +5288 3775 +-3410 9248 +-1816 6907 +4497 1812 +3171 -5971 +6539 -2783 +5730 8516 +7274 3660 +5663 -7086 +-2413 -10351 +4180 -5309 +7341 2220 +2147 -2806 +-3960 -5294 +-9786 -3126 +-1811 -6862 +5631 -4668 +755 -4819 +-7855 -4186 +-7871 -1093 +1024 -4670 +4898 3176 +7216 5260 +6311 -6266 +4388 -2314 +3197 5687 +-4114 8334 +263 7787 +8353 721 +3607 2086 +-2413 5575 +-7875 -2621 +-10545 -2929 +-10119 5284 +-5603 -446 +2008 -3699 +2418 7600 +5621 8603 +9872 3789 +-406 7247 +-8570 2330 +-3825 -9690 +-5816 -8481 +-9013 -2608 +1701 -1913 +2938 4196 +-6220 9885 +-756 10313 +3464 8817 +-4847 2702 +-4250 -3099 +842 -8165 +-5832 -4336 +-9634 3739 +-5701 -2076 +386 -5241 +7325 -4485 +-882 -7668 +-5465 -5507 +4476 -1502 +2040 6128 +1606 9721 +5984 1736 +4366 -4996 +6621 -564 +3381 9114 +3091 6313 +4746 -1579 +-1429 -6465 +3255 -6272 +9990 2647 +8036 -2031 +-199 -8985 +-8657 -6075 +-240 -6595 +7172 -1239 +3037 2675 +4321 -143 +1884 -4818 +-2156 -12683 +7182 -7690 +8304 4631 +3217 7742 +6379 8869 +2119 -1161 +1657 -13334 +6921 -5624 +4562 -2691 +-1018 -5488 +-3945 -6167 +3799 -11757 +2265 -4957 +-10472 4020 +-8577 4335 +-8025 1154 +-4831 -8482 +8862 -10470 +4735 -5065 +-4145 -2795 +-3920 3262 +-3207 14393 +3009 10859 +1752 -3737 +-4061 817 +1980 3705 +5152 -5229 +-504 -4015 +-6180 -5400 +-4405 -6136 +3119 -1444 +4734 -869 +3691 -811 +3335 -229 +3635 7217 +3863 10728 +-426 4757 +3638 3023 +11521 3355 +3168 3751 +-4100 1058 +2415 -438 +-104 3752 +-11028 5180 +-6488 4201 +1243 3929 +-2439 9443 +-3694 9625 +-2917 622 +-3842 -1178 +-4179 -648 +-3915 -1185 +2405 -5009 +6558 -7375 +1680 -3538 +-4524 -4303 +-3694 1745 +3290 3609 +524 -4041 +-3565 7089 +3303 15440 +4603 4696 +3362 -3542 +-1728 -4748 +-9945 -7947 +651 -10345 +9518 -1961 +7552 3677 +10744 2471 +1000 -2262 +-7277 -10988 +1788 -8609 +1886 -4922 +-4298 -3475 +-7010 -2244 +-4396 -11492 +-847 -7681 +-4082 -205 +-4042 -2074 +-2109 -796 +-4220 -3133 +-5805 -17849 +-529 -19421 +-32 -8267 +-2435 -3658 +1698 6067 +-6498 1589 +-9262 -7146 +2503 -2843 +137 -4115 +390 5568 +1453 8911 +-4757 45 +3568 6670 +12007 7100 +15398 7562 +15405 11380 +2490 1405 +-6149 -1875 +-3302 2108 +1782 2408 +-645 1883 +-4576 -151 +837 939 +4636 5165 +902 3620 +-3972 -7170 +-1706 -7571 +4543 4604 +5613 5538 +6645 25 +6283 861 +1270 4033 +-4719 1545 +-9338 -2769 +-8672 1273 +-1360 3757 +7145 -2958 +4829 -5026 +-974 2380 +2377 3174 +2847 -1338 +-604 2682 +713 -1231 +201 -11862 +-6562 -3861 +-6135 8220 +4401 6487 +3971 5321 +-1814 5671 +2050 -3384 +2428 -6907 +-6693 -1912 +-9717 -5530 +999 -5250 +4745 2201 +-3080 -610 +-4682 -3501 +436 2752 +6451 5548 +3775 2185 +-3919 1335 +-4704 931 +-2046 887 +-5319 464 +-16445 -9086 +-13511 -13663 +-5609 -5995 +-4315 -1047 +5318 3318 +-4023 5414 +-12011 824 +-63 -3703 +-2593 -3126 +3806 3976 +6714 5700 +-6039 1884 +-3409 1086 +4425 2587 +-997 4183 +-8814 4525 +556 -1633 +6471 -8420 +-668 -6224 +-6010 2964 +410 4208 +5540 -427 +4435 344 +2388 -2184 +-5493 -2000 +-7962 -175 +-4116 -4179 +744 3746 +9460 7002 +8893 -6027 +-1348 -8205 +-4391 -2445 +1598 2352 +-2351 6802 +-8968 3651 +1288 -2611 +10122 -2855 +8928 3136 +1205 6904 +-5418 7523 +-6896 4636 +-15583 -1754 +-14111 -346 +-6004 -1073 +-2576 -4957 +6750 1995 +-231 -2922 +-6961 -13157 +2729 -2279 +-1894 3138 +-7799 -4731 +-8313 -1169 +-12113 8139 +-7762 8062 +935 3999 +4701 4194 +3146 2666 +3206 2454 +8507 5808 +10354 1158 +3320 -3517 +3 -4305 +1873 -2348 +-1120 10 +2919 -11682 +4944 -11609 +-1976 3435 +-123 -738 +1156 -5562 +4785 -4359 +10908 -5836 +6330 -1029 +7752 2356 +8934 5967 +-830 4970 +-7478 -312 +-3425 819 +5033 -790 +2113 3651 +-3698 9034 +-733 -1583 +-702 -10323 +-4682 -3988 +-2565 6229 +3153 2708 +3875 -4028 +3511 -2690 +312 -3140 +-5539 2265 +-6161 5184 +-6012 -328 +2137 1029 +8995 1845 +-878 6476 +-8189 7741 +-7521 6984 +-2884 6977 +6770 -702 +3023 -4660 +3 3311 +6660 3366 +226 -6398 +-7024 -8391 +-6722 -7437 +-2022 -7179 +6880 1030 +2563 4948 +62 -3387 +7077 -3447 +280 6695 +-6946 8940 +-6340 8001 +-1659 7261 +6710 -1782 +2299 -5018 +537 3323 +7109 2305 +-878 -6290 +-8377 -7046 +-7917 -6066 +-3264 -6657 +7000 -221 +3432 4407 +-636 -3338 +6287 -4638 +1475 6484 +-5254 9993 +-4811 8992 +-366 7381 +6194 -2961 +1287 -5325 +1078 3079 +7465 879 +-1981 -6070 +-9482 -5521 +-8877 -4698 +-4345 -6005 +6919 -1277 +4121 3812 +-1115 -3186 +5531 -5610 +2582 6112 +-3560 10655 +-3267 9607 +909 7300 +5706 -4001 +449 -5466 +1674 2848 +7628 -411 +-2984 -5575 +-10452 -3731 +-9669 -2997 +-5362 -5121 +6655 -2527 +4789 3009 +-1706 -2927 +4446 -6479 +3601 5609 +-1652 11174 +-1552 10071 +2169 7119 +5033 -4788 +-477 -5466 +1995 2606 +7429 -1461 +-3793 -5007 +-10953 -2136 +-10106 -1530 +-6144 -4243 +6181 -3487 +5149 2362 +-2414 695 +4466 94 +8349 1591 +-3441 3577 +-4937 -3910 +-5461 -6634 +-6588 1952 +407 6339 +-6409 3648 +-6484 -5870 +-6248 -3212 +-3113 3943 +5335 4374 +-651 6506 +-8355 2934 +-6739 6115 +6196 6731 +9742 100 +3269 4997 +-3718 6233 +1034 2911 +7577 -2673 +4338 -7897 +7507 125 +2012 6496 +4274 1734 +6885 -6571 +2341 -6701 +5030 2139 +-5375 4038 +-5973 5039 +3883 7227 +921 4394 +-5869 1479 +-7181 -5452 +-6342 -1487 +-3655 7971 +-2478 2409 +-4757 -3681 +4615 -6592 +5952 -8825 +-2426 -10268 +2360 -5042 +2647 2833 +-7414 -27 +-9510 2820 +-6260 8131 +-6197 -2228 +342 -8296 +9679 -866 +9256 -3127 +4580 -7501 +1380 1844 +-4663 1650 +-6813 -8171 +-8754 -3347 +-9391 1403 +-334 -5310 +3487 -2803 +7378 2513 +5312 -4265 +-700 -9880 +4142 -4798 +4119 2332 +2773 7219 +6618 1328 +7376 -4308 +-303 4884 +-6409 485 +-8665 -1167 +-3904 5741 +4370 4448 +-2534 6887 +-8878 10 +-7015 1877 +52 5020 +6926 -163 +3698 5205 +-4615 7471 +-1412 8891 +6737 1836 +-4772 -4198 +-13802 -5102 +-7547 -11020 +1026 -3234 +1779 4104 +177 -9751 +2679 -12007 +1719 -1822 +-471 9668 +-2800 10440 +-7454 -143 +-7832 5516 +-3908 -2747 +-761 -4975 +737 8787 +1519 6143 +702 1851 +5213 -6071 +6557 -13879 +2819 -9065 +6108 708 +2429 -3180 +-692 -2522 +5413 3220 +1787 -3810 +-7467 -2840 +-3659 4483 +2430 6412 +-1403 723 +-2868 -1399 +-3792 -2158 +3634 -2980 +8146 2980 +1098 3599 +1686 -2470 +928 -4835 +2428 1197 +1634 2805 +-1302 1276 +213 -1335 +536 2719 +-1831 9834 +-3672 6561 +8781 4681 +8891 851 +2636 -6727 +2631 -12132 +-3815 -3103 +6464 1007 +17886 -3146 +9544 1644 +-2735 1973 +136 7340 +4708 6153 +-1476 7751 +-6526 5986 +-9987 -2203 +-4730 4928 +2072 1500 +1107 1449 +219 -2548 +593 -10431 +-1446 -2793 +-5526 -1175 +-9498 2387 +-13618 5000 +-13029 -3380 +-1371 -3090 +4034 1868 +-6000 -7399 +-5530 -10613 +209 -2425 +5776 7406 +4485 9481 +-5954 4704 +-2581 9086 +-4836 449 +-3822 -3116 +6399 6002 +6898 4384 +2537 4365 +-10192 299 +-6764 463 +91 102 +3466 -7891 +-437 -2895 +-9430 9652 +-4747 9961 +-8746 -4640 +-3339 -13517 +4144 -8960 +-311 -2341 +-1976 -3223 +-2025 -3888 +779 3204 +-2912 3754 +1053 4063 +-2969 2591 +-7446 3322 +6620 5953 +5786 -4623 +-3379 -9295 +-6530 -8483 +-153 -7307 +2619 -909 +4328 3715 +9460 -1264 +847 -3423 +1163 5743 +5007 5066 +-512 -1466 +-3967 -4859 +-10625 -633 +-6947 3097 +11992 3206 +10877 10000 +1591 327 +9673 -10451 +4832 -2896 +-817 -843 +3790 -8269 +-452 -10127 +1846 -5859 +6900 -8264 +3730 -733 +-1639 9299 +-6416 3139 +-4286 3691 +18 5955 +-2880 309 +-3412 -4838 +520 -4651 +-768 2649 +-2997 1404 +-696 904 +1088 3974 +612 -3513 +-1080 1517 +1394 7679 +8556 4931 +7439 6778 +1116 509 +-4178 -1656 +3635 -148 +8053 1266 +-7583 6728 +-5090 4750 +209 -18 +-2417 -8139 +-2184 -1899 +-968 13267 +2894 10450 +-9817 2229 +-11459 -7870 +-2592 -9327 +-1741 -1521 +-3617 -1083 +-4098 -1492 +2672 1811 +-1976 3250 +-6379 -824 +-657 1798 +-1401 4345 +-427 -4541 +4762 -6690 +743 4612 +415 -488 +-4073 -4892 +-9763 183 +-6492 -3015 +8123 -3677 +11365 704 +3091 2308 +2271 446 +3130 5234 +9786 -459 +10012 -11869 +3886 -5266 +2981 -5605 +-2055 -4010 +-1793 3208 +2858 -1381 +-406 -1757 +-4425 853 +-10033 5927 +-2916 3824 +8685 -4177 +2011 3809 +1875 6457 +10283 4533 +14462 913 +12529 -10962 +2851 -3837 +-5514 2715 +-3032 -4182 +-569 -5415 +412 -2803 +4242 2602 +-5566 2180 +-5884 2419 +-2903 5961 +-12308 -1788 +-8052 -4872 +1186 -1665 +1365 -3366 +-4653 -2818 +-779 -6444 +-3125 -5671 +-7137 2565 +1293 218 +5752 -1574 +13923 6433 +4942 13577 +-7807 12128 +-5573 8154 +-5368 5177 +-2683 5976 +3218 7582 +7650 999 +4678 -6057 +1003 -5922 +-8055 7874 +-9195 8343 +-4135 -4177 +-6867 -239 +579 2221 +1886 4063 +-3234 -3072 +-688 -7940 +3581 2937 +29 -678 +-6383 -981 +-7442 6500 +-7063 2053 +3669 -7978 +9162 -6915 +3888 -316 +2049 -1172 +6015 1896 +9208 -3155 +7625 -4615 +6947 -2936 +2752 -3452 +-5758 1957 +-3362 325 +733 1410 +904 -729 +-1317 -4672 +-719 -529 +-402 -2313 +2170 -409 +4752 -446 +-8813 1588 +-3474 4344 +2897 5408 +-8035 6669 +616 -3719 +5569 -4573 +-1876 -2107 +24 -2786 +1374 -3058 +-4379 -2437 +1353 2546 +12205 695 +4458 4697 +-608 6128 +1275 -2266 +-9386 -2164 +-14311 3360 +-4430 2983 +10311 1040 +8105 5257 +-4192 1851 +-1606 -5704 +-6403 -1664 +-9396 311 +-1345 -1123 +2617 1263 +49 -4162 +-12452 -6776 +-9935 -7039 +966 -5735 +1123 4811 +-5764 -181 +-11575 -6562 +-5900 -945 +-4746 -852 +-8346 -1028 +-1830 670 +5180 127 +4165 -1143 +5950 1231 +7368 2731 +10177 -2365 +10233 -4849 +2963 -2543 +2551 -2881 +-3899 648 +-3058 2878 +5193 -207 +8105 -2727 +13253 657 +6402 13525 +2590 8211 +5999 -4472 +3480 -7226 +-324 -4272 +-3049 5270 +-2368 2446 +1488 473 +197 -1192 +-4204 -2500 +-928 118 +-1909 -1359 +1243 -1752 +3223 -3462 +-5774 7081 +193 5513 +5811 2196 +-632 11751 +2186 6607 +4410 -1023 +-1729 -4351 +-917 644 +4337 2465 +4323 2030 +-1253 -889 +-1335 -5998 +7446 -6283 +946 -1551 +-4187 5739 +10398 4332 +10856 -374 +2538 -3385 +-1876 -3438 +-3129 3911 +-1594 4713 +-1212 1235 +-3939 4109 +-6669 5188 +-581 4957 +-1014 2842 +1444 -6073 +2138 -5402 +-1472 -2888 +2254 -11285 +-1649 -7531 +-2085 6244 +-5587 3479 +-6885 -10787 +-4515 -5816 +-8587 2614 +-9030 -5150 +-5276 -6754 +4293 -6616 +2832 -8993 +1469 -4476 +4590 -3243 +4206 -9532 +6663 -9382 +3425 -1871 +-4604 4077 +-10803 5690 +-5715 4901 +-5592 5587 +-3947 3094 +6621 2799 +6508 3696 +-551 1165 +-4496 2513 +3104 7036 +831 7770 +438 5676 +9359 5453 +8914 -3258 +10091 -4861 +5027 1410 +5284 946 +4719 5239 +-6413 4232 +-4816 7502 +-1536 10694 +-3485 390 +-881 -1324 +5561 333 +2996 -3262 +-4308 -2051 +-247 1053 +4988 -1150 +4687 -1459 +-1565 156 +-5082 -3527 +1490 -9475 +-418 -1647 +484 7015 +10794 -3782 +8081 -7558 +-305 -4162 +-3740 -1163 +387 6013 +3753 8572 +4323 8212 +4548 2943 +-206 -4143 +-1951 7407 +2784 2288 +7937 -10822 +2136 -6163 +-1440 1672 +-3050 13519 +-11943 11204 +-5005 2912 +5052 4472 +-368 4039 +-8027 1691 +-6190 757 +-5342 2316 +-2996 -1643 +2642 -268 +3464 5392 +12198 -602 +10086 919 +1715 9985 +9023 10270 +8209 -10 +-2426 -2332 +-2046 2226 +-2937 -4752 +-7612 -5142 +2813 -3945 +4878 -3028 +-2110 294 +3161 -2620 +3198 -1281 +-4026 -22 +-7206 2305 +-7364 2049 +-1427 607 +3783 2910 +3834 3660 +9215 4729 +-552 -566 +-13301 -4333 +-4797 -4830 +-5259 -7668 +-9298 -6312 +-6052 -3923 +-5709 -4068 +-1046 -2391 +3384 -1602 +-2127 2259 +-3259 5051 +3304 -2757 +5691 -4215 +282 -6732 +-7445 -7603 +-2236 -4565 +-679 -9127 +-5991 -112 +-4533 2788 +2879 -736 +7513 2835 +-1438 -851 +2273 2496 +9162 5424 +8926 3399 +5479 -819 +-2862 -2877 +3423 6759 +3724 -92 +-1026 -13111 +-2457 -5907 +-70 2172 +6633 11907 +-1654 16104 +-1844 5473 +6983 -74 +2476 3203 +-5039 6526 +-4024 3183 +-276 54 +147 -62 +17 15 +4 2 +0 -1 +-2 -2 +1 4 +-2 -2 +-6 -6 +3 4 +7 1 +7 -4 +0 -7 +1 1 +0 6 +-2 4 +-4 0 +-1 -3 +7 0 +1 3 +-1 -5 +-1 -10 +-2 -4 +5 -3 +3 0 +-4 -6 +-2 -4 +4 2 +-6 2 +-4 -5 +-2 -7 +0 -3 +1 0 +0 2 +-3 -5 +-5 -2 +0 8 +1 8 +3 4 +3 3 +6 2 +8 -3 +3 -5 +1 0 +4 0 +1 -1 +6 1 +5 -1 +3 -1 +-2 -4 +-7 -3 +3 -5 +5 5 +8 5 +12 5 +7 -1 +-5 0 +4 0 +3 6 +1 5 +1 -2 +5 -5 +0 -5 +-6 -5 +-7 -4 +0 -5 +6 0 +4 7 +-4 7 +-8 4 +-5 1 +1 -1 +2 -3 +5 0 +5 3 +4 10 +4 3 +-3 -6 +-6 -7 +-1 -7 +-2 -2 +-3 -4 +-6 -1 +-1 -6 +0 -8 +-7 -6 +-8 -2 +-7 3 +-4 -3 +0 -2 +2 -3 +3 -6 +1 -8 +-2 -4 +20 15 +62 24 +60 31 +65 30 +75 19 +372 136 +3744 1514 +8605 2509 +4149 -2414 +-5457 -4448 +-2404 633 +3031 -4185 +-2115 -4899 +-5226 6253 +-3488 9226 +-4124 8420 +-4806 1458 +473 -7453 +2473 -1486 +-5073 68 +-2598 -5076 +8187 -1199 +9612 1966 +9212 780 +3564 -3287 +-6441 -3295 +-2369 1052 +2125 -4830 +-3150 -4440 +-3857 7270 +-1487 9882 +-2331 9204 +-4523 2431 +-1131 -7511 +2157 -1996 +-5035 1193 +-3674 -4421 +7903 -2981 +10025 -260 +9334 -1372 +2827 -4074 +-7116 -1744 +-2035 1583 +1091 -5208 +-4120 -3645 +-2265 8024 +657 10088 +-364 9536 +-3943 3320 +-2645 -7083 +1770 -2378 +-4742 2093 +-4425 -3652 +7310 -4255 +9926 -1851 +9182 -2767 +2212 -4439 +-7388 -634 +-1835 1893 +286 -5290 +-4694 -3010 +-1153 8263 +2078 9831 +992 9468 +-3465 3893 +-3730 -6654 +1350 -2662 +-4423 2821 +-5002 -2927 +6631 -5473 +9589 -3556 +8627 -4363 +1341 -4795 +-7551 610 +-1518 2117 +-631 -5314 +-5183 -2118 +387 8376 +3879 9341 +2702 9139 +-2738 4442 +-4924 -5877 +903 -2821 +-3809 3659 +-5553 -1891 +5512 -6612 +8892 -5328 +7735 -5939 +448 -4918 +-7293 2102 +-1011 2399 +-1675 -5039 +-5572 -1002 +1978 8081 +5637 8348 +4541 8446 +-1785 4887 +-6003 -4769 +340 -2941 +-3134 4241 +-5921 -880 +4246 -7501 +7842 -6773 +6655 -7142 +-358 -4945 +-6964 3236 +-717 2528 +-2494 -4738 +-5765 -186 +3177 7671 +6873 7387 +5723 7617 +-1098 5054 +-6712 -3850 +-129 -2939 +-2490 4652 +-6007 -16 +3114 -8057 +6747 -7899 +5457 -8069 +-1141 -4805 +-6340 4282 +-259 2580 +-3172 -4183 +-5679 793 +4451 6979 +8104 6142 +7021 6542 +-179 5147 +-7288 -2604 +-638 -2887 +-1627 4992 +-5907 1042 +1682 -8406 +5303 -8876 +4071 -8897 +-1940 -4519 +-5567 5321 +210 2599 +-3861 -3627 +-5602 1692 +5507 6194 +9035 4738 +7983 5324 +683 5105 +-7670 -1405 +-1132 -2723 +-820 5167 +-5683 1940 +322 -8522 +3842 -9563 +2552 -9311 +-2721 -4015 +-4648 6174 +622 2487 +-4463 -2887 +-5211 2620 +6583 5026 +9736 2984 +8800 3740 +1542 4847 +-7829 -91 +-1566 -2463 +71 5228 +-5286 2870 +-1157 -8211 +1861 -8183 +4099 -3412 +2690 5840 +158 10818 +6924 6706 +4489 4864 +-4842 3350 +-3775 -3103 +-4343 -6405 +-7174 3409 +-5490 6524 +-5468 -1503 +588 -5203 +2485 -7458 +-7547 -3683 +-3969 -2249 +4608 -2049 +4402 6532 +6750 4396 +3888 -3673 +1681 -6219 +6720 -518 +6331 6772 +5293 430 +745 -3957 +-4762 -5433 +3740 -5632 +9759 -2188 +2379 -7321 +-7113 -4496 +-9169 -1207 +489 -6657 +3561 -4566 +-4264 -1855 +-5863 4780 +-6747 6192 +-5411 -3830 +-1662 -5610 +-5714 392 +-5461 7574 +-3797 3779 +-2163 -3751 +7462 -3131 +3715 -6410 +-4556 -6582 +2511 -3736 +6311 1084 +6395 6483 +6365 -2175 +2317 -7068 +4274 17 +1895 4745 +-7243 6961 +-3235 9395 +1517 9581 +-3909 1442 +565 -2714 +9648 379 +8204 -5493 +6555 -5905 +5001 6041 +-4397 6047 +-10853 -326 +-7951 5569 +-4195 4980 +883 -4077 +5881 2475 +6974 8251 +9791 838 +6674 958 +-1738 5598 +-4974 -46 +-7542 -2161 +-3519 7102 +-126 8365 +-5250 2326 +-2813 -4337 +-2706 -7272 +-8270 1968 +-4583 816 +2250 -4483 +7736 2025 +8202 -1142 +614 -5237 +-2832 -5690 +4840 -4821 +9472 848 +4474 -3194 +-2007 -3399 +-7356 -791 +-1008 -6608 +6070 -8150 +-3043 -6977 +-8542 1450 +-7930 5240 +-4105 -5149 +-292 -5720 +-4539 1433 +-1412 7349 +-1065 8989 +-6698 798 +-5017 -2991 +-4132 4056 +756 9126 +-427 5236 +-4210 -1330 +3645 -7254 +-1308 -7105 +-7835 -1789 +-545 -4418 +5595 -3395 +9280 492 +3539 -5787 +-2837 -6713 +3304 -2788 +4569 2244 +-1107 9892 +3594 9133 +7393 6180 +-2190 3649 +-1398 -2345 +7790 -5967 +2874 -9424 +1278 -8592 +7884 1237 +664 7333 +-8609 6879 +-2525 9252 +99 6278 +-2082 -3615 +6073 -2120 +10834 1329 +8000 -5949 +5690 -3766 +2466 5228 +-3799 3319 +-7300 3493 +1942 7536 +5427 6225 +-2554 5209 +-5046 -1277 +-6901 -3429 +-5067 6905 +-2992 3547 +-1245 -4787 +7306 -3542 +5662 -6206 +-2937 -4290 +-5876 -2388 +526 -6726 +7822 -5572 +1335 -5269 +-3805 -1173 +-6168 4144 +-5056 -4300 +-561 -9963 +-6965 -3160 +-5852 6660 +-2847 9020 +-6628 -1014 +-3361 -4936 +1166 -8248 +5072 -11608 +2923 -7420 +-1965 -5531 +287 1340 +2670 3239 +-1985 1488 +1232 4876 +3698 10038 +-1652 8155 +-7081 -7033 +-14029 -4372 +-10222 11327 +-3193 1061 +-157 -11634 +3397 -7909 +-815 -2462 +-444 -1170 +2668 -3104 +-1334 2489 +416 7419 +5723 8138 +4576 10807 +-307 649 +3200 -7175 +5842 -1262 +-708 1672 +-1726 8007 +-320 7292 +2765 -2185 +4658 6080 +1140 11422 +1600 3229 +1463 4215 +5199 1232 +7385 -9095 +1262 -7432 +2345 758 +-583 4816 +-8526 4799 +-7552 -64 +-3840 -6281 +-2481 -4051 +-4657 2017 +-2241 3540 +2978 6202 +-453 7077 +-7452 4687 +-7455 787 +-741 -4133 +3489 449 +9311 3726 +9084 -2807 +-1678 -5236 +-1960 -3361 +-3807 -5300 +-7125 -9276 +2562 -4205 +839 -694 +-7749 -5650 +-4628 -1660 +1455 -1292 +6779 -2202 +4677 3400 +-3893 -5248 +-3843 -12081 +-2444 -7352 +-5083 -2835 +1092 857 +4181 746 +-618 2420 +4094 2827 +9394 5012 +4080 7097 +-9991 -506 +-13755 6037 +-535 15026 +-1733 2851 +-7654 -8196 +-1122 -5578 +2251 2566 +248 4231 +-1098 5015 +2359 5423 +-3236 2551 +-7214 -2945 +-9314 -6042 +-17341 2418 +-1674 3526 +9333 -5496 +353 -1669 +3863 1726 +69 -6619 +-4694 -12893 +2618 -7418 +5738 4809 +6771 3469 +1016 -3613 +233 1111 +1793 7159 +-5880 8374 +2749 10506 +11894 10713 +2176 4224 +-2242 -3119 +-849 -3441 +855 1763 +2691 3934 +-1439 -2086 +-3053 -4060 +-2510 2914 +-8425 6011 +-8228 319 +420 -1995 +-451 1240 +-5243 -4049 +-802 -5218 +941 1837 +-4182 1574 +-4827 5286 +-7681 5901 +-10693 -3047 +521 -2388 +8640 993 +779 2088 +-7072 3278 +-6240 -3894 +-1589 -6145 +2448 4754 +6320 5330 +4673 -4173 +1235 -5232 +5143 -6724 +6438 -6335 +2836 -665 +-3436 -1124 +-6528 3062 +-303 7031 +1841 559 +4370 -5238 +8440 -8889 +3691 -9456 +1980 -7131 +3767 -2837 +4145 1116 +2845 2712 +2619 4287 +5461 2352 +-690 4102 +-7463 2874 +-11213 2153 +-11410 13675 +1098 3607 +3115 -10355 +-924 -1482 +4111 -1516 +-4460 -4823 +-12403 -6047 +-3143 -7381 +6703 -2613 +6410 1632 +3626 8793 +-580 6351 +-5962 3521 +-8463 332 +-5106 -1920 +2390 -2503 +-1708 -4412 +-3368 1278 +-659 -55 +-3145 -4470 +2622 3324 +811 6637 +-5946 1962 +-2829 -7550 +-1293 -11290 +-890 -2783 +-6271 -1181 +-9965 -1832 +-9153 2616 +-10217 1846 +3154 94 +11322 1602 +1423 3635 +-45 1631 +116 -2624 +-7752 -460 +-6021 1454 +4037 -4078 +2718 -5918 +-2124 -1071 +267 1220 +260 606 +2328 1735 +811 3424 +-8138 -2953 +-2474 -8392 +10274 3327 +10715 7408 +5148 -4432 +-2705 -325 +-5195 6421 +2795 -3918 +-1110 -7633 +-12603 -2907 +-8737 -2320 +-6345 2215 +-5361 7354 +3814 5107 +3734 1338 +495 81 +448 -378 +-179 -1676 +6799 3256 +12264 9098 +8497 78 +9740 -6492 +11981 -2322 +-794 -1921 +-7313 -1664 +3180 -2561 +7138 -3366 +6424 -5364 +4957 -10904 +4889 -4614 +8645 4169 +3794 4980 +-2243 6455 +-6261 5811 +-5210 1919 +201 -3414 +-4218 -2089 +-1842 3137 +-487 397 +-5313 -1243 +4267 731 +5024 4347 +-3358 5247 +-7198 -3696 +-10942 -8276 +-9903 -4076 +-764 7237 +3439 4350 +3133 -2033 +-1130 5545 +-10441 4337 +-4660 -952 +205 -5536 +-606 -4127 +1985 2096 +-1433 -349 +5238 633 +17993 3467 +14115 2854 +-1007 -1639 +-7599 -4588 +-4099 2300 +-6979 -2133 +-6171 -6157 +2454 5417 +6282 2068 +8250 -3532 +4564 4580 +3100 706 +7969 -7372 +8372 -3543 +8098 -3189 +5089 -5601 +-25 -2589 +-3765 620 +-6249 830 +-1346 -7743 +2610 -8382 +977 1091 +1281 -941 +1612 4323 +-443 4473 +-2160 -9781 +791 -1016 +6947 6732 +4782 2106 +-1582 4549 +1201 1949 +633 4831 +-897 7429 +4192 1210 +-2090 4163 +-2316 4119 +6039 -2711 +-664 -4251 +-6511 -5131 +-11249 -6889 +-13962 -3692 +-5739 2453 +-112 -965 +4430 -9237 +5296 -7313 +462 1441 +-6063 8846 +-8989 8880 +-3039 2521 +-421 2566 +2081 8177 +-3233 6686 +-9774 3831 +3924 5751 +5644 961 +1021 -3507 +2868 4825 +-5109 10084 +-4227 2371 +-3519 -4171 +-3212 -2580 +2964 219 +-1297 650 +4444 -2989 +16181 -9246 +12796 -7098 +-1455 -638 +-6364 292 +-380 -127 +260 -53 +-12 -18 +2 -3 +4 3 +2 3 +1 5 +2 1 +-4 0 +-6 5 +-1 6 +-2 7 +-1 8 +2 -2 +0 -4 +-3 -1 +2 9 +5 4 +5 -3 +0 -3 +0 -3 +-1 3 +-3 -2 +-6 1 +-3 -5 +4 -3 +0 -3 +-2 0 +-1 3 +-2 -3 +-5 -7 +-1 -4 +-2 1 +-2 5 +-5 0 +-11 0 +-10 -1 +-7 1 +3 3 +5 3 +6 0 +6 -2 +-1 1 +-2 4 +-4 3 +-3 3 +-4 -7 +-3 -6 +0 -4 +4 -2 +1 0 +-7 0 +-2 2 +2 7 +1 2 +-5 -9 +-3 -8 +-6 -5 +-2 -2 +0 -1 +-4 -4 +-3 -4 +-2 -4 +-2 0 +0 0 +1 -6 +0 -6 +1 2 +-3 1 +-2 -2 +3 0 +-1 1 +-2 5 +-2 -3 +0 0 +-4 -1 +0 -3 +-3 1 +-6 2 +-2 1 +9 6 +5 -2 +4 3 +0 7 +1 -1 +-4 -4 +-6 -7 +2 -4 +3 -4 +-4 -2 +-2 -4 +5 -1 +0 6 +1 7 +7 2 +0 6 +-3 2 +-3 5 +-5 -7 +3 -7 +5 -2 +2 -1 +1 -1 +4 4 +1 4 +2 5 +1 3 +10 -2 +8 -5 +1 -4 +-8 5 +-6 3 +-2 -3 +4 -4 +3 0 +1 -2 +1 1 +6 10 +1 9 +-10 -1 +-5 6 +-1 -1 +-7 -9 +-7 -2 +0 1 +0 5 +-1 4 +-1 6 +1 -3 +5 -6 +2 -8 +-3 -7 +-5 -3 +-11 -4 +-10 -6 +-4 1 +-4 0 +7 3 +2 0 +-6 4 +-10 6 +-3 3 +1 -2 +0 -5 +-1 -6 +-2 -11 +4 -7 +1 -6 +-5 2 +0 -1 +9 -17 +36 -20 +60 -21 +70 -22 +55 -34 +317 420 +2566 4373 +5802 7630 +4389 -875 +-2402 -7280 +-1589 -286 +5610 -2601 +35 -5229 +-8908 2919 +-8713 5735 +-8931 4287 +-3847 -2877 +5755 -5820 +1898 618 +-4414 -4231 +2167 -5266 +7737 5193 +6558 7753 +7225 6197 +4182 -1914 +-3887 -6838 +-1658 -80 +5082 -3769 +-973 -5197 +-8295 4693 +-7590 7430 +-8055 5927 +-4353 -2183 +4657 -6857 +1993 264 +-5228 -3316 +1160 -5588 +8756 3619 +8132 6290 +8547 4598 +3866 -2760 +-5276 -5893 +-1669 332 +4328 -4699 +-2056 -4924 +-7344 6374 +-6031 8980 +-6802 7616 +-4803 -1163 +3187 -7730 +2100 -126 +-5935 -2144 +-54 -5757 +9527 1715 +9452 4551 +9482 2892 +3330 -3432 +-6444 -4722 +-1648 641 +3387 -5532 +-3003 -4511 +-6093 7556 +-4323 9838 +-5390 8665 +-5035 -320 +1736 -8207 +2030 -533 +-6252 -1140 +-1069 -5690 +9795 53 +10234 2866 +9900 1170 +2601 -4035 +-7293 -3552 +-1499 899 +2445 -6017 +-3728 -3812 +-4667 8605 +-2524 10451 +-3808 9444 +-5053 598 +254 -8374 +1932 -868 +-6345 86 +-2058 -5314 +9735 -1627 +10716 1090 +10088 -553 +1987 -4339 +-7780 -2221 +-1331 1168 +1344 -6273 +-4406 -3048 +-3208 9242 +-785 10680 +-2170 9977 +-4885 1402 +-1071 -8312 +1788 -1197 +-6328 1031 +-2960 -4968 +9334 -3176 +10751 -669 +9897 -2176 +1249 -4627 +-8186 -951 +-1202 1326 +281 -6457 +-4903 -2372 +-1628 9592 +1094 10641 +-407 10114 +-4650 2195 +-2642 -7933 +1523 -1469 +-6108 2186 +-3829 -4311 +8675 -4818 +10471 -2668 +9371 -3968 +457 -4799 +-8229 504 +-915 1539 +-694 -6368 +-5239 -1551 +-96 9656 +2762 10300 +1207 10035 +-4160 2913 +-3775 -7344 +1327 -1688 +-5655 3091 +-4482 -3648 +7803 -6169 +9981 -4280 +8726 -5367 +-318 -4727 +-8109 1921 +-688 1674 +-1833 -6125 +-5516 -613 +1483 9469 +4454 9599 +2833 9631 +-3675 3521 +-4952 -6637 +986 -1863 +-5192 3865 +-4985 -2952 +6895 -7182 +9270 -5628 +7797 -6481 +-1076 -4569 +-7744 2984 +-418 1667 +-2649 -5787 +-5469 221 +2970 9135 +5881 8848 +4199 9142 +-3167 4049 +-5942 -5812 +747 -1951 +-4494 4671 +-5443 -2085 +5413 -8057 +6726 -5374 +5345 524 +-2924 7474 +-6485 9796 +2148 10412 +-493 6349 +-6331 -805 +-324 -5675 +-344 -8205 +-9021 -426 +-8374 1628 +-2957 -5304 +4847 -3854 +6068 -5529 +-4891 -7937 +-561 -3892 +4894 1968 +-744 9253 +3672 7362 +5401 -1785 +5643 -4011 +5511 5062 +909 9828 +4719 2757 +2255 -3675 +-241 -7889 +7597 -1352 +9597 3674 +5735 -6294 +-4648 -8048 +-6231 -6682 +5602 -5127 +4923 -1998 +-3360 -4208 +-8389 1695 +-9118 717 +-1319 -7061 +1516 -5741 +-6180 -2508 +-9166 4093 +-4960 -11 +1330 -4248 +8833 2040 +6119 -4741 +122 -8198 +5070 -895 +3954 5703 +1677 9623 +7185 591 +5906 -4484 +3313 3597 +-2591 4920 +-11056 1632 +-8013 7338 +-4910 8829 +-3850 -2300 +3060 -861 +8211 6575 +10543 -687 +8597 -26 +-963 8973 +-8062 958 +-9027 -7059 +-10333 956 +-5641 1091 +4136 -2636 +2645 7387 +1024 11558 +8279 6580 +3630 5191 +-5591 3351 +-3897 -4226 +-5498 -6075 +-7490 5391 +-5576 6517 +-5748 -2162 +1387 -6046 +1279 -8032 +-8956 -2818 +-3010 -2572 +5076 -1613 +5343 7494 +7650 3152 +3106 -4866 +1778 -6681 +7587 153 +7106 6690 +5459 -1045 +-682 -4216 +-5432 -5699 +4930 -5982 +9870 -3565 +353 -8434 +-8948 -2920 +-9354 -823 +904 -7434 +2522 -4693 +-5402 -931 +-5542 6724 +-6775 6515 +-5668 -4385 +-2493 -5281 +-6403 2126 +-4513 8930 +-3890 3179 +-1747 -4013 +8281 -4218 +1712 -7411 +-5239 -6070 +3394 -3980 +6857 1618 +7625 5990 +5957 -4261 +1691 -7124 +4964 520 +1189 5291 +-7627 8345 +-1554 10586 +1864 9608 +-4557 727 +1752 -2614 +10721 -465 +7873 -7321 +6695 -5397 +4998 7372 +-5694 5872 +-11707 486 +-7603 7310 +-3750 4311 +1469 -4737 +6836 3726 +8296 7921 +10739 -521 +6280 1469 +-2099 6214 +-5793 -503 +-8311 -861 +-2271 8847 +-40 8425 +-5880 2210 +-2910 -5361 +-4292 -6781 +-8791 3720 +-3989 -63 +2897 -4561 +9084 2031 +8102 -2659 +-710 -5590 +-2922 -6121 +6075 -4810 +9989 407 +3659 -4331 +-3214 -2713 +-7951 -791 +-36 -7631 +5486 -8953 +-5163 -6505 +-9010 3512 +-7952 5267 +-3721 -6039 +3147 -4927 +2352 -2553 +-412 -4709 +-8952 -656 +-12228 -3776 +1353 -9995 +8133 -3216 +9519 -2540 +7776 -3888 +-8329 -2257 +-9908 -6880 +-391 -6988 +-3868 -3149 +-6028 2145 +-9158 -767 +-8726 -7937 +-795 4305 +1378 11960 +1617 7703 +-5325 7705 +-10409 -4285 +-5037 -11188 +-3878 -213 +-2347 4039 +7163 5893 +15088 4307 +4464 -3366 +-4586 957 +3709 3335 +667 -4851 +-4698 -4307 +-4535 2616 +-8663 4835 +-4029 -31 +616 -4786 +365 -4110 +86 -3677 +3190 -2502 +11379 -1670 +8602 -46 +3015 1072 +5517 -7511 +6282 -8505 +2438 2751 +-1027 1660 +2218 -3179 +3340 6679 +1776 11828 +3100 2311 +5884 889 +10133 5979 +4672 3989 +-2151 3119 +-1634 3760 +-1952 4277 +-2728 1271 +-5745 -6650 +-4223 -6003 +-3911 843 +-4192 4785 +4634 1208 +219 -3802 +-2033 2523 +13163 3565 +12936 -2718 +683 -3740 +-3723 -3161 +-7273 5452 +-11858 5149 +-5561 -8290 +4144 -7732 +5776 -8143 +3545 -7966 +-7917 3756 +-12265 1392 +-4908 -4870 +-5031 227 +-3243 5489 +-7638 5315 +-12596 -448 +-3211 1440 +-2084 4538 +-2758 2385 +-920 2458 +-10472 3679 +-22270 -278 +-13359 -3757 +-6509 841 +417 469 +6754 1704 +-7064 9507 +-6241 2357 +-2265 -3725 +-1902 1075 +10619 -67 +3257 2926 +896 3089 +10815 -6478 +9345 -11674 +14970 -13858 +10643 -8458 +-2868 2581 +-1430 5742 +2282 1128 +2777 -1264 +66 3475 +-1103 2866 +3704 -2892 +6673 -2314 +-1335 1484 +-10874 1962 +-1647 -1830 +8387 -3961 +4185 -5122 +1472 -6976 +3702 -3658 +3323 2267 +-2995 6826 +-4526 9246 +1888 6716 +2108 -2792 +-3189 -8603 +-1658 -1643 +4201 1152 +1507 -3488 +97 -970 +2872 1146 +-6608 -2897 +-11224 242 +703 8740 +8044 3142 +6631 -5015 +6328 921 +2090 1337 +-5725 -5013 +-4627 230 +-5164 9105 +-8539 4035 +-125 -5005 +2664 -850 +-4396 4266 +-1975 2525 +6028 -2353 +6009 -5609 +1312 421 +-63 5381 +-451 3493 +906 2403 +-5856 9580 +-17737 14274 +-13056 5921 +-4593 4868 +563 21 +6309 -3058 +698 11734 +-3355 5907 +-4225 -1180 +-788 2025 +8119 -6894 +4005 440 +-807 6898 +1867 -439 +4260 -3335 +3095 7247 +800 6423 +-3921 -6027 +-7520 -5805 +-3194 3938 +3980 5074 +2610 -3536 +851 -5167 +796 -3965 +-3318 191 +-2258 7854 +-3816 5713 +-2877 2003 +8890 -3192 +4182 -10621 +-7979 -6018 +-6521 3371 +-858 1666 +5261 -733 +4589 8122 +-1045 5930 +-1974 -7379 +2289 -10300 +7058 -4865 +6478 4304 +5424 7019 +-1250 10426 +-6436 16479 +-1805 9409 +-5005 4090 +-1917 -2286 +4100 -5700 +-10883 4065 +-10767 570 +3531 -2150 +-1987 5707 +-6940 6505 +952 10735 +6316 13015 +4869 4640 +4556 -2641 +5060 -3479 +2321 -2036 +5593 -4250 +7337 -9334 +331 -8009 +-3732 -1284 +-3757 -2003 +87 -612 +-4124 -365 +-13471 -8424 +-3471 -2319 +3257 2935 +-4161 -2076 +-4089 -2428 +-3186 -9502 +-1768 -10000 +2356 -5269 +6188 -8810 +8131 -3699 +699 5294 +-1985 6790 +695 -844 +1169 -5251 +7154 3127 +4879 4037 +-7064 -1720 +-9687 840 +209 4957 +6503 962 +-373 -4301 +-2777 -4227 +-2010 -3351 +-2394 2403 +3574 7158 +962 6655 +-1283 3525 +3250 -6736 +4920 -5120 +6474 7206 +4872 9320 +5811 7730 +4750 -1173 +-2367 -7658 +-1702 -9 +6178 -2382 +321 -5630 +-9478 2679 +-9191 5738 +-9141 4033 +-3628 -3355 +6213 -5817 +1625 884 +-4618 -4670 +2550 -5395 +7869 5900 +6344 8352 +7042 6544 +4520 -2074 +-3587 -7187 +-1638 265 +5783 -3339 +-608 -5522 +-9011 4267 +-8207 7147 +-8384 5420 +-4202 -2703 +5149 -6659 +1759 675 +-5371 -3748 +1615 -5713 +8883 4481 +7800 7162 +8179 5214 +4072 -2741 +-4894 -6275 +-1582 609 +5061 -4340 +-1677 -5294 +-8205 5793 +-6822 8489 +-7307 6901 +-4625 -1852 +3919 -7471 +1856 293 +-6056 -2769 +472 -5972 +9511 2696 +8933 5536 +8974 3604 +3560 -3450 +-5977 -5390 +-1494 813 +4292 -5160 +-2495 -4955 +-7033 7058 +-5224 9482 +-5954 8005 +-4920 -1049 +2432 -7994 +1864 -21 +-6436 -1521 +-567 -5806 +9945 949 +9869 3755 +9580 1870 +3016 -4000 +-6813 -4181 +-1316 1109 +3440 -5688 +-3266 -4404 +-5821 8086 +-3680 10174 +-4722 8820 +-5025 -229 +1276 -8202 +2664 -63 +-517 726 +2522 -8155 +3758 -3122 +44 6304 +-7915 2528 +-4583 7103 +4089 3183 +5936 2542 +-2415 7818 +-7908 4251 +-363 6670 +4440 -1152 +6412 -3065 +4092 5540 +837 9633 +7794 2552 +5136 -9217 +2885 -6884 +6729 1473 +3793 4234 +2365 -5491 +-4847 -6790 +-4295 -6465 +5765 -5203 +5507 -40 +-549 -7680 +-7351 -5097 +-1801 -4414 +4482 -920 +1726 9065 +6376 1819 +7037 -2968 +2747 3131 +-3181 6812 +-7486 5757 +2810 6203 +6394 3231 +-2000 3985 +-5391 840 +-6006 -8921 +-9299 -2685 +-9474 258 +406 -4433 +2052 2153 +-2391 9755 +5065 8527 +3729 6794 +-8060 3424 +-4275 -5964 +2216 -10743 +-5292 -7835 +-3437 -3760 +4079 1851 +-4794 5882 +-9432 5846 +-1643 10242 +-2623 5230 +-5396 -3161 +1772 -4667 +2347 -7950 +-7694 -2600 +-8273 -2086 +-272 -5402 +5687 -2025 +7075 -3270 +-1614 -8380 +513 -4510 +4307 4575 +-4347 7140 +1207 8320 +5313 -304 +6332 -1987 +3372 7322 +-3233 8344 +4258 5183 +2791 -3748 +3018 -6423 +6827 1426 +6991 6532 +7619 -2816 +-3201 -5036 +-2101 5596 +6020 3678 +5598 476 +2220 2493 +5353 1924 +8368 -3265 +653 -4919 +-914 -2730 +-4617 815 +-2300 3261 +7599 2468 +851 2106 +-5614 -3522 +-4686 2972 +-4691 6802 +-5118 -8620 +-6766 -10124 +-4349 -7738 +-1569 -10285 +-4155 -2327 +-1935 3905 +2219 -1559 +-403 -889 +5975 3505 +11473 443 +5128 4319 +62 4853 +-2900 -786 +-690 1410 +-5120 4443 +-4025 4436 +10333 2612 +11191 -3122 +6348 -4293 +-810 -2611 +1442 -7279 +12461 -2411 +5615 4672 +-1160 4152 +2337 2538 +3830 -3460 +2436 -7163 +1830 -5692 +748 6179 +-232 10525 +-3944 4577 +-5875 5504 +804 1290 +-3675 2318 +-6392 2691 +-1446 -3518 +-4826 -5248 +-355 -8033 +7327 1303 +1342 10986 +-8337 4571 +-2903 -3870 +-5637 -6219 +-12252 -2060 +-3788 -892 +-6006 -708 +1430 657 +4854 2045 +-6104 8023 +1777 6523 +7059 -1489 +4620 -3274 +3400 359 +5349 -2060 +4252 -7775 +-2786 -3910 +-2552 -1389 +-2940 3629 +365 3924 +7357 -3159 +2024 1056 +-6691 1242 +-1583 5296 +1626 8766 +-3453 4497 +658 9704 +-485 6675 +-4395 2099 +1884 436 +6012 -2963 +3129 2113 +2434 2705 +2399 -30 +3937 1065 +10027 -1410 +7467 -1382 +-5497 -693 +-6624 -1733 +3226 -4014 +4587 -11 +-4617 3267 +-13297 -5416 +-11206 -6312 +-3316 1021 +-6038 2563 +-9213 2835 +-1720 -5215 +-1101 -7159 +-5876 2778 +-5045 176 +5759 1258 +14907 7454 +4042 4697 +-5149 919 +-227 1447 +791 -7115 +-1836 -13930 +4513 590 +6705 5045 +-29 -2539 +-3808 -7413 +-5495 -3469 +-2375 -773 +-6373 -4659 +-10916 4545 +-3469 5996 +1442 2433 +3631 -6250 +1464 -8610 +1550 -2218 +1113 -9417 +-5722 -4304 +-3143 -957 +-3791 600 +-9747 5523 +-2798 6288 +2852 6350 +3783 -1449 +8282 -1689 +7496 -4581 +3323 -3352 +4133 3261 +8956 4041 +5118 8551 +-1486 8162 +-2101 3209 +-3038 -5615 +2224 -4931 +7976 5598 +9215 7384 +3563 4932 +-1959 4339 +1732 -990 +2603 -6151 +3782 -575 +3619 339 +1806 -1590 +3738 -1853 +6707 -7644 +4825 -5917 +-4709 3114 +-6297 3066 +-119 -5021 +3731 -2756 +2297 7505 +-3053 8763 +-4821 3390 +455 -74 +4466 3136 +5652 -2783 +3657 -8737 +1488 4323 +-688 4625 +5583 1303 +6024 -311 +-615 -4677 +5297 -792 +5215 -3395 +5876 -283 +8577 211 +-1693 -1255 +-5366 -999 +-2756 -4715 +-3037 -1969 +-182 -5753 +877 -5418 +426 -2081 +1605 -5964 +-1583 -8553 +1286 -2240 +7577 2282 +7855 1869 +5809 8290 +-1170 5059 +1895 4640 +8549 -93 +6234 -7862 +679 5269 +-8639 9221 +-9089 6120 +-2010 3791 +-151 -6399 +-7268 -10796 +-8072 -5428 +3106 588 +5187 4517 +-1503 6128 +-9234 6281 +-9426 6832 +-687 2654 +1790 -3394 +912 -7406 +2681 -3734 +-3405 -2708 +-11401 -7867 +-4044 -6337 +3078 -1762 +-2726 5279 +-3306 4529 +-1630 591 +-1165 1631 +3410 5650 +-5872 8257 +-11889 975 +4079 540 +11121 -847 +-162 -2370 +-2888 8790 +6309 11198 +4247 8326 +-1468 5553 +226 -336 +5451 -772 +2467 -5781 +-3061 -8699 +4094 2199 +2649 3819 +5112 -2777 +4449 -4225 +-3572 -2960 +3603 -4103 +1808 -5945 +4313 -4157 +6732 -5638 +-2810 -299 +-1179 3891 +526 -1477 +-3928 -6042 +5667 -3673 +-3424 -3458 +-8986 -2898 +1772 1550 +-4369 772 +-4559 436 +-3339 3934 +-2040 4818 +-1944 -1627 +-2463 196 +4095 1815 +3993 -396 +-1884 8133 +-4822 5108 +-3942 -1933 +-7457 5837 +-3579 9684 +4384 4832 +7927 5482 +3423 570 +-4577 -2904 +-2969 5077 +-5662 3543 +-3310 3593 +-3335 -831 +-5987 -5398 +1481 3302 +2380 -1676 +-636 -2157 +-1575 6168 +1867 -3286 +5688 -14170 +7366 -6824 +3136 6750 +-5846 7248 +-12312 4377 +-13513 3248 +-7769 -1273 +794 1518 +8397 1855 +5219 -4547 +3788 -8221 +3134 -11055 +-5226 -13117 +-3807 -13417 +4958 -2212 +10700 428 +11667 -10528 +5035 -6913 +-4915 -3745 +3259 1659 +11139 11786 +2074 10001 +-5514 2725 +-1703 -1345 +8762 3438 +3348 -1174 +428 -8724 +2799 -4719 +-2373 -3363 +-3379 2943 +1491 3613 +-576 -1358 +-7111 -1823 +1888 -6407 +-4894 -295 +-8933 3788 +2422 -83 +-2786 3397 +-3262 3339 +-14 5105 +1563 4853 +-2589 61 +-1767 1726 +4395 -1492 +2764 -3602 +5566 -165 +7492 -4469 +2690 5768 +2149 8177 +-1497 -1173 +-10300 7249 +-9639 13585 +-11988 14191 +-8857 5193 +3496 -3146 +5924 -1748 +-2425 -7857 +-886 -5255 +8382 1427 +3665 -1129 +373 -3635 +-355 -420 +-3682 -4448 +2082 -7570 +8656 -3114 +644 -4406 +-11104 -3163 +-5092 -4258 +2179 -3421 +1719 -4900 +-504 -4337 +-613 4961 +8251 2433 +4752 -1413 +-5533 -634 +-5616 4579 +-1531 8156 +9510 2198 +8536 -5403 +5034 -1475 +8662 5410 +-1957 -2283 +-2853 -3285 +-2419 811 +-6527 -2409 +1159 1185 +-900 11905 +-5234 10924 +-2959 2109 +2299 -1897 +4211 -5049 +5859 -3371 +7009 -2617 +-1309 -1446 +2957 -5927 +6450 -10164 +-3014 3265 +-4505 10461 +-3407 -170 +-2398 -4600 +-1987 6848 +-7598 3384 +-4156 -6826 +3697 -3801 +-998 -2751 +840 -1049 +4699 739 +-3435 1529 +-4326 3827 +1037 -2072 +2129 -8823 +6057 2707 +7025 4625 +-1886 159 +-3238 12149 +1389 16363 +-19 18311 +-3505 9605 +663 -4546 +3578 -5124 +-6940 -4314 +-4088 -3367 +7546 -4360 +2146 -3213 +-2584 -3134 +-3467 -1386 +-2835 -569 +-1527 2568 +-3842 7639 +1009 -1666 +-952 -2749 +-1022 -686 +-464 -1112 +-550 3087 +282 -112 +-930 -2129 +5286 -3301 +3706 -141 +-3085 -4660 +-2755 -7888 +1376 -5092 +1403 -2254 +-9924 8110 +-5335 10184 +16573 8700 +18982 3 +5410 1222 +-463 11301 +4000 9147 +5100 10607 +4751 5797 +2718 -1315 +-7467 -4763 +-9013 -2877 +-9848 3013 +-10977 -3110 +2994 -7966 +11616 -1673 +6179 -5345 +3815 -11899 +4349 -2752 +-790 -2864 +1184 -2303 +-844 3596 +-6181 -3239 +-2476 -6132 +-2171 -3298 +6101 -1914 +2850 -565 +-8223 4923 +-2422 2835 +478 -2288 +4905 -1229 +8468 -5562 +5170 -2998 +3342 915 +1059 -870 +-1975 9184 +-950 12028 +-1268 -2105 +-4175 -4072 +1614 1943 +1855 128 +-5215 -5640 +-1572 -6472 +-2151 -4015 +-9676 -1057 +-2881 7630 +-1559 7963 +-9311 5859 +-4211 1968 +905 2788 +2173 8141 +-369 -1805 +-2623 -1233 +-1280 215 +-1136 -487 +1580 2570 +122 -268 +-2129 -865 +1815 -5792 +2723 -2418 +-5454 -1345 +-7341 -3968 +-2304 -4467 +-241 -4180 +53 -184 +-4 70 +4 -25 +3 3 +-2 0 +4 2 +2 4 +-2 8 +3 1 +3 -3 +-1 2 +-3 9 +-5 8 +-5 4 +-3 4 +3 2 +3 8 +-2 7 +-5 8 +-7 5 +-2 1 +2 -1 +1 3 +-1 6 +2 3 +9 0 +11 -3 +5 -2 +-1 -4 +-5 4 +1 2 +3 0 +2 4 +-2 4 +-3 10 +-5 9 +1 5 +1 10 +0 6 +-2 9 +4 5 +-4 -1 +-4 -7 +0 0 +-1 4 +-8 5 +0 5 +1 -5 +-4 -2 +-4 2 +-2 6 +1 5 +7 -1 +5 1 +3 8 +3 5 +3 1 +-4 -3 +-6 -4 +-5 -19 +2 -39 +28 -36 +21 -36 +22 -47 +51 -102 +1077 -1562 +4247 -6458 +2091 -7275 +-5395 1222 +-2461 4996 +-474 -2160 +-6432 -1921 +412 5071 +7875 5771 +7791 5015 +4409 5976 +-5628 1318 +-4314 -3921 +855 1973 +-4931 4962 +-2556 -4822 +3661 -9441 +3764 -8675 +384 -7308 +-5127 2390 +-1425 5497 +-963 -2011 +-6839 -539 +1479 4878 +9122 4047 +8805 3294 +5557 4966 +-5396 2360 +-5088 -2989 +1249 1802 +-3946 5818 +-3430 -4300 +1870 -10040 +2096 -9323 +-1038 -7354 +-4721 3284 +-374 5693 +-1291 -1874 +-6997 733 +2378 4594 +9993 2312 +9511 1630 +6534 3876 +-4909 3367 +-5669 -2021 +1575 1537 +-2820 6475 +-4248 -3632 +-31 -10229 +402 -9634 +-2376 -7132 +-4087 4165 +739 5699 +-1671 -1546 +-6871 2121 +3226 4102 +10376 370 +9750 -205 +7277 2595 +-4262 4268 +-6094 -894 +1852 1270 +-1600 6976 +-4946 -2835 +-1940 -10161 +-1386 -9566 +-3706 -6500 +-3361 4895 +1716 5486 +-1909 -1274 +-6449 3202 +3955 3408 +10381 -1450 +9618 -1861 +7670 1310 +-3460 4937 +-6201 150 +2087 880 +-392 7063 +-5373 -1924 +-3691 -9623 +-3081 -9176 +-4817 -5782 +-2475 5355 +2668 5071 +-2121 -909 +-5845 4282 +4542 2706 +10078 -3123 +9323 -3439 +7887 0 +-2631 5424 +-6147 1162 +2184 541 +809 7079 +-5648 -958 +-5255 -8848 +-4515 -8468 +-5670 -4861 +-1587 5641 +3460 4605 +-2250 -497 +-5136 5129 +4887 1896 +9480 -4670 +8661 -4840 +7787 -1193 +-1795 5767 +-5895 2081 +2264 188 +1892 6772 +-5763 -157 +-6627 -7912 +-5862 -7589 +-6472 -3915 +-729 5788 +4177 3949 +-2300 -187 +-4265 5854 +5172 1098 +8714 -6145 +7891 -6184 +7589 -2455 +-814 5887 +-5531 2926 +2240 -174 +2960 6390 +-5706 794 +-7760 -6726 +-6987 -6626 +-7046 -2866 +242 5785 +4795 3167 +-2325 227 +-3293 6493 +5329 331 +7667 -7376 +6822 -7274 +7138 -3585 +160 5937 +-4988 3834 +2189 -491 +3961 5768 +-5515 1722 +-8835 -5209 +-8051 -5184 +-7454 -1471 +1247 5675 +5297 2292 +-2253 628 +-2128 6890 +5281 -705 +6193 -8637 +5364 -8336 +6356 -4802 +1282 5777 +-4206 4643 +2095 -948 +5017 4876 +-5088 2665 +-9013 -3039 +-4756 -3959 +2524 -3895 +10102 2006 +9516 -2081 +7068 -6342 +3917 2652 +-1203 4967 +-7251 1725 +-3212 6193 +5690 7465 +372 5758 +-4762 1958 +-5762 -4979 +-6690 2362 +-3627 7197 +-2781 -2837 +4080 -3960 +8382 -4249 +701 -6064 +-5422 -2309 +-2950 -5322 +6677 -6145 +5390 -4734 +-2353 -4144 +-5169 2958 +-6733 -44 +-794 -9421 +-3281 -7077 +-8004 2346 +-3071 9568 +-5611 3356 +-5170 -5248 +-3095 611 +-22 6144 +6185 7124 +-1150 6748 +-6786 1348 +-3387 3117 +3508 7349 +6104 4877 +-2572 3548 +-2727 -4124 +-2756 -8230 +-7954 1554 +-5005 553 +-328 -6071 +6734 -4918 +4274 -6441 +-6009 -4897 +-2636 -3270 +4286 -3800 +4935 5129 +6502 7932 +10407 792 +5055 2587 +-3318 2565 +1198 -6806 +287 -9870 +-6198 -7950 +2650 -6124 +8251 1384 +-1007 9469 +-171 9912 +5959 6707 +-1606 1314 +-1116 -4597 +9182 -5067 +6092 -7675 +1374 -9212 +4789 -546 +2350 4452 +-4513 5980 +1489 6626 +9257 1778 +4069 3979 +-2412 4457 +-7779 18 +-3714 6055 +1914 7578 +-4023 -282 +512 -5637 +3669 -8708 +-3531 -4872 +-5737 1964 +-5935 -1861 +850 -8926 +924 -7020 +-4553 -1362 +-1898 5610 +-5139 4534 +-7027 -6238 +-7312 -2879 +-4573 7113 +4101 9001 +-1915 6215 +-7501 -323 +-2006 2524 +4088 4482 +9560 998 +3781 5646 +-4209 5535 +-478 4475 +7611 2852 +7913 -628 +472 4299 +-4826 -1146 +-7615 -4129 +-4994 6352 +-3468 3662 +-4439 -4165 +1722 -8134 +-1100 -7538 +-7929 503 +-4267 -638 +700 -5647 +7259 426 +10422 1382 +8521 -6395 +5649 -1442 +-738 4095 +-3602 -5744 +-6399 -7397 +-10055 -1684 +-2031 -6180 +7218 -4457 +5494 7514 +6457 7361 +9042 975 +-402 1999 +-3985 -2622 +3721 -9787 +-330 -9683 +-5053 -7648 +3342 -3537 +4845 1723 +505 7457 +5634 3883 +8448 -4912 +5858 240 +1196 4902 +-5904 5196 +1194 6891 +6534 4277 +-3325 2454 +-3395 -4463 +-2937 -8773 +-6012 -1209 +-3223 5174 +-5854 2399 +-5087 -7204 +-3807 -5906 +-4567 1874 +2034 5425 +-1139 6650 +-9529 -221 +-7667 2555 +904 8312 +8982 4225 +2437 5950 +-5922 4444 +-5817 -232 +-10615 -5579 +-7780 -5991 +-6528 -717 +-2200 1296 +4256 -2138 +1662 413 +2663 1705 +8650 -2640 +10859 1076 +-1570 4285 +-11844 9875 +3450 14204 +6998 6479 +-8836 127 +-9786 -4247 +-3983 -2224 +-1427 1738 +-2131 -2731 +-724 -552 +6018 2390 +8105 -2746 +11512 -4445 +6650 -391 +-5916 -1502 +-2767 -6590 +1205 -1943 +4255 2893 +9544 2173 +866 -949 +1621 -4588 +12133 -670 +6632 -70 +3056 -1210 +4839 -2088 +-3577 -8617 +-8903 -5095 +-2196 -1449 +4096 -1519 +3936 6628 +598 9003 +-5334 4374 +-6678 1481 +-880 3624 +2159 4707 +5103 -633 +7722 -821 +4592 5720 +889 8972 +-3506 3085 +-2144 -2393 +5109 -5787 +2627 -10861 +-4597 -3271 +-4742 2505 +-4075 981 +-9692 5976 +-7816 591 +316 -3962 +-5064 4590 +-5822 6227 +-281 940 +-2158 -5313 +3358 -6616 +-70 653 +-12118 3288 +-10082 631 +-5533 3731 +-858 2379 +1862 -4343 +1570 -767 +3234 -514 +4880 -7663 +9058 -6478 +1846 4102 +-2222 14957 +12266 7764 +9727 -67 +-6547 6043 +-10320 2833 +-4535 -4791 +3141 -5471 +5695 420 +7195 3726 +6933 3347 +-4363 -285 +-5665 -2663 +3059 4125 +-347 8845 +-130 4843 +1629 6485 +2139 11471 +8271 5310 +556 2579 +-9266 3381 +-11190 -2108 +-9017 1491 +-911 5629 +-2578 3366 +154 1963 +8048 -9 +3262 3006 +123 3302 +-2537 26 +1816 -3590 +6568 -8059 +-8645 -5544 +-9660 -2967 +4314 5508 +-674 12943 +-8429 5683 +-4821 1574 +-2231 -3352 +-933 -8939 +292 -7596 +-3279 -4135 +-5315 -1671 +-2119 -7685 +-900 -4864 +-4965 1286 +-6486 -199 +5691 8228 +12893 7026 +400 494 +-6076 2198 +1249 217 +5334 2814 +4981 -1576 +8053 -9685 +7428 -3897 +-647 332 +-1073 679 +1312 63 +-965 -1459 +287 -3759 +-810 -7046 +-1669 -2340 +4226 1018 +13 -1816 +-9623 -1617 +-135 -3373 +9225 -7486 +-1689 -5454 +-9873 588 +-7119 -1037 +-1026 -5987 +4746 -3423 +8050 -1925 +7616 -2012 +-3460 2622 +-6076 1671 +5132 999 +5491 6757 +3063 3610 +5560 3661 +9260 6968 +9955 -1564 +2147 1545 +-4896 8492 +-8356 4018 +-2595 578 +-2689 805 +-1682 -3188 +5984 -8550 +3543 -3259 +4528 -798 +2494 -5709 +-4121 -1971 +-5389 2984 +-5091 -4305 +2091 -9396 +8276 -4051 +10512 -3246 +8732 364 +2289 8375 +5707 -562 +4035 -11314 +-3851 -6258 +1934 536 +6346 289 +6682 -582 +3156 1689 +-11462 -703 +-9112 -2311 +8186 -277 +9316 -3903 +3866 -5024 +-290 -3562 +-316 -712 +5205 7136 +-941 6544 +-5229 1278 +-2875 1009 +-7447 -205 +-6242 1373 +-7546 10724 +-11480 16118 +-3726 8670 +2337 1629 +708 411 +-2256 -428 +-627 2292 +-793 4811 +-1941 2928 +10372 151 +11837 -3167 +1421 -3396 +6464 -118 +9958 -4114 +2867 -7649 +-2311 956 +-1887 5336 +1279 5291 +-1372 6731 +-7872 2345 +-5670 2323 +2424 1647 +736 -3253 +1322 -1333 +7802 -14 +-885 2847 +-9604 5627 +-8829 3211 +-6418 -182 +-565 -2588 +-1505 2354 +-3409 -1205 +-860 -10182 +653 -4602 +3018 -3460 +-1767 -5797 +-4587 1244 +-2312 5671 +-6818 -31 +-4538 -8366 +3798 -8336 +6116 -9222 +7076 -5386 +7253 4760 +3708 -2791 +-1643 -7771 +5334 -2323 +4307 -1597 +-4670 -3160 +4657 1284 +6441 6718 +-3435 3269 +1150 2660 +9381 4809 +1380 -1686 +-2479 -4288 +5365 -6769 +5265 -7885 +6896 -832 +10194 -1887 +600 -5636 +-9663 -7024 +-4959 -5458 +8224 2968 +7228 9273 +-3921 9423 +-1962 -945 +3487 -3832 +-1231 3809 +-3477 -881 +-1038 -4299 +-2218 -1900 +-162 645 +3099 1999 +3644 -4041 +3075 -141 +-1111 6038 +330 2148 +246 814 +-4064 -1657 +-418 -18 +-874 4329 +-4520 -997 +-6018 -6376 +-5477 -6280 +-39 -1829 +682 3664 +958 3373 +-2101 1474 +-5340 2073 +-1003 3265 +-2840 6396 +-759 7175 +2854 -2296 +431 -9545 +1802 414 +2791 13110 +8497 13383 +6592 12420 +-2844 14592 +197 6911 +3512 -6771 +17 -12349 +-10785 -6252 +-10758 1759 +-267 1308 +-6793 -2057 +-4639 -6002 +1437 -6166 +-4620 -2031 +2492 -5000 +2415 -4022 +-5643 648 +4560 -2065 +9532 831 +-553 4645 +2535 1249 +10394 -2401 +-59 -2116 +-4846 -1616 +-370 -8554 +-1133 -9271 +4807 -5146 +6819 -8123 +-365 -5140 +-1134 -264 +96 37 +-9 -56 +-11 7 +-12 1 +-8 -7 +-8 1 +-5 9 +-2 4 +-5 3 +-6 2 +-7 -1 +-9 3 +-4 5 +-2 8 +2 12 +5 6 +0 8 +1 6 +-1 8 +-7 4 +-4 3 +-6 4 +-6 4 +-3 13 +-3 8 +-2 1 +-2 0 +-4 5 +-3 3 +-3 7 +-3 1 +-3 2 +-2 1 +-1 11 +-4 15 +-5 4 +2 8 +-1 6 +-4 3 +-6 -2 +-7 -8 +2 -2 +1 6 +-2 -3 +3 -4 +4 1 +-1 4 +-5 -2 +-9 -7 +-6 8 +-1 7 +-4 5 +-8 9 +-4 12 +-3 3 +-8 2 +-9 1 +-7 -1 +-11 6 +-3 4 +0 2 +-4 0 +-8 3 +-9 -1 +-4 2 +-9 8 +-6 9 +1 3 +10 -1 +7 2 +4 3 +0 4 +-3 6 +1 -1 +2 1 +3 -1 +5 -3 +-2 -1 +-5 0 +-3 3 +-5 1 +-5 2 +-1 1 +1 -7 +2 0 +-4 0 +-4 -1 +-2 5 +-1 12 +-8 6 +-5 3 +1 0 +2 -2 +1 9 +-7 7 +0 2 +6 1 +2 7 +6 4 +7 0 +-1 -1 +-3 -4 +-1 2 +3 -1 +2 -5 +0 -1 +-5 6 +-4 6 +-1 6 +-4 -2 +-3 2 +2 3 +2 -2 +0 1 +-4 5 +-1 5 +0 6 +-12 -1 +-8 -2 +-1 -6 +-2 -1 +3 9 +3 5 +-1 1 +2 3 +3 7 +-2 1 +-5 -5 +0 -3 +6 2 +4 9 +1 -1 +0 2 +-3 1 +-1 -9 +-1 0 +2 -1 +2 0 +2 -5 +0 -3 +3 3 +2 15 +-2 5 +-7 -5 +0 -4 +2 0 +2 0 +2 0 +-2 3 +2 1 +4 1 +1 7 +0 5 +12 23 +16 38 +9 48 +8 37 +2 51 +-354 165 +-4292 1315 +-9017 3687 +-1640 4637 +7720 -131 +1524 -1961 +338 5539 +5122 2299 +310 -8730 +-3064 -9805 +-1971 -9549 +3304 -4080 +4563 6458 +-1094 2613 +4473 -3450 +5484 2498 +-6286 6378 +-9394 4810 +-8243 5587 +-487 4899 +7751 -1872 +1176 -2248 +1673 5358 +5662 1126 +-1765 -8559 +-5343 -8894 +-4078 -8944 +2438 -4741 +5951 5353 +-535 2761 +3734 -4337 +5912 1374 +-5056 7598 +-8409 6659 +-7073 7197 +463 5036 +7341 -3250 +655 -2445 +2529 4984 +5840 80 +-3280 -8154 +-6950 -7880 +-5726 -8151 +1494 -5123 +6932 4224 +-20 2905 +2898 -4958 +6221 238 +-3513 8509 +-7127 8209 +-5755 8463 +1431 4773 +6675 -4759 +201 -2557 +3625 4393 +5904 -1127 +-4901 -7281 +-8512 -6195 +-7349 -6738 +401 -5334 +7799 2634 +633 2783 +1835 -5537 +6230 -1118 +-1658 9045 +-5315 9502 +-3876 9418 +2473 4326 +5654 -6031 +-336 -2525 +4449 3586 +5540 -2273 +-6426 -6092 +-9735 -4355 +-8694 -5151 +-703 -5347 +8248 1063 +1152 2613 +664 -5717 +5859 -2215 +7 9161 +-3513 10353 +-2122 10062 +3210 3819 +4490 -6938 +-838 -2392 +5071 2707 +5121 -3292 +-7443 -5061 +-10385 -2788 +-9516 -3680 +-1551 -5107 +8413 -143 +1613 2438 +-56 -5785 +5623 -3088 +1332 8994 +-2051 10615 +-720 10130 +3737 3314 +3563 -7468 +-1082 -2292 +5446 1970 +4728 -3897 +-8052 -3927 +-10715 -1267 +-9918 -2291 +-2210 -4847 +8315 -1439 +1928 2087 +-1046 -5678 +5003 -3894 +2754 8708 +-296 10832 +996 10101 +4292 2697 +2331 -7917 +-1529 -2060 +5619 1098 +4004 -4552 +-8626 -2502 +-10877 526 +-10260 -627 +-3032 -4349 +7941 -2673 +2242 1823 +-1855 -5412 +4439 -4590 +4108 8130 +1325 10691 +2398 9889 +4611 2091 +1140 -8108 +-1819 -1815 +5787 247 +3382 -5104 +-8867 -1321 +-10687 2031 +-10260 850 +-3682 -3858 +7498 -3847 +2515 1411 +-2661 -5036 +3679 -5175 +5324 7377 +3017 10295 +4015 9216 +4963 1123 +-214 -8194 +-2071 -1451 +5837 -770 +2416 -5576 +-9104 405 +-10230 3935 +-10031 2610 +-4318 -3221 +6857 -5079 +2722 992 +-3539 -4496 +2771 -5628 +6419 6218 +3701 7900 +-1423 5393 +-6215 -3382 +-7350 -8831 +-10528 -899 +-6922 -1323 +2080 -6010 +5360 292 +7982 2224 +3659 -7869 +385 -8949 +5747 -2271 +2854 4783 +2966 7553 +8883 -2244 +4706 -599 +-2658 4630 +-8297 -2457 +-8627 1196 +-599 5587 +2710 6147 +-5548 4759 +-9990 -1209 +-4640 3401 +2200 3501 +7709 1165 +436 7164 +-6650 8394 +3338 7343 +9070 -1583 +8440 -5221 +4109 5736 +256 5848 +4845 -1682 +1317 -7961 +1217 -9428 +7167 -554 +5301 3137 +4410 -4546 +-895 -9814 +642 -5422 +4291 1640 +-4118 7634 +1577 7419 +8218 2052 +286 4755 +-6133 3203 +-9791 -767 +-3576 6179 +2901 7063 +-3712 2741 +-4690 -2784 +1446 -10640 +-3880 -9711 +-7801 -6761 +2489 -3690 +1150 2653 +-8511 6207 +-3014 9996 +-1651 8949 +-8462 -1793 +-200 -7890 +9285 -7132 +2558 -9898 +62 -6573 +1986 3994 +-6915 1857 +-11650 -1657 +-8740 6243 +-6231 3569 +-2349 -5746 +4347 -3257 +7714 -3518 +-2187 -8144 +-5490 -6789 +3023 -5367 +5369 1794 +7252 3750 +5529 -7364 +3313 -3448 +967 5152 +-8125 3555 +-6001 6477 +3177 4454 +6159 2705 +-1110 7071 +-8552 5558 +-1437 5496 +3984 1113 +6770 -4179 +5058 5016 +245 10549 +7380 3294 +6121 -7108 +3232 -9385 +6977 1613 +4035 4179 +2782 -4373 +-4054 -6832 +-5122 -7944 +5314 -4995 +6233 -989 +553 -6178 +-6989 -6715 +-2997 -4483 +4459 -1562 +1752 8428 +6042 4494 +7741 -3820 +3367 3375 +-2591 6434 +-8144 5933 +1228 6895 +6884 3279 +-1029 4486 +-5415 1110 +-5935 -8694 +-9433 -4541 +-10456 113 +-473 -4435 +2674 1159 +-2499 10121 +4243 9355 +4576 7656 +-7818 4190 +-5396 -5681 +2582 -11333 +-4454 -9058 +-4246 -4886 +4218 1685 +-4115 5814 +-10224 6243 +-2848 10251 +-2602 6644 +-5656 -2774 +1169 -5253 +3709 -7666 +-7000 -4602 +-8739 -1462 +-1206 -5942 +5276 -2192 +8094 -2043 +-491 -9029 +348 -4594 +4179 3250 +-4029 7915 +-552 8736 +5403 1320 +6659 -1830 +3745 6124 +-3183 9738 +2447 5034 +3927 -1758 +2645 -7489 +7248 480 +7046 7688 +7971 -2351 +273 -9302 +-3511 -9065 +6414 -2966 +4567 3963 +1525 3045 +4619 1053 +3287 -7341 +6541 -11438 +9692 2401 +1436 8592 +-628 9324 +1332 9208 +4056 -6105 +9506 -8755 +7238 1078 +4451 -2328 +170 -6103 +2529 -8292 +10609 -6801 +-2049 -2009 +-11988 -1805 +-8072 -307 +-6312 -6209 +5857 -9287 +12849 -2378 +2397 -3331 +-2918 -3664 +-7116 4379 +-9319 13060 +972 6510 +1327 -4528 +-4570 2019 +3514 2587 +6130 -3182 +-625 -4554 +-2201 -9234 +844 -4627 +4285 1624 +3957 1435 +3495 1056 +1819 3058 +-1732 10756 +-2582 8973 +-2298 2650 +4594 6713 +6732 8481 +-2750 2219 +-1940 -1518 +2598 2614 +-6274 1799 +-12097 -1596 +-4007 1936 +-2089 5019 +-8744 7900 +-5979 4175 +-2254 -2605 +-2959 -2599 +-3401 -2900 +-1017 -2883 +7560 -3662 +7769 -2659 +965 -3514 +-2956 -5633 +-3050 3391 +3246 2073 +-724 -3184 +-7542 10370 +-2091 13846 +3052 2452 +4504 -2499 +-2198 -7617 +-2236 -12727 +9252 -4422 +6827 5479 +5625 7412 +7142 6008 +-725 -7119 +1670 -12605 +6296 -3917 +1931 -4594 +-3875 -4569 +-3476 -7789 +3958 -12260 +370 -4023 +-3816 -2852 +-1609 -3427 +-1930 -1362 +-947 -9437 +6245 -21086 +8052 -13029 +1623 -6539 +-456 -885 +-3026 6590 +-7155 -7692 +-1345 -7604 +4314 -1061 +114 -2813 +-2793 9496 +-3567 3787 +-3494 -783 +2403 11317 +8280 11599 +9204 16953 +5648 13615 +-1032 -2460 +-5047 -3199 +-2212 1630 +563 3029 +-3019 -304 +-2838 -1968 +1548 3673 +605 7102 +-1142 -393 +884 -10658 +2308 -2615 +1565 8663 +3445 5610 +6353 2893 +3101 4251 +-2719 3087 +-5564 -3714 +-7441 -6703 +-7391 -294 +974 2788 +9141 -875 +3061 -1618 +-2458 3468 +2538 2633 +1481 99 +-2071 2663 +3979 -4704 +3841 -11168 +-7815 -2414 +-6362 6616 +2820 7567 +-1944 6027 +-2655 2297 +6056 -4015 +2184 -4623 +-7137 -6480 +-2534 -9448 +4850 -59 +740 3289 +-2897 -4664 +-1959 -3215 +261 5713 +3748 7379 +-77 1610 +-5058 -1122 +-3518 -1253 +-2393 363 +-7096 -6337 +-9379 -19563 +-2586 -14873 +-3159 -5842 +-946 -331 +2070 6730 +-10702 -895 +-6238 -4634 +2559 -3646 +-1802 -2074 +4008 8813 +-411 4745 +-6638 -2310 +-812 1329 +2419 4826 +-6873 1736 +-7453 -511 +5914 -2105 +8407 -5742 +-1816 -4520 +-6489 2195 +1832 3567 +4899 1961 +3562 1939 +1443 -2817 +-6451 -4136 +-4977 -4740 +-1029 -4025 +-41 8385 +8254 7714 +8954 -5468 +-624 -7396 +-1702 -1883 +-305 4876 +-8497 3192 +-6611 -2144 +6789 -636 +9702 3933 +3597 7765 +-5261 5594 +-8355 3911 +-9263 -2462 +-14042 -9892 +-9424 -4262 +-3032 -5323 +2155 -2467 +4686 5624 +-779 -9750 +1888 -11844 +1854 3321 +-4782 -2064 +-4270 -8345 +-9743 -2223 +-14657 2876 +-6910 3582 +861 4728 +1944 5791 +1286 2808 +2219 5726 +6347 9348 +7938 2891 +2656 -3056 +2815 -3406 +1087 -16 +634 -3005 +11507 -10921 +4770 -3989 +-4166 2639 +2791 -3006 +3435 -3610 +9226 -871 +10799 517 +4462 3158 +6590 7527 +2042 9021 +-4941 333 +-6133 -3751 +-282 581 +5075 2114 +-4074 5736 +-6090 4419 +3126 -5467 +2709 -9664 +-4352 -2052 +-3536 5837 +3758 1339 +5011 -1751 +4002 -910 +-708 -3044 +-7719 1160 +-6978 -266 +-3509 -2655 +4630 4325 +4433 5978 +-8095 4542 +-10606 2324 +-9483 3348 +-1675 4951 +8083 250 +1399 -2145 +-263 5956 +5295 2892 +988 -9111 +-2637 -10346 +-1447 -9810 +3780 -3817 +4231 6947 +-1414 2252 +5009 -3525 +5475 3026 +-7167 6265 +-10059 4213 +-8675 5021 +-624 5152 +8057 -1239 +930 -2284 +818 5861 +5666 1765 +-893 -9116 +-4568 -9605 +-3234 -9260 +3041 -4409 +5491 5962 +-974 2430 +4240 -4384 +5971 1908 +-5881 7363 +-9211 5878 +-7749 6424 +185 5102 +7626 -2588 +497 -2402 +1834 5535 +5948 687 +-2340 -8834 +-6144 -8671 +-4871 -8536 +2206 -4813 +6471 4930 +-509 2568 +3460 -4985 +6273 887 +-4499 8266 +-8030 7363 +-6507 7659 +1144 5013 +7316 -3871 +206 -2447 +2771 5223 +6082 -203 +-3763 -8244 +-7541 -7494 +-6193 -7626 +1471 -5161 +7284 3788 +-69 2613 +2609 -5532 +6362 -175 +-3177 8907 +-6768 8587 +-5094 8603 +1946 4750 +6449 -5019 +-315 -2425 +3578 4594 +5939 -1262 +-5241 -7400 +-8873 -6030 +-7546 -6341 +445 -5224 +7764 2463 +32 3069 +-1181 -857 +6595 3952 +3123 4564 +-6223 -742 +-366 -7789 +-4760 -6760 +-4914 2328 +-3666 5448 +-7205 -3235 +-1730 -8643 +-6021 -2704 +-1156 4123 +1638 6809 +-6024 3269 +-9386 -1717 +-5851 6042 +6480 7657 +6547 4253 +-2660 6195 +-5694 2747 +3554 3630 +8072 -2201 +7473 -3359 +4097 6336 +-1968 5599 +6744 1897 +7631 -5401 +4821 -1732 +574 4716 +-9027 -361 +-4486 4920 +881 7768 +-3240 2378 +-5829 -3878 +-3321 -8702 +-6453 143 +-5456 5721 +-3239 -2104 +-182 -5491 +9984 -3576 +6207 -7692 +2348 -9687 +4382 549 +-1891 2148 +-8327 -4630 +-9752 1958 +-8054 2747 +-1700 -8091 +6492 -3829 +9620 4800 +9284 -2392 +5343 -3171 +-2331 3549 +-4611 -4848 +-2651 -10715 +-9021 -4372 +-5604 -3381 +4316 -4785 +3950 1976 +6750 4626 +5093 -5858 +4123 -8064 +5559 340 +751 5541 +561 7829 +8022 1021 +5377 882 +-5285 3665 +-5586 -5345 +-8286 -1679 +-2350 4981 +742 6420 +-7737 2336 +-7137 -5206 +-6580 2037 +1707 3852 +5719 4010 +-2528 6293 +-8419 4814 +-997 8544 +6835 3528 +6847 -394 +8304 1576 +-70 1171 +-1594 2063 +468 -796 +1288 -1717 +1372 5208 +-1326 -1139 +2887 -12319 +7136 -13390 +-1065 -6445 +-1902 4047 +7449 1368 +2330 344 +-724 5666 +3254 2598 +1787 1455 +-1794 -1436 +-6902 1282 +-7645 7570 +-813 2393 +2889 -6267 +2112 -4854 +8043 3220 +9644 -429 +9124 -3278 +5417 -4984 +-5135 -3560 +-6932 12030 +-3080 5561 +2546 -12842 +4639 -5814 +3939 2659 +2135 -1596 +5203 -1357 +6379 3906 +1580 949 +-2227 -6775 +-7642 -3826 +4480 -787 +6490 637 +-653 6407 +4676 9868 +-3563 9541 +-9036 -4128 +-1984 -8007 +836 -218 +-9618 691 +-9209 5136 +564 3810 +-3667 2887 +-5794 295 +-4339 -6361 +-1913 -3016 +-1264 358 +-657 1386 +331 -1607 +-986 -6254 +-4603 -3242 +-2642 5850 +4659 11636 +-1539 9065 +-2299 7340 +1570 5490 +4293 -2514 +7657 -4532 +742 950 +101 2568 +-114 -886 +-108 -2077 +4494 3005 +-1737 17 +-5758 -10983 +-3100 -14582 +-4991 -4161 +1187 4198 +6722 -3864 +2067 -1217 +3079 5005 +3825 3531 +4066 9260 +-1061 1827 +-8068 -16079 +-4815 -8651 +809 3489 +3305 9967 +1947 14231 +-1792 5865 +-8009 -1479 +-3437 3927 +-2646 8966 +-9075 935 +306 -635 +2346 981 +-542 -1561 +5035 -5972 +7999 215 +8268 8394 +5315 -401 +7631 -1235 +6494 1783 +-1732 -1277 +-5301 -3291 +-3167 1639 +3455 2655 +1172 1648 +-6102 5563 +-5068 -2258 +-810 -1366 +-2102 -468 +-3252 -3626 +204 -441 +-2952 -4049 +-710 -2208 +5888 1614 +-2570 2820 +-5051 -3299 +3538 -8314 +1125 74 +-4813 438 +890 -8694 +8224 -5531 +6044 2118 +323 1546 +-4320 -744 +-2079 -7427 +1727 -5450 +3061 -1698 +8398 -4539 +2841 -2130 +-8920 -5593 +-11242 -3209 +-6462 1772 +-3753 -5060 +-7563 -845 +-2608 3970 +5587 -5899 +6330 -163 +-256 1853 +-2200 -7637 +2656 2561 +627 7264 +2732 9230 +6264 11094 +9781 5654 +367 1555 +-16968 -6197 +-9529 -3019 +2849 1955 +9108 5058 +11014 9056 +2615 5402 +-6971 4193 +-11 5133 +3945 8262 +-6371 6555 +-236 -699 +2456 -799 +-1464 -124 +-1646 752 +-6834 8387 +-11486 -500 +-3591 -1838 +3397 4936 +-2127 8465 +-3424 5202 +1189 -5222 +-2066 -1655 +-3668 -1162 +8006 -9657 +10072 -12424 +1466 -2706 +-693 9558 +3493 4867 +4823 1026 +3393 51 +7049 1480 +6423 3979 +4317 -6950 +7100 -3406 +10009 7210 +5561 6587 +592 12378 +4896 9430 +1354 -820 +-1270 -3643 +1313 -582 +-5051 8309 +215 7296 +7940 -141 +1242 -4419 +2043 -4866 +1610 -1138 +-3308 -1396 +-3250 -3446 +-4344 -2271 +37 3711 +546 3096 +-3360 -974 +-3829 -585 +-4628 -5709 +-6234 -1291 +-6625 1701 +-3344 -10245 +-2980 -5238 +1080 8185 +1740 4998 +-4524 3541 +-551 8541 +10204 4356 +6561 1177 +-7659 2850 +-2960 3492 +-2596 4721 +-9096 -2990 +-5711 -9962 +-7508 -5193 +-1738 -4318 +5877 -6923 +3649 -6090 +-5463 -5718 +-11042 -5906 +-6953 -3602 +1007 6021 +1687 12812 +-9484 6734 +-4089 958 +5890 1549 +3864 7704 +762 6094 +-2406 -4716 +-2654 21 +-3588 1413 +57 -12347 +-70 -15681 +-598 -2918 +5548 7602 +5871 1420 +4468 -1836 +2085 5128 +2959 11532 +2995 4748 +609 4306 +3326 7775 +3235 3881 +2793 1112 +-2432 6597 +3979 3923 +8513 -2463 +-1774 -1922 +6014 -2405 +4578 6137 +-4234 3579 +1755 -4462 +1661 -3257 +-3326 -5812 +-5632 -2093 +-4334 -1716 +-5554 -8451 +-2918 -6595 +-1207 -1399 +-6062 1512 +-2180 8303 +-3694 7034 +-10192 87 +-8082 1486 +101 37 +1111 -2509 +-4539 -5384 +1057 -5894 +-909 -2031 +-5861 -3622 +-1773 -1501 +-3421 5152 +1645 1936 +5596 -4849 +-2754 -5270 +-4007 -4637 +1142 -332 +772 4594 +240 6227 +-2540 8128 +-272 5537 +7602 -1269 +596 -6925 +-4046 -6114 +-6116 -1527 +-12774 4008 +-5135 6440 +5056 113 +2600 -4973 +-2732 -2292 +5177 3167 +10743 10116 +2003 5907 +-4370 -7304 +-4987 -10735 +-2751 -15312 +3743 -10096 +12118 -55 +6975 -384 +-2531 4040 +-565 501 +5922 2979 +10848 7492 +5317 1470 +3238 2692 +7625 3431 +5019 677 +2836 -995 +2538 6443 +5683 100 +4848 -7464 +-2719 -174 +2778 -5754 +7556 1443 +-783 5432 +-1620 -4419 +-320 -3173 +778 -471 +9337 -4525 +7887 -11078 +3682 -8890 +-581 -9501 +-110 -7960 +-829 -11186 +-902 -8518 +4652 -2299 +4857 -1630 +1911 6334 +3296 7552 +6002 -547 +5168 -5089 +3092 -8620 +193 -6204 +-2685 -1606 +-2511 -1372 +1223 -1260 +-376 1453 +350 2700 +-1 -532 +-7499 964 +-191 4139 +-1747 8597 +-7071 9744 +3342 -1699 +-3299 -5308 +-10989 1125 +2834 684 +10790 2925 +2461 6827 +-2899 1257 +-3651 -1402 +-82 -2125 +5354 3072 +2792 4547 +1274 -4689 +-441 1341 +-511 3124 +2592 1427 +-778 6519 +-3461 2976 +-3601 -1037 +-6851 -1902 +-5303 646 +2436 5864 +6692 7552 +3520 -293 +558 2457 +1046 3480 +-2977 97 +-3234 10488 +-2468 -228 +-1802 -2953 +2960 11273 +1887 9784 +1694 435 +-2280 -3860 +-7836 6647 +-2841 3324 +8067 -3804 +4426 -2876 +-6804 -4889 +4766 -2550 +7515 -9406 +-1573 -13327 +-3103 -8885 +-6777 -6525 +-5351 -5719 +-8053 -7626 +-6402 -5596 +2026 -4739 +2695 -4361 +5695 3375 +7601 3346 +4242 -4389 +543 -7186 +-3345 -8319 +-3666 -4789 +827 -763 +3307 -247 +-1499 784 +-1066 1775 +-3031 -2879 +-5684 -4889 +-1461 -4721 +-3973 -773 +-3638 -2336 +140 -7435 +-2899 -7088 +6369 -5520 +9884 2455 +2723 -1498 +3664 -3130 +1626 4405 +-1964 8980 +-7666 7492 +-6231 2790 +-834 4856 +-3195 4306 +-386 12335 +4011 12302 +9229 8229 +6359 9635 +-66 -4389 +3145 -13446 +1989 -6206 +-3530 6630 +-8160 1784 +-421 -1051 +7097 6950 +225 4545 +-2011 2714 +-1982 -5354 +-2694 -10335 +2310 -6502 +3690 -3014 +-839 1422 +221 -5537 +-1521 -9134 +-3106 6281 +7486 7160 +10375 3999 +-774 13327 +-6385 6995 +1188 3465 +3729 2795 +2415 -2306 +-292 -1272 +-3917 4518 +1534 7436 +-2115 -4745 +-2294 -8673 +6042 -9103 +4152 -7835 +3112 3941 +-1460 6181 +-5163 -93 +477 -2684 +-1734 -1858 +-4871 -7715 +-4360 -4789 +-9735 -589 +-3693 -6173 +2878 -3951 +-593 1840 +274 1996 +-4214 -101 +-7592 226 +-4273 -2430 +-3596 2087 +-4336 650 +-4778 -5593 +-6953 -3308 +1262 -8135 +9281 -4462 +1152 -2814 +781 -4633 +3815 1834 +2006 2617 +109 90 +1 -39 +23 23 +2 2 +5 -5 +2 -6 +1 5 +0 11 +-1 9 +1 1 +4 4 +-4 5 +-7 5 +-2 4 +6 0 +5 -3 +-5 -1 +0 -2 +1 -4 +3 -6 +5 8 +1 12 +0 15 +3 14 +6 9 +-1 6 +5 6 +6 8 +2 11 +3 6 +0 3 +1 12 +2 9 +9 3 +10 1 +6 -1 +2 -1 +3 -4 +3 2 +2 -1 +1 2 +0 -3 +7 3 +4 4 +1 0 +1 2 +1 -2 +-3 7 +-3 7 +3 6 +1 6 +-1 1 +-1 -1 +0 -2 +-2 2 +53 -28 +41 -23 +47 -24 +144 -78 +2266 -1024 +7444 -3758 +4428 -5179 +-6099 -910 +-3621 3059 +469 -3127 +-4820 -4231 +-1286 5830 +3916 8985 +3755 8402 +-267 6705 +-5537 -3042 +-705 -4935 +-1200 2872 +-6712 807 +1910 -6037 +8184 -6077 +7543 -5729 +3068 -5896 +-6299 421 +-3055 3797 +-259 -3220 +-5784 -3185 +-78 6025 +5844 8075 +5558 7549 +1114 6703 +-6220 -1909 +-1742 -4751 +-556 3085 +-6507 2177 +716 -6323 +7011 -7637 +6436 -7194 +1932 -6486 +-6206 1574 +-2307 4308 +-791 -3125 +-6371 -2055 +1044 6013 +7413 6993 +6972 6518 +2330 6442 +-6543 -766 +-2568 -4397 +-20 3158 +-6146 3289 +-402 -6413 +5659 -8761 +5219 -8216 +809 -6705 +-5922 2706 +-1502 4683 +-1380 -2930 +-6795 -874 +2086 5716 +8642 5471 +8101 5052 +3560 5858 +-6638 488 +-3454 -3789 +561 3118 +-5449 4360 +-1609 -6209 +3943 -9616 +3620 -8987 +-502 -6698 +-5464 3733 +-678 4843 +-1888 -2668 +-6936 283 +3085 5289 +9569 3982 +8919 3683 +4524 5230 +-6519 1558 +-4021 -3207 +1167 2966 +-4637 5186 +-2669 -5826 +2280 -10185 +2067 -9523 +-1605 -6541 +-4738 4557 +191 4852 +-2297 -2319 +-6798 1453 +3950 4707 +10076 2316 +9484 2057 +5429 4330 +-6207 2721 +-4607 -2408 +1623 2733 +-3717 5981 +-3701 -5212 +477 -10349 +352 -9666 +-2749 -6127 +-3912 5351 +995 4811 +-2746 -1810 +-6505 2624 +4678 3846 +10366 451 +9732 357 +6070 3336 +-5764 3673 +-4995 -1628 +2028 2423 +-2715 6443 +-4524 -4568 +-1257 -10265 +-1244 -9533 +-3746 -5581 +-3091 5822 +1757 4533 +-2918 -1409 +-5986 3566 +5270 3069 +10441 -1171 +9762 -1140 +6556 2340 +-5098 4472 +-5199 -855 +2376 2061 +-1731 6743 +-5130 -3843 +-2682 -9888 +-2561 -9251 +-4504 -4988 +-2144 6226 +2470 4212 +-3109 -877 +-5423 4462 +5697 2251 +10159 -2715 +9502 -2594 +6933 1297 +-4350 5203 +-5328 -24 +2691 1667 +-637 6888 +-5720 -2977 +-4290 -9274 +-4066 -8617 +-5334 -4048 +-1148 6513 +3101 3726 +-3279 -391 +-4706 5235 +6027 1221 +9653 -4404 +8997 -4161 +7085 76 +-3361 5830 +-5235 895 +2973 1145 +544 6821 +-6179 -1928 +-5457 -7423 +-1135 -5762 +4849 -835 +7413 7498 +9283 2091 +8672 -1324 +790 4999 +-4079 2729 +-7300 -2389 +-5136 4892 +922 9353 +-3644 4424 +-4509 -1777 +-2263 -7514 +-7334 -130 +-6244 3576 +40 -4511 +6331 -269 +9076 168 +2734 -5096 +-3459 -5004 +1672 -5996 +9299 -905 +6167 -1822 +-453 -4526 +-6451 -56 +-4375 -4598 +5225 -8474 +-211 -7581 +-8118 -1134 +-7673 6541 +-5898 -1784 +-834 -7043 +-3410 -270 +-2720 5854 +847 9470 +-5487 3898 +-5946 -2837 +-4337 1820 +-345 8553 +1795 6837 +-4429 822 +1165 -5750 +1230 -8181 +-7820 -2259 +-3271 -2848 +3781 -5018 +8667 -175 +5868 -4126 +-2957 -7239 +650 -3779 +5551 -221 +632 8070 +1916 9927 +8395 5948 +1277 4661 +-3679 -413 +5791 -5590 +4628 -8718 +-623 -9904 +6489 -2394 +4673 6338 +-7044 7407 +-4480 8699 +1183 8417 +-2376 -1047 +2952 -4059 +10794 990 +8777 -4289 +6028 -6470 +3847 3290 +-1488 4638 +-7386 2810 +-1026 6920 +6707 6400 +-14 5632 +-4726 1488 +-6676 -4241 +-5904 4543 +-2566 6618 +-2862 -3385 +4780 -4310 +7251 -5806 +-1218 -5587 +-6002 -1426 +-2747 -5503 +6526 -6754 +3610 -5398 +-3316 -2976 +-5016 4278 +-6533 -405 +-1749 -9627 +-5298 -5318 +-7071 4692 +-1535 9963 +-5729 2684 +-5399 -4512 +-2843 2120 +1782 6107 +7049 6353 +-1559 6450 +-6494 1799 +-2173 4193 +5356 6571 +5873 3884 +-2972 3533 +-2903 -5055 +-4384 -6940 +-7647 3361 +-4480 -36 +-422 -6209 +6613 -5842 +1960 -6896 +-7014 -3439 +-2009 -3254 +4152 -3772 +5763 5562 +8003 6096 +10448 -1048 +4093 2672 +-3114 2169 +775 -7886 +-2153 -9422 +-6988 -6800 +3443 -5997 +7867 1478 +-488 10041 +2260 9364 +6472 5324 +-2549 730 +-512 -4905 +8924 -6279 +3987 -8865 +397 -8683 +5104 -87 +1971 4362 +-3780 6906 +3798 5706 +9401 240 +3710 4190 +-2613 4245 +-7919 1332 +-1533 7244 +2427 6509 +-4482 -555 +764 -6264 +1708 -8994 +-4638 -3237 +-5558 2849 +-5742 -2299 +623 -9219 +-716 -6320 +-4597 -35 +-1143 6362 +-5355 3886 +-7652 -6062 +-7655 -569 +-2576 8105 +5238 8397 +-2708 5737 +-7002 89 +-4693 -4143 +-5505 -11004 +-3022 -8642 +-5314 -3524 +-1444 288 +4826 326 +536 1615 +2127 2777 +9172 2713 +7575 6917 +-5646 1935 +-14911 3623 +-2557 14833 +1526 7448 +-8280 -5541 +-5241 -8534 +-2246 -3003 +-1834 640 +-383 -3855 +-18 220 +4529 5164 +8796 1364 +12060 2565 +4128 2566 +-4225 -5301 +1965 -6696 +1570 -242 +2826 5281 +6578 6432 +595 -1518 +4976 -2221 +10345 6261 +4775 2492 +3443 880 +5077 -192 +596 -10252 +-4923 -8089 +-34 -1961 +4075 1598 +-996 8468 +-4611 7422 +-7023 150 +-5888 -1978 +-2323 3388 +-273 4903 +5294 1968 +6376 3797 +-109 7728 +-4317 7665 +-4360 -252 +416 -2951 +8095 -2774 +7023 -8429 +-3187 -4269 +-4851 -360 +-4474 -1180 +-11720 -62 +-5106 -4021 +2070 -2600 +-7800 1610 +-7036 2406 +-358 -35 +1491 -6153 +6477 -3051 +-2319 367 +-12040 -4175 +-8067 -4376 +-6393 882 +-924 1143 +3949 -2871 +1466 878 +3473 682 +8866 -3940 +10425 178 +-2977 5110 +-9187 12433 +7732 12602 +6109 4465 +-10021 1317 +-9211 -615 +-2592 2662 +981 2509 +3432 2161 +1374 2933 +-7559 4110 +-12822 -6293 +-9651 -15129 +-5175 -10675 +-4992 -5230 +-4463 4689 +-2484 7042 +-5892 -842 +-4769 2472 +-349 5733 +-2331 -4946 +-3291 -11953 +-2669 -2064 +3315 7290 +7016 4687 +-1491 2933 +-3972 4904 +4636 2198 +10564 1910 +2686 7761 +-5340 1590 +-1600 -7502 +-1854 -7153 +-349 -6752 +2051 4227 +-1542 7697 +953 -1521 +1235 1970 +-615 -2055 +1186 -6332 +2301 -1644 +3912 -5737 +-300 -4208 +-5738 -2100 +-4502 -1417 +1054 3210 +1008 1383 +-7027 2246 +-2796 6695 +6108 12498 +5203 9677 +4009 -5962 +-765 -10598 +-2731 -5696 +6446 -3126 +14643 -5161 +15318 -2334 +11144 4376 +4302 -3820 +-3174 -6396 +-1386 -182 +1798 -3312 +-3427 1679 +1441 8341 +4591 1286 +-5403 -3479 +-4677 43 +-166 -2879 +-234 -5175 +3583 4785 +3360 6787 +2039 1093 +4058 -694 +2991 1344 +-3211 7966 +-14130 3550 +-17405 -5039 +-11019 -4559 +-7340 -612 +-443 6391 +2672 6808 +-5161 3228 +-2169 4954 +3429 4440 +-5033 -2242 +-9500 -7318 +1393 -4299 +9482 188 +5762 1153 +8146 714 +4969 1414 +93 -1839 +6240 -6539 +5840 -7007 +4100 -3723 +3444 -2298 +1587 -3997 +1104 -4478 +390 1625 +3844 7685 +109 2610 +-4938 -899 +-7033 5962 +-11918 8393 +-5090 4978 +697 5637 +3306 6695 +7672 2418 +-34 -1409 +-1707 -3183 +1774 -5926 +-3043 -880 +37 5896 +7132 -1730 +3552 -4815 +-2068 728 +-2356 -1457 +-2003 5207 +1089 8558 +-636 -8624 +-7560 -14526 +-4932 -7867 +-1984 -6374 +-1576 -4827 +-1874 807 +-1310 6151 +4967 6521 +-391 3988 +-6586 -2501 +-119 -4207 +2016 1246 +-2872 59 +-6645 3203 +1992 7159 +4671 465 +-5734 -7527 +-679 -10398 +6074 3850 +3623 10880 +4054 -619 +5169 1852 +3214 2747 +-1406 -2443 +2439 -582 +6037 -4809 +-161 -106 +-5063 9301 +-7380 5316 +-7246 5463 +-7073 6848 +-853 -2823 +7064 -6335 +5217 -2952 +6628 -4918 +4718 -2294 +-1174 -1376 +178 -8889 +-401 -8956 +548 -5465 +979 -3991 +-1613 -3916 +-2329 -3891 +1392 902 +8163 2695 +1914 1678 +-3549 783 +3258 -2832 +3200 -4263 +-193 -4273 +355 -6993 +-1168 1666 +-4594 11721 +-2502 5831 +124 4829 +-3829 7842 +-1240 6467 +1701 3652 +2936 -4862 +6687 -5614 +-405 113 +2453 496 +7076 436 +-2673 1745 +2871 1417 +5067 811 +-991 5703 +3561 5641 +5328 1409 +8301 3740 +3205 1721 +-7594 -1481 +-6988 -4528 +-9564 -4650 +-6882 1114 +6454 -3548 +6360 -5573 +-6170 -5319 +-4014 -12198 +7401 -7775 +-1845 -3093 +-12128 -3446 +-4820 -1382 +4298 -4661 +7661 -6814 +-1827 -3773 +-10499 -298 +-3310 564 +4976 4232 +3154 6319 +-2883 -679 +-2831 1170 +-2756 6008 +-2543 1878 +5703 -725 +6288 -3619 +-3003 -2544 +-4740 883 +-2793 3472 +-5843 10160 +-3834 5534 +-1856 -3631 +-4957 2987 +2734 10346 +6783 3925 +870 -4379 +2741 -2220 +5180 -564 +4704 -4386 +1503 -2088 +-1474 -606 +571 -5527 +-307 -5088 +-2876 -3003 +-4226 -5294 +213 2085 +4112 11638 +1899 5922 +3289 3431 +2242 8235 +3350 5549 +3824 1557 +-817 -5582 +1539 -8535 +-232 350 +2270 -1332 +6554 -5437 +1195 -905 +-247 162 +25 -44 +2 3 +1 8 +6 1 +1 -2 +-5 -5 +3 2 +6 4 +-3 -1 +-1 -9 +2 -4 +2 6 +6 2 +2 -1 +1 -9 +-4 -4 +-1 0 +-2 -4 +3 -4 +6 2 +4 -4 +-2 2 +-2 6 +0 2 +-5 -2 +-1 8 +-1 9 +5 6 +-1 8 +-4 3 +7 -1 +2 -5 +-1 3 +10 3 +3 -1 +-1 6 +1 2 +-3 -5 +2 5 +-4 -1 +-4 -1 +4 0 +0 -4 +-3 -6 +2 -3 +2 -5 +5 0 +-1 0 +-8 2 +-5 10 +-1 9 +-2 -1 +-1 -4 +-2 3 +-1 -2 +-2 0 +-4 2 +-2 6 +-1 6 +5 4 +0 -1 +-3 4 +2 1 +2 3 +-2 5 +3 -1 +4 -1 +0 2 +1 -1 +3 -8 +0 -10 +1 1 +-3 -1 +-3 4 +-5 3 +-4 -1 +-1 2 +-3 1 +-2 1 +-1 -4 +-2 -3 +-1 0 +-1 2 +-1 -3 +-1 -4 +-3 -5 +-3 -5 +-2 0 +1 1 +0 5 +-9 -2 +-10 -7 +-5 -3 +-5 -4 +-7 -10 +-9 -10 +-4 0 +2 -6 +-2 3 +-1 7 +-8 3 +-10 0 +-7 -9 +-4 -9 +0 -1 +2 3 +-1 4 +-2 -3 +0 -4 +2 -1 +-4 0 +0 -1 +-1 -3 +-3 -1 +-3 -1 +1 3 +1 6 +3 1 +1 -1 +-1 3 +-7 -4 +-10 -7 +-15 0 +-7 7 +0 8 +5 9 +3 -1 +-3 -6 +-6 -3 +-4 -2 +-3 -10 +-4 -5 +-4 -2 +-1 0 +2 4 +-1 5 +-1 2 +-8 1 +-3 -3 +4 -3 +2 1 +-1 5 +3 13 +0 3 +-2 -1 +-3 -3 +-1 -3 +-4 0 +-7 3 +-1 5 +4 3 +-5 5 +-4 0 +8 -1 +2 6 +-6 7 +-8 2 +-4 5 +-5 2 +-5 -3 +0 -1 +4 7 +-2 5 +-1 -5 +0 -5 +-5 1 +0 8 +1 10 +-3 -2 +-6 -2 +-6 -1 +-10 -6 +0 -3 +-1 -4 +-2 3 +-4 2 +14 -3 +37 -19 +30 -12 +16 -2 +22 -25 +110 69 +1498 2232 +5133 7077 +5960 3224 +-181 -6804 +-3658 -2951 +3523 -307 +3539 -6073 +-6617 20 +-8979 5936 +-8393 5583 +-7006 811 +2676 -6602 +5015 -1595 +-3435 -891 +-1668 -6921 +6772 1076 +7511 7392 +7154 6698 +6512 1672 +-1600 -6751 +-4296 -2240 +3513 -1088 +2391 -6806 +-6600 1318 +-7873 7692 +-7373 7144 +-6907 2080 +1420 -7066 +4673 -2532 +-3622 -239 +-2987 -6488 +6984 -182 +8968 5849 +8517 5214 +6920 428 +-2859 -6274 +-4723 -1308 +3304 -1701 +997 -7095 +-6287 2689 +-6218 9247 +-5850 8639 +-6414 3527 +-26 -7206 +4189 -3415 +-3618 508 +-4318 -5812 +6972 -1617 +10156 3969 +9538 3563 +7012 -878 +-4105 -5680 +-5050 -384 +2944 -2311 +-348 -7243 +-5797 3739 +-4433 10229 +-4169 9611 +-5748 4745 +-1515 -7109 +3420 -4222 +-3516 1150 +-5449 -4911 +6567 -2918 +10828 1950 +10132 1651 +6732 -2254 +-5206 -4839 +-5056 558 +2523 -2861 +-1685 -6982 +-5038 4873 +-2564 10855 +-2460 10104 +-4884 5596 +-2713 -6743 +2669 -4696 +-3262 1845 +-6227 -3789 +5999 -3979 +11122 55 +10376 -173 +6312 -3372 +-5993 -3796 +-4936 1447 +1967 -3230 +-2952 -6550 +-4198 5636 +-679 11085 +-671 10382 +-3822 6319 +-3809 -6142 +1864 -5077 +-2974 2259 +-6879 -2786 +5267 -4990 +11028 -1821 +10232 -1861 +5701 -4319 +-6566 -2764 +-4698 2151 +1397 -3544 +-3980 -5999 +-3197 6170 +1159 10973 +980 10282 +-2841 6794 +-4775 -5484 +1035 -5280 +-2618 2712 +-7199 -1706 +4599 -5605 +10749 -3310 +9889 -3335 +5061 -5146 +-6879 -1804 +-4330 2820 +969 -3618 +-4767 -5309 +-2330 6523 +2699 10692 +2506 10034 +-1763 7147 +-5556 -4634 +250 -5373 +-2085 3073 +-7446 -457 +3521 -6327 +10007 -5132 +9254 -4947 +4161 -5837 +-7100 -462 +-3778 3578 +227 -3744 +-5767 -4357 +-1219 6827 +4557 10002 +4181 9399 +-532 7319 +-6267 -3636 +-667 -5310 +-1645 3354 +-7491 669 +2544 -6774 +9193 -6580 +8409 -6296 +3131 -6430 +-7218 659 +-3210 4123 +-329 -3718 +-6363 -3340 +16 6923 +6232 9083 +5689 8562 +654 7281 +-6845 -2572 +-1526 -5072 +-1004 3573 +-7248 1872 +1319 -6970 +6904 -7193 +5835 -2299 +1407 5077 +-6805 9589 +-516 10304 +2598 8631 +-5381 1804 +-3396 -3723 +1207 -8242 +-6277 -3865 +-9686 3265 +-5195 -2950 +1328 -5021 +7502 -4260 +-1484 -7766 +-4669 -5448 +4880 -821 +1236 6824 +1619 9470 +5866 1293 +4676 -4854 +6567 609 +2417 9588 +3097 5801 +4517 -1711 +-1185 -6831 +4297 -5422 +9886 3540 +7787 -2408 +-546 -8817 +-8263 -6415 +1126 -6503 +7091 -2487 +-618 -3686 +-6782 -1090 +-9826 3249 +-4835 -4939 +1973 -6824 +-2961 -3990 +-9167 1991 +-6812 3397 +-1502 -4537 +6492 59 +8674 -1009 +843 -8827 +2587 -3888 +5831 2915 +1594 9171 +5394 4958 +7017 -4851 +4044 124 +902 5821 +-8660 2547 +-9932 4665 +-4767 10307 +-4728 2147 +-41 -3758 +6987 4965 +9886 2419 +10051 -3059 +3496 6478 +-5894 6297 +-9184 -5483 +-9997 -1985 +-8459 3466 +864 -2781 +4753 2478 +949 11702 +6335 7961 +7762 4676 +-2932 4868 +-5111 -548 +-4461 -6884 +-7392 1249 +-5287 8696 +-5954 1248 +-2263 -4592 +3090 -8123 +-6234 -4773 +-7257 -953 +3188 -3778 +5445 4260 +7471 5931 +5394 -2900 +360 -6644 +5444 -3921 +8217 5433 +6230 2219 +2353 -4212 +-5479 -4239 +-349 -6870 +9971 -3983 +4404 -6903 +-6309 -5973 +-10659 872 +-3559 -5481 +3728 -6585 +-2962 -2258 +-5911 3767 +-5315 8944 +-7049 -273 +-3081 -6263 +-4888 -926 +-5636 7515 +-2915 6917 +-4195 -2309 +5009 -4255 +6097 -6464 +-5114 -6951 +-522 -4533 +6467 -1721 +7354 5649 +7429 40 +2213 -8136 +3220 -2556 +4575 3577 +-5004 7518 +-4678 9982 +3038 10697 +-2334 4625 +-2575 -2790 +8665 -886 +9372 -4643 +5995 -8698 +6896 2720 +-640 8444 +-10751 1567 +-9289 4743 +-4532 7834 +-1090 -2768 +5255 -1188 +8135 8133 +10018 1953 +9060 -1343 +812 5567 +-4343 2864 +-7950 -2299 +-5076 5711 +1395 9917 +-3911 4727 +-4760 -1948 +-2803 -7996 +-7964 398 +-6332 3804 +46 -4935 +6980 -352 +9700 -411 +2387 -5610 +-3983 -5149 +1677 -6481 +9930 -1397 +6286 -2475 +-952 -4637 +-6979 449 +-4821 -4787 +5008 -9380 +-1205 -7932 +-8930 -284 +-7721 7540 +-6283 -1771 +814 -6962 +3131 -2457 +544 -4432 +-5011 -2119 +-13158 -192 +-4925 -8768 +6829 -6695 +8466 -1895 +10016 -4786 +-1963 -2089 +-12672 -4292 +-3169 -7666 +-1925 -4620 +-5701 490 +-7302 2470 +-10656 -6302 +-3666 -1885 +1592 11380 +2248 8748 +-1350 8148 +-9877 2149 +-7756 -10935 +-3808 -4542 +-3585 3776 +3049 4544 +13952 5371 +10382 -1739 +-3812 -1836 +616 4212 +3662 -1997 +-4266 -5716 +-3961 369 +-7084 5250 +-6686 2806 +-440 -3665 +213 -4659 +4 -3744 +806 -3222 +8638 -2303 +11150 -1820 +4206 1322 +3980 -3868 +5919 -10741 +4182 -1516 +-159 3985 +357 -2811 +3801 1595 +2706 11847 +2824 6048 +4348 -664 +9245 4154 +8290 4836 +-444 2965 +-1846 3655 +-1541 4082 +-2059 3430 +-4800 -3713 +-5800 -7353 +-3154 -1614 +-5193 4117 +1679 3500 +3717 -3182 +-3977 -479 +7587 4533 +15823 -1560 +4680 -3889 +-3062 -3824 +-5289 1772 +-10352 8435 +-9602 -3353 +832 -9444 +5317 -7009 +4595 -10131 +-2847 -251 +-12562 5182 +-7573 -3429 +-4552 -2038 +-4013 4141 +-3924 6602 +-12556 2488 +-6925 144 +-951 4219 +-2837 3529 +-995 2003 +-4658 3921 +-19641 3418 +-18504 -1900 +-7731 -452 +-3509 1846 +6753 -837 +-731 7292 +-8547 7487 +-2152 -3198 +-3997 -223 +6559 144 +8346 184 +-941 4635 +8015 -3466 +9128 -11156 +11018 -14349 +13831 -13120 +911 -1291 +-2775 5998 +1678 3113 +2726 -1612 +1568 1309 +-1010 4409 +1428 -1224 +6146 -3924 +3110 -118 +-8536 3179 +-7060 438 +6018 -4166 +6032 -5018 +923 -6714 +2121 -5818 +4221 -354 +270 5217 +-4275 9128 +378 8452 +3360 927 +-2321 -7678 +-3984 -4549 +2712 1315 +3188 -2411 +-924 -2624 +2841 938 +-2035 -1115 +-12052 -892 +-3828 6758 +7538 6206 +6859 -4339 +6003 -2794 +4983 2343 +-3742 -2837 +-6299 -2599 +-3073 7108 +-7473 8545 +-4467 -2265 +3101 -4010 +-1623 3370 +-3980 4030 +3340 -589 +6519 -5986 +2544 -2887 +612 4363 +35 4548 +649 2052 +-958 6031 +-12790 15657 +-15632 11029 +-6418 4889 +-1544 3638 +4605 -5084 +4334 5999 +-1572 11292 +-3852 -784 +-3265 2510 +5141 -4244 +6456 -5186 +638 6627 +674 2842 +3426 -4319 +4015 2408 +2870 9060 +-2074 -1635 +-7596 -7023 +-5801 886 +2590 6178 +3960 -783 +229 -5557 +964 -4303 +-2139 -2138 +-2595 5955 +-1584 7700 +-4734 3532 +4714 -975 +7639 -9461 +-5720 -8709 +-8087 1553 +-2661 3940 +2996 -1617 +6493 3676 +1983 8962 +-2642 -2516 +-1136 -10403 +4773 -8199 +7100 77 +6755 6078 +3151 7612 +-4212 15951 +-1997 13331 +-2335 5616 +-5051 1616 +3360 -6759 +-4193 1015 +-14017 5304 +-727 -3116 +2309 2902 +-6286 7277 +-1812 8521 +6551 12929 +6566 7808 +4065 -1016 +4932 -4250 +2911 -2702 +3225 -3132 +7177 -8278 +2267 -9924 +-3140 -3048 +-4296 -553 +-1705 -1882 +-590 1144 +-12077 -4735 +-9870 -5473 +3522 2624 +-1466 -349 +-5260 -1640 +-3663 -5822 +-3987 -11263 +324 -5969 +3837 -7826 +7649 -7746 +4305 2065 +-1674 7450 +366 2788 +34 -5360 +4625 -884 +8158 4720 +-2713 171 +-10544 -136 +-3956 4458 +6106 2821 +2550 -3174 +-3477 -4210 +-2049 -3740 +-3105 -123 +1857 6065 +3842 6610 +-1457 5725 +1613 -2896 +3424 -8459 +6550 2553 +6271 8992 +5881 8053 +6331 2769 +-296 -7182 +-3989 -2686 +3909 -82 +3803 -6517 +-6979 -19 +-9318 6392 +-8475 5896 +-7095 713 +2783 -6949 +4924 -1496 +-3903 -894 +-1763 -7274 +7192 1269 +7787 7790 +7174 6903 +6706 1542 +-1477 -7095 +-4362 -2012 +3899 -728 +2691 -6948 +-6989 1297 +-8226 7934 +-7439 7277 +-6980 1928 +1486 -7318 +4632 -2313 +-4008 -104 +-3094 -6736 +7320 -26 +9112 6277 +8357 5506 +6936 374 +-2764 -6604 +-4712 -1139 +3689 -1390 +1391 -7284 +-6678 2412 +-6710 9065 +-6027 8376 +-6491 3085 +188 -7409 +4145 -3108 +-4000 515 +-4313 -6111 +7172 -1427 +10113 4416 +9212 3815 +6886 -907 +-3928 -5997 +-4895 -372 +3394 -2050 +162 -7427 +-6148 3526 +-5066 10102 +-4505 9255 +-5910 4095 +-1041 -7336 +3610 -3712 +-3913 1211 +-5274 -5282 +6968 -2474 +10768 2789 +9773 2244 +6763 -2049 +-4781 -5257 +-4870 476 +3059 -2506 +-1055 -7214 +-5418 4486 +-3235 10750 +-2840 9847 +-5066 5045 +-2292 -6932 +3109 -4216 +142 2421 +738 -5174 +2704 -7665 +2997 4304 +-5088 4320 +-6868 5523 +1710 6115 +6472 55 +2210 7057 +-7073 5760 +-3339 6309 +3733 2621 +5262 -4969 +6217 1881 +1456 8813 +5609 6539 +7244 -6446 +1529 -9341 +5845 -2116 +5379 4463 +2928 -1607 +-2097 -7691 +-7070 -5119 +2123 -7307 +6766 -927 +1859 -4785 +-6019 -7007 +-5799 -3017 +3389 -4477 +3017 6540 +4458 5630 +7763 -3469 +4289 40 +145 6250 +-6913 6567 +-1601 6208 +7565 4119 +1407 2875 +-4625 4066 +-6006 -5975 +-8137 -5953 +-10546 1694 +-3895 -3166 +3285 -1734 +-1343 7990 +2187 9497 +7054 6710 +-3994 5773 +-7917 -1692 +822 -10039 +-2561 -9153 +-6614 -4820 +2645 -729 +125 5124 +-9589 6001 +-4080 8785 +-347 8901 +-5600 -823 +-1626 -3815 +3585 -7362 +-4184 -5109 +-9876 -301 +-3509 -4804 +3410 -3515 +7656 -2200 +1572 -6836 +-2771 -7305 +5084 1556 +-1318 6529 +-2010 8592 +5213 3692 +5255 -3858 +6175 4048 +-1988 8788 +1347 6893 +4703 -291 +1166 -7038 +6128 -2401 +6632 5802 +8597 1537 +2032 -6755 +-1039 1326 +5859 8565 +1642 7547 +-1079 -1537 +2967 141 +11638 4403 +3431 2521 +-4530 563 +2995 -8375 +-65 -11201 +-168 -10039 +-547 -6424 +-1385 3432 +3572 2178 +8638 -6846 +12971 -5835 +4900 2128 +4079 4058 +3304 -1231 +-12742 -6275 +-5802 -4328 +4351 -2424 +-4375 -4538 +-5580 -4503 +-4883 -5955 +2779 -4306 +6320 3766 +-767 4660 +3248 3946 +6450 5171 +-754 457 +-6594 3023 +1509 3449 +2842 -3640 +2241 -948 +5918 5325 +-2140 6478 +-956 5563 +-473 4095 +-1729 4522 +-1322 11959 +-3862 3617 +826 -12193 +3617 -8996 +513 -345 +-10605 6291 +-9647 2312 +-1014 477 +-3901 -3439 +-6173 -9153 +-4945 2477 +-4985 6773 +-8436 -1495 +-3374 -5997 +-2012 6248 +-3998 8593 +6153 253 +8432 5676 +5504 123 +2734 -6318 +-2948 -4223 +227 -2252 +3269 3077 +-2555 9118 +1312 5700 +10160 1739 +6237 4326 +-1796 -389 +2346 -1750 +11853 -4319 +4283 -297 +-3198 3414 +-3028 -8080 +-7229 -8160 +-6591 -7262 +-4559 -4336 +1120 3497 +4240 -669 +2410 -10496 +6216 -12537 +4031 -2979 +-1397 5145 +-3743 4290 +-1756 -4276 +587 -9286 +-1612 -8563 +103 -1817 +7490 506 +6776 2866 +7763 1376 +3577 -6842 +719 -3161 +8355 -1428 +-1197 6415 +-7705 16142 +-5429 7475 +-5680 443 +-6408 -2151 +-4026 -3461 +-862 3315 +-7377 11349 +-8251 7154 +-127 642 +8028 2381 +4099 1981 +1185 -4585 +3340 -7359 +-7091 -6406 +-9507 -6577 +-4567 3035 +-1332 6144 +-618 -1961 +-4031 722 +-4840 3472 +-4911 -1930 +-1410 1239 +8050 9483 +5129 3614 +-9255 -3480 +-8093 263 +-5360 3050 +-3463 -1211 +3386 -4845 +3179 -11718 +6780 -9010 +6650 10026 +4066 7621 +5229 -2335 +1312 -3799 +5829 -2289 +10689 1143 +-1471 -2363 +-1826 -697 +12962 -3423 +13621 -6620 +-993 -2624 +-11844 -2619 +-1453 -2040 +-1535 1357 +-12021 1648 +-1764 901 +1395 6660 +-1200 1963 +2509 -7066 +1979 -3539 +2062 4513 +-51 5560 +-4099 -2050 +-5576 -7195 +-6855 -5181 +-1148 -1415 +6082 -4539 +7023 -2373 +6910 -4187 +-1621 -7416 +-1453 -2861 +5567 -6541 +3327 5456 +4729 16691 +462 7032 +-4602 -873 +4060 1149 +11867 -1288 +8755 -4506 +6197 -4520 +3001 -1151 +1155 115 +-3169 -791 +1587 2755 +-1506 -43 +-5341 -5582 +6715 -1142 +2244 1980 +-3790 -6079 +-979 -4130 +-3513 1079 +-4135 -1118 +-1114 1294 +-962 3250 +-3518 3696 +-5919 3751 +-7373 2903 +-7791 -2958 +-2519 -4737 +5133 1788 +9456 707 +13515 -1276 +3099 -2056 +-748 -10880 +7139 -12782 +-949 -4704 +-3146 -1239 +1312 741 +197 1830 +-2269 3745 +-6331 6000 +-3929 6076 +838 10202 +-1972 11085 +1263 750 +6542 -4434 +2638 3854 +5257 6089 +-1822 13284 +-5706 11670 +9241 -6976 +7090 -3891 +-6427 2930 +-7475 1035 +-156 -3540 +3446 -981 +607 4593 +-4758 -137 +-4152 1679 +2034 4745 +4941 4749 +524 49 +-3537 -2061 +1592 -4281 +1888 -7935 +3060 -3258 +-1996 -1840 +-10523 2419 +-6612 2580 +-4788 799 +4001 -1878 +8362 -8739 +3796 -9088 +1796 -7444 +1485 -2881 +901 -684 +-3020 1516 +2954 979 +-1212 1035 +-7848 -479 +4436 -5209 +3166 -32 +-6955 -1918 +-3554 -2355 +-2300 3070 +1668 6505 +9511 6097 +4021 4161 +746 9106 +1624 2727 +6207 232 +3669 -955 +7648 -4125 +8007 411 +1587 2342 +-3225 2070 +-7629 1081 +48 -1320 +-2465 1524 +-3856 6458 +6483 4767 +2944 4680 +-1724 4404 +3933 2381 +635 5712 +-4631 4268 +1895 -1083 +8099 -5912 +11402 -1783 +7978 5840 +1909 746 +-439 -2253 +-4247 -5844 +-5921 -6118 +-3169 2409 +-1873 880 +-1311 -3854 +4890 -7945 +10819 -4569 +2907 2638 +-9907 -243 +-2253 7352 +305 10068 +-10480 -7639 +-10471 -7223 +-11632 4411 +-8479 -475 +-5243 -1948 +-2965 -235 +-1254 -5411 +-7844 -7039 +-6214 -5719 +925 -1363 +1547 3578 +-4393 -1231 +-4609 -7617 +3804 -4649 +12594 -1231 +4350 957 +-4189 4090 +2942 8736 +4944 9733 +7389 931 +994 -1674 +123 -2744 +1450 -790 +-5715 5299 +-7901 -1610 +-15457 -1166 +260 3287 +11960 -1462 +5778 488 +6467 6227 +2998 882 +4967 -3909 +2230 -3128 +3243 -8086 +6537 -5004 +2823 592 +-1069 3552 +-5197 5715 +-819 -1050 +-840 2709 +1249 7337 +7297 1249 +2161 1847 +697 1104 +6723 6490 +6672 3894 +-4220 -5912 +-10638 -626 +-3217 6218 +-6195 1399 +-2721 83 +4311 2359 +-3659 -431 +-3163 -574 +-1224 8775 +-2520 3747 +-1096 -4279 +4349 2307 +10136 -1238 +5101 -9332 +334 -17761 +2666 -14639 +907 -6956 +1748 -3390 +7743 3393 +1804 242 +-5072 9136 +-4418 13807 +-830 -856 +4461 -7216 +-418 -449 +-8141 3341 +-5656 -5857 +-3095 -6680 +-11403 3018 +-6439 9454 +10029 1323 +8203 -5485 +3252 -1331 +1493 1016 +-3443 -1773 +-1762 -10544 +1697 -7086 +4454 -4556 +5927 -4970 +-3082 -811 +-6976 -2334 +410 -5372 +481 -8096 +-3955 -4300 +-1771 -4705 +5770 -700 +8060 5367 +5365 3312 +-444 3352 +-6160 3041 +-9717 6140 +-6193 753 +7957 3468 +7381 11568 +-445 4418 +-2642 4776 +-629 3237 +3818 474 +2319 4757 +7053 5246 +4929 3567 +1156 -76 +9511 22 +7767 -1713 +-7279 -1442 +-8670 6668 +1652 6614 +-3818 5091 +-2075 1858 +4945 -1154 +-3030 2036 +-2819 1653 +4932 7254 +558 4413 +-3882 -2307 +2085 656 +439 4421 +-1015 4769 +2055 -1570 +5975 6360 +-743 1042 +-98 -9297 +8437 -336 +2711 1850 +1055 -572 +7188 914 +10659 7481 +6757 6043 +5930 2388 +8895 4120 +-373 -1927 +-3108 -517 +-1619 4425 +-4225 6482 +-8648 983 +-13915 -3223 +-7901 -1957 +1349 -8737 +8848 -4090 +5143 568 +-4967 -4369 +-10056 -1222 +-5507 8581 +3265 8846 +-462 -684 +-1198 -4826 +-3026 -2652 +711 3585 +7720 5125 +-4285 4610 +-7712 1476 +-2952 -3191 +-5921 -1268 +-6095 156 +2427 653 +6758 1849 +1564 4960 +-3924 3847 +-6140 4653 +1061 7454 +6208 5533 +4757 5291 +7833 -2467 +5571 -11044 +-5770 -7741 +-4529 353 +-1980 372 +-4991 1017 +6702 7529 +5605 6221 +-5080 1137 +-4807 -1878 +-5999 -272 +-3436 -4578 +-87 -9887 +3342 -5063 +674 -8546 +-6061 -12343 +-2706 -6327 +-3409 -1294 +-562 4431 +2641 4138 +562 -2509 +8721 796 +26 1219 +-6310 -6773 +6284 -5725 +3387 -376 +490 -1115 +6121 -4128 +13189 -1634 +9261 -114 +6145 -2252 +9654 -2943 +-1666 -1107 +-3753 964 +104 215 +82 -100 +-18 -7 +-4 -2 +-3 -3 +0 3 +3 7 +6 0 +5 -2 +8 -1 +5 -2 +-2 5 +-3 8 +0 3 +2 -2 +2 2 +4 5 +-1 3 +-3 3 +3 1 +0 5 +-2 13 +4 12 +-3 -1 +-7 2 +-5 9 +-1 0 +0 0 +-6 2 +-14 1 +-9 -2 +-4 1 +-5 2 +-3 4 +2 1 +1 -2 +-5 1 +-5 -2 +-4 -10 +-3 -3 +-3 7 +-3 5 +-3 6 +-9 2 +-4 1 +-3 5 +-1 -4 +1 3 +-3 -1 +-4 -1 +-1 4 +0 -2 +8 7 +8 7 +1 1 +4 3 +7 8 +-1 5 +3 3 +-3 -3 +-5 -8 +-6 -4 +-7 3 +-3 2 +4 -3 +-2 -2 +5 4 +2 6 +0 5 +-1 6 +-2 11 +7 10 +5 5 +-1 0 +1 -6 +1 0 +1 3 +-1 0 +0 3 +3 7 +4 -2 +3 -5 +2 -7 +1 -8 +0 -6 +7 1 +3 -1 +-4 -3 +0 -4 +1 -4 +6 0 +3 4 +-3 0 +5 -4 +2 0 +0 3 +-1 -1 +-3 8 +3 7 +27 -16 +44 -45 +36 -47 +42 -46 +60 -31 +349 -160 +3780 -1588 +8082 -4275 +1403 -4563 +-7107 738 +-1341 2080 +-670 -5006 +-4977 -1884 +463 7998 +3835 8862 +2818 8755 +-2514 4340 +-4884 -5512 +768 -2741 +-3647 3561 +-5480 -1717 +5115 -6474 +8435 -5271 +7347 -5819 +347 -4771 +-7107 2109 +-1052 2322 +-1695 -4881 +-5405 -980 +2006 7786 +5603 7997 +4524 8090 +-1745 4759 +-5993 -4553 +260 -2861 +-2948 4232 +-5782 -694 +3966 -7412 +7487 -6839 +6269 -7181 +-519 -4827 +-6685 3450 +-557 2512 +-2589 -4490 +-5604 88 +3560 7332 +7211 6919 +6090 7217 +-791 5024 +-6779 -3391 +-243 -2896 +-2187 4744 +-5948 348 +2591 -8093 +6235 -8106 +4995 -8235 +-1416 -4622 +-6023 4693 +-71 2589 +-3493 -3913 +-5644 1193 +4943 6541 +8505 5365 +7451 5865 +253 5089 +-7422 -1969 +-884 -2741 +-1250 5091 +-5809 1513 +980 -8447 +4600 -9194 +3361 -9080 +-2339 -4290 +-5164 5694 +401 2532 +-4115 -3257 +-5326 2137 +6118 5542 +9427 3816 +8446 4508 +1138 5011 +-7764 -671 +-1319 -2572 +-319 5255 +-5518 2477 +-425 -8476 +3048 -9845 +1812 -9509 +-3006 -3813 +-4090 6439 +883 2405 +-4675 -2446 +-4926 3065 +7024 4359 +9998 2039 +9263 2894 +2054 4700 +-7811 762 +-1810 -2272 +622 5187 +-5011 3457 +-2037 -8213 +1166 -10202 +32 -9610 +-3688 -3196 +-2935 7116 +1238 2252 +-5117 -1577 +-4377 3849 +7714 3081 +10256 323 +9625 1301 +2774 4310 +-7656 2028 +-2191 -1938 +1453 4973 +-4381 4139 +-3274 -7765 +-469 -10195 +-1530 -9427 +-4184 -2571 +-1788 7395 +1613 1959 +-5274 -829 +-3788 4426 +8112 1869 +10287 -1190 +9772 -131 +3438 3782 +-7238 3088 +-2471 -1603 +2176 4687 +-3736 4720 +-4448 -7148 +-1996 -9914 +-2929 -9048 +-4502 -1844 +-573 7570 +1926 1702 +-5357 125 +-3042 5014 +8328 489 +9967 -2937 +9644 -1785 +4077 3132 +-6698 4221 +-2707 -1146 +2906 4281 +-2977 5237 +-5577 -6315 +-3600 -9454 +-4383 -8460 +-4847 -1080 +561 7544 +2165 1366 +-5295 961 +-2206 5364 +8375 -867 +9442 -4435 +9259 -3266 +4523 2462 +-5999 5249 +-2901 -721 +3617 3680 +-2080 5616 +-6511 -5163 +-4160 -7177 +826 -5250 +6053 2431 +7532 7724 +9945 149 +6786 486 +-1407 5722 +-5014 330 +-7719 -1641 +-3153 7358 +313 8434 +-5218 2665 +-3175 -4136 +-3216 -7034 +-8180 2570 +-4570 1125 +1947 -4654 +7858 1491 +8104 -1696 +286 -5268 +-3150 -5503 +4588 -5108 +9494 196 +4219 -3475 +-2235 -3252 +-7365 -372 +-1300 -6549 +5605 -8492 +-3525 -6767 +-8479 1998 +-7611 5713 +-4470 -4922 +-695 -5669 +-4461 1747 +-860 7443 +-410 9031 +-6687 1243 +-5264 -2617 +-3886 4399 +1442 9073 +3 5258 +-4283 -995 +3046 -7467 +-1929 -6999 +-8064 -1188 +-946 -4330 +5283 -3790 +9242 -227 +3008 -6055 +-3440 -6434 +3041 -3004 +4697 1886 +-405 9896 +4214 8798 +7897 5640 +-1884 3741 +-1572 -2323 +7419 -6528 +2226 -9614 +642 -8709 +7978 713 +1101 7342 +-8216 7460 +-1908 9431 +486 6336 +-2289 -3448 +6020 -2474 +10996 680 +7711 -6459 +5554 -4142 +2801 5084 +-3645 3544 +-7074 3943 +2490 7369 +5908 5828 +-2150 5365 +-5170 -972 +-7180 -2980 +-4558 7293 +-2735 3801 +-1614 -4678 +7074 -4138 +5158 -6628 +-3310 -4022 +-6136 -1879 +7 -6721 +7390 -6185 +934 -5335 +-3891 -824 +-5896 4698 +-5484 -3833 +-1430 -9914 +-7221 -2555 +-5187 7131 +-1959 9258 +-6688 -542 +-4354 -3629 +-2243 4244 +4295 5959 +5819 6810 +-4217 5373 +-5810 1603 +-46 5722 +7164 5592 +3503 3844 +-4010 2115 +-2638 -7544 +-6095 -3864 +-7017 4392 +-3614 -2647 +1599 -6314 +7125 -6301 +-1562 -6477 +-6898 -2551 +367 -4243 +4895 -1652 +6227 7639 +9106 3750 +9817 -1001 +1036 4043 +-2723 -636 +1413 -9632 +-4660 -8465 +-5278 -6774 +6654 -4729 +5733 4648 +-1486 10918 +4758 8262 +4607 4320 +-4129 -1078 +2984 -5756 +9073 -6707 +1822 -9845 +1571 -6653 +5519 1951 +-474 4964 +-2998 7541 +6763 3912 +8469 561 +1817 5467 +-4651 2680 +-7594 2480 +1104 8368 +303 4550 +-4382 -2438 +2750 -7683 +-351 -8291 +-5251 -811 +-6014 2591 +-4528 -4979 +1580 -9426 +-2837 -4554 +-3597 1948 +-1441 7248 +-6786 719 +-7665 -6267 +-7276 3020 +692 8739 +4565 8076 +-5511 4087 +-6079 -876 +-5259 -6377 +-4910 -11466 +-3317 -7077 +-5451 -2252 +1177 715 +4305 274 +-344 2467 +4409 2395 +9953 4085 +4775 6661 +-10142 400 +-13205 7255 +986 14978 +-1496 3005 +-8727 -7684 +-3453 -7815 +-2391 -1062 +-1198 -460 +-402 -4019 +906 2642 +5804 4593 +10088 1127 +11259 3660 +307 630 +-3143 -6972 +3087 -5054 +738 1637 +4691 6506 +5173 4995 +339 -3461 +7714 644 +9205 6711 +3513 975 +4105 1778 +4627 -2917 +-1310 -11378 +-4482 -5883 +2199 -1176 +3059 3672 +-2621 9328 +-5454 5332 +-7282 -1523 +-4729 -937 +-1933 4705 +921 3994 +6610 2086 +4755 5097 +-1993 8464 +-4824 5824 +-3555 -2270 +2801 -2229 +9345 -4250 +4447 -8425 +-5026 -2253 +-3871 -816 +-6567 -763 +-11925 -1007 +-1309 -4670 +131 -1076 +-9690 1856 +-4567 2248 +110 -1950 +3281 -6405 +5910 -1063 +-6581 -680 +-11595 -5294 +-7124 -3152 +-5741 1786 +1380 -248 +3555 -2554 +1338 2065 +5002 -1104 +10436 -3440 +8187 1824 +-7524 6961 +-5448 14085 +10743 10402 +915 3192 +-11747 173 +-7650 -3059 +-6459 747 +-10584 4260 +-3648 879 +1994 -2535 +-220 -3679 +1060 -4662 +-5415 2300 +-9640 8972 +-3827 10191 +-885 6525 +3116 2811 +5759 10531 +3243 11402 +1371 5784 +2685 4422 +3054 -4190 +-6213 -3373 +-9564 3274 +4707 -2591 +9932 -6191 +2538 1489 +2131 8946 +386 1909 +-4709 19 +-6257 9774 +-7708 4457 +991 -4292 +10767 -1974 +4236 6303 +154 7646 +3624 -1577 +-76 -1058 +-4287 1440 +-672 -2630 +-1476 -5587 +-8693 -7025 +-5328 -3757 +-745 -1787 +-3573 2526 +-1495 4667 +1230 -478 +3835 -2533 +5546 -12242 +1353 -14294 +-2988 -4472 +-5494 -6142 +-18 -2393 +6280 -2562 +2378 -9344 +-372 2853 +822 8629 +4995 3160 +10849 1969 +8309 -4462 +2851 -5147 +2231 2348 +-926 4758 +-5305 2341 +-4495 -3175 +-5752 -2556 +-6699 -2257 +-1757 -12014 +-1743 -10645 +-3902 -469 +-4069 5529 +-5527 10007 +-2259 3010 +-127 -3155 +-2573 -2525 +-2253 -4092 +-2804 5306 +-1738 12937 +3643 10045 +3579 5444 +4260 87 +11425 4033 +10095 6253 +4937 3335 +5094 1688 +1980 -3734 +4003 -1427 +5568 1903 +-844 1975 +1726 -2185 +5736 -2926 +2143 7795 +-8503 5220 +-14419 -7416 +-1841 -6411 +1225 -4021 +-2575 -316 +4851 1457 +6393 -1729 +-1504 9231 +-8774 13988 +-1994 8602 +1253 8957 +-3462 -868 +7174 -4485 +6908 5877 +1242 3308 +4126 -2806 +-1717 -716 +856 1852 +4380 5614 +480 7242 +-1021 -967 +-4271 -6863 +1741 -169 +10716 1144 +8185 -2543 +-2945 487 +-7473 -2391 +2008 -7979 +3993 -5273 +-1146 1571 +527 7800 +6339 7436 +5089 4444 +-5889 3993 +-2652 1708 +5934 -3747 +-1641 -9640 +-9420 -6824 +-9675 417 +-8294 -600 +-8841 -2055 +-6462 -664 +-2396 -3164 +-4518 -3596 +-6279 1745 +-3968 -1216 +2136 -12151 +2807 -9112 +-3293 -805 +1229 913 +9958 7177 +9580 4739 +3202 -6824 +-220 -7176 +599 -1092 +-230 2976 +-1498 3136 +-2010 429 +2972 -2862 +5527 -2274 +664 2070 +-18 -2726 +2522 -5896 +6650 4339 +-3313 9350 +-16208 3781 +-5669 -3598 +-1662 -3843 +-2320 1366 +4782 -2055 +3998 -5437 +4688 7969 +1587 16045 +-562 4618 +2524 -2693 +7214 1455 +4403 -2302 +-1072 -1814 +-389 5329 +-2050 -214 +-8600 444 +-9181 10472 +-4807 8644 +-2062 -4278 +-158 -3907 +-2969 7940 +252 3803 +9618 469 +2925 1250 +-4313 -10650 +-830 -13334 +-2188 -5624 +-827 -3007 +-139 -6840 +-5133 -7328 +-1798 1816 +628 4272 +2085 453 +7924 508 +834 5748 +-3412 8451 +4923 -1265 +5440 -2799 +4334 3526 +24 -2368 +-7651 -4890 +-5878 2000 +-2102 3375 +5674 -1064 +7810 -3698 +1210 -6367 +6349 -4968 +6284 640 +1030 -2702 +6968 -7987 +8735 -12551 +4167 -9679 +-3378 7107 +-4257 5183 +1878 -7704 +1396 -4291 +3944 418 +993 -2407 +-7091 -10520 +-3248 -8323 +-2646 7018 +-3325 12856 +-641 8049 +-3742 4103 +-4052 5535 +1922 4090 +7954 1444 +5095 6457 +-193 6146 +-1192 1787 +-5065 4275 +-4349 6407 +-3019 2016 +-920 -3183 +6624 -3752 +1879 -4626 +-2050 -632 +3257 4146 +-1665 1210 +-6300 6052 +-127 13752 +1989 9440 +-4471 -1767 +-2814 -2745 +2982 7801 +2700 2594 +7601 -6046 +3766 -571 +-4197 288 +-633 -284 +202 -39 +-18 -19 +2 0 +3 6 +-3 7 +3 1 +2 3 +3 4 +4 5 +1 4 +2 -6 +-1 -2 +3 9 +1 8 +2 -3 +3 -6 +0 -4 +3 -1 +-1 4 +-4 4 +0 6 +-5 0 +-5 -2 +-3 -3 +-5 1 +2 0 +5 -1 +-4 -1 +-5 -5 +-2 -2 +6 -5 +4 -3 +2 0 +-1 -4 +0 -1 +-6 -3 +-7 -3 +-3 -2 +-2 -2 +-1 0 +-7 -1 +-5 3 +-6 4 +1 -1 +-4 1 +2 0 +3 -2 +-2 1 +-4 -2 +1 0 +6 -2 +2 8 +0 -1 +-4 -8 +-4 -4 +-6 -1 +-1 -3 +7 -7 +2 -3 +0 3 +-2 -2 +-4 -1 +1 -1 +3 0 +-1 -4 +-1 -4 +-1 8 +4 8 +5 4 +2 -3 +-1 -2 +-1 0 +-2 3 +0 1 +6 -5 +7 -7 +5 -2 +-1 -2 +-4 1 +-1 1 +5 1 +-2 4 +-5 0 +0 -4 +0 4 +1 4 +0 2 +-1 2 +-10 1 +-8 -3 +2 7 +2 6 +5 0 +2 -2 +0 0 +-1 1 +-2 4 +-1 4 +1 9 +-4 1 +-8 -1 +-8 1 +-9 2 +7 1 +6 -3 +4 -4 +1 4 +5 4 +6 -3 +5 -3 +17 1 +21 22 +14 36 +28 46 +35 43 +-23 113 +-1625 2069 +-5295 7201 +-1686 6982 +6375 -2201 +2045 -4649 +1103 3132 +6797 1539 +-1523 -6198 +-8126 -6579 +-7694 -6039 +-2982 -6404 +6925 147 +3183 4279 +-232 -3305 +6125 -4091 +1160 6600 +-4951 9729 +-4516 9116 +-66 7022 +5952 -3554 +1146 -5071 +1832 2841 +7189 72 +-2860 -5735 +-9627 -4706 +-9041 -4308 +-4373 -5720 +6961 -1385 +4096 3493 +-976 -3254 +5211 -5326 +2519 6282 +-2840 10612 +-2485 9919 +1470 6969 +5200 -4692 +39 -5204 +2311 2496 +7150 -1350 +-3980 -5081 +-10583 -2744 +-9960 -2496 +-5570 -4782 +6616 -2674 +4756 2721 +-1588 -2970 +4150 -6238 +3740 5684 +-758 10946 +-591 10204 +2761 6535 +4157 -5667 +-1055 -5100 +2860 1913 +6862 -2877 +-4967 -4165 +-11027 -476 +-10335 -381 +-6471 -3518 +5994 -4044 +5307 1596 +-2185 -2605 +2790 -6999 +4913 4755 +1596 10894 +1611 10124 +4192 5700 +3008 -6512 +-2065 -4776 +3271 1318 +6206 -4172 +-5842 -2959 +-11014 1855 +-10290 1732 +-7157 -2148 +5127 -5141 +5583 527 +-2693 -2093 +1394 -7304 +5755 3777 +3615 10448 +3466 9695 +5156 4888 +1762 -6830 +-2968 -4274 +3395 731 +5387 -5157 +-6360 -1990 +-10643 3553 +-9941 3416 +-7427 -891 +4239 -5863 +5633 -372 +-2992 -1635 +141 -7449 +6334 2667 +5317 9544 +5023 8847 +5932 3949 +694 -7034 +-3591 -3803 +3483 124 +4609 -5965 +-6512 -957 +-9997 5212 +-9322 4920 +-7553 209 +3296 -6523 +5522 -1266 +-3254 -1155 +-1036 -7352 +6700 1697 +6772 8558 +6415 7879 +6587 2930 +-403 -6982 +-4162 -3108 +3507 -363 +3634 -6552 +-6679 94 +-9184 6695 +-8551 6293 +-7458 1397 +2290 -6781 +5316 -1991 +-3367 -674 +-2091 -7142 +6953 663 +8028 7476 +7494 6921 +6927 1993 +-1454 -6809 +-4655 -2455 +3379 -940 +2580 -7048 +-6557 1052 +-7933 7987 +-7385 7536 +-7170 2602 +1031 -7139 +4900 -2970 +-3448 -57 +-3381 -6593 +6953 -546 +9279 5900 +8698 5428 +7227 644 +-2666 -6490 +-5035 -1629 +3264 -1486 +1440 -7272 +-6339 2231 +-6590 9160 +-6173 8516 +-6720 3598 +45 -7152 +4452 -3575 +-3468 460 +-4250 -6026 +6792 -1490 +9067 3910 +4153 4665 +-4111 3317 +-11123 -2940 +-9940 2988 +-7427 5810 +-3531 -4102 +2272 -4649 +8072 -1807 +2040 -7163 +-6064 -7873 +928 -5974 +5187 -875 +6285 5444 +6873 -3956 +3740 -6611 +2449 4065 +-5741 3712 +-8537 4877 +313 6044 +5987 2549 +1818 6255 +-8099 5917 +-4753 5041 +2919 3779 +5998 -3494 +6649 1730 +-108 10501 +4434 6666 +8233 -3513 +3494 -10152 +6490 -1697 +4712 5758 +3232 -1729 +-937 -6735 +-6356 -7873 +2762 -6575 +7127 -903 +2879 -4152 +-4712 -7776 +-5680 -4984 +3599 -3268 +2212 5777 +3566 7983 +8597 -2402 +4676 677 +-683 6541 +-8037 4952 +-3326 6937 +6726 4903 +1454 3753 +-5162 3155 +-5066 -6933 +-7310 -7881 +-11280 -864 +-3800 -3571 +3669 -1610 +-2430 8196 +381 10310 +6003 8480 +-4794 5671 +-7912 -3087 +2454 -10434 +-647 -10179 +-5785 -6832 +2734 -310 +-589 5263 +-10702 4985 +-5896 8612 +-2152 9041 +-5448 -880 +-1182 -4978 +5305 -6518 +-2919 -6707 +-9892 -2049 +-3157 -5054 +3570 -3891 +8534 132 +2963 -7356 +-1303 -7356 +4537 1477 +-2203 6526 +-3691 9198 +4179 4295 +6395 -1970 +5531 3483 +-2488 9607 +-488 6810 +4716 889 +2359 -6529 +6297 -3092 +6795 7830 +7824 1997 +4116 -8034 +-4017 -9801 +3822 -5534 +7484 1113 +1421 -3435 +-5115 -4367 +-10187 -1667 +-2286 -6644 +4957 -5315 +-417 -4904 +-8730 -2598 +-7697 10 +633 -4792 +5526 2835 +7989 3572 +5085 -7340 +4105 -2478 +3865 5282 +-2923 8962 +1979 7263 +8411 -833 +3642 1867 +-1810 5731 +-8525 -1768 +-10915 -930 +-9248 6780 +-5332 -183 +1708 -3596 +3519 7584 +7089 7269 +10178 2328 +13 7360 +-8165 2958 +-5012 -9250 +-7265 -7092 +-9047 -1227 +1913 -2084 +3108 4193 +-4946 10695 +1104 10216 +4363 8088 +-4785 3007 +-4311 -2804 +-337 -8251 +-6706 -2911 +-8911 4990 +-5777 -1716 +106 -5228 +6711 -5561 +-2474 -7422 +-5804 -4565 +4534 -1905 +2643 6143 +3199 9121 +6094 449 +3655 -5575 +6609 -1016 +4441 8705 +4126 5284 +4262 -2502 +-2506 -6367 +2814 -6427 +10426 1253 +7346 -3735 +-2108 -8646 +-9528 -4558 +-616 -6493 +6916 -1950 +3325 2100 +4331 -1008 +705 -5332 +-4016 -12306 +6452 -8164 +8689 3621 +4478 7122 +7747 7565 +1528 -2240 +-156 -13440 +6202 -6134 +3899 -3503 +-2106 -5220 +-4730 -5748 +2418 -12223 +1021 -4539 +-10095 5671 +-7661 5451 +-8013 1992 +-5621 -8170 +7549 -11582 +3377 -5498 +-4693 -1973 +-3258 4304 +-461 14902 +4986 9337 +674 -4163 +-3752 2029 +2901 2874 +3980 -6159 +-1486 -3640 +-7227 -4386 +-5106 -5124 +3102 -1860 +4443 -1615 +3503 -1392 +3297 -573 +4880 6916 +5333 9734 +147 4505 +4606 2342 +12028 1511 +3152 3283 +-3873 1488 +2602 -770 +61 3961 +-10175 6949 +-5158 5026 +1949 3723 +-926 9978 +-1940 9695 +-2846 806 +-4010 -417 +-4289 68 +-3937 -611 +1831 -5720 +5157 -8310 +705 -3642 +-5443 -3339 +-2965 2740 +4094 2526 +-576 -3958 +-1955 8345 +6355 14276 +5249 3189 +2680 -4249 +-3033 -4340 +-11289 -6337 +-374 -10228 +9187 -3049 +8193 2301 +11003 486 +-213 -2656 +-9005 -9695 +620 -8483 +706 -5107 +-5044 -2413 +-7408 -1124 +-6288 -10640 +-2208 -6748 +-4196 599 +-4296 -1343 +-2217 -265 +-5022 -2676 +-9282 -16812 +-4028 -18305 +-1821 -7665 +-2907 -2809 +2873 5885 +-6734 2198 +-9989 -5306 +2181 -3205 +-909 -3912 +1687 5949 +2591 8066 +-4716 822 +5310 6181 +13159 4662 +16629 5055 +16668 8376 +1916 533 +-6457 -663 +-2657 2647 +2232 2029 +-630 1918 +-4523 590 +1361 865 +5533 4329 +1216 3064 +-5496 -6623 +-2772 -6659 +5631 3919 +6572 3963 +6554 -1457 +6199 -220 +1748 3773 +-4684 2189 +-9831 -835 +-8014 3214 +-195 3649 +6506 -4675 +3397 -5553 +-466 2835 +3108 2327 +2335 -1849 +-109 2935 +470 -1957 +-2321 -11707 +-7375 -1733 +-4094 9264 +5867 5199 +4624 4590 +-742 5645 +1462 -4221 +757 -6953 +-7310 -396 +-10414 -3725 +456 -5104 +4871 1333 +-3503 -169 +-5130 -2310 +1332 2845 +7518 4060 +3726 1372 +-3866 2066 +-4410 1660 +-1814 1280 +-5701 1179 +-18284 -6643 +-15150 -11130 +-6438 -4606 +-4085 -261 +6022 2556 +-3987 6009 +-11408 2429 +-417 -3815 +-3218 -2402 +5039 3396 +7072 4355 +-5964 2787 +-2794 1621 +4967 1807 +-819 4443 +-7908 5808 +650 -2200 +4678 -9484 +-2168 -5495 +-5271 4354 +1541 3732 +5370 -1579 +4375 -540 +1648 -2737 +-6151 -714 +-7860 1094 +-4707 -3375 +1825 3999 +10807 4716 +7267 -7940 +-3208 -7521 +-4610 -1469 +2195 2241 +-1633 7234 +-8276 4843 +1354 -3067 +9674 -4316 +9193 1968 +1869 6769 +-4245 8291 +-6425 5425 +-16222 709 +-13675 2040 +-6058 -353 +-2998 -4379 +7274 1054 +-1568 -3436 +-8911 -11844 +2674 -2088 +-1853 3198 +-8553 -3556 +-8507 670 +-10664 10158 +-6010 8883 +1824 3639 +5300 3357 +3386 1989 +3718 2046 +9635 4285 +10260 -857 +2342 -4003 +-626 -4253 +1423 -2353 +-1213 -36 +1399 -12554 +3010 -11487 +-1613 3959 +-97 -1230 +342 -5556 +4465 -5111 +9944 -7208 +5948 -1616 +8297 1291 +9460 4884 +-684 4826 +-7572 576 +-2916 1205 +5078 -1486 +2218 3881 +-2611 9305 +-879 -2145 +-2260 -10086 +-5219 -2717 +-1475 6649 +3588 1787 +3294 -4546 +3154 -3068 +-396 -3083 +-5350 3325 +-5530 5735 +-5965 361 +2842 719 +9079 687 +-565 6861 +-7196 8763 +-6443 8045 +-1451 7062 +6744 -2198 +1911 -4817 +806 3575 +7144 1918 +-1330 -6549 +-8394 -7014 +-7816 -6205 +-2743 -6553 +7235 276 +2938 4296 +-326 -3748 +6515 -4170 +939 6978 +-5486 9890 +-4831 8980 +-4 7086 +6365 -3378 +1058 -4980 +1447 3392 +7360 616 +-2578 -6160 +-9570 -5347 +-8813 -4725 +-3877 -5974 +7171 -983 +3634 3714 +-1069 -3599 +5617 -5234 +2170 6631 +-3605 10670 +-3165 9683 +1205 6932 +5600 -4433 +63 -5045 +1997 3015 +7400 -754 +-3649 -5585 +-10420 -3548 +-9625 -2964 +-4976 -5062 +6931 -2271 +4320 2972 +-1674 -3336 +4602 -6199 +3432 6061 +-1574 11056 +-1351 10003 +2456 6577 +4832 -5374 +-744 -5050 +2541 2557 +7224 -2030 +-4604 -4811 +-11000 -1667 +-10054 -1311 +-5770 -4222 +6512 -3500 +4814 2153 +-2291 -2976 +3402 -6880 +4422 5360 +427 11094 +563 9974 +3726 5937 +3739 -6111 +-1742 -4709 +2996 2084 +6799 -3326 +-5421 -3846 +-11212 434 +-10234 613 +-6539 -2994 +5745 -4488 +5132 1450 +-2293 1021 +4872 -817 +8280 90 +-3136 4210 +-5550 -3254 +-6899 -5010 +-5707 3451 +1590 6143 +-6009 4480 +-7382 -4721 +-6804 -1431 +-1860 4439 +6110 3390 +67 6471 +-7964 4216 +-5081 7345 +7785 5092 +9445 -1587 +3771 4663 +-2737 6619 +2027 2395 +6811 -4332 +2890 -8388 +7522 -705 +2864 6058 +4834 482 +5294 -7898 +1227 -6628 +5251 1553 +-5126 4939 +-4528 6090 +5302 6343 +1354 4105 +-5806 2281 +-8161 -4298 +-6454 244 +-1969 8564 +-2213 2462 +-5279 -2903 +3823 -7521 +3815 -9766 +-4501 -9525 +1750 -4972 +2840 2444 +-7766 1432 +-8554 5103 +-4406 9088 +-6620 -1432 +-914 -8055 +9660 -2701 +8176 -5393 +2775 -8095 +1613 1986 +-4656 2218 +-8625 -6675 +-9491 -865 +-8739 3139 +-1184 -5408 +3007 -3112 +8023 737 +3855 -5651 +-2802 -9382 +3305 -5183 +4462 1753 +4407 6430 +6842 -694 +6132 -5452 +349 5128 +-6422 1369 +-8688 1092 +-2100 6335 +5331 3467 +-1509 7280 +-8848 1578 +-6222 3665 +1507 4554 +6921 -1581 +4472 4633 +-3182 8381 +1034 8835 +8150 -685 +-101 -7475 +-6530 -3447 +-4004 -727 +4860 -7925 +5435 -1761 +811 7922 +2725 2099 +2380 3253 +157 1364 +9267 -3849 +9207 -2298 +-939 3372 +1856 7045 +1777 5842 +1724 300 +4226 -8488 +-2098 -1798 +-6942 255 +-7489 -1546 +-4836 6864 +-5933 3472 +-3732 5713 +5980 10418 +1697 1570 +-2519 -662 +-654 2197 +-3764 183 +-5401 -3200 +-9239 -5145 +-9408 -1807 +-7038 -4696 +-1720 -9670 +755 -1203 +1073 783 +8295 -4503 +2777 -3877 +-4146 -1117 +-979 522 +11180 2107 +7317 2342 +-10601 -4029 +-1994 -3375 +763 3119 +-3030 4042 +-3226 5543 +-481 2144 +3931 -4035 +-6400 -5026 +-3838 -7731 +797 -7318 +3866 4184 +9360 7114 +6946 -114 +5348 -2463 +4910 580 +5469 9453 +-3059 10168 +-5571 117 +-3106 -2071 +3579 402 +11954 -1677 +-740 -2617 +-6030 -3054 +-1613 -3628 +-5444 -2768 +-7089 1615 +-3610 2094 +-1448 -8913 +3072 -4795 +5900 5219 +3535 -275 +4024 786 +1112 812 +4705 -9012 +5687 -7808 +1528 3093 +6122 3965 +5215 3077 +1584 -999 +-1980 -7822 +-692 -203 +1775 -3218 +-5930 -5460 +-7765 -2769 +1473 -7527 +3081 -481 +480 1052 +-1946 -2710 +-4614 -2058 +-4998 -7397 +-8454 -5899 +-4210 -2327 +375 -4702 +-323 -7867 +3461 -3457 +8398 1666 +10876 1598 +2102 1534 +-1895 -5391 +-914 -5231 +-3718 3086 +4459 -3085 +8365 -7630 +785 -97 +589 -1739 +5002 -4381 +-1233 1230 +-4186 1286 +1698 -1711 +5735 -1000 +3500 2739 +-5431 -2634 +-11067 -9048 +-14101 832 +-5487 4555 +4507 4181 +-2015 7485 +-8848 2757 +-8999 2478 +-4119 -2046 +1290 -6049 +3096 2794 +3228 5968 +7658 4100 +6887 2112 +5386 5466 +11383 2544 +3375 -3185 +-7333 7643 +-7680 11144 +-3482 4189 +-1846 -3416 +-1601 -1615 +355 2277 +1537 -9747 +13398 -8770 +18737 -823 +6831 1017 +-2936 1532 +-652 -5980 +3568 -1116 +3412 12648 +4912 11443 +2302 5512 +1962 2737 +-1098 -3884 +-8190 -102 +-7797 3058 +-3886 -6448 +2042 -2422 +1046 351 +-3327 -709 +-4924 1553 +-8780 -2099 +-10468 1271 +-4801 1052 +-2782 -3745 +-5412 -5475 +258 -4818 +5297 -5480 +1235 -10685 +-3854 -76 +-1571 9637 +-3606 -345 +-2712 -5178 +1397 -648 +-5389 -767 +-3451 -3471 +3695 -5954 +6394 -3734 +7250 695 +4688 875 +1602 12441 +-981 13305 +-1485 -1347 +647 -211 +2884 -1754 +3391 526 +-687 9827 +-2087 7995 +4580 5671 +9870 3917 +13028 1515 +7698 955 +-6990 3702 +-7886 5353 +-3438 7065 +-4439 6949 +-3016 -1720 +1388 -2687 +8698 1412 +-1228 -4779 +-7998 -7067 +1353 -701 +-1896 1127 +941 3118 +-1763 2592 +-10030 -7728 +-4642 -7246 +1488 105 +11265 -3538 +6328 -2688 +1600 1435 +2342 3513 +-3959 9853 +1856 6130 +5502 -2419 +373 -890 +-11438 3735 +-8609 1428 +3786 -4098 +-3222 -3809 +-5288 -3797 +-1276 -3561 +-2467 -4102 +-4874 -7988 +-1857 -4196 +5279 1837 +4632 -6591 +-1060 -9987 +-588 2670 +3959 1749 +-5060 820 +-12883 1001 +-9997 -6936 +-2635 2196 +5120 8227 +-2936 2091 +-5433 -2081 +684 -1408 +-4642 2949 +-4984 -361 +-1022 -6851 +2541 -6929 +6098 -4215 +4203 -2413 +9428 8037 +8002 10317 +-2035 -65 +352 -1247 +3371 -6356 +9396 -11167 +5703 740 +789 5890 +10677 -2370 +4981 -2810 +-7104 1686 +-1498 7812 +10725 2455 +5716 -5618 +3011 -1933 +1872 1323 +-5803 -1380 +-467 -6265 +-1330 -3142 +1605 2267 +1813 3912 +-6988 1839 +-8462 2564 +-4490 2826 +1360 -1012 +-2340 4032 +4314 3784 +10105 -4112 +9367 -4032 +8371 7211 +-365 3963 +-2075 -7499 +-3677 -908 +-7738 -1657 +-13298 426 +-7816 2875 +3145 -868 +5527 867 +2282 -6415 +-3830 -2312 +-2187 6802 +-8001 1897 +-6425 -2873 +5987 -918 +6199 3524 +2395 5217 +-2199 4540 +-3073 -1196 +1886 -5418 +4256 802 +-5379 4045 +-6917 -2331 +3967 -424 +5161 3218 +3764 5441 +4457 9249 +6163 5853 +3465 5085 +-4403 1449 +-6895 1177 +-3651 1792 +502 -5171 +-613 -230 +-7891 1969 +-8884 -1343 +-3480 3231 +-655 -2511 +460 -9002 +-2510 -8489 +164 -14103 +5057 -3099 +4449 3797 +6735 -8793 +2060 -5330 +-4415 5923 +3960 6766 +9967 -5217 +686 -7850 +943 -3391 +2300 -198 +-5422 2825 +-4453 -4276 +-3104 -1370 +2792 1200 +6189 2407 +123 3931 +279 -3633 +1412 -6716 +-6053 -1532 +-9332 3073 +-1670 6413 +4753 -4282 +2964 -10110 +-379 -959 +-3537 -936 +-3016 -1065 +1309 1693 +2553 -3104 +-529 -5294 +-5161 2465 +-6422 5131 +-1289 -3625 +-4617 -5140 +-3117 325 +11150 -2780 +5107 -1044 +59 9510 +-3022 6250 +-9653 3220 +-1391 8936 +2264 4972 +9359 1214 +7160 171 +607 -4579 +1996 -2731 +-5191 -367 +-1033 -4920 +430 -6462 +-1027 -4570 +1337 -622 +-101 4358 +5074 9974 +4585 13033 +5979 7321 +9044 166 +-1529 -5604 +-8459 -3466 +-5951 -531 +-5611 -8640 +2162 -10816 +8123 -6577 +-4324 -1773 +-10364 3046 +-7352 -4014 +-2933 -11092 +-817 -1380 +-6708 1036 +111 -4708 +5073 4338 +-4268 4666 +-957 -5362 +7713 -4259 +6365 -1414 +271 6186 +2216 16199 +9588 10365 +4814 634 +1797 -2290 +6071 -1279 +2809 2690 +-2266 -2914 +-3385 -5802 +-5692 2921 +-5219 8381 +2913 5727 +830 -6315 +-4496 -9280 +-940 -422 +-3339 1640 +-3018 1215 +2128 336 +-69 -4010 +-3868 -3652 +-1141 3384 +3996 5942 +10245 1816 +16967 -475 +8085 2125 +-3856 -3836 +-9588 -3735 +-8934 -2051 +-3429 -4215 +-11326 -2960 +-6319 -3618 +2062 -5330 +-2790 54 +1152 9548 +5150 6218 +-3355 278 +-11424 355 +-1608 -493 +2371 -559 +-4316 -3226 +-7280 -192 +1314 1841 +8966 -3714 +510 3781 +135 5105 +3059 214 +8508 3567 +692 -3096 +-13591 -3152 +-7416 264 +-3105 1851 +2300 5395 +-2700 -774 +-2038 -3385 +9065 2823 +911 8180 +2536 -3861 +10070 -7342 +3951 7216 +1626 3490 +7132 -1405 +4984 1269 +-3192 3370 +-8540 3490 +-7859 192 +-3166 -2391 +-3823 -7728 +-7156 -3283 +-9418 4516 +-8109 -2983 +-2789 -5804 +5500 -1414 +9202 -12629 +4936 -11142 +-2199 6784 +-5182 5942 +-2792 4252 +2777 4525 +12009 -604 +6521 2220 +1016 3977 +1828 -2275 +-1630 -3695 +3083 698 +5269 -1732 +6216 -1746 +9043 -5424 +12709 -11710 +7628 -3841 +-5505 -344 +-9876 3419 +-8263 4196 +-5428 -918 +-10699 5145 +-7255 1367 +-1840 -5259 +-2096 1914 +7211 6276 +8093 1188 +-2388 2446 +-7401 7119 +-1433 1504 +377 -311 +16 11 +4 7 +9 8 +9 2 +9 -3 +5 -2 +-2 7 +6 5 +9 1 +3 0 +4 1 +3 -3 +-4 -3 +-1 10 +-4 6 +-1 2 +3 4 +5 -2 +6 -1 +3 5 +4 9 +6 7 +2 4 +5 4 +6 7 +5 5 +7 -3 +7 0 +4 2 +0 -3 +5 -12 +1 -4 +-3 9 +0 7 +4 -4 +5 -2 +9 3 +6 -1 +4 -1 +-3 1 +0 -1 +4 0 +4 0 +6 5 +9 0 +2 -3 +-2 -8 +-6 -4 +-4 0 +7 0 +3 -1 +-1 3 +-4 3 +2 0 +0 -1 +0 -2 +-1 4 +3 3 +-1 -1 +-5 5 +-10 6 +-8 1 +0 0 +1 0 +9 3 +9 0 +6 3 +4 -3 +6 -5 +5 -4 +4 -1 +4 -2 +-2 3 +1 1 +8 0 +6 4 +1 5 +-1 7 +6 1 +3 -2 +2 7 +4 12 +2 6 +5 0 +3 2 +1 1 +-2 -2 +-4 -2 +0 -3 +-7 5 +-5 12 +-4 9 +2 10 +1 4 +-1 -2 +1 -5 +-3 -4 +-1 -2 +6 -4 +4 0 +-4 -7 +-1 3 +4 -3 +5 0 +3 0 +3 -2 +3 8 +7 4 +9 -5 +6 -4 +-2 -1 +-3 2 +-1 -4 +2 -3 +5 -5 +6 -3 +4 1 +-1 3 +-2 10 +-1 10 +-5 2 +-38 -13 +-38 -26 +-45 -37 +-43 -31 +-54 -36 +-678 -568 +-4455 -3714 +-7598 -4335 +-2268 3556 +4848 4816 +156 -445 +-4079 5111 +2921 3160 +7177 -6292 +5980 -7536 +6800 -5742 +2995 3127 +-4290 6039 +-541 -674 +5251 3619 +-2218 4371 +-8874 -3281 +-8116 -4792 +-8179 -2620 +-1525 3998 +5824 3814 +77 -406 +-3051 5905 +3531 2477 +5839 -7756 +4397 -8737 +5653 -7085 +3685 2528 +-2999 6884 +-700 -584 +5981 2429 +-1313 4742 +-9610 -1373 +-9165 -2976 +-8803 -842 +-763 4267 +6641 2520 +-9 -458 +-1836 6401 +4021 1703 +4137 -8882 +2487 -9591 +4038 -8204 +4200 1621 +-1448 7369 +-820 -415 +6476 1117 +-265 4919 +-9760 678 +-9709 -1047 +-8955 961 +165 4344 +7200 1128 +-70 -449 +-536 6720 +4417 932 +2470 -9541 +657 -9843 +2484 -8760 +4457 823 +-81 7544 +-855 -223 +6652 1 +549 4905 +-9676 2333 +-9858 630 +-8727 2493 +937 4308 +7330 -55 +-175 -403 +551 6704 +4539 115 +849 -9877 +-967 -9854 +1028 -9097 +4598 67 +1170 7461 +-926 -128 +6540 -1176 +1414 4688 +-9179 3862 +-9733 2233 +-8284 3858 +1598 4029 +7242 -1278 +-245 -390 +1626 6489 +4513 -589 +-658 -9782 +-2447 -9540 +-418 -9137 +4565 -623 +2336 7144 +-937 28 +6374 -2181 +2223 4409 +-8487 5273 +-9266 3746 +-7571 5109 +2232 3713 +7078 -2385 +-241 -323 +2639 6126 +4365 -1285 +-2290 -9475 +-4060 -8935 +-1918 -8869 +4458 -1344 +3546 6650 +-925 197 +5911 -3204 +2930 3970 +-7544 6669 +-8529 5323 +-6601 6367 +2802 3250 +6499 -3619 +-377 -258 +3748 5517 +4170 -2076 +-3974 -8964 +-5616 -8131 +-3477 -8412 +4134 -2086 +4627 5933 +-892 342 +5381 -4128 +3558 3465 +-6410 7742 +-7687 6542 +-5675 7284 +3319 2781 +5971 -4550 +-423 -226 +4547 4923 +3927 -2598 +-5166 -8220 +-6714 -7160 +-4604 -7790 +3819 -2634 +5453 5237 +-781 456 +4804 -4786 +3995 2943 +-5315 8533 +-6704 7530 +-4514 7993 +3780 2200 +5267 -5351 +-412 -123 +5244 4117 +3376 -3197 +-6538 -7288 +-7825 -6000 +-5833 -6922 +3372 -3207 +6243 4264 +-781 551 +3901 -5486 +4466 2190 +-3503 8902 +-4539 5853 +-4756 -278 +1819 -8451 +2000 -10110 +-5450 -8238 +308 -5031 +5835 -108 +1152 5972 +3604 6312 +8437 -3453 +6567 -2450 +3292 4451 +-4514 4836 +-2340 6833 +7168 5134 +-352 3121 +-4596 -1716 +-2006 -8840 +-5817 -3993 +-3804 4008 +-4617 4425 +-6082 -4626 +-3469 -7745 +-5114 167 +226 4452 +1421 7166 +-7918 1919 +-9148 165 +-2045 7898 +7629 5135 +5937 4916 +-5095 5623 +-2670 2994 +4974 2384 +7559 -4599 +7851 -1331 +1917 6618 +733 4934 +7060 -531 +6768 -6308 +4119 393 +-1588 3704 +-9092 731 +-2548 6905 +1625 6602 +-5311 1344 +-4637 -5225 +-4682 -7329 +-6568 3271 +-3855 4684 +-3985 -3334 +2679 -4850 +9693 -4778 +3963 -9407 +2371 -7794 +3686 2326 +-4113 432 +-9664 -3016 +-9214 4650 +-7216 559 +-202 -8586 +7967 -1171 +10105 3047 +8559 -4734 +3525 -956 +-3799 3013 +-4268 -7369 +-5139 -8820 +-9676 -2486 +-3058 -3889 +4480 -3883 +4832 3709 +6976 2261 +4225 -8108 +3418 -6119 +5490 1100 +-320 6576 +2674 6538 +8879 -1061 +1838 2478 +-4681 1797 +-7542 -5028 +-7148 1089 +-152 5469 +-419 6341 +-7750 905 +-7989 -3121 +-3775 3641 +3229 3021 +6117 4115 +-4485 6770 +-6767 6404 +3939 7020 +9312 -1645 +7988 -651 +249 7450 +97 3945 +5388 -1770 +2405 -8458 +4872 -6348 +6028 3315 +3934 2939 +4860 -5222 +699 -9012 +3393 -2523 +1436 3720 +-6339 6820 +2812 6595 +5749 3548 +-3032 4675 +-7107 -425 +-8595 -1889 +-2693 6770 +308 5889 +-5288 352 +-1337 -5269 +4098 -10017 +-3326 -9426 +-3506 -7171 +4424 -866 +-2769 3051 +-9481 4365 +-3915 9613 +-5102 5196 +-6005 -6129 +5235 -6146 +9853 -4505 +3433 -9153 +2027 -2966 +-848 4807 +-8180 -2499 +-9888 -3013 +-9132 4615 +-4994 -831 +807 -5837 +6200 -563 +6908 -3046 +-2196 -8688 +-1492 -6714 +4983 -2908 +4187 4947 +6441 2869 +6134 -6696 +3115 627 +-2336 4395 +-9179 1357 +-4829 5608 +3525 4146 +3855 4965 +-5436 5795 +-8335 3001 +-542 5189 +4423 105 +7332 -1008 +1070 7902 +-930 9125 +7754 2456 +6133 -7363 +5692 -5678 +5229 5041 +681 5409 +-5009 9347 +-7707 8873 +-3043 6396 +1111 4501 +-922 -3289 +-821 -2770 +2612 -1423 +-1221 -6936 +469 -11382 +4092 -3554 +6467 11658 +14023 2667 +10172 -9092 +1241 4698 +-4075 11147 +-4318 5263 +1425 1927 +-1438 1550 +-2369 1994 +2904 -4167 +-266 -7761 +-3855 -10472 +-699 -9950 +-383 3222 +-6221 4800 +-4145 -1003 +2440 -2363 +3370 -9082 +813 -4049 +-4283 863 +-1348 -10182 +1428 -9330 +-1154 -2772 +-554 -5118 +-7027 213 +-7990 8510 +-1918 4758 +-2043 -3015 +4430 -4242 +9785 -1586 +5602 3723 +1254 7343 +2301 2761 +5369 -1516 +1382 -3851 +-1167 -7530 +4104 -5684 +9116 -1715 +5301 2399 +-1759 3656 +-3984 -3537 +-9739 -4883 +-6996 2796 +1810 5463 +423 3595 +3896 8058 +2609 10005 +-4492 939 +1684 2639 +6252 7468 +2624 1080 +-3644 1710 +-7242 -1500 +-1437 -3078 +2680 9785 +-90 11777 +1815 6691 +3828 2589 +-2902 -1808 +-2491 -1507 +1107 -2812 +-5572 -4210 +-7292 -8492 +681 -5322 +12808 3987 +12646 -7626 +1699 -13432 +4086 2601 +4318 10315 +-1463 5172 +-2184 1366 +-175 1820 +641 5102 +-1504 1684 +-5627 -88 +-6707 6100 +3145 5546 +9637 954 +7176 -5846 +5392 -3712 +8625 3741 +10898 -4582 +4587 -5410 +4740 2794 +5711 -1243 +-936 -3169 +1190 2376 +2512 4164 +-1319 2328 +-5313 2961 +-6953 -4216 +-1249 -10137 +-557 1194 +-6978 5333 +-12865 2035 +-8412 -601 +1396 -4314 +983 -1273 +982 -5336 +-1840 -5588 +-6019 -104 +-45 -2420 +-89 2760 +-2956 4725 +-2764 5212 +-4874 7262 +-4743 125 +-1303 -3559 +5745 -12480 +7965 -16569 +5463 -4608 +5448 3342 +4640 6402 +1932 782 +-5554 -3396 +-10256 1228 +-2064 6938 +3624 13050 +-1824 2797 +-1845 -7707 +2490 203 +-5947 3387 +-9364 818 +-43 774 +1730 1133 +4691 811 +7284 -1094 +1890 -2472 +-759 -1767 +-2446 -441 +1773 -251 +5687 1160 +-776 497 +-2711 113 +-704 2116 +1098 1578 +3743 3364 +-89 2217 +-4498 3446 +-1421 8735 +5995 2092 +8132 -5451 +1804 -8920 +1751 -6190 +9041 -2843 +5390 -10500 +-30 -6909 +5485 -1109 +3948 -4902 +-2945 -5101 +-3374 -8621 +-3271 -11451 +-4484 -12983 +4733 -4909 +10371 991 +4332 1620 +310 10595 +-648 12830 +-47 1555 +3165 -5599 +6718 -539 +6726 1673 +6215 -2038 +3930 765 +350 -321 +-1334 -7971 +-7913 -7648 +-10441 -2049 +-7145 2768 +-1756 3197 +993 -3598 +-10520 -8819 +-6337 -4281 +6806 8020 +-2906 12161 +-5670 58 +-1502 -6183 +-5427 -1549 +-6835 -3611 +-6176 -6800 +-645 -4483 +4417 -3891 +4032 -5804 +327 -3439 +1210 2091 +7422 3128 +5473 4295 +3746 1504 +5486 -2070 +6434 2053 +6246 -3415 +1082 -5367 +1086 2188 +1941 -2372 +1413 -3524 +3393 -1574 +2153 -646 +-877 7036 +-8387 4646 +-6635 -496 +19 -972 +-2456 -503 +2726 4901 +3814 3266 +2522 -1246 +8668 -2553 +3856 -1133 +-3278 7316 +-4948 7259 +-3823 5271 +-3933 12841 +-543 10898 +9672 2468 +2534 -1332 +-8345 -4467 +-10219 -6141 +-12022 -6540 +430 -6642 +8716 -6042 +4455 -1642 +7245 7596 +7954 9792 +986 1124 +-1280 -6173 +4833 -4842 +6364 -3188 +3415 -5513 +3481 -1929 +822 1008 +-1956 7630 +-6308 16657 +-5846 2663 +1147 -116 +3760 2586 +2656 -2659 +2029 -1222 +-477 -5287 +-2715 -4924 +-2014 1287 +-4616 -757 +-1748 -2670 +2545 -2997 +-2253 -3481 +-4237 -4862 +-4183 -4224 +-1695 -2400 +-1938 1026 +-6825 6085 +3039 -201 +3576 -6229 +-13793 -3499 +-11930 1845 +-3141 10205 +-5163 7184 +-5548 277 +586 -216 +8392 -1378 +3833 1667 +-4903 3971 +-4179 1447 +-6631 -2602 +-4823 -6466 +6748 -6771 +7611 -2239 +2468 3147 +66 -662 +-5128 -2332 +-3658 1160 +2031 -1358 +1822 3538 +3128 8862 +5235 6641 +4831 8594 +5793 3091 +5474 -7096 +3820 -5998 +46 -7764 +-5970 -13435 +-6553 -6150 +-3232 386 +1779 2936 +7294 5486 +3831 -6162 +-2670 -2968 +-1102 13068 +2014 3109 +-1238 -7059 +-5266 -5858 +3857 -4940 +10599 -411 +881 1303 +-871 -1726 +8014 -6620 +12528 4369 +7274 16931 +-3019 5657 +838 -925 +4592 -647 +227 -3728 +719 -2254 +-3898 -3616 +-5387 -1809 +-779 2293 +-4116 2514 +-3141 -830 +7 -3919 +-4023 -1066 +-6534 -690 +-5835 -93 +-2054 700 +100 -1 +-39 -15 +-19 9 +2 7 +-3 7 +-2 10 +5 5 +2 3 +-4 0 +1 0 +3 -1 +-2 0 +-5 3 +-3 7 +-5 4 +-3 6 +2 0 +2 3 +-5 6 +-1 5 +1 1 +3 4 +5 5 +1 -1 +-3 3 +-4 -1 +0 -1 +2 1 +8 0 +7 0 +8 2 +3 4 +3 7 +3 8 +-6 4 +-4 -1 +0 -4 +0 6 +-3 9 +5 4 +6 -4 +6 -1 +6 -5 +-1 -6 +0 -1 +-1 -3 +2 4 +4 1 +2 -4 +2 -4 +-2 -2 +3 -2 +1 -4 +2 -6 +2 -6 +0 -5 +7 2 +5 2 +5 -1 +6 -1 +2 3 +-3 3 +1 -2 +0 -5 +2 1 +3 3 +4 4 +8 2 +2 4 +8 2 +6 -1 +1 -4 +2 -1 +3 -1 +1 -1 +1 1 +2 -9 +2 -2 +2 8 +-3 2 +0 -4 +2 0 +4 9 +4 5 +6 1 +5 0 +-1 -2 +-4 3 +2 1 +2 -2 +0 7 +2 8 +6 5 +3 -1 +-3 -3 +-2 -2 +-2 0 +-1 1 +-4 4 +3 9 +7 -1 +1 -21 +1 -39 +-8 -36 +-7 -48 +-6 -65 +85 -29 +2381 285 +8553 676 +7085 -2176 +-4695 -4278 +-5226 724 +2054 -2358 +-1829 -6805 +-4599 4275 +-1735 10537 +-1396 9909 +-3997 6378 +-3456 -5660 +2065 -5313 +-2443 1915 +-6696 -2799 +4659 -4534 +10723 -1003 +9998 -948 +6385 -3643 +-5618 -3413 +-5261 1638 +1561 -2797 +-3109 -6457 +-3770 5037 +286 10765 +484 10041 +-2860 7005 +-4561 -4982 +1050 -5619 +-2090 2369 +-7195 -1531 +3911 -5325 +10609 -2949 +9856 -2801 +5787 -4829 +-6171 -2349 +-4896 2623 +1097 -3039 +-4339 -5751 +-2803 5721 +2392 10612 +2448 9899 +-1456 7548 +-5461 -3998 +8 -5760 +-1566 2727 +-7523 -121 +2816 -6109 +9956 -5043 +9331 -4622 +4874 -5810 +-6669 -1097 +-4411 3591 +485 -3163 +-5467 -4832 +-1761 6182 +4406 9991 +4307 9265 +-14 7686 +-6266 -2961 +-1180 -5700 +-1089 3000 +-7537 1261 +1644 -6502 +8990 -6781 +8371 -6277 +3673 -6623 +-6882 109 +-3754 4255 +-27 -3283 +-6231 -3816 +-616 6425 +6125 9092 +5860 8393 +1302 7599 +-6694 -1824 +-2113 -5387 +-491 3173 +-7173 2533 +525 -6669 +7765 -8216 +7271 -7637 +2538 -7110 +-6762 1383 +-2908 4850 +-619 -3176 +-6884 -2589 +510 6421 +7607 7818 +7318 7143 +2683 7177 +-6990 -565 +-3092 -4896 +40 3193 +-6712 3810 +-793 -6606 +6113 -9453 +5712 -8806 +1184 -7434 +-6467 2568 +-2098 5285 +-1198 -2981 +-7314 -1367 +1590 6128 +8949 6334 +8472 5786 +3823 6598 +-7058 560 +-3885 -4292 +567 3161 +-6013 4797 +-1711 -6440 +4718 -10275 +4371 -9571 +67 -7588 +-6013 3450 +-1210 5488 +-1618 -2804 +-7499 -210 +2567 5767 +9943 4800 +9406 4332 +4943 5861 +-6829 1647 +-4499 -3678 +1043 2949 +-5245 5657 +-2785 -6046 +2970 -10825 +2856 -10091 +-1049 -7453 +-5386 4345 +-323 5642 +-2030 -2443 +-7454 998 +3393 5317 +10565 3120 +9964 2757 +5844 4980 +-6509 2771 +-5124 -2791 +1482 2777 +-4285 6415 +-3759 -5492 +1160 -11117 +1147 -10376 +-2360 -7036 +-4702 5213 +573 5517 +-2426 -2128 +-7259 2138 +4276 4679 +11056 1468 +10337 1210 +6562 4055 +-6030 3729 +-5483 -1989 +1956 2475 +-3219 6870 +-4548 -4776 +-739 -9963 +2113 -6089 +4841 1581 +2414 11160 +6822 7512 +8668 3655 +-1769 5172 +-5260 636 +-5158 -6183 +-7298 1388 +-4382 9360 +-5646 2473 +-3353 -3938 +2126 -8209 +-6183 -4422 +-7863 88 +2277 -4171 +5854 2933 +8053 5392 +5467 -3125 +-392 -6568 +4459 -4931 +8850 3983 +6608 1986 +2246 -4399 +-5661 -3412 +-1990 -6570 +9099 -5345 +4144 -7166 +-6600 -5587 +-10474 2216 +-4865 -4401 +2826 -7178 +-2710 -2120 +-5560 3959 +-4026 9515 +-7047 1258 +-4084 -5770 +-4807 -766 +-4909 7808 +-1855 7695 +-4526 -1289 +3690 -4720 +5750 -7005 +-5665 -6417 +-1810 -4382 +5954 -2868 +7868 4386 +7651 -253 +1432 -8343 +2481 -3402 +5281 2709 +-3539 7798 +-3913 10394 +4285 10334 +-1122 5405 +-3371 -2222 +7974 -2006 +9076 -5250 +4825 -9578 +7116 973 +1088 8559 +-10226 3114 +-9015 5337 +-3682 8660 +-1631 -1935 +4753 -2363 +9081 6949 +10197 1334 +9220 -2717 +1928 5030 +-3741 3780 +-8027 -1404 +-4888 5661 +2535 9737 +-2852 5416 +-5198 -903 +-3611 -7607 +-7764 609 +-6168 5045 +-954 -4551 +6428 -1563 +9773 -1197 +2231 -5820 +-4586 -4556 +189 -6547 +9416 -2862 +6427 -2891 +-1179 -4691 +-6653 1214 +-5904 -3574 +3600 -9868 +-1417 -8038 +-8951 128 +-6830 8524 +-6715 -60 +-2548 -7141 +-3511 207 +-1756 6548 +3208 9710 +-4555 5627 +-7011 -1551 +-4287 2581 +1237 9045 +3556 6962 +-4439 2003 +-165 -5980 +23 -9024 +-8647 -1112 +-4267 -2175 +2813 -6044 +9107 -1825 +5951 -5113 +-4264 -7090 +-266 -4134 +5908 -1429 +2359 8133 +3741 10309 +10086 4829 +2637 4716 +-4124 548 +4855 -6701 +3619 -9854 +-2568 -10195 +6166 -3979 +6619 5502 +-5739 9052 +-3455 9967 +2926 8774 +-2600 -373 +1973 -4895 +11638 -928 +8776 -5786 +5266 -8104 +4878 2395 +-260 5246 +-7120 4301 +-85 7391 +8435 5437 +1411 5866 +-4579 2788 +-7848 -3137 +-5597 5608 +-1368 7673 +-3733 -2829 +3948 -5395 +6896 -7328 +-2027 -5857 +-6533 -525 +-4058 -5150 +5682 -8249 +3314 -6340 +-3968 -2848 +-4557 5135 +-6986 998 +-3391 -9735 +-6233 -5143 +-7098 5743 +-69 10662 +-5367 4088 +-4995 -5016 +436 -4067 +-2642 -3402 +-4978 1577 +-9198 9444 +-10252 -1339 +-655 -9460 +4555 -7273 +3655 -10506 +-2193 -827 +-11803 6674 +-8311 -2277 +-4711 -1977 +-3915 4216 +-2966 6917 +-11840 3908 +-5089 1263 +9185 6230 +8261 4360 +5317 5975 +-4577 9001 +-13599 -1105 +-6682 -724 +-21 5115 +4931 1264 +13728 -6050 +7181 -9001 +-4074 918 +2963 2814 +1887 -3873 +-7095 -1072 +-2965 2869 +-1210 8382 +-2795 7162 +-2901 -1842 +-3252 -3376 +-2656 -2564 +-1954 -2654 +4118 -7305 +6839 -9406 +4168 -2340 +458 -4892 +-3415 -11483 +1517 -4639 +3038 2804 +-1701 -1818 +3307 -2059 +10305 5954 +6840 2639 +2576 -3399 +9223 -3699 +9886 -2793 +2052 2076 +1181 3856 +1823 3797 +1162 3888 +-5578 1036 +-9675 -836 +-3744 890 +-976 6409 +3493 1849 +1005 -4947 +-3563 2124 +7953 -1458 +10826 -12100 +1223 -6510 +-4825 -582 +-2892 4417 +-1157 12991 +-9045 5485 +-6772 -6718 +-1089 -8432 +-3824 -10274 +-2315 754 +-5105 12520 +-8062 3597 +-5026 1501 +-393 5660 +2050 7101 +-6963 10501 +-5646 5296 +2133 3495 +510 4524 +533 2183 +-293 5432 +-11559 15608 +-15557 12056 +-6630 5007 +-1630 4096 +4115 -5051 +4829 4591 +-925 11695 +-3728 -445 +-3462 2441 +4492 -3699 +6640 -6269 +2567 3925 +3543 -6998 +-632 -13957 +-1993 -17105 +1134 -19188 +-149 -2615 +1836 6191 +3491 1394 +965 -2918 +1940 -565 +2440 3762 +249 -1358 +1552 -6940 +2382 -2839 +-3545 7761 +-5119 5966 +1112 -6617 +1148 -7915 +-3870 -5268 +-2853 -5484 +2572 -3413 +3940 2981 +3131 9254 +5984 6094 +3712 -1324 +-6536 -3872 +-6690 -659 +2529 -723 +1116 -3874 +-2656 -1377 +2605 -1209 +-1587 187 +-9836 7224 +735 7725 +10088 -82 +2689 -7518 +2186 -6366 +5595 -1957 +-4056 470 +-6920 2373 +2319 6638 +942 11150 +-4866 2290 +-876 -5103 +1248 2950 +-181 5849 +1986 -2182 +829 -8635 +-317 -4244 +3313 2402 +3358 3215 +1871 1107 +3426 4193 +1788 19075 +-3665 19182 +-1669 8141 +1563 4023 +-332 -6371 +6830 113 +7361 9016 +-3034 2283 +-950 4038 +961 -5692 +815 -8748 +4992 3751 +2734 1942 +-460 -5194 +4069 -1614 +8599 4332 +-1823 532 +-10477 112 +-4460 4485 +5879 2878 +2983 -3042 +-3587 -4118 +-2296 -3697 +-3113 -426 +1647 5714 +4374 6455 +-1027 5883 +2574 -3060 +17 -11910 +-10005 -3114 +-5686 6314 +579 4975 +963 -2784 +6958 -2226 +8113 4945 +-2980 560 +-8157 -6293 +-2568 -9064 +4980 -5217 +9213 -407 +7755 2706 +7734 13703 +7879 11360 +2524 5450 +-2544 5016 +-2203 -6761 +-2244 2328 +-6770 13653 +-3308 -980 +3643 -263 +472 9223 +3804 7450 +13461 4861 +10805 1365 +2691 -3204 +821 -6319 +424 -4079 +230 -4100 +-15 -10481 +-4866 -9061 +-4710 -475 +-3552 2605 +-2894 -85 +619 942 +-11352 4751 +-12087 3087 +3999 -444 +-671 580 +-5156 2457 +-6294 -1283 +-10825 -5225 +-4282 -4412 +-2499 -7748 +-65 -10815 +4584 -2197 +3916 6299 +2507 2167 +-3471 -3612 +2003 -3881 +9463 -2515 +-1038 1641 +-7969 6986 +-477 6158 +6478 -1836 +310 -4157 +-5474 -607 +-4146 -1193 +-2648 1771 +5083 3082 +7697 1836 +3083 5081 +-484 -2550 +-3499 -8432 +5963 -3063 +10906 2006 +9869 1813 +7147 -2013 +-4513 -5041 +-5418 510 +2696 -2160 +-1102 -7476 +-5249 3922 +-2722 10880 +-2098 10016 +-4639 6002 +-3149 -6260 +2532 -5075 +-2880 2144 +-6880 -3395 +5310 -4455 +11225 -299 +10133 -240 +6595 -3489 +-5572 -4055 +-5241 1551 +2261 -2715 +-2590 -7046 +-4397 5006 +-537 11230 +-160 10213 +-3485 6748 +-4324 -5540 +1550 -5413 +-2407 2692 +-7428 -2034 +4470 -5303 +11115 -2273 +10054 -2018 +6025 -4565 +-6118 -3005 +-4890 2432 +1826 -2970 +-3690 -6448 +-3557 5609 +1291 11023 +1583 9977 +-2230 7167 +-5206 -4703 +615 -5592 +-1923 2998 +-7728 -683 +3412 -6000 +10520 -4239 +9482 -3836 +5018 -5570 +-6672 -1701 +-4403 3268 +1101 -3285 +-5031 -5489 +-2260 6163 +3664 10466 +3669 9390 +-738 7452 +-6157 -3456 +-610 -5487 +-1288 3359 +-7761 863 +2185 -6520 +9528 -6173 +8581 -5586 +3905 -6410 +-6885 -434 +-3735 4069 +595 -3383 +-5909 -4488 +-1256 6433 +5356 9703 +5197 8673 +540 7432 +-6585 -2432 +-1327 -5410 +2027 1424 +-2824 -3514 +-3967 -7330 +5007 290 +48 6393 +-1546 8649 +5559 3547 +4596 -4551 +6815 2597 +-535 8950 +1553 6930 +4902 -411 +57 -7052 +5491 -3733 +7432 4540 +8834 968 +1247 -9354 +-5900 -7516 +1943 -5782 +7111 -1249 +1442 -2835 +-6817 -3895 +-8749 1987 +-4509 -5903 +3797 -5852 +-1517 -4436 +-9375 -670 +-6585 2633 +-1381 -5317 +6527 1597 +7514 1114 +3156 -7826 +2886 -3524 +4805 3656 +185 9240 +2863 5789 +8446 -2614 +3391 372 +34 6115 +-8081 754 +-10398 1475 +-6335 8789 +-5317 1444 +659 -3844 +4703 5822 +8196 5260 +10142 -703 +2058 6347 +-6692 5249 +-6805 -6893 +-8287 -4823 +-8583 1646 +697 -2278 +4215 2602 +-2005 10850 +3077 8967 +6862 6160 +-4036 3773 +-4312 -869 +-2782 -7370 +-6574 -968 +-7075 7230 +-6275 -29 +-738 -4760 +3733 -7076 +-3323 -5924 +-7880 -2671 +4059 -3013 +4332 4612 +4631 7317 +6760 -1049 +637 -6400 +6985 -2634 +5581 6817 +5893 3965 +3669 -3543 +-4518 -5225 +1794 -6318 +8720 -1548 +7534 -5197 +-4854 -8319 +-9173 -1917 +-33 6260 +4754 2814 +1978 -1749 +7496 649 +7386 -6394 +-1079 -7682 +-4776 -5789 +-2505 -519 +3074 4506 +1991 440 +-4518 -3247 +-9671 2671 +-2393 2924 +-791 -5057 +-12278 -5544 +-1952 -6846 +8579 -11397 +-4529 -6217 +-7857 2628 +5544 3250 +8060 2623 +737 -212 +-2167 4 +-3957 1800 +1753 3107 +5975 7738 +-33 8938 +-2369 11046 +-4127 3220 +15 -485 +4802 5412 +-587 2965 +-1908 -857 +-5465 -4282 +-10300 -3027 +-5364 876 +-1414 6480 +-2057 6297 +1747 2389 +2900 1100 +-602 -2706 +2428 -5522 +4358 -3481 +4518 5221 +980 3461 +271 -1830 +10808 -5001 +7366 -7928 +-4501 -6296 +-4335 -3114 +330 2643 +6257 -5547 +11720 -9532 +9067 -1976 +390 431 +-1928 -3080 +2161 -1593 +-5080 5410 +-12938 74 +-3244 1257 +-617 290 +1167 759 +3117 9453 +-4960 6234 +3665 4093 +5591 -1135 +274 -2584 +6035 -4672 +1248 -9531 +-5934 -4672 +-7483 -852 +-2291 1292 +5325 1102 +1814 -1061 +-5557 690 +-5541 8369 +120 3653 +-3957 -3070 +-12545 5355 +-9685 4270 +-7337 -4243 +2119 22 +4521 4166 +2072 213 +8506 1362 +7237 -2892 +-5174 -6112 +-11828 2380 +-3477 7156 +-3618 3582 +-4364 4696 +-1679 6278 +-5709 7021 +-4464 6367 +-7256 2371 +-9245 -1088 +-84 -7139 +9211 -8334 +935 -5013 +-4133 -1048 +1991 686 +-7478 1679 +-9345 5834 +3471 3594 +4023 1808 +-2963 5702 +-3265 7229 +-3771 5964 +-1410 -619 +-906 -5338 +-347 -3389 +7087 -604 +1372 665 +350 -13095 +6480 -16620 +9235 -4226 +6980 -8530 +718 -4605 +5214 841 +2145 3485 +-1870 7332 +479 -4808 +534 -5893 +5867 -3109 +7151 -3961 +5394 -685 +4258 1642 +-1350 4363 +-3962 6287 +-283 6694 +-538 1586 +967 5675 +2783 3194 +-884 -10541 +-2314 -10951 +1141 -4378 +247 11472 +-7100 12030 +-1814 -10712 +1347 -13846 +-2430 -1771 +-935 3029 +261 5559 +-2567 6693 +-8690 1977 +1606 -1455 +6287 108 +1741 -1162 +7497 -4505 +3822 -6867 +-8061 -1220 +-7805 9364 +1930 7515 +-427 4989 +-332 6301 +2740 5782 +12 8883 +657 7478 +-2522 4649 +173 3954 +4702 475 +3837 -7301 +3887 -102 +3457 -739 +5193 -13560 +5584 -8908 +340 32 +-4756 -170 +-940 3121 +-3092 -1213 +-12705 -3114 +-6488 615 +-6388 -966 +-6943 244 +-827 -9040 +-672 -5375 +48 10845 +2275 9824 +8227 3173 +5652 1111 +2005 8717 +-166 7920 +1431 -614 +6728 1760 +-55 7656 +-2809 2824 +-4655 -2968 +-5422 2713 +5252 -336 +5760 -4853 +-8186 -2074 +-1989 -696 +7178 340 +-3250 3708 +-618 7052 +-2470 -3930 +-9988 -5485 +-7583 -3683 +-4732 -12149 +1257 -1282 +4209 4499 +7636 3181 +3573 2488 +-2015 -1075 +-316 10440 +-598 6351 +6012 -1330 +8760 2554 +-1996 -231 +-3456 -414 +5025 -2058 +5559 2317 +4560 931 +8902 -4531 +11140 -1673 +4801 -2966 +-6903 -3491 +-18239 -5961 +-13451 889 +362 6912 +1342 2127 +1463 3088 +-443 -461 +1944 -1442 +4008 -2618 +-1798 -7954 +2933 -2669 +2249 -2781 +-4738 -13406 +-1464 -10203 +294 -183 +-3828 2912 +1365 2845 +-3047 1063 +-11801 5942 +-4594 4646 +-5577 3459 +-5076 4755 +-5074 -3642 +-2691 3444 +3597 8069 +3108 -503 +-4119 -6239 +-6457 -8618 +2039 -2807 +11875 1891 +8131 -4714 +-880 -6639 +-3737 -7621 +-2479 -6787 +-2700 -664 +-3997 236 +650 272 +-1405 962 +-98 1612 +3047 -93 +4562 -2381 +3627 2940 +-8150 12139 +-3942 4684 +-667 -3631 +-4161 518 +-6116 -4983 +-8147 -2509 +-3829 -4525 +-6438 -10093 +1428 -766 +3673 -3190 +-2856 -1490 +6126 2596 +5322 5134 +-2358 10363 +4052 -592 +1449 -5691 +-10453 3084 +-3048 8801 +1058 6280 +175 4321 +4360 2119 +4880 -8425 +8396 -7245 +4330 -205 +-301 -5930 +2160 -6202 +4745 6996 +2521 14227 +-1627 9811 +-6 1701 +2396 -5844 +3943 -3884 +7185 -2265 +11154 599 +2471 12034 +-5511 4585 +3400 -6151 +6942 -3396 +-207 -989 +-1928 6052 +-4159 2286 +-11559 -5996 +-5967 -1790 +-896 -22 +-2461 607 +4061 5626 +7873 3822 +2095 -2314 +-7269 -2087 +-10745 -2447 +-255 -3384 +10720 -6087 +3584 -8744 +-4916 -4478 +-7912 -3404 +-6408 -3551 +-2632 1214 +-3088 2747 +659 -186 +-460 1727 +1807 2619 +3855 2403 +-9266 -2328 +-8174 -4104 +3181 3564 +-2244 6082 +-2226 6681 +4061 1632 +65 1012 +-5958 7880 +-4963 1840 +-1816 -5100 +1398 -9662 +-7127 -6104 +-4360 4006 +8525 -3552 +6650 -8398 +3475 1316 +-9462 1604 +-10029 -5076 +-5944 -3662 +-7220 -427 +5023 -3090 +4281 -4847 +-2242 2653 +-12277 9204 +-14245 4782 +-3395 136 +-436 1054 +-764 3183 +-5693 2468 +-3283 1739 +-5895 -903 +-5968 23 +10181 7656 +8923 3495 +927 -1375 +-641 5497 +4307 9097 +10535 6680 +5273 1045 +5643 -2311 +3179 1442 +-1903 -3508 +2964 -12504 +-1405 -6255 +-2608 -7417 +1875 -11215 +-2018 -1116 +-4623 1213 +-3142 -2273 +-1393 2603 +-1674 8290 +3855 2486 +5317 -2353 +-1068 -5855 +-2654 -10959 +519 -9698 +4951 -9482 +3737 -2543 +7656 4875 +11531 1240 +7795 -3866 +3714 -1499 +3715 926 +5475 257 +-8596 4381 +-9195 2407 +4739 496 +2278 5880 +2705 6289 +4187 -1519 +705 745 +609 9690 +-2631 4600 +-4793 -2473 +-5370 -7932 +-9629 215 +-839 5739 +4140 -8093 +-3252 -8957 +-5584 -2348 +-8758 -5449 +-9623 -13028 +-4300 -16244 +-1972 -1161 +-6414 392 +-5620 -1158 +4616 1488 +9079 -6490 +9523 -9960 +5080 -794 +-4379 7290 +-2865 -6639 +-467 -9945 +-3262 -681 +-7783 8728 +-8795 10574 +136 1360 +4187 4712 +-203 -827 +-4424 -6472 +-689 -18 +7680 -3016 +2701 -8296 +-1732 -1214 +4858 7097 +1832 7453 +-4572 6969 +3060 2909 +4893 4178 +-2393 2946 +7713 -3920 +12718 -6005 +5137 -4705 +1936 2364 +-4306 5325 +-3397 2997 +123 -1103 +2001 -7035 +-4052 -3315 +-8897 6014 +5993 969 +5650 1422 +-676 7835 +-803 -421 +-5626 -4387 +1741 -2460 +3326 5426 +3193 8841 +8975 -497 +2381 -5102 +932 3237 +873 9654 +1179 2747 +8479 -1176 +4754 -4372 +5595 2626 +7983 4792 +1137 -8033 +-6448 -5191 +-9892 -2423 +-102 -6682 +780 -2334 +-5009 8727 +-5934 7095 +-10565 1421 +-16055 -3347 +-14061 -9073 +-2266 518 +-4646 4535 +-5093 2891 +4611 -1916 +2793 -10729 +816 -13634 +3403 -4053 +1386 8157 +-6607 -3076 +-6896 -6996 +-3050 1402 +-964 5855 +10 1767 +-1 -340 +-22 -4 +-1 8 +2 4 +2 8 +4 8 +2 1 +-3 5 +-1 8 +-2 4 +0 6 +4 -2 +4 -3 +7 1 +2 0 +0 -9 +4 -11 +4 -6 +-3 2 +-3 2 +-4 4 +-3 5 +1 -3 +-5 -1 +-6 2 +-3 3 +0 0 +1 -1 +-2 11 +-3 4 +-6 4 +-9 2 +-1 -2 +2 3 +-1 5 +-7 4 +-7 -3 +1 -1 +-2 2 +-3 4 +-8 -8 +-7 -8 +-4 -3 +1 3 +1 11 +-5 7 +0 -1 +3 -2 +5 2 +-1 0 +1 1 +1 -1 +3 3 +-1 -11 +-3 -10 +-4 1 +-3 0 +-4 4 +-4 6 +-1 2 +-4 -4 +2 -7 +-2 3 +-3 1 +-1 3 +1 12 +-1 9 +5 1 +1 8 +-4 1 +3 -2 +4 -3 +4 -3 +3 -4 +-1 -4 +-5 -2 +-3 4 +3 5 +3 0 +0 7 +15 27 +23 41 +25 47 +43 69 +51 114 +639 1970 +2653 7319 +4561 5384 +2102 -5502 +-2582 -4451 +2400 828 +5337 -4244 +-4830 -2302 +-9608 3012 +-8942 3195 +-7262 -286 +2990 -5241 +5558 -812 +-2390 -830 +-517 -6808 +5584 1411 +5111 8488 +4611 7967 +5572 4111 +960 -5797 +-3483 -3872 +2602 272 +4460 -5323 +-5276 -1173 +-8898 5081 +-8188 5098 +-7285 1254 +1854 -5849 +5385 -2001 +-2543 -286 +-1999 -6518 +5869 255 +6949 7222 +6330 6797 +6475 2859 +-232 -5902 +-4309 -3039 +2642 -227 +3316 -6161 +-5477 -56 +-7785 6888 +-7063 6723 +-6964 2759 +640 -6110 +4960 -3051 +-2586 225 +-3307 -6014 +5894 -921 +8329 5696 +7671 5477 +7018 1561 +-1442 -5797 +-4915 -2145 +2555 -790 +2045 -6745 +-5483 1025 +-6364 8362 +-5675 8030 +-6389 4122 +-610 -6127 +4305 -4017 +-2515 689 +-4448 -5309 +5697 -2060 +9422 4005 +8724 3927 +7299 108 +-2568 -5429 +-5304 -1134 +2397 -1300 +722 -7015 +-5277 2175 +-4724 9503 +-4116 8965 +-5597 5235 +-1769 -5926 +3540 -4734 +-2344 1220 +-5405 -4315 +5251 -3045 +10131 2261 +9408 2277 +7279 -1155 +-3495 -4855 +-5476 -166 +2193 -1639 +-451 -7019 +-4916 2997 +-3118 10041 +-2587 9500 +-4659 6100 +-2763 -5490 +2691 -5209 +-2105 1564 +-6101 -3354 +4632 -3882 +10392 514 +9655 685 +7031 -2325 +-4234 -4181 +-5483 689 +1843 -2022 +-1595 -6785 +-4327 3693 +-1504 10321 +-1061 9738 +-3655 6669 +-3569 -5061 +1885 -5555 +-1846 1879 +-6593 -2307 +3970 -4566 +10392 -1227 +9671 -966 +6601 -3483 +-4862 -3411 +-5308 1583 +1558 -2295 +-2697 -6450 +-3704 4329 +284 10431 +629 9732 +-2443 7142 +-4371 -4275 +904 -5722 +-1493 2146 +-6951 -1142 +3159 -5166 +10086 -2966 +9494 -2582 +5977 -4532 +-5460 -2461 +-5006 2514 +1099 -2497 +-3897 -5788 +-2885 4939 +2276 10165 +2471 9414 +-1058 7466 +-5164 -3388 +-227 -5823 +-1122 2377 +-7086 93 +2201 -5622 +9462 -4673 +8916 -4191 +5045 -5474 +-5874 -1526 +-4553 3249 +726 -2668 +-4747 -5102 +-2084 5311 +3790 9601 +3823 8837 +57 7518 +-5635 -2533 +-1114 -5661 +-694 2510 +-6966 1157 +1289 -5844 +7751 -5707 +5971 -1587 +1409 4395 +-7617 7399 +-3245 9090 +1145 8921 +-4723 1437 +-3156 -3759 +2767 -7092 +-3800 -5563 +-9489 975 +-4761 -3048 +1442 -4781 +7591 -2226 +1389 -7046 +-3690 -6529 +4256 -479 +644 5841 +-970 9215 +4851 3293 +5075 -3455 +6128 766 +1100 8985 +1158 6637 +4732 -163 +349 -6124 +4218 -5196 +8447 4568 +7755 387 +2126 -8003 +-6366 -7425 +960 -6191 +7292 -1367 +913 -3140 +-5381 -2916 +-9558 1462 +-4637 -4761 +2824 -6249 +-1054 -4376 +-8304 -483 +-7337 2415 +-1221 -4204 +5303 294 +8386 1560 +2958 -7629 +2596 -3986 +5150 3163 +66 8354 +3263 6500 +7530 -2683 +4081 -28 +413 5562 +-7510 1107 +-10262 1549 +-6582 8336 +-5008 2217 +122 -3947 +5111 5123 +8179 5160 +10147 -802 +2982 5863 +-6251 5511 +-7154 -6360 +-8216 -5039 +-8872 1314 +344 -2187 +4322 2099 +-1319 10524 +3168 8939 +6636 5951 +-2720 4116 +-4901 -787 +-2402 -7116 +-6550 -1707 +-6747 6859 +-5676 831 +-1966 -4478 +4598 -6619 +-3409 -6219 +-7171 -2486 +3000 -3088 +4279 4004 +5189 7547 +5974 -711 +1740 -5908 +5342 -3426 +6759 5966 +5275 4182 +3654 -3188 +-3731 -4790 +-173 -6642 +9546 -2101 +6385 -4794 +-3556 -7427 +-9970 -1425 +-3478 -5244 +4746 -5807 +-1313 -2894 +-6068 1410 +-6385 7323 +-6721 -476 +-1917 -6428 +-3661 -2481 +-6772 5087 +-4095 6533 +-3730 -2332 +4330 -3228 +7677 -4270 +-2608 -7561 +-568 -4643 +6141 -1050 +5660 6105 +6859 2629 +4041 -6912 +3130 -2706 +4076 3751 +-5011 5800 +-6950 7998 +438 10481 +-2428 4921 +-2674 -2930 +7284 343 +9748 -1715 +7197 -7295 +6184 2328 +-1014 8186 +-9690 178 +-9692 1826 +-5880 6836 +-1194 -1867 +4686 -1445 +6018 8447 +8451 4383 +9140 -47 +605 4830 +-4331 2658 +-6542 -3696 +-6300 3060 +-874 9477 +-3973 4235 +-4545 -2028 +-742 -7814 +-6665 -2343 +-7189 2784 +286 -4221 +5907 144 +8971 2126 +4209 -4416 +-2440 -5460 +1880 -5990 +9101 -35 +6842 -377 +796 -4723 +-5937 -1088 +-4446 -4768 +5997 -7766 +1779 -7699 +-7707 -3130 +-8648 5414 +-6063 -1585 +1086 -5977 +4734 -812 +878 -6088 +-7064 -4890 +-2248 -699 +1429 2034 +-4809 1460 +-4281 -4981 +2565 -2757 +3976 -927 +-4107 566 +-2185 40 +3810 -5181 +-3448 2447 +-2597 1831 +849 -5727 +-1002 1935 +-930 4574 +-5379 -1759 +-2111 -5249 +3250 -972 +-123 367 +-4233 -6091 +-6980 -876 +1126 4509 +7398 -683 +5304 -2653 +9135 -1338 +7954 -2290 +4896 -4620 +8136 -3652 +9093 -5746 +8234 -8818 +1041 -4574 +-9442 1629 +-7660 7234 +4129 2017 +7543 -1905 +-1937 6813 +-7543 2567 +-6943 -5043 +-8116 -6467 +-2389 -10912 +5659 -1101 +4270 7730 +6117 -1278 +6331 579 +-6426 8316 +-11881 1689 +-8716 -1291 +-5913 -3749 +1464 -8622 +5187 2575 +4646 5360 +1218 -7944 +-5884 -8878 +-4068 1564 +864 11119 +2542 11671 +4709 8081 +2111 7085 +2932 5898 +7018 6395 +3359 5141 +3452 4721 +5322 -68 +-3554 -5237 +-8718 1272 +-2171 1058 +2473 567 +-2595 4250 +-6612 -782 +105 -3754 +2466 -3268 +-2858 3226 +-1767 1567 +-482 -6256 +-1072 4122 +-786 3005 +-3106 -4874 +-93 2164 +1458 5799 +-3388 1329 +-5237 -3072 +-4570 2929 +-4196 -940 +-1893 -3943 +-1020 3903 +-6773 4082 +-3534 456 +2612 -5603 +-6167 -6321 +-5749 -218 +-2784 1644 +-5775 7185 +2808 6916 +655 827 +-8425 116 +-4083 -3008 +-111 1596 +-2347 5159 +-5287 -1002 +-4171 3082 +-3383 2923 +-1980 -4205 +-1663 -402 +-4948 1252 +923 275 +7738 -1810 +8907 -10662 +4369 -6321 +-4148 6490 +-2008 3278 +861 -5811 +8242 -3361 +18870 4793 +9079 3850 +1156 -872 +4442 1975 +-1199 -1522 +-9490 -8711 +-9125 -7329 +-4279 -2295 +-2436 1527 +1994 -426 +3458 -2035 +-1823 1271 +-823 4121 +2222 9452 +3353 12802 +1995 4958 +-254 -5265 +1502 -3131 +642 -5497 +1235 -18641 +5729 -11473 +6310 2280 +-351 871 +-8202 877 +1939 -12 +14204 -2948 +7584 -5358 +510 -3318 +-2464 4055 +-2583 2878 +2436 2628 +-1407 3271 +-6060 1217 +-1623 5107 +-3853 2028 +-4156 -1641 +1748 3476 +-2456 7442 +-2429 2621 +-1804 -5831 +-9018 -481 +-4573 3629 +-990 3055 +335 9054 +6774 3016 +1363 -1751 +-2668 5290 +747 16072 +161 11798 +3906 2875 +2300 4009 +-7856 178 +-13275 -3581 +-8013 -6044 +-1873 -5482 +-5775 602 +-5165 -429 +-801 653 +-471 2328 +3344 2120 +4059 5841 +4454 310 +8886 -292 +10503 7847 +6727 7475 +-3123 2471 +-6134 -1823 +-6877 3783 +-13461 10111 +-4124 5157 +10541 72 +7812 -1959 +1626 -187 +-450 2412 +573 3294 +127 1534 +-2802 -2960 +222 -3352 +-920 -3388 +-516 -4543 +5708 -7345 +-3557 -5733 +-7232 1446 +911 -4570 +-5211 -10159 +-4579 -2045 +2543 7496 +-4671 7502 +-6544 -4511 +-627 -6654 +1578 -4612 +6106 -5928 +5978 5192 +-2852 9125 +663 601 +8682 -7663 +1678 -8016 +3165 1366 +7733 -1890 +-2641 -8997 +-1495 -5217 +3403 -2981 +-140 -3020 +2752 -2580 +1208 513 +-2385 3320 +-310 2824 +-920 686 +-4849 -2696 +-9978 -2585 +-2003 3125 +11255 11219 +7866 8611 +4880 -397 +4366 1531 +-6000 5215 +-12726 5908 +-10223 644 +-4988 -2851 +-4119 4193 +-4352 2995 +-253 1012 +1072 2079 +3942 -486 +6932 1834 +4072 -2333 +5898 -3760 +5045 9394 +5707 10251 +10623 -2786 +2982 -3926 +-598 -2125 +5690 -3078 +2715 405 +-2922 -3358 +-4356 -8759 +-5606 -1877 +-4521 68 +1192 -3645 +3933 -4349 +-1929 -8279 +-2395 -6571 +6 1346 +-5280 3488 +-3318 -304 +-1736 -1271 +-9032 4492 +-940 10109 +6761 10987 +-4048 4929 +-218 -2308 +10580 -4314 +5170 1210 +534 9708 +-2227 2279 +-2656 -4130 +-2722 5808 +-7135 7960 +-2870 5270 +-3510 4992 +-9036 4076 +-5033 354 +-2950 -9668 +-1163 -10469 +-329 -366 +3543 7420 +9539 5518 +2128 -1520 +-1917 -3523 +-1948 -6016 +-6063 -4146 +-3984 -907 +-1891 -47 +2661 8470 +7748 6908 +2400 -897 +-8013 -1399 +-11344 -3689 +-1945 -4776 +4844 -8039 +5160 -6119 +5719 -1071 +7000 -6164 +7635 -4310 +-1489 -1166 +-2015 -2849 +9254 461 +4642 3670 +-4105 9286 +-3210 4372 +2806 -5743 +10014 3505 +11112 4048 +6615 -8863 +-172 -4781 +-1848 -1166 +2509 -5862 +2456 -1377 +-4406 -603 +-9047 -3719 +-5648 2121 +-3534 2843 +-1388 -3492 +315 -5701 +-6855 -5002 +-7084 -2654 +-3661 1909 +-6412 -621 +-4383 -5034 +4171 -2284 +5323 -1251 +-4907 -1156 +-5725 3145 +-4285 4021 +-8829 4939 +-5232 9618 +-1322 577 +-1578 -7356 +-4215 2683 +-3141 2379 +1735 -9502 +-3277 -10193 +-3528 -5652 +-1127 -5225 +-5593 -2905 +704 2962 +4537 4529 +-4335 2392 +-7088 -1404 +-2266 -5177 +-1466 -3443 +3960 -5008 +16004 -4124 +11114 4808 +-1734 -2533 +-6487 -8874 +-5814 3636 +1582 7621 +-12 6120 +-5012 5254 +-2666 1711 +615 3193 +5704 250 +-1275 -1182 +-8492 2596 +193 2089 +4798 4379 +4836 850 +7704 -4501 +11192 -1350 +11731 -828 +8504 1990 +5057 968 +3284 -5542 +1711 -3238 +-6718 -1142 +-5557 344 +5362 253 +2019 -3825 +-2806 -1885 +-4776 -2712 +-3575 -6156 +1578 -6900 +-3958 -5138 +-6031 6959 +-1694 7908 +6042 -5880 +16399 -3023 +8914 4727 +-1633 3215 +-1546 4789 +-5504 3817 +-6744 -923 +1727 -4359 +3297 -4351 +-4582 2308 +-2427 6042 +-670 5777 +-3636 9355 +2258 10444 +-556 1274 +-6021 -4371 +-1555 4775 +-883 3769 +-4747 -8249 +-7754 -6473 +-1773 -2775 +-2511 -1969 +-7494 -6130 +-1885 -3594 +3355 162 +9598 -4850 +9044 -4017 +-1091 -6935 +-533 -7062 +1274 3772 +-3701 8407 +-5838 6844 +-7589 3757 +-8849 1098 +-5175 -2140 +4610 -3 +7975 4799 +5821 -3962 +8402 -9061 +3292 -3808 +589 1030 +4120 8533 +910 6407 +3093 321 +2005 6109 +-2715 9921 +2420 7083 +4969 3364 +4402 -1710 +5202 -1587 +6294 4797 +2433 7759 +-6655 3049 +-6119 -4339 +1947 -3095 +5716 -205 +3160 -8304 +877 -9402 +-4112 1058 +-11095 5729 +-5064 -270 +-2756 -5582 +-1134 3599 +9232 3150 +-1266 -8119 +-7344 -380 +5421 3122 +4258 1270 +-1237 11098 +-406 7845 +6015 -3453 +9055 -6113 +-45 -5595 +-778 -3651 +5793 1788 +1672 7336 +-7187 2796 +-8450 -3823 +-2244 -5161 +762 -5230 +-3461 -3475 +-7513 -964 +-8376 4852 +-7821 3508 +-2819 -3493 +-3326 27 +-9922 370 +-3866 -1483 +2703 -2085 +4305 -9752 +4417 -8778 +-5361 -4404 +-5033 -4803 +3420 2029 +2531 8591 +-159 8837 +-3480 7692 +-6164 6525 +-5461 1748 +3190 -3530 +7122 -4249 +-3377 -2351 +-6893 1200 +6300 -2468 +11329 -6662 +382 -7985 +5058 -5969 +10520 1742 +927 849 +43 -2370 +2376 7049 +-675 12589 +-1694 11965 +-3444 9142 +-1884 -991 +556 -2195 +-8193 1511 +-8168 -5023 +1936 -8498 +-6019 -7451 +-9713 -4884 +3838 1553 +6078 290 +-4635 -4272 +-12254 -1834 +-10200 1743 +-9990 4121 +-7379 3054 +5500 -165 +8073 1051 +4291 4196 +-786 5089 +-8650 805 +-4877 -3187 +4973 -2570 +5339 -2320 +-4994 2251 +-4374 3386 +4046 -1048 +2035 3260 +4022 2144 +2270 -3232 +-637 -1817 +2367 -6003 +-4259 -5886 +-2799 -1074 +-1042 -1792 +-4321 2463 +572 5227 +-2870 1874 +1153 516 +8014 -820 +-2829 -126 +-7092 1515 +34 -3309 +6915 -5700 +5420 1907 +-700 6418 +-4573 5243 +-6468 3732 +4045 -810 +11924 -2717 +9214 -3109 +9564 -7296 +4304 -7776 +-4419 463 +-4605 5300 +3276 -5838 +4571 -12130 +-4815 -6177 +92 -7688 +9408 -5447 +1336 29 +-1446 -1802 +6456 3634 +7689 9723 +6459 9957 +3268 8941 +-2049 371 +1438 -4534 +4140 -6691 +-661 -7477 +3364 2195 +1595 6129 +-7453 3377 +-4531 -1783 +-669 2898 +-3570 9542 +-3204 2814 +538 5952 +7046 9214 +8763 1586 +2054 5959 +6423 8660 +9154 1323 +1748 -2913 +7239 -4370 +6589 -2055 +-2666 -2271 +956 -7205 +5628 -1765 +4094 4843 +-4872 245 +-9150 5087 +-1448 12061 +5899 1283 +8798 -3797 +-2154 2101 +-12962 1692 +-12999 -992 +-11448 -3845 +-1276 -6690 +2406 -3615 +1546 -311 +7636 -3235 +2476 -4129 +-3945 1588 +-4254 2744 +-2137 -3594 +1960 -3342 +-1956 2928 +-4031 -1407 +-700 -4358 +2985 2053 +4852 1515 +-1629 1577 +-5241 672 +-520 -4448 +291 1533 +-3755 3471 +-2178 -3665 +-304 -4557 +-2172 1921 +1582 8347 +1877 3433 +-1527 1593 +-4086 4989 +-10035 -3191 +-10074 -8691 +-7695 -9514 +-2344 -6397 +4087 6412 +4181 7059 +7729 -6261 +1606 -11226 +-5801 -5155 +4018 -472 +5300 3429 +-3542 7296 +-4704 1655 +1396 2612 +3573 9403 +-579 4163 +4385 4013 +11566 2093 +7823 -4573 +5510 3043 +10624 2131 +7852 -5017 +-2366 -2630 +-6315 -2073 +1166 1076 +9471 402 +7212 -3370 +4991 1366 +1138 7217 +2818 2381 +6589 2350 +478 5562 +-4236 6754 +-1425 11174 +4216 6926 +4220 -5538 +-2580 -11503 +-5789 -7026 +-2769 -4644 +4438 -5268 +4850 -2529 +-782 -5650 +2746 -5055 +7368 1401 +8699 -1348 +4197 -2817 +-4979 3677 +-482 3426 +2576 -3362 +-581 1788 +5089 2973 +5334 -8862 +-280 -5569 +-5799 -358 +-7813 -977 +-3345 4130 +-2639 2883 +188 7677 +-1057 13685 +-10689 4977 +-7940 -984 +3521 -936 +10971 2108 +5885 4656 +-1833 -110 +633 -405 +-5927 6383 +-11360 3954 +-1173 -1528 +1136 1547 +-1433 -3841 +3363 -11187 +4045 -4913 +-2163 4869 +-8785 8344 +-12428 -2618 +-8505 -7368 +-3168 750 +-4283 503 +-3775 4940 +-2330 7437 +1539 2586 +9938 1853 +4517 -4846 +-3390 -3504 +2849 1083 +551 -2322 +-6863 1360 +1397 316 +7640 -6089 +3287 -7234 +4757 -2296 +5745 4491 +3802 -182 +6673 -2659 +4091 3775 +1210 7705 +6211 9091 +7813 2267 +-294 -6946 +-9468 -6809 +-9093 -1395 +-5501 -1103 +-3677 -3493 +-1081 -1652 +-1637 -1833 +-3526 178 +2497 2595 +3862 8455 +-123 12258 +-6333 5685 +-10669 -134 +-1732 -6480 +4662 -8175 +-502 640 +-1592 3276 +4152 -5163 +6828 -4733 +5010 7715 +-35 9773 +-9450 5622 +-11234 2209 +-4604 -2104 +583 1791 +3200 2245 +2217 -478 +3526 950 +36 -347 +-4897 317 +2563 -2318 +1557 -3155 +-1441 4370 +4932 4394 +-455 -51 +-7448 783 +-5350 4818 +-3003 6349 +-2681 3478 +864 3612 +6960 7873 +3694 2589 +3079 -13363 +1690 -13654 +-9866 -3570 +-1927 -5462 +8389 -6991 +2182 -6431 +1397 -6897 +-2735 -8396 +-516 -7302 +10758 643 +5984 -7563 +1208 -16253 +-1523 -2470 +-9767 5854 +-5153 3673 +1447 3827 +364 6407 +1846 7032 +5846 4041 +3363 881 +-5479 454 +-3422 4291 +1520 -485 +-1676 -5326 +2505 1468 +2970 1057 +-3701 -1134 +-2121 -537 +-2398 -395 +-2726 2309 +3614 401 +8404 3988 +7666 9362 +-1446 8326 +-8576 6631 +-5526 -3816 +-1562 -9113 +46 826 +894 3497 +-49 -6478 +2233 -7866 +7067 2219 +-2129 1353 +-11172 -10142 +-3671 -7856 +2847 1434 +2554 287 +-3115 -695 +-2678 2089 +10838 1184 +11830 1756 +-397 5627 +775 1871 +4786 -2060 +-3180 818 +-3001 2187 +4364 3192 +-4190 7519 +-10709 9142 +532 2103 +4353 -3453 +-1391 -212 +-1400 3095 +-1126 -4230 +1150 -15055 +5259 -7057 +1913 5008 +5671 3109 +14670 112 +9580 -6706 +1625 -9127 +-1991 -3956 +-3793 -3892 +-3390 -2539 +-2930 -3693 +1670 -5742 +180 -3649 +-744 -3106 +7224 2533 +3050 10920 +-630 9353 +513 -997 +-1822 -1943 +5020 -39 +5948 -6152 +2708 -543 +1588 4214 +-6403 2724 +-3711 6058 +503 -172 +-2173 -1819 +5978 2075 +11650 2976 +3582 8080 +-3245 1699 +-3523 -2969 +-5488 983 +-4778 -2829 +-1332 -4154 +-2940 -3716 +-3164 -3305 +-6819 -396 +-9828 5284 +-3879 14205 +577 9760 +4385 1739 +-2672 3466 +-15253 -79 +-7977 -3369 +3154 -866 +2165 -1506 +-2847 1542 +-673 3267 +9078 -6328 +10177 -6476 +3349 4381 +1802 840 +2281 -4626 +-1939 2692 +-866 3542 +5525 -714 +2661 5353 +138 3400 +2520 -3994 +4894 -4493 +6159 -3504 +1439 -5898 +-3205 -7485 +3616 -495 +6839 5651 +313 6537 +-1031 8210 +-2465 5465 +-5267 -295 +-4435 -2964 +11 -5319 +5001 -6871 +4524 -5498 +7437 -1116 +5984 2626 +-5967 3880 +-5881 5182 +1957 6155 +1989 6126 +-240 4999 +631 2128 +5285 -2621 +9351 -4720 +3666 1495 +-1843 4783 +3957 -6681 +3580 -14556 +2260 -4595 +3434 1249 +-3482 -2046 +-653 4179 +-1683 7553 +-4457 -874 +9247 -3208 +3383 -907 +-11244 152 +-11662 7211 +-8347 10787 +-1417 3250 +-2616 -716 +-4032 729 +-2931 -653 +-4296 -69 +-1045 -1229 +-6040 -8717 +-11614 -8828 +-11393 -597 +-2311 1413 +10827 1220 +256 2577 +-5359 -4691 +1190 -6895 +-3415 1680 +1011 584 +4289 990 +2729 12403 +5720 10421 +-207 -3008 +263 -4403 +8971 2495 +7263 2873 +1163 -1438 +-844 -4584 +653 -6427 +2211 -6692 +-2946 -5234 +-7573 -3232 +2361 -2808 +9114 -568 +4764 4474 +4867 6562 +1934 5573 +-4126 3397 +-5393 928 +-3668 -3786 +-942 -8326 +27 -6910 +5255 -6951 +4768 -5158 +-2524 -543 +2681 -5423 +-1177 -7892 +-15807 -3043 +-7828 1538 +-1473 7836 +-3699 5470 +-2678 -8017 +-7346 -6041 +-3890 1939 +-1551 1481 +-853 7967 +7050 8271 +-1761 1890 +-9972 -804 +-4158 2494 +-2951 14557 +3641 9408 +8523 -1864 +491 1875 +3102 -605 +8618 -4742 +-2230 -5300 +-6669 -4871 +399 -1465 +-194 727 +-2678 3527 +872 -958 +5389 -3291 +5870 6694 +1207 10826 +-8398 4086 +-12749 -4845 +-3228 -4926 +1943 -3552 +551 -8525 +3194 -6123 +1308 -3441 +-782 -5776 +170 -6306 +301 -5046 +189 -484 +-290 606 +3580 -1734 +6267 -2284 +5876 -1778 +4135 -1552 +3289 -4315 +7695 -3964 +4612 -2153 +2799 -422 +8684 7903 +2258 10772 +-9483 3949 +-10747 -2126 +-1405 -6071 +2762 -3548 +-2006 2972 +-1110 2134 +778 -1378 +4909 2598 +7303 3100 +2157 -7443 +-200 -7043 +-2259 1379 +-1556 -5644 +-6147 -4838 +-14149 8149 +-4994 6227 +4114 6655 +794 6359 +-7426 -4115 +-9714 425 +-1749 3936 +-231 2064 +4529 6401 +11016 1339 +2514 13 +-1129 710 +62 -123 +30 -45 +4 -3 +10 5 +4 -1 +1 -9 +4 -6 +5 -4 +4 1 +1 -3 +-2 -2 +1 -6 +2 -3 +1 2 +5 -1 +3 5 +-1 9 +2 1 +0 -5 +0 -4 +1 3 +4 1 +0 -6 +-1 -6 +0 -1 +-2 -3 +-2 0 +-2 1 +1 1 +0 1 +-3 1 +1 -2 +1 -5 +-2 -7 +1 0 +0 -4 +-1 -5 +0 0 +-1 5 +3 8 +4 8 +2 -2 +-4 -8 +-3 1 +1 -2 +-2 0 +-1 0 +0 -5 +6 -4 +9 -2 +3 -6 +1 0 +4 2 +4 -5 +4 -4 +3 2 +1 -3 +1 -4 +-8 -8 +-7 5 +2 0 +5 2 +10 2 +9 -2 +0 2 +-3 2 +-1 1 +1 3 +1 1 +-2 -9 +-2 -5 +-1 3 +5 3 +7 7 +2 9 +6 2 +9 0 +7 0 +-2 2 +-3 3 +5 11 +7 7 +1 1 +0 6 +4 5 +3 0 +-8 1 +-26 -3 +-60 -3 +-52 1 +-55 -11 +-68 -15 +-93 -30 +-1548 -749 +-6697 -3045 +-7613 -987 +1468 5059 +5387 1706 +-1871 633 +-1404 6697 +4841 -920 +4914 -8759 +4020 -8563 +5417 -5617 +2187 5017 +-3360 5189 +1584 -1157 +5658 3817 +-4164 3515 +-9849 -1885 +-9081 -2251 +-7548 768 +2575 4718 +5843 621 +-1726 1095 +-14 6934 +4560 -1920 +2941 -9662 +2109 -9291 +4173 -6661 +3249 4527 +-2234 5864 +1271 -1489 +6401 2484 +-3366 4286 +-10146 278 +-9458 -206 +-7333 2418 +3538 4083 +5901 -653 +-1522 1427 +1531 6788 +4174 -2880 +842 -10209 +122 -9634 +2729 -7426 +4177 3732 +-1012 6163 +954 -1717 +6889 1200 +-2504 4944 +-10020 2302 +-9526 1640 +-6896 3803 +4348 3282 +5756 -1833 +-1194 1705 +2924 6416 +3668 -3663 +-1142 -10215 +-1766 -9466 +1245 -7861 +4902 2855 +266 6331 +621 -1884 +7083 -256 +-1491 5309 +-9528 4301 +-9139 3539 +-6030 5124 +5050 2368 +5391 -2917 +-880 1919 +4087 5713 +2819 -4287 +-3200 -9747 +-3598 -8908 +-277 -7919 +5383 1890 +1412 6111 +230 -2027 +6970 -1533 +-459 5499 +-8657 5984 +-8394 5219 +-5012 6141 +5380 1329 +4717 -3879 +-529 2019 +5102 4757 +2037 -4777 +-4829 -8985 +-5155 -8183 +-1725 -7799 +5709 885 +2550 5744 +-63 -1981 +6726 -2669 +497 5492 +-7658 7235 +-7542 6366 +-4078 6787 +5539 541 +4187 -4511 +-216 2097 +5753 4067 +1373 -4951 +-6083 -8209 +-6285 -7321 +-2777 -7410 +5789 103 +3302 5329 +-373 -1953 +6280 -3599 +1272 5399 +-6544 8337 +-6496 7473 +-2995 7366 +5616 -294 +3453 -5101 +51 2105 +6372 3077 +649 -5130 +-7359 -7195 +-7421 -6271 +-3961 -6858 +5735 -807 +4135 4761 +-694 -1818 +5616 -4500 +2110 5107 +-5109 9191 +-5282 8376 +-1841 7704 +5489 -1252 +2570 -5567 +426 2027 +6865 1915 +-180 -5122 +-8488 -5761 +-8363 -4868 +-5066 -6058 +5515 -1757 +4913 3938 +-974 -1698 +4783 -5336 +2905 4613 +-3587 9798 +-3896 9014 +-556 7807 +5300 -2071 +1733 -5836 +724 1954 +7057 910 +-1016 -4988 +-9317 -4387 +-9028 -3518 +-5925 -5183 +5243 -2545 +5486 3159 +-1248 -1560 +4007 -5967 +3594 4141 +-1713 9523 +-3203 5611 +-4329 -1366 +503 -10148 +-3008 -9073 +-7286 -5728 +1829 -4369 +5231 20 +2564 6715 +6357 2383 +6766 -6913 +5713 -1696 +2813 4237 +-4149 6528 +2738 6281 +7750 2183 +-2143 3288 +-4578 -2912 +-5259 -7505 +-6208 317 +-1589 5739 +-4670 4157 +-7100 -5042 +-5429 -4557 +-3888 3075 +3454 4447 +1258 6598 +-9025 2793 +-6844 4458 +3026 7608 +9828 1306 +4457 4654 +-4492 6182 +669 3012 +5989 -893 +6105 -7393 +6914 -587 +2433 6310 +3324 2886 +6744 -4676 +4035 -7105 +3904 1615 +-3339 3476 +-7942 4130 +2257 7537 +1467 4896 +-5890 1625 +-5731 -5521 +-6826 -3313 +-4229 6719 +-2835 3470 +-4434 -3334 +4103 -5718 +7249 -7769 +-298 -10330 +1793 -5709 +3146 2670 +-6600 179 +-9868 1292 +-7166 7545 +-6474 -1059 +14 -8373 +9301 -942 +9902 -1417 +6039 -7126 +1739 1028 +-4294 2178 +-6155 -8010 +-8195 -4930 +-9431 326 +-1418 -4442 +4092 -3288 +6376 3411 +6576 -2303 +861 -9511 +3337 -4906 +4888 1414 +813 7603 +6141 3036 +7582 -3231 +413 3832 +-5496 676 +-8992 -2350 +-4769 4192 +2430 5339 +-917 6123 +-8769 759 +-7214 27 +-1131 4752 +5565 1078 +5361 4098 +-5181 7909 +-2477 7757 +7347 3652 +8577 -5428 +6579 486 +596 7423 +2513 1773 +4137 -4603 +-82 -9521 +4991 -4954 +6033 3101 +4456 -44 +2165 -7831 +-1559 -7810 +4106 -1425 +-170 4746 +-3395 8265 +6681 3955 +4376 2601 +-3416 5136 +-8138 -134 +-7538 2179 +1213 7651 +157 4357 +-5623 467 +-690 -6937 +334 -10530 +-7232 -7292 +-2509 -5380 +4293 -271 +-4855 4544 +-6694 7624 +-335 10228 +-5632 3522 +-5726 -6039 +6395 -6888 +6713 -7691 +-140 -9196 +2007 -322 +-1750 4495 +-10022 -1699 +-9625 1915 +-7042 6585 +-4107 -2272 +866 -5179 +7170 -1849 +3520 -6089 +-5689 -7408 +-725 -5787 +4695 -2309 +5749 4871 +6745 -1977 +3573 -7347 +2903 2511 +-3688 4133 +-8439 4331 +-813 6211 +5409 2268 +3389 5123 +-6186 6409 +-5583 4858 +2275 4244 +4954 -2870 +6816 -502 +1226 9207 +3184 7302 +8151 -2197 +3020 -9598 +5340 -3923 +3921 4828 +-2901 3766 +2658 5022 +8724 -847 +2844 -1365 +-2484 -115 +1934 -4282 +6716 153 +640 3582 +-2652 3547 +2458 -2645 +1890 -2321 +550 6304 +1042 -3133 +593 -3885 +3092 4760 +-29 -1486 +-2723 -4056 +4704 -2535 +5635 1931 +-1452 3187 +-677 -378 +6840 1649 +6596 -3476 +-3158 -3211 +-5544 5071 +-2248 5449 +-5819 6819 +-5049 7039 +-661 6493 +-3525 7919 +-3431 9913 +-575 11997 +1879 4925 +6069 -6717 +1699 -10650 +-4364 168 +-4529 6830 +-3360 -5323 +3437 -7418 +8540 -1026 +10321 -885 +9689 5809 +-2510 5296 +-8676 -2700 +-3953 4178 +-5035 4716 +-1566 -9496 +7396 -9725 +7646 -5047 +6887 -1956 +5362 6842 +-4824 2466 +-7705 -1087 +3488 6211 +10610 2915 +3026 -3554 +-7769 -7127 +-9991 -6904 +-9210 -2707 +-6683 -3494 +-5928 -2510 +-9634 111 +-6446 -1300 +-5621 -1354 +-4719 3323 +5645 2043 +6292 -6523 +1250 -2969 +-2016 1513 +-1463 -4397 +5233 -4396 +2970 2182 +365 4328 +51 -3625 +-175 -3047 +4672 4043 +-1358 -3037 +-2253 -3195 +5606 1258 +-1361 -1542 +-5905 -1656 +1876 465 +3307 -135 +1421 -6525 +3498 -3755 +5454 -287 +6557 -575 +-1748 10688 +-10940 13521 +-9718 5563 +-5081 6085 +-789 3722 +-812 155 +-4877 4961 +-2931 8959 +-4774 13158 +-10971 11318 +-4964 -2918 +-17 -7679 +-255 -4227 +5686 -4409 +2993 -2686 +-2704 -2469 +2100 2015 +4521 5447 +2372 1705 +3426 1992 +5856 -2053 +4292 -3729 +-1849 -5495 +-9832 -13295 +-6844 -3629 +5488 5568 +2213 -490 +-5257 -4470 +-1184 -1139 +-413 6455 +3070 2813 +7334 1546 +2051 5686 +-1719 -5962 +-1798 -10262 +1864 -1864 +4648 -416 +-1547 -2307 +-3939 -1883 +3360 -5128 +6358 -8367 +-795 1447 +-7478 3070 +-5916 -2330 +-2993 5345 +-768 3089 +5433 -2797 +4157 -1531 +-6934 -73 +-1260 2156 +14445 -5729 +9894 -7430 +-1089 -3146 +-3596 -5658 +-5077 -1490 +-3512 934 +-4671 1300 +-6023 2379 +1722 -909 +2560 -2246 +-3138 -5772 +277 -4990 +4064 -3726 +4678 -4671 +5728 8996 +600 17018 +-3757 10406 +104 7794 +1868 3188 +-510 628 +-400 6872 +3687 8396 +4093 11199 +-2877 11031 +-232 6951 +333 7908 +-6040 5297 +-5991 684 +-10745 -490 +-5250 -1395 +6267 -3062 +1091 1191 +-8149 385 +-7856 -8120 +-152 454 +2188 6891 +381 -7821 +5086 -9610 +4705 170 +-5269 1799 +-2770 -1251 +8668 -6265 +7036 -4780 +6057 -251 +6985 4316 +2060 7542 +6216 3922 +7301 4909 +5564 -8 +6217 -8375 +-1689 -1072 +670 1020 +3702 -5517 +-7756 -9550 +-7267 -9199 +3276 -3817 +3724 -3558 +-1083 2696 +-1341 10224 +329 5621 +4033 3716 +5253 72 +-4045 -4449 +-9072 -2524 +-4567 -2915 +1740 -1323 +6887 4436 +4770 8279 +-408 4765 +-2564 -2992 +-612 -8054 +-1095 -8458 +-3048 883 +1422 7136 +3955 3001 +7835 1547 +7626 446 +-2930 344 +-5308 3440 +-5860 -3479 +-8091 -12097 +-6741 -3241 +-2870 4325 +2355 -1444 +-5681 1314 +-7099 6248 +3462 6749 +2093 8935 +4346 5115 +5611 5531 +-1160 7854 +-4136 4437 +-8520 6722 +-4933 2434 +2838 -6383 +1603 136 +-6048 5527 +-11489 -872 +126 480 +6258 3693 +-4786 -6065 +-2247 -10430 +5034 -2623 +858 5955 +-3074 1140 +2663 -13029 +824 -4951 +-2781 7111 +4004 -186 +398 -2339 +1017 -4683 +8838 -6094 +7055 -988 +3451 -3674 +-3136 -6053 +-8114 -713 +-3344 5425 +3077 5326 +4023 8096 +-788 8713 +-2805 926 +853 3126 +3533 -2028 +474 -4406 +-5650 11274 +-4605 4470 +4350 -6482 +8369 -4533 +6854 -7766 +10946 -4064 +12584 -183 +2053 1224 +-5144 2649 +-1994 -409 +-984 1209 +-6430 1089 +-4469 -2663 +4976 -2781 +440 2712 +-5948 10229 +1680 6480 +3458 -3860 +-484 -5619 +-3458 -789 +-11014 631 +-11805 945 +-4289 2447 +-1895 -989 +-7928 -2202 +-12526 -441 +-6670 -524 +-1022 3289 +1149 5961 +4186 -1233 +-1709 -10267 +-3981 -6827 +4524 1703 +348 3482 +-7761 716 +-3041 -2119 +-42 -75 +-3588 -979 +-1718 -2594 +8994 969 +13376 2617 +7029 7378 +6148 6810 +6596 4801 +-1488 2360 +-6458 -11414 +-2568 -4246 +2403 6984 +3030 -2745 +-1172 -1954 +-2245 -4120 +2996 -10146 +4901 -5264 +334 -4926 +-6341 -2361 +-6775 4818 +972 6161 +5896 1808 +8914 3434 +10661 8524 +2869 4366 +-4741 2325 +2362 2724 +2541 -1067 +-1667 2996 +-2764 6813 +-8390 5007 +-7366 1410 +-2950 -7110 +-2162 -8462 +5186 -4833 +9022 -3794 +5328 3468 +5225 8165 +-685 5356 +-8968 5251 +-8104 1434 +-5303 -2111 +-5 -52 +1811 -3552 +-3681 -518 +-3353 1085 +-1871 -10751 +-6065 -8274 +-4237 1118 +5317 2082 +3580 -587 +-3013 -7071 +3046 -6295 +3364 -6795 +-666 -6586 +-855 2600 +-5323 1983 +1220 -36 +9841 -355 +6762 -6772 +408 -5564 +-8119 97 +-6507 -1861 +1464 -5655 +-1653 1542 +-12413 5493 +-13430 -208 +-294 7340 +761 15530 +-4122 7101 +3636 -2197 +5390 1021 +1107 8470 +3080 3224 +6697 -5700 +2142 -9267 +-1872 -6515 +537 3898 +-2669 4128 +1954 -366 +8605 -1682 +4909 -5365 +7354 -433 +4378 576 +-2844 -5993 +-1778 18 +3936 6553 +12238 2125 +5259 1179 +-2309 4784 +3545 536 +1281 -2348 +543 3316 +2145 6840 +-3368 9154 +-4830 5814 +-6899 -3297 +-7205 -4843 +850 -6972 +4603 -8636 +6377 -774 +9333 2765 +2895 2696 +-2765 717 +-1611 -4673 +-4167 -2153 +-4599 2720 +603 4258 +2402 8796 +417 8644 +-149 1803 +-3252 -3194 +-3737 -2905 +3256 807 +5165 -688 +-1262 -3361 +-5182 -870 +1033 -344 +5897 -1661 +1373 15 +-2602 5418 +-5128 8702 +-4452 4884 +1220 3208 +5172 2126 +4681 -3534 +3637 -2983 +4877 -1928 +7542 -3343 +12704 525 +5458 166 +-5762 1643 +953 3376 +-371 -10003 +-4129 -13858 +1530 -1969 +-2193 1067 +793 2615 +4613 4221 +3745 -3971 +7277 -7507 +3016 -4370 +-173 -5240 +1000 -2537 +3871 10372 +3884 17099 +-3265 4151 +1286 -3440 +4711 11551 +199 16545 +-1095 2622 +-2173 -5485 +-2130 -4329 +-7134 -3647 +-7754 -4391 +-2598 2035 +-2240 5787 +2362 45 +652 -99 +-2262 -7849 +-1062 -15374 +-3063 -821 +3791 3763 +1673 -845 +-11156 5155 +-10511 2382 +-5941 -1616 +-4210 -153 +-4191 -2370 +-4612 1258 +-1785 5011 +3254 2713 +7625 4829 +6018 6032 +1102 1423 +-4572 -176 +-4808 329 +2977 -1613 +3475 -3953 +-3248 -1574 +-4577 2810 +559 -996 +3152 -4964 +251 -2980 +2869 -6353 +4401 -9683 +-3790 -6308 +-6405 -627 +754 -2703 +6283 -6686 +2185 -3747 +-5184 -1221 +-2130 1415 +-119 1579 +-7614 -6611 +-8451 -11151 +-723 -3511 +2676 1093 +529 -4587 +-2185 -2381 +-6760 4869 +-6345 3069 +28 -3792 +-1930 -7009 +-8721 -802 +-8836 3371 +-2827 -391 +557 -177 +2960 398 +11608 -3973 +12236 -1737 +6165 8027 +8265 6670 +7438 -1923 +-1032 -3463 +-2885 -4212 +-613 -1469 +-5424 4181 +-1192 7998 +5330 9084 +-2852 812 +1566 -3824 +3275 597 +-8205 3191 +-3542 -334 +1322 -6013 +8534 988 +12453 7733 +-2134 4629 +-4505 1117 +-1191 -7599 +209 -4597 +1314 5532 +-3344 -796 +5777 -2299 +10626 -1352 +2141 -7568 +-332 -3136 +-3678 1430 +-4896 -527 +-399 4745 +6883 7203 +11778 1200 +7056 5720 +2456 13723 +1616 6140 +634 -699 +-1417 -543 +-1976 -6626 +-2952 -9972 +-7106 -2149 +-5386 3682 +-1237 -2493 +418 -9037 +-714 -4191 +-4763 2493 +-685 2448 +1034 1261 +-10236 175 +-13974 -2566 +-2907 -2067 +2756 -976 +-4561 -4427 +-11929 -3819 +-10352 856 +-6266 -1244 +-1376 -1693 +-857 783 +-1842 4923 +70 1628 +750 -6927 +1367 929 +801 4245 +2788 -3487 +3113 -1559 +1999 488 +3480 2784 +1334 172 +732 -4986 +1137 4415 +104 4027 +-1059 -5514 +-2332 -2471 +-935 1171 +-4242 2133 +-9045 449 +-13487 2836 +-14269 8684 +-2705 -50 +1867 -5863 +-1492 -2367 +-1946 -161 +-1095 2393 +10181 -1737 +16285 -712 +4171 4205 +-7898 -2793 +-8709 -3084 +-3869 454 +720 -3738 +4756 753 +4810 7318 +6583 -987 +6179 -5701 +180 672 +2375 -5492 +3105 -10414 +5269 1697 +9376 5025 +785 -489 +2937 -591 +9149 -1844 +394 -7033 +-525 -7179 +4128 484 +-508 2750 +-4884 4528 +-3774 9561 +-2417 524 +6410 -11232 +13238 -9255 +1848 -2831 +-3705 1048 +-427 2784 +1891 3348 +3159 2626 +-10688 2830 +-16350 6611 +-7631 7513 +-5576 3171 +-2218 -305 +-153 1169 +1810 4773 +1113 1095 +-4046 -5600 +1666 -249 +3411 2467 +-193 -4413 +1342 -3151 +1834 -946 +4529 -324 +1089 -812 +-4732 -4453 +-7040 -1244 +-8686 -1411 +-4059 -1403 +-2516 3512 +3249 5672 +6607 -773 +-4327 -3267 +-1726 3122 +7545 1613 +6738 -844 +1292 -3645 +-2861 -8880 +6107 -2567 +7682 211 +-886 -5116 +-2482 -7212 +-4348 -2477 +1024 5182 +3265 -1935 +-5126 -5854 +-5057 3006 +567 3643 +3936 5452 +3368 9481 +3426 9012 +3179 3893 +1411 -6744 +8586 -5215 +10908 3191 +-573 3621 +-7923 4197 +-2385 6275 +2889 6443 +2689 2485 +9773 -1925 +8274 -274 +-1831 1480 +-154 3542 +-5068 6521 +-6624 1103 +2937 -6232 +-4122 -6004 +-9936 -5526 +-827 -8233 +4065 -8437 +8954 -2233 +11004 757 +2412 -5870 +1849 -1911 +3607 8815 +-2814 5310 +-815 1855 +-2547 -488 +-9042 -7353 +-4631 -3094 +-4545 3846 +-4964 5250 +2356 7563 +2629 5588 +-7478 -278 +-9682 -6623 +1151 -8883 +-441 -1753 +-8218 5112 +-7873 6659 +-7830 4602 +-4047 1587 +371 4275 +6338 1999 +4749 -4974 +-5480 425 +656 3428 +6925 -3785 +4758 -5029 +-1284 -3488 +-8043 -4640 +3092 -5874 +6181 -4840 +-3928 -3181 +-4360 -3294 +1409 590 +-2928 -465 +-3880 -1727 +4739 -2943 +1475 -6279 +-1932 1051 +-1412 3641 +4505 -217 +11109 -2272 +8623 -8637 +1766 -5207 +-2112 4201 +2024 4231 +380 -553 +-9441 -4567 +-12105 952 +-7532 4424 +413 1316 +3771 2051 +-923 -3120 +-6014 -3127 +-1822 -340 +4209 -4408 +-1338 2437 +-888 567 +3738 -6549 +-3105 958 +-5076 661 +-2161 5120 +-3280 16014 +-2487 11087 +148 7434 +-3469 7646 +-6490 -2044 +704 -11074 +4341 -3600 +2083 9926 +-332 9418 +-3688 837 +-1111 -2100 +1539 807 +79 -3726 +-5294 -9562 +-7587 -3566 +-1065 -4463 +-4064 -9725 +-6959 -3929 +121 1717 +-301 665 +-614 -3008 +5870 -266 +10079 5202 +2191 4116 +-10147 -112 +-5698 -6097 +6574 -4606 +8763 2420 +8117 2199 +14728 1489 +11785 1351 +827 -3077 +2582 -4494 +-3227 -118 +-6118 891 +4479 -1286 +-2242 1454 +-4357 1296 +1771 -5294 +-2927 -5597 +-838 2012 +1254 3616 +3405 -3055 +7296 -8788 +1232 -11995 +-1970 -5115 +1078 4432 +4356 1863 +-2 -612 +-10399 2576 +-8966 6123 +-3298 -936 +-3400 -6849 +-1514 -3308 +5733 -4294 +1742 -1162 +-9018 5409 +-6961 1899 +-7463 4438 +-10476 6338 +-2723 3635 +2453 7200 +-1150 4018 +-1017 -2644 +6215 -4022 +4911 -3302 +-1823 -8520 +-1413 -12010 +-2273 -5566 +-3004 -8369 +-536 -5096 +227 8130 +-1591 4240 +-6416 92 +-7964 2737 +-5244 1379 +-1647 -6020 +499 -12517 +-693 -5397 +1644 3742 +4908 6837 +1801 1350 +-1698 -3138 +1733 3021 +8876 1259 +7934 4482 +552 7645 +-3474 -6090 +-2170 -1404 +4674 10887 +6923 7839 +1923 6180 +3782 612 +4675 -3656 +-6914 79 +-11263 -1029 +-17 1184 +5781 3340 +2766 -4262 +5474 -6694 +8706 -8688 +1698 -6111 +-5048 6668 +-1574 -601 +6030 -8302 +9782 451 +5907 -1869 +-1248 -379 +-1048 2894 +4913 -4589 +4741 -5172 +-785 1124 +-2606 10405 +-2569 10275 +-4185 183 +-6183 -3972 +-7141 -2727 +-3272 -1438 +1718 -6942 +617 -1894 +-3620 9894 +-4269 5926 +-3023 8182 +-4136 11489 +211 4459 +6550 3804 +1718 3761 +-2512 -1329 +2228 -6972 +1694 -5532 +-6524 -3348 +-8222 -93 +-3374 2666 +1296 -1220 +3846 2670 +5173 4936 +856 -3427 +-8201 -5478 +-8104 -1140 +-5049 -1666 +-7099 -3328 +-2149 -4648 +1029 -2778 +774 1004 +3696 3109 +-31 7251 +-2362 6947 +-2675 5014 +-3362 9092 +2187 6306 +1913 -4556 +-1214 -7060 +1896 -1443 +-770 1080 +-6453 2698 +-3366 7433 +-424 10506 +-1266 7221 +-1247 2197 +-2516 3131 +3438 -1462 +5158 -9365 +-4748 -4410 +-3358 488 +708 -2901 +4991 -8049 +7474 -7728 +-6083 -5916 +-3736 -10359 +12479 -3035 +10018 4282 +-1211 -743 +-6456 961 +-6250 -3595 +-6881 -5488 +538 5161 +7648 6576 +504 5925 +368 4343 +-1277 -5002 +-3494 -13296 +8953 -5879 +10117 7936 +5557 -1344 +8240 -9261 +5307 -1851 +317 1046 +1384 5181 +7803 -242 +5716 -7056 +-2905 896 +-2770 2933 +-4356 1178 +-10073 1864 +-9298 2015 +-3872 5830 +-660 4310 +109 -1857 +4735 -575 +7281 188 +-1553 -3096 +-9962 1400 +-7037 4577 +-5032 2127 +-7738 2223 +-4776 -1988 +-1061 -2705 +1233 228 +4945 -50 +4712 5545 +2504 6272 +405 4802 +-1215 3614 +-4881 -5862 +-6880 888 +-1894 3667 +4339 4217 +2798 12601 +-3179 573 +-54 -9557 +2566 -2194 +-5604 776 +-7338 822 +2266 3161 +7376 3708 +1013 426 +377 -6641 +5788 -4989 +-3249 8432 +-8829 7317 +-1785 724 +3283 5323 +8019 -905 +3799 -1189 +-1827 4606 +3000 -5684 +4661 -5127 +6937 2622 +9320 985 +3697 -1010 +-721 -1650 +2133 -1003 +5786 -5201 +-598 -5769 +-5172 -3481 +-1688 -7970 +-5490 -6526 +-3684 -1780 +6953 1753 +10267 2507 +9932 1123 +4827 8539 +469 13479 +3158 5988 +1911 -6084 +-2355 -9175 +-3225 1585 +846 11685 +1186 12458 +-7140 5975 +-9910 3513 +-7585 4860 +-1255 -490 +5839 -6466 +1306 -8965 +1360 -6570 +3209 -4704 +-5552 -4982 +-5633 -653 +-97 -556 +-1461 -1273 +-6889 2506 +-8111 4928 +-4825 1192 +-5733 -6047 +-190 -51 +1796 3687 +-7690 -1056 +-4753 5133 +951 3833 +5949 199 +10247 7378 +-2156 2404 +-6277 -7066 +599 -3232 +-3796 4210 +-5146 5338 +3850 884 +8151 -1955 +1061 -262 +-4188 -2372 +-994 -1617 +239 191 +24 40 +2 1 +4 5 +5 4 +3 -1 +10 -10 +7 -13 +1 -11 +6 0 +5 10 +1 5 +-1 -3 +0 2 +2 3 +3 8 +5 7 +1 0 +1 -5 +3 0 +3 5 +3 5 +0 4 +2 1 +9 -2 +4 2 +-1 -3 +2 2 +3 9 +-2 7 +0 3 +2 -4 +4 3 +-2 0 +0 -4 +1 -2 +1 -4 +5 0 +-3 3 +-6 -2 +4 -3 +3 -2 +1 -2 +2 4 +-2 5 +-3 13 +7 44 +22 48 +22 53 +-5 62 +7 77 +-28 966 +-342 6042 +1041 8643 +4152 -788 +879 -6787 +-284 360 +6488 -126 +440 -4301 +-9301 -1578 +-9479 -7 +-8443 -1879 +1083 -4312 +7236 -279 +-428 687 +14 -6481 +4830 -605 +2406 9245 +838 9424 +2656 8059 +4018 -1563 +-354 -6930 +-197 357 +6525 -1315 +-272 -4334 +-9614 109 +-9516 1731 +-8813 -354 +303 -4510 +7241 -1589 +-255 780 +-1185 -6389 +4668 -1459 +4059 8700 +2617 9168 +4219 7507 +3796 -2303 +-1697 -6829 +-152 418 +6278 -2544 +-1123 -4237 +-9642 2034 +-9220 3610 +-8882 1416 +-584 -4491 +6928 -2961 +-118 811 +-2374 -6147 +4455 -2297 +5664 7840 +4231 8622 +5513 6727 +3353 -2941 +-2900 -6414 +-57 449 +5860 -3666 +-1846 -4048 +-9225 3703 +-8490 5208 +-8579 2982 +-1423 -4312 +6379 -4213 +57 793 +-3534 -5589 +3974 -3082 +7174 6652 +5889 7681 +6804 5507 +2777 -3611 +-4100 -5752 +32 468 +5186 -4660 +-2581 -3579 +-8546 5351 +-7533 6646 +-7995 4449 +-2187 -3990 +5562 -5236 +162 838 +-4523 -4771 +3342 -3716 +8272 5282 +7236 6555 +7732 4262 +2139 -3991 +-5074 -4937 +62 475 +4338 -5429 +-3171 -3078 +-7577 6595 +-6363 7758 +-7188 5741 +-2859 -3568 +4618 -6049 +317 787 +-5284 -4014 +2683 -4262 +9116 3807 +8237 5203 +8348 2881 +1488 -4243 +-5869 -4061 +135 403 +3455 -6060 +-3622 -2511 +-6458 7725 +-5105 8665 +-6280 6778 +-3400 -3106 +3685 -6695 +457 703 +-5853 -3153 +2034 -4560 +9639 2426 +8980 3866 +8778 1551 +884 -4412 +-6428 -3055 +235 394 +2452 -6460 +-4029 -1845 +-5208 8700 +-3720 9365 +-5142 7594 +-3805 -2526 +2635 -7097 +565 631 +-6269 -2260 +1373 -4757 +9852 1014 +9456 2586 +8970 366 +231 -4492 +-6863 -2157 +237 342 +1544 -6725 +-4254 -1344 +-3958 9192 +-2320 9665 +-4014 8217 +-4133 -1904 +1594 -7406 +657 504 +-6541 -1299 +620 -4916 +9925 -545 +9720 1066 +8875 -1073 +-517 -4422 +-7114 -997 +327 297 +457 -6832 +-4399 -561 +-2342 9693 +-720 9858 +-2677 8685 +-4459 -1220 +362 -7503 +702 479 +-6751 -191 +-222 -4935 +9364 -2283 +7177 321 +2120 4075 +-8168 3434 +-9256 4539 +-3788 9377 +-4389 2361 +-3054 -4810 +4511 -4440 +3047 -6710 +-7536 -4832 +-5325 -4057 +2095 -5233 +6526 1041 +6699 -2367 +304 -8872 +2943 -1261 +820 4793 +-6217 6886 +33 7030 +5408 625 +6104 1389 +-876 7781 +-4524 7313 +2976 3996 +3497 -2991 +5241 -5184 +5758 5594 +5126 7160 +7534 -3266 +-117 -9339 +1064 -7550 +7389 996 +3726 188 +-888 -5512 +-7962 -3416 +-4995 -5683 +4596 -5318 +3495 -3540 +-4361 -5446 +-8692 -1776 +-1605 -3662 +3979 -552 +5473 7054 +6966 -2264 +4561 -5029 +3941 3719 +-2009 6848 +-3387 8087 +6344 3374 +5759 574 +-841 5249 +-5546 220 +-8983 -5409 +-9897 2118 +-7627 2200 +301 -4395 +2468 3501 +2513 9714 +8741 4914 +3891 5720 +-7298 4840 +-4974 -6307 +-2731 -10156 +-8451 -4344 +-2311 -2349 +4476 1592 +-4160 7821 +-4518 9343 +2994 9437 +-1914 4573 +-5515 -1639 +723 -6256 +-1907 -7029 +-9072 1268 +-6835 434 +-1724 -5250 +5903 -3405 +3909 -6098 +-5451 -6868 +1477 -2818 +3760 3118 +-473 9252 +4608 5251 +4685 -3059 +5690 -2956 +4504 6317 +1319 8360 +4945 1042 +731 -4487 +579 -7314 +8141 297 +8731 1933 +3703 -7359 +-6275 -6815 +-4305 -6266 +6293 -4141 +3040 -2338 +-4327 -3482 +-8441 2744 +-7737 -852 +-49 -6935 +386 -4871 +-6987 -1049 +-7937 4403 +-3723 -1676 +2658 -3043 +8939 1545 +3940 -6244 +250 -6640 +5558 151 +3037 6370 +2763 8173 +7110 -1733 +4804 -3381 +2848 4399 +-4112 3816 +-10556 2019 +-6278 8130 +-4454 6588 +-2767 -3449 +4149 953 +8351 5485 +9990 -2072 +6721 1704 +-2447 8355 +-7928 -1333 +-8789 -5643 +-9401 2361 +-3287 -247 +4585 -1804 +1980 8427 +2653 9757 +8545 4915 +1536 4602 +-5389 2429 +-3581 -5061 +-6095 -3937 +-6303 6806 +-5102 4688 +-4817 -2776 +2361 -6262 +-906 -6966 +-8678 -1605 +-633 -3051 +4964 -76 +5573 7220 +7047 967 +1769 -5297 +2635 -5817 +7618 1883 +6419 5456 +4616 -2404 +-2220 -3837 +-4161 -5826 +6622 -4893 +8152 -4072 +-1766 -7942 +-9335 -1294 +-7359 -2159 +2165 -7033 +4443 -3212 +10623 -389 +11163 2058 +6889 -861 +3239 -3253 +-2513 2663 +-2010 1992 +-2501 -1461 +-5492 4803 +-10102 5343 +-4623 -1889 +7010 -11858 +-4717 -13303 +-12394 -3818 +3870 -3530 +11480 -2144 +6389 925 +944 -2244 +2102 605 +2665 985 +-5162 -297 +-6617 4407 +-7458 8775 +-8221 5524 +3259 -1339 +6947 3073 +916 3939 +-3325 -967 +-9548 1741 +-3634 1356 +2562 3408 +-8624 6256 +-8631 3418 +-1890 2401 +-4253 3169 +3718 6126 +11135 2465 +4868 -707 +-1833 3362 +-5874 -1869 +-5824 -7695 +849 -6725 +5837 -4853 +1161 -3462 +-3816 -3831 +-3996 965 +-6149 4764 +-6852 -972 +-5555 -7162 +-76 -5667 +3959 -171 +-1440 5449 +214 11076 +5540 4396 +3824 -4356 +2909 -2158 +5243 -7671 +7488 -7079 +2704 3519 +1501 -3116 +3478 -9182 +-301 -2595 +3326 2432 +1875 7091 +-1979 2591 +7450 -7453 +10178 -5898 +4822 -5077 +432 -4458 +-233 3633 +-278 2762 +-2966 526 +-1215 7143 +-4402 10526 +-4889 1641 +-2239 -13372 +-12502 -6765 +-12330 5272 +690 -5229 +6755 -9354 +-2611 -5771 +-14809 -8930 +-2305 -7548 +-2501 -1250 +-8074 42 +1894 2518 +1940 9824 +8705 4463 +10429 -3974 +686 9712 +2660 18673 +669 3413 +7200 -5878 +13668 -4245 +-388 143 +-7230 13045 +-5131 11126 +-2258 -2988 +828 402 +3880 4147 +9970 1086 +5048 5387 +-5212 5791 +-8196 3779 +-3902 5139 +6870 645 +9941 -5038 +3129 -6799 +831 -8415 +3068 -10911 +-2474 -8934 +-8507 -844 +-2602 -2827 +247 -8889 +106 995 +6918 8467 +5148 2959 +-5708 -1047 +-7929 84 +-3375 4589 +-2899 2859 +-2706 -1728 +491 -1833 +2047 -3753 +783 1537 +-976 5168 +-980 -91 +-3964 1804 +-4593 1158 +-184 3054 +-6707 6886 +-10346 -7401 +1038 -13954 +1926 -6278 +-6974 -2965 +-5440 -311 +-396 1142 +-1353 4326 +-2034 4065 +-862 -3179 +2631 -6622 +5925 -3515 +4866 2447 +5478 10 +-5290 -10 +-17737 3781 +-6903 -3751 +-2767 873 +-6072 5487 +3146 456 +8125 5658 +9584 -2768 +5183 -9854 +7114 6513 +14750 11577 +2948 2012 +1523 -9082 +7533 -12182 +-168 454 +3142 13852 +2922 11661 +-10143 4576 +-13818 383 +-6567 -2277 +-4435 -4230 +-5894 -8563 +-4019 -5731 +4282 5134 +7332 4387 +4599 3833 +2776 10119 +-6303 3584 +-8546 1291 +613 7527 +6824 5287 +6968 1564 +2091 -2104 +1341 -2098 +2978 -1042 +969 -2870 +-4141 840 +-4487 3904 +-241 6695 +-7941 4628 +-7235 -3416 +2968 -1237 +-1431 378 +2317 109 +5711 2480 +-3459 -750 +-3813 -2846 +284 -8948 +7320 -8633 +10317 3153 +1057 -147 +-5521 -5765 +-1891 -504 +5348 2113 +4742 -687 +2482 -4772 +49 -2808 +-4817 -1090 +-378 -2224 +-773 -5203 +-6034 -10792 +318 -3197 +4969 2855 +4147 -8070 +3887 -10219 +4938 1004 +7408 7356 +6090 3359 +2862 -1013 +428 -3618 +-5014 -7141 +-7005 -2740 +-2419 2275 +-3545 5313 +-4193 11381 +1140 8701 +508 2336 +373 58 +1592 -1951 +1656 -1345 +3091 3216 +-4434 10162 +-10156 9680 +-6539 2809 +-6158 24 +-10230 -1989 +-6938 -1278 +6764 779 +8602 -1816 +6139 -282 +9072 5478 +-2276 6828 +-5714 6679 +5454 5071 +8748 -673 +7032 -3339 +4980 -1928 +1165 2212 +-3799 6493 +3510 2596 +2826 1016 +-5371 -150 +-6064 -4628 +-2135 380 +3787 526 +723 -243 +-4427 1763 +-3814 -393 +-6940 9241 +-6670 16835 +845 8630 +6699 -2570 +-449 -4804 +-8294 -5803 +2701 -15401 +8683 -10049 +1299 -1326 +4228 -3764 +3613 1526 +-5578 -264 +-2493 -6600 +1655 -6688 +-614 -5409 +2878 -978 +3446 -2284 +-2074 949 +-4466 4755 +-2643 -5523 +4285 -8928 +6780 -4054 +4585 -4593 +6313 -4476 +2742 -267 +-1929 -424 +-1702 746 +-1840 7240 +806 4288 +-2487 -1099 +-11925 308 +-8326 3273 +1592 3432 +369 -82 +-4341 2392 +-4881 3931 +-3899 3789 +-218 2823 +2645 -3475 +4811 5867 +7713 11232 +1984 -1898 +-2140 -3859 +7202 1894 +9730 9053 +2637 8845 +6249 -6243 +8589 -11285 +1505 -3448 +-356 695 +-5181 1374 +-8294 1116 +709 -3192 +3059 431 +1269 7392 +4398 -301 +2816 -987 +-4287 3476 +-7766 610 +-1426 1732 +3311 -2045 +363 -590 +-2326 4230 +-3249 2233 +515 11458 +5632 16851 +6200 5821 +4012 -5820 +893 -3636 +-26 306 +50 63 +19 -10 +2 -1 +0 4 +1 -1 +3 -8 +8 -8 +7 1 +4 8 +2 0 +0 -4 +-4 1 +-1 3 +1 -2 +2 -4 +5 0 +4 1 +5 -2 +7 0 +1 -1 +2 -4 +-3 1 +1 -2 +4 0 +0 0 +-2 -3 +5 0 +2 7 +-5 8 +-8 2 +-4 -1 +-5 3 +-5 -2 +-7 3 +-5 6 +-2 -3 +2 1 +3 2 +-3 2 +-2 1 +4 5 +1 1 +0 -3 +1 1 +2 -1 +-2 2 +-4 -1 +-2 -4 +-5 3 +-3 7 +-6 6 +-5 4 +-3 2 +-5 -1 +-2 -3 +3 -2 +7 -5 +0 -2 +0 3 +4 5 +3 -2 +2 -3 +0 7 +3 1 +-3 0 +-5 -2 +3 4 +0 6 +2 -5 +6 0 +6 0 +3 0 +4 -2 +4 -3 +3 -4 +2 8 +0 4 +1 -6 +0 -4 +4 -5 +5 -9 +1 -11 +1 -11 +6 -7 +2 0 +4 1 +1 -4 +-1 -6 +-2 3 +1 7 +3 2 +1 6 +-2 3 +5 3 +4 6 +4 4 +5 3 +1 4 +-7 7 +-3 -4 +3 -5 +-3 2 +-5 0 +-5 -2 +0 8 +-2 3 +-5 -3 +1 0 +4 1 +11 4 +4 1 +0 -1 +7 2 +3 5 +0 6 +1 2 +6 0 +3 -2 +-8 -5 +-6 -6 +-1 0 +1 0 +6 6 +4 7 +1 -3 +-2 -2 +1 7 +8 2 +5 8 +2 7 +-10 -2 +-6 0 +-2 -2 +2 -1 +3 3 +0 4 +1 7 +0 2 +3 1 +2 -3 +2 -1 +1 2 +2 0 +6 3 +8 -4 +8 -4 +1 3 +-2 3 +-2 -2 +-3 -3 +0 1 +2 0 +2 0 +8 2 +3 4 +-1 0 +-7 -1 +-1 1 +1 1 +0 -1 +0 4 +-1 5 +2 3 +9 -3 +7 -4 +3 -1 +8 3 +4 2 +-3 8 +-3 5 +-3 -3 +-3 -1 +-9 -2 +-5 4 +-2 0 +4 2 +6 6 +12 3 +28 21 +47 9 +49 12 +59 14 +-3 238 +-1006 3451 +-2106 9190 +2122 5245 +4684 -5879 +-571 -3494 +3754 2576 +5937 -2454 +-5917 -4796 +-10138 -2435 +-9438 -2843 +-3375 -4862 +7633 -1495 +2917 2585 +-1064 -4518 +4888 -4719 +2658 7394 +-1090 10507 +-238 9884 +3405 4467 +3559 -6881 +-1235 -3407 +4351 1815 +5558 -3615 +-6896 -3560 +-10681 -347 +-9991 -888 +-4324 -4110 +7304 -2976 +3411 1930 +-2013 -4211 +3875 -5541 +4128 6778 +1050 10557 +1796 9788 +4333 3767 +2091 -7418 +-1976 -3032 +4636 928 +4720 -4645 +-7571 -2020 +-10696 1885 +-10148 1204 +-5222 -3105 +6555 -4367 +3776 1262 +-2840 -3764 +2719 -6252 +5507 5902 +3166 10233 +3663 9365 +5028 2897 +633 -7763 +-2621 -2640 +4826 -25 +3901 -5574 +-7886 -633 +-10304 3879 +-9920 3087 +-5825 -2148 +5738 -5642 +4055 463 +-3528 -3204 +1524 -6707 +6673 4711 +5220 9447 +5559 8461 +5616 1763 +-889 -7768 +-3073 -2043 +4834 -976 +2704 -6158 +-8017 1074 +-9464 5862 +-9225 4949 +-6199 -962 +4572 -6643 +4087 -308 +-4138 -2394 +184 -6781 +7417 3450 +6886 8370 +7035 7315 +5850 788 +-2256 -7367 +-3419 -1407 +4583 -1780 +1623 -6482 +-7793 2333 +-8397 7225 +-8316 6406 +-6292 87 +3492 -7297 +4078 -990 +-4473 -1715 +-951 -6771 +7941 2091 +8169 7058 +8131 6022 +5955 -197 +-3429 -6981 +-3687 -939 +4288 -2492 +661 -6679 +-7314 3484 +-7193 8437 +-7255 7549 +-6222 965 +2320 -7728 +3841 -1569 +-4748 -982 +-1977 -6507 +8246 925 +9224 5705 +9028 4664 +5967 -1118 +-4454 -6330 +-3777 -339 +3865 -3090 +-434 -6618 +-6673 4703 +-5722 9574 +-5899 8691 +-5992 2080 +997 -7920 +3620 -2179 +-4819 -184 +-3091 -6063 +8274 -567 +10089 4019 +9750 3081 +5716 -2099 +-5526 -5428 +-3892 334 +3309 -3696 +-1536 -6514 +-6021 5536 +-4299 10165 +-4644 9333 +-5666 2843 +-101 -7961 +3226 -2631 +-4870 392 +-3863 -5642 +8192 -1581 +10589 2696 +10084 1784 +5346 -2857 +-6258 -4665 +-3792 817 +2844 -4106 +-2381 -6125 +-5080 6429 +-2717 10688 +-3169 9881 +-5206 3667 +-1419 -7837 +2796 -3100 +-4738 1291 +-4796 -4832 +7755 -3037 +9439 460 +4746 3322 +-4679 4124 +-11756 1684 +-7204 7769 +-4854 6589 +-4425 -3968 +1994 -4715 +6851 -5171 +-2934 -7283 +-8090 -4889 +311 -6034 +5213 -1053 +8059 2319 +4008 -7698 +1696 -5724 +3155 4059 +-5886 5508 +-5217 7751 +3548 4365 +6753 568 +2208 6395 +-6664 7974 +-1176 5969 +4217 1164 +4996 -5842 +6700 1658 +3155 10195 +7548 2669 +5193 -7509 +-25 -10336 +6632 -1826 +5678 3429 +1905 -4089 +-4751 -5545 +-8105 -5570 +2336 -6685 +6145 -2870 +-136 -5600 +-8227 -4847 +-5604 -2958 +3278 -3639 +4064 6331 +6737 4133 +6761 -5860 +4390 881 +394 6636 +-6287 7640 +1500 6863 +8004 1465 +1253 3872 +-4478 3626 +-7769 -5967 +-10075 -3037 +-10596 3350 +-3105 -3240 +3029 -1110 +378 9715 +5616 8399 +7835 5770 +-4757 6664 +-7772 -2226 +-908 -11272 +-5871 -8070 +-6831 -3743 +3841 -357 +-708 6082 +-8475 8722 +-869 10431 +319 8078 +-6086 -166 +-1459 -4833 +2153 -8289 +-6940 -3587 +-9499 1566 +-3717 -4645 +3408 -4171 +7920 -3608 +-1827 -8310 +-2460 -5316 +5111 881 +-885 7917 +1085 9110 +5633 768 +5551 -4122 +6030 3160 +242 10139 +3013 5096 +3994 -1970 +-52 -7572 +6187 -3223 +9090 5340 +7568 -2955 +-877 -8923 +-6778 -7618 +3927 -5611 +6651 -1145 +-1175 -4317 +-7343 -1105 +-10063 1031 +-2547 -6469 +3028 -6078 +-3621 -4124 +-9627 1544 +-6391 1259 +264 -4848 +7304 2269 +8092 -1098 +1729 -8688 +4082 -2069 +4605 4821 +-138 9857 +5494 4271 +7271 -3928 +3521 2180 +-1134 5588 +-10022 534 +-9985 4223 +-6162 9211 +-4573 -537 +1600 -2797 +6578 7029 +9912 2696 +9879 -611 +482 8113 +-7589 3335 +-7984 -7861 +-9853 -2321 +-7758 1533 +2832 -2836 +3492 4903 +-935 11876 +6114 8264 +5614 5771 +-4832 3943 +-4379 -2579 +-3814 -7556 +-7804 1878 +-6417 7292 +-5997 -1091 +-135 -5282 +3811 -7783 +-6837 -4938 +-5400 -2342 +4621 -2705 +4553 6441 +6866 6040 +4947 -3089 +1636 -6632 +6796 -1596 +6940 7358 +5759 1734 +1852 -4095 +-5041 -5556 +2673 -6434 +10656 -2049 +3878 -7277 +-6629 -6014 +-10315 -1097 +-686 -6642 +6027 -2909 +3567 572 +3664 -3020 +-3088 -5872 +-7403 -10881 +5778 -8407 +8861 2033 +7152 5166 +9520 3924 +-1565 -4783 +-3671 -12649 +4540 -6507 +1295 -4789 +-4681 -3469 +-6314 -4952 +-1004 -12623 +-1762 -1464 +-7911 9409 +-4796 7214 +-8027 3468 +-6431 -7999 +4541 -12851 +-258 -4713 +-5077 222 +-829 6881 +5501 14640 +7106 4412 +-2264 -3993 +-1809 4355 +4035 -246 +1006 -7100 +-3558 -2022 +-9000 -2120 +-4979 -2997 +3196 -2894 +3479 -2897 +2811 -2566 +3262 -891 +7949 5914 +7487 6848 +1172 3624 +6884 -227 +11589 -2324 +1993 2939 +-2926 1760 +3187 -1417 +-292 5232 +-7883 9988 +-1662 5245 +3259 3528 +2191 10864 +775 8400 +-3114 995 +-3928 1133 +-4275 1460 +-3547 87 +519 -7333 +2117 -8649 +-1612 -2921 +-6613 -802 +-188 4133 +4262 -573 +-2796 -2268 +2995 10425 +10979 9843 +4676 -351 +701 -5088 +-6215 -2313 +-12813 -3261 +-942 -9991 +8266 -4311 +9031 -842 +10037 -3247 +-4252 -2545 +-11076 -7005 +-727 -7730 +-2060 -4400 +-5890 410 +-8102 242 +-8983 -9041 +-3633 -3698 +-4285 1992 +-4186 -207 +-2138 795 +-7269 -2561 +-14569 -14930 +-7767 -14683 +-4359 -5434 +-2306 -845 +4549 5517 +-8712 3072 +-9230 -2369 +1647 -3972 +-2490 -2405 +5357 6468 +3016 5784 +-3660 2362 +9434 3981 +14313 -657 +18770 256 +16718 2408 +-812 -322 +-6019 2213 +-615 3017 +2984 1039 +-1070 2271 +-3822 1689 +2965 416 +6679 2799 +476 1598 +-8253 -5584 +-2706 -4238 +7693 2804 +7051 790 +5973 -3744 +5668 -1172 +1934 3595 +-5257 3098 +-10011 2512 +-5514 5956 +2121 1958 +4743 -7594 +857 -4730 +992 3609 +3803 86 +1224 -1850 +950 3210 +-927 -4157 +-6628 -9898 +-7123 3373 +577 9471 +7824 2061 +4822 3974 +618 4519 +67 -6148 +-2055 -5404 +-8531 2548 +-10438 -1710 +1142 -4599 +4214 600 +-4803 379 +-4755 -348 +3746 2601 +8553 1017 +2501 513 +-3597 3472 +-3397 2519 +-1069 1940 +-7335 2833 +-21191 -1406 +-15851 -5631 +-7050 -1107 +-2373 907 +7118 1048 +-4769 7950 +-8819 4291 +-1420 -3943 +-3538 -179 +8314 1317 +5877 2307 +-5569 4583 +-591 1851 +5616 184 +-1093 5760 +-5235 7065 +968 -4528 +634 -10404 +-4549 -2390 +-2715 6442 +3541 1434 +4566 -3229 +3895 -1862 +-501 -2975 +-6784 2446 +-6951 2562 +-4808 -1556 +5289 3970 +11699 -1276 +2121 -10307 +-6291 -4375 +-3671 363 +3639 2057 +-785 8248 +-6102 5804 +1995 -5001 +8374 -6726 +9050 0 +2733 6642 +-1322 9191 +-5797 6836 +-16035 6645 +-10287 6291 +-6037 679 +-2606 -3107 +7772 -1252 +-6219 -3656 +-10841 -7932 +3291 -1061 +-2847 3364 +-9588 -726 +-7577 5546 +-6193 13735 +-1599 8809 +3884 2005 +6216 1288 +3412 447 +5239 839 +11288 -15 +8074 -4953 +-386 -4078 +-1724 -3988 +666 -1722 +-1766 -752 +-3078 -14231 +-950 -8599 +-52 4969 +-802 -2831 +-1521 -4921 +3504 -7437 +6647 -9643 +5020 -2697 +9448 -1891 +9903 2092 +-969 4559 +-6937 3281 +-789 1327 +4586 -3428 +3016 4918 +661 8595 +-2327 -3923 +-6446 -7888 +-5040 1349 +2286 6484 +3643 -1347 +1250 -5302 +1662 -3886 +-2388 -1748 +-3433 6389 +-3497 6502 +-4931 2016 +4923 -1227 +8275 -1820 +509 8133 +-3772 10368 +-2730 9710 +2402 5329 +5294 -5954 +-710 -3566 +3659 3337 +6612 -2068 +-5716 -5603 +-10392 -3242 +-9363 -3460 +-2843 -5221 +7967 -953 +2459 2804 +-979 -4972 +5418 -4565 +2197 8051 +-2011 10833 +-1078 9977 +3358 4760 +4244 -6751 +-1283 -3291 +4222 2667 +6061 -3142 +-6807 -4341 +-10917 -1212 +-9920 -1629 +-3785 -4595 +7729 -2426 +2955 2299 +-1920 -4705 +4492 -5441 +3603 7528 +-6 11038 +821 10005 +4179 4044 +2895 -7340 +-1933 -2961 +4634 1769 +5405 -4226 +-7519 -3047 +-10986 765 +-10089 273 +-4618 -3728 +7150 -3768 +3376 1730 +-2747 -4244 +3410 -6232 +5054 6577 +2120 10675 +2704 9535 +4882 3156 +1497 -7732 +-2399 -2576 +4931 833 +4595 -5118 +-7927 -1495 +-10673 2852 +-9912 2120 +-5254 -2852 +6349 -5055 +3616 1018 +-3526 -3621 +2219 -6608 +6126 5655 +3927 10146 +4297 8926 +5449 2375 +303 -7765 +-2839 -2092 +4984 142 +3779 -5719 +-8162 -309 +-10260 4456 +-9556 3657 +-5695 -1963 +5496 -5927 +3946 763 +-1800 1118 +5894 -3302 +6757 -1667 +-2949 5278 +-6672 -2545 +-8462 -462 +-2143 5426 +3426 5432 +-5665 5510 +-8624 -2522 +-6293 2812 +1664 3870 +7117 1387 +860 6542 +-6554 6917 +112 8466 +9962 -195 +7724 -4225 +4566 4410 +-632 6696 +4163 -99 +3561 -7461 +229 -8500 +7599 -1791 +4252 4640 +5147 -3450 +490 -9333 +-99 -5659 +5230 758 +-4407 7185 +145 6723 +7492 3309 +1411 3626 +-5667 3699 +-9376 -1349 +-4588 4360 +1813 8084 +-2515 2212 +-5530 -1680 +1877 -9563 +-1753 -9661 +-7594 -6898 +1662 -4292 +2193 2119 +-8011 4441 +-4845 8714 +-1405 9184 +-7595 -1112 +-1544 -7435 +9137 -5727 +4304 -8603 +-39 -7258 +2150 2843 +-5372 2483 +-10640 -3397 +-8981 4264 +-6559 4425 +-2214 -5675 +2958 -2965 +8010 -2766 +-499 -7011 +-5363 -7647 +2589 -5195 +4951 1297 +6747 4057 +5754 -4969 +3823 -5636 +1157 5838 +-6861 2556 +-6908 5321 +1831 5436 +6221 1802 +-710 7709 +-8308 3820 +-3026 6284 +3785 1812 +6381 -3582 +5013 4247 +-408 9378 +5525 6296 +4284 -4282 +-5446 -5042 +-1075 -1524 +6332 -6421 +2855 -9762 +-4527 -3103 +-1131 -2353 +5054 -2764 +6338 -195 +1961 -927 +3137 -4317 +5690 -41 +-289 3717 +-6306 2847 +-3763 5523 +234 4928 +-247 4226 +-1272 3044 +-904 -3158 +-3452 -12065 +-7112 -10333 +103 4263 +-2188 1874 +-7022 -2578 +-3308 4866 +-2941 -663 +4310 2762 +649 8561 +-4608 -5447 +-3949 -8934 +-8247 -3207 +-2932 2743 +7434 3581 +6794 -7955 +-3325 -8222 +-4941 -1464 +-9510 3163 +-3826 11190 +3011 11348 +-10022 10407 +-3327 7521 +5398 -4354 +9325 -5244 +8687 2508 +-1078 8217 +9659 9328 +11709 4877 +-2996 5741 +-5620 2879 +4064 -2425 +9323 -4525 +2840 -5510 +91 -6388 +2016 -9279 +816 -4669 +-979 -9078 +-366 -7850 +603 3566 +-852 1758 +483 5691 +8008 2840 +7417 -5723 +539 -5792 +-373 1309 +-4946 9162 +-8066 3979 +-1759 -1005 +782 -8787 +-4073 -9030 +-5558 617 +-2416 -995 +2191 -5283 +4874 -4240 +969 -1941 +-381 -5249 +4385 -3800 +2229 2905 +-2998 6214 +694 6518 +3433 3465 +3966 2597 +3248 254 +-1073 -6491 +-4461 -9659 +-6667 -3061 +-898 -1836 +-1723 -532 +-3243 5638 +-1973 2865 +-3934 2346 +-3091 7108 +-9750 5427 +-12937 -3649 +-3668 -4352 +6974 -972 +12398 3790 +9616 3423 +-378 -5892 +-1310 5238 +6882 2804 +5052 -13348 +2998 -1089 +-1503 5951 +-7494 1833 +-4429 -2222 +433 -8172 +4306 -9035 +-1382 -4685 +-8970 6498 +-2028 9252 +6398 7221 +3757 3004 +-3024 -4843 +-8405 -192 +-6185 -1453 +1219 274 +741 5310 +4473 -5903 +13274 -6060 +3794 -1027 +-8650 -4757 +-6697 -5102 +-5341 3452 +-4377 2765 +-4597 -3565 +-5259 4936 +-1381 7089 +-1279 4709 +-4476 -444 +-6915 -148 +286 1683 +8708 -7005 +9934 -852 +13154 307 +10445 -2114 +2085 -2952 +2639 -9457 +8185 -2571 +802 2588 +-7360 -1476 +-1660 -2479 +2948 5738 +1254 8446 +2382 800 +7458 -3611 +3786 -2831 +-5142 -4007 +-9720 -4292 +-7273 1933 +-1894 -898 +-1705 606 +1019 6217 +292 3416 +-1629 4263 +2097 7245 +-4185 10262 +-12621 5438 +-5800 -1047 +4880 -5194 +11868 -4908 +6597 43 +-5490 2900 +377 -1590 +-994 -3437 +5287 1304 +10773 -4221 +1769 -9792 +-1101 -4365 +274 -2489 +-1196 -2617 +-560 5287 +7979 5127 +6880 -453 +1327 -3122 +-979 -4453 +-41 4424 +7767 4823 +2411 2853 +-4122 10994 +-977 7595 +-2236 4864 +2444 9282 +10902 1552 +10863 -1543 +2923 2034 +-6849 -2621 +-3034 1034 +5886 -36 +10148 -8232 +3427 -7730 +-9375 -2012 +-5333 8982 +-2565 9730 +-2659 5159 +-2714 5622 +-10759 6761 +-8060 4564 +-161 -4094 +-3931 -6411 +-6029 -3848 +1815 -3345 +1962 -2226 +2286 3432 +-5522 9166 +-10762 5896 +2499 6109 +-313 8014 +-3087 -3207 +2888 -5580 +5605 1734 +-986 -4214 +-2655 -10609 +5293 -10190 +172 -5307 +2379 1307 +-2510 -1283 +-7975 -8831 +1830 -6000 +5711 4379 +952 4281 +-4525 -2374 +4738 -4590 +1266 -2061 +-9987 651 +-9208 7338 +-4433 8948 +-391 -1789 +-3022 -1858 +4970 -2544 +5466 -10207 +-5204 -8353 +-3824 -2441 +-1475 -1978 +-2672 -1070 +3079 4212 +9514 -1613 +4919 -4913 +-1135 -3158 +-3720 -2502 +2959 3512 +9817 1892 +5132 3309 +-2736 -3183 +-1064 -5296 +1948 1810 +2364 -1006 +1530 -719 +-6322 4315 +-4392 553 +-1448 -3142 +-7390 6579 +1504 -146 +8266 -6580 +-3878 4294 +-2956 4002 +6214 2639 +8961 -2037 +9273 -5818 +1553 -5627 +-4229 -3848 +-8304 -3542 +-11891 -2757 +-3680 8317 +9010 6016 +11357 -1574 +9921 2764 +12410 10337 +3796 11911 +-3226 2381 +-2225 -7966 +-5836 -3270 +-2845 4391 +1897 -4195 +5291 -9204 +3274 -6727 +-4410 -6298 +-5284 -9236 +-3367 -9809 +1788 -2891 +4808 4673 +4456 5483 +4192 386 +2827 2192 +-218 844 +1023 -110 +11569 1008 +5001 937 +-4967 1142 +1864 -4864 +3470 -3807 +3952 -1470 +617 3581 +-2231 3343 +-1558 2441 +-6014 5753 +877 907 +-712 4924 +-12160 338 +-10405 -1586 +-5734 2754 +124 597 +-3340 5116 +-9332 2992 +-5964 3077 +2111 4110 +6680 1920 +-4150 -1106 +-4381 -3371 +2675 100 +1154 -2318 +680 -1521 +-2220 7303 +-3101 3247 +-3169 -1362 +-1619 9805 +1003 -977 +2230 -10143 +-176 5756 +281 4855 +6326 -1804 +1662 -1605 +-2625 8600 +9068 8140 +13121 -7145 +6720 -11641 +619 -8692 +-5627 1258 +-10726 7450 +-6601 -6520 +-3326 -9466 +-955 3783 +3843 -388 +-1358 -3515 +672 3828 +1353 3108 +-3406 3295 +5971 -509 +3576 -439 +-4015 3092 +2630 128 +9243 -999 +9428 -2528 +2197 674 +1974 -1300 +1344 -114 +-4074 4874 +1854 -606 +5342 -1476 +476 -4152 +-3822 -5175 +225 123 +3161 27 +-2804 -1134 +-4294 3348 +-935 6736 +4684 4374 +6630 -1779 +5327 -5658 +2987 205 +1237 2222 +108 8467 +-4664 10342 +-1686 -958 +-1746 -1213 +-7839 -2961 +-5043 -843 +-243 -561 +-4500 -5130 +-10732 4754 +-4564 4757 +-1756 -3679 +-3686 -1895 +5481 2963 +13004 5776 +3302 5487 +-784 -2085 +8048 -5708 +2523 -6368 +2566 -6168 +764 10033 +-11258 10874 +-6666 -3167 +-3066 -1640 +-4022 -1280 +-4217 3274 +3116 10812 +12442 -184 +5443 -13879 +-2424 -12921 +-5174 -6903 +-3568 4522 +-3486 12510 +-9506 -428 +-8875 -4718 +1818 3458 +2820 -2764 +-3386 -1557 +3041 2356 +3145 1343 +-461 4904 +2804 -1494 +-3817 2075 +-2238 4550 +3663 -4746 +-2272 -6557 +507 -2963 +3511 93 +3312 -1356 +6225 -2235 +8368 1241 +10129 -6054 +8441 -7762 +-59 3359 +-2637 9218 +1395 7639 +478 -312 +-126 -1093 +-2283 6487 +-326 52 +252 -5800 +-2200 -468 +2646 1607 +3437 -734 +4665 -8474 +5842 -6448 +6835 973 +5452 -171 +-1590 -4950 +-3780 -10514 +-2330 -4247 +6432 3869 +8707 3276 +-1280 2151 +-5986 -1964 +-3832 -3236 +-5580 3918 +-9283 3984 +-1603 3535 +217 10145 +1 4504 +8041 5153 +5514 10566 +2695 -3005 +4677 -9052 +3459 4808 +-6037 11132 +-9263 5380 +4698 3233 +5290 -820 +2709 -4040 +1810 -4343 +-7897 766 +-3489 8504 +389 6288 +-7156 5498 +-16692 2294 +-13517 482 +-35 -427 +-762 -5383 +1844 164 +6683 2205 +-2832 -830 +-11186 1082 +-3711 -3328 +4637 -6323 +364 5261 +1230 4597 +-413 -5937 +-6111 -3142 +-1651 -2558 +2689 -2370 +1515 -3215 +3070 -5741 +7010 -4746 +3394 -11129 +1017 -11171 +2297 2452 +4385 8299 +6291 4360 +97 -648 +-2108 -2597 +-34 -310 +97 57 +13 -21 +-2 5 +-3 8 +6 7 +6 3 +9 2 +4 9 +-2 3 +-2 1 +0 1 +3 3 +4 0 +1 3 +-6 -1 +4 6 +5 11 +6 11 +6 1 +-2 -3 +0 1 +7 2 +2 3 +7 3 +4 4 +-4 1 +4 -4 +5 -1 +-1 3 +-1 3 +-2 0 +4 0 +7 2 +4 5 +-2 1 +1 4 +5 4 +4 0 +1 7 +-1 -2 +2 0 +3 -2 +10 3 +15 -2 +6 0 +2 -1 +-3 -2 +1 -1 +-5 3 +0 -3 +1 -7 +2 -9 +-4 -4 +-2 -5 +-3 -6 +-5 -5 +-5 -8 +-3 -8 +-6 -10 +-2 -5 +-5 -8 +-1 -7 +6 -7 +-2 -4 +-3 -6 +-1 -2 +-6 -1 +-2 -6 +-5 -4 +-7 -1 +-4 -4 +-1 -6 +-3 0 +3 3 +1 -1 +-1 4 +1 5 +1 4 +1 -1 +0 0 +-8 6 +-3 7 +8 6 +38 -2 +53 -17 +47 -23 +43 -17 +52 -24 +794 -322 +5356 -2242 +7632 -4772 +-1782 -3604 +-6679 2138 +221 466 +-2410 -5767 +-4267 1076 +2092 9028 +3879 8560 +1952 8520 +-4164 1530 +-3554 -6306 +885 -326 +-5599 3187 +-3065 -3691 +7092 -6439 +8211 -5099 +6415 -6138 +-2565 -3230 +-6333 3466 +249 359 +-3628 -5285 +-4086 1860 +3921 8481 +5641 7677 +3668 8042 +-3875 2329 +-4843 -5563 +843 -521 +-4908 4249 +-3763 -3042 +5794 -7807 +7173 -6717 +5233 -7334 +-3168 -2721 +-5692 4605 +387 305 +-4490 -4582 +-3755 2568 +5467 7706 +7053 6639 +5123 7298 +-3407 2987 +-5776 -4614 +762 -657 +-4188 5073 +-4298 -2371 +4418 -8671 +5999 -7861 +3947 -8184 +-3676 -2081 +-4865 5614 +412 271 +-5411 -3641 +-3343 3286 +6876 6613 +8262 5213 +6464 6280 +-2788 3598 +-6638 -3484 +591 -768 +-3204 5763 +-4726 -1526 +2713 -9385 +4459 -8896 +2321 -8810 +-4116 -1306 +-3777 6429 +460 157 +-6072 -2573 +-2652 3833 +8163 5208 +9209 3582 +7589 4949 +-2132 4062 +-7279 -2225 +481 -851 +-2109 6232 +-4991 -658 +1048 -9747 +2885 -9592 +775 -9099 +-4263 -603 +-2595 6941 +541 58 +-6434 -1461 +-1941 4226 +8908 3709 +9695 1956 +8444 3528 +-1397 4354 +-7622 -902 +294 -961 +-982 6487 +-5060 248 +-705 -9729 +1165 -9824 +-826 -8942 +-4320 198 +-1372 7312 +492 1 +-6674 -337 +-1248 4460 +9469 2139 +9977 298 +8929 2126 +-725 4499 +-7691 271 +139 -961 +-14 6559 +-4992 992 +-2196 -9499 +-403 -9862 +-2231 -8699 +-4281 789 +-227 7317 +534 -134 +-6645 697 +-549 4585 +9783 568 +10019 -1337 +9252 639 +65 4513 +-7604 1507 +-41 -1008 +1114 6459 +-4776 1814 +-3718 -8908 +-1968 -9613 +-3616 -8214 +-4083 1556 +1076 7257 +519 -174 +-6492 1900 +246 4639 +9786 -1074 +9633 -2950 +9202 -897 +831 4415 +-7280 2779 +-223 -951 +2230 6099 +-4379 2559 +-5296 -8117 +-3722 -9109 +-5105 -7387 +-3858 2274 +2263 6933 +458 -275 +-6142 2912 +1016 4428 +9489 -2779 +9021 -4580 +9004 -2470 +1633 4191 +-6732 3944 +-356 -895 +3174 5629 +-3937 3224 +-6434 -6745 +-3272 -6502 +2313 -4218 +6819 4906 +8234 6001 +10010 -1563 +4577 2171 +-2544 5321 +-5860 -1312 +-7377 563 +-876 8922 +-701 6986 +-5600 1222 +-2343 -5920 +-4953 -4969 +-7960 4345 +-2775 -1407 +3571 -3481 +8863 1835 +6476 -3501 +-1709 -5085 +-1947 -5951 +6907 -3620 +8740 146 +2421 -4624 +-3937 -1769 +-7169 -1568 +1746 -7757 +4302 -8224 +-5990 -5188 +-8191 4589 +-7271 3469 +-2902 -6748 +-1380 -3734 +-4493 3411 +539 8593 +-2312 7640 +-6882 -901 +-4786 -1476 +-2906 6421 +2470 8686 +-2096 3622 +-2746 -2804 +3617 -8390 +-4798 -5208 +-6862 -1212 +1030 -5308 +6708 -2187 +8811 -1171 +279 -7159 +-2593 -5390 +4695 -2277 +3264 4201 +-222 10614 +6481 7408 +6172 5245 +-3690 2481 +1201 -3783 +7171 -7448 +90 -10117 +2572 -6820 +7927 3118 +-2354 7589 +-7547 7815 +167 9648 +-703 3689 +-1084 -4631 +8494 -817 +10454 -773 +6889 -7560 +5022 -1283 +1462 5632 +-5527 2750 +-5585 5162 +5043 7269 +4021 5686 +-3565 4478 +-5682 -3026 +-7165 -590 +-3456 8347 +-3113 741 +455 -4903 +8140 -4527 +2725 -6869 +-4708 -2555 +-5535 -2988 +2757 -7224 +6787 -5785 +-1242 -4980 +-4186 1413 +-6570 3743 +-3995 -6741 +-2282 -8908 +-8171 263 +-3290 8878 +-3218 7422 +-6727 -2978 +-3579 -1801 +-1243 5482 +6124 5939 +3373 7119 +-5847 3850 +-4641 2064 +1981 6586 +7583 4743 +754 4048 +-4027 -259 +-2769 -8585 +-7131 -692 +-6097 3545 +-2719 -4597 +3710 -6025 +6105 -6699 +-4405 -5502 +-5512 -2338 +2188 -4766 +5276 979 +6718 8023 +9901 1389 +8256 22 +-1300 4282 +-1498 -3587 +842 -10197 +-6475 -7625 +-2376 -6732 +8335 -2798 +3146 7149 +-937 10717 +6345 7078 +1878 3143 +-3912 -2730 +5958 -6112 +7588 -7381 +381 -10073 +3024 -4230 +4909 3145 +-2366 5662 +-847 7466 +8706 1921 +6852 1503 +271 5653 +-6285 1560 +-5879 4309 +2812 8356 +-1927 2538 +-2881 -3905 +3455 -8575 +-2289 -6878 +-5391 1069 +-6311 1245 +-2512 -7178 +1688 -8676 +-4173 -2956 +-2423 3677 +-2772 6865 +-7462 -2566 +-7503 -5164 +-6303 5481 +3065 8808 +2223 7621 +-7059 2249 +-5118 -1901 +-5469 -8629 +-3731 -10930 +-3901 -5756 +-4601 -1243 +3245 782 +2988 576 +-219 2902 +6445 2230 +9605 5685 +1200 5312 +-13348 95 +-10018 10736 +2534 13266 +-4564 -861 +-7775 -8627 +-2407 -6289 +-2416 263 +-627 -1885 +-483 -3062 +2029 4366 +6827 3471 +11066 1566 +9175 4089 +-2438 -1750 +-1012 -7606 +3142 -3200 +693 3163 +5911 7267 +3199 2804 +1437 -4067 +9381 3654 +7366 5866 +3016 378 +4664 2066 +3719 -5897 +-2882 -11066 +-3213 -4085 +3648 -373 +1521 5714 +-3714 9215 +-6148 3198 +-7105 -2375 +-3681 570 +-1566 5317 +2442 3095 +7104 2600 +2848 6183 +-3319 8657 +-4921 3605 +-2354 -3194 +5012 -1760 +9463 -5987 +1558 -7437 +-5672 -1016 +-3359 -1263 +-8856 -333 +-10284 -2259 +1345 -4367 +-2850 192 +-9752 2054 +-2420 1821 +351 -3868 +4916 -5670 +3705 102 +-9664 -2048 +-10297 -5458 +-6748 -1621 +-4368 2105 +2990 -1577 +2670 -1524 +1760 2285 +6429 -2623 +11124 -2180 +4543 3024 +-10101 8757 +-679 14392 +10660 8097 +-4059 2226 +-11502 -1516 +-4827 -2461 +1068 3013 +3991 -1301 +-93 87 +-4201 3163 +-3966 4306 +-2069 13741 +-52 9635 +2016 -47 +6670 -3754 +9276 -9752 +8033 -7214 +4951 3572 +6590 7482 +14908 998 +9258 -1426 +-2229 1012 +151 -509 +2818 -1439 +3141 -729 +-869 6494 +-5444 9326 +-1654 2171 +-3173 1753 +-2305 -721 +2211 -4713 +-2056 -5764 +629 -10137 +4999 -3781 +-421 4970 +-2072 2800 +2473 -658 +1599 -2702 +-4963 -4472 +-2629 -5673 +2368 -1848 +-1033 2772 +-3415 4876 +-5846 9454 +-5094 7264 +-799 1300 +-4101 1364 +-2712 -555 +1115 -4466 +-6941 -2298 +-5822 4507 +5783 6605 +-451 5283 +-7331 3292 +2056 -3957 +642 -5399 +-1577 1514 +8163 136 +2172 -2352 +-10384 95 +-9038 -4565 +3112 -1323 +14021 8445 +6539 2163 +-3734 -2141 +-7087 -1363 +-10476 -5339 +-5498 -1919 +-3233 -2453 +-3500 -2222 +2654 1562 +2132 -3589 +-12 148 +-1102 5109 +-209 5716 +7570 11342 +6418 6981 +1523 -1408 +2584 -7205 +576 -13262 +1307 -10527 +6128 -645 +9903 1032 +11939 -9158 +6408 -7268 +1695 1463 +3532 -2095 +-631 -1166 +3116 6632 +11430 4420 +6348 -522 +3010 -5446 +3456 -9237 +-2094 1210 +-2540 2256 +8587 -6999 +9992 721 +-1086 5610 +642 2786 +3105 7856 +-1331 5535 +-2218 -4870 +-4872 -5014 +-2658 1431 +553 2126 +5520 -830 +9616 -4661 +-1747 -3893 +-7445 5010 +-5203 2384 +-3466 -5507 +3461 339 +4208 943 +1843 -64 +-2356 3912 +-7241 -3263 +-5375 -4447 +-5443 2733 +-4423 -1116 +-1690 -10728 +-2443 -13972 +-2994 -4066 +-4123 1750 +-2662 -1903 +4415 910 +7768 2439 +1203 2767 +-2073 1051 +-435 1132 +-72 12512 +2069 8521 +-1445 -2156 +-1629 -1427 +3302 -7562 +-647 -6871 +-1938 -225 +-1480 862 +-3247 5991 +-8457 4919 +-15560 851 +-3341 4630 +9213 9382 +4573 7002 +1166 473 +1713 1119 +5865 369 +7418 -5498 +1164 -3141 +-1969 3563 +1545 1958 +1534 -4324 +-1294 -346 +6708 2910 +11777 -4064 +4657 -4433 +-1081 -6020 +-3211 -9161 +-898 2226 +-585 3165 +2150 -10878 +8261 -5901 +2725 4937 +2253 1658 +7537 3875 +3519 5312 +3339 -1656 +7682 -6559 +5061 -4933 +198 -760 +-4054 1386 +-2590 4661 +469 3574 +-3756 7001 +-6518 4613 +-4082 -5237 +-4742 -2460 +-3870 -8765 +-2495 -18661 +321 -9691 +748 2008 +-8453 4912 +-9799 -238 +-4389 -1635 +-3170 -2453 +228 -1369 +2409 8465 +2919 1722 +-824 -3640 +-4816 3920 +-1535 2299 +-2320 4833 +-4540 3182 +-2642 -1634 +530 3004 +5363 2418 +6022 1955 +6631 262 +6896 -1694 +-3289 218 +-10377 -1404 +1655 -1003 +10325 -3389 +-2292 -3554 +-8312 574 +-3046 -5101 +-6589 -11204 +-4020 -10978 +3932 -4848 +-381 -432 +7 1501 +8672 8742 +9218 6190 +7396 1493 +2828 6816 +-630 4377 +11203 1596 +10728 6318 +-5832 -328 +-5198 -8534 +-1644 -300 +-4196 2172 +-1630 -2115 +5089 4514 +14862 3286 +8538 -6042 +-2135 -6642 +3422 -2022 +-311 3769 +-4702 4551 +3102 -3191 +2803 -9948 +484 -6882 +-313 -697 +-2178 3677 +1077 5141 +2761 2359 +1743 7611 +-1979 7597 +-6587 -1149 +-5295 1352 +-8731 -3931 +-14235 -12130 +-6185 -7360 +1864 1078 +-2455 8765 +-3016 4421 +65 -366 +46 -85 +-18 11 +-1 4 +-7 5 +-9 4 +-3 1 +-3 -1 +0 -3 +1 4 +2 4 +5 1 +0 1 +-8 0 +-4 -1 +2 0 +-1 -1 +-3 -1 +-1 4 +0 1 +-1 -2 +2 4 +1 2 +3 2 +6 5 +0 2 +0 -3 +5 0 +2 3 +4 6 +10 8 +9 7 +2 2 +1 -4 +4 2 +7 3 +3 3 +-1 5 +-2 -2 +-4 -5 +1 -3 +-3 3 +-7 1 +-6 -3 +-6 -2 +2 0 +5 4 +7 4 +-2 3 +0 5 +2 0 +-5 4 +-4 3 +-5 2 +4 1 +7 6 +-3 0 +0 -2 +3 0 +3 1 +7 -1 +4 3 +-3 5 +-5 2 +-6 3 +-5 4 +-2 -1 +-4 3 +-6 3 +-5 4 +-2 8 +-3 4 +-2 8 +1 13 +1 6 +1 1 +0 -3 +0 2 +0 -3 +9 -1 +7 7 +3 7 +-2 4 +-2 -10 +3 -2 +3 6 +0 3 +2 -1 +0 0 +-3 3 +-6 -7 +-4 -10 +-4 -2 +-4 -3 +2 -9 +4 0 +1 6 +-2 7 +-4 3 +-5 -4 +-7 -1 +-8 -5 +-2 2 +4 7 +-1 3 +-1 1 +3 0 +4 1 +6 8 +-1 4 +-3 2 +-9 -7 +-3 -2 +4 -3 +4 -8 +0 -2 diff --git a/testing_inputs/conducted/dot11n_58.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt b/testing_inputs/conducted/dot11n_58.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt new file mode 100644 index 0000000..db4dc21 --- /dev/null +++ b/testing_inputs/conducted/dot11n_58.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt @@ -0,0 +1,14000 @@ +1 -2 +4 -4 +6 3 +9 4 +5 -5 +5 -4 +7 -5 +4 -8 +1 -6 +-1 0 +3 -3 +2 1 +3 -4 +5 -9 +0 -8 +1 0 +1 -1 +1 1 +2 -2 +5 -5 +0 -4 +-1 -10 +0 -8 +-4 -5 +4 0 +5 3 +6 8 +5 0 +-2 -2 +-3 -2 +-2 -6 +-4 -9 +-4 -10 +-3 -8 +5 2 +1 1 +-3 -4 +2 -4 +4 -1 +2 -3 +2 -1 +1 -3 +3 -7 +4 -4 +-5 -1 +0 2 +2 6 +0 -6 +1 -6 +2 1 +2 -3 +3 -6 +1 -4 +-1 -5 +0 -4 +4 -2 +-3 -10 +-5 -12 +0 -11 +2 -4 +-7 -2 +-5 -8 +-8 -9 +-5 -8 +2 -9 +-3 -8 +-9 -13 +-17 -48 +-18 -66 +-20 -53 +-24 -49 +2 -71 +1262 -526 +6754 -2666 +7456 -5058 +-3786 -3123 +-6386 2635 +758 -837 +-3446 -6150 +-3816 2968 +2484 9937 +3531 9157 +791 8685 +-5222 -517 +-2287 -6702 +365 1131 +-7012 2302 +-1115 -5061 +8631 -6274 +8808 -5142 +6146 -6334 +-4488 -2356 +-6044 3894 +521 -1039 +-4734 -5470 +-3228 3643 +4601 9331 +5526 8346 +2660 8424 +-5414 590 +-3829 -6103 +577 1066 +-6445 3786 +-2125 -4752 +7234 -7999 +7604 -6907 +4770 -7523 +-4906 -1437 +-5151 5047 +398 -1117 +-5768 -4369 +-2478 4254 +6492 8240 +7204 7103 +4335 7723 +-5173 1646 +-4934 -5269 +814 882 +-5688 4982 +-3099 -4265 +5627 -9307 +6309 -8275 +3379 -8313 +-5141 -398 +-4106 6004 +161 -1185 +-6667 -3251 +-1737 4663 +8009 6872 +8509 5618 +5783 6810 +-4906 2551 +-5996 -4298 +937 746 +-4793 5965 +-3918 -3635 +3804 -10260 +4626 -9400 +1629 -8822 +-5296 653 +-2972 6686 +-103 -1180 +-7235 -1880 +-731 4902 +9348 5184 +9524 3879 +7050 5597 +-4399 3456 +-6792 -3113 +1109 565 +-3624 6651 +-4469 -2924 +2109 -10724 +3101 -10069 +232 -9005 +-5121 1472 +-1883 7035 +-220 -1151 +-7483 -702 +12 5043 +10053 3788 +10073 2389 +7930 4372 +-3767 4067 +-7247 -1983 +1130 381 +-2492 7196 +-4958 -2089 +246 -10896 +1307 -10361 +-1357 -8816 +-4810 2365 +-646 7275 +-452 -1068 +-7573 585 +821 4872 +10619 1985 +10412 685 +8549 3049 +-3114 4619 +-7485 -766 +1223 201 +-1274 7436 +-5213 -1294 +-1490 -10738 +-410 -10368 +-2833 -8464 +-4406 3031 +588 7184 +-602 -994 +-7306 1890 +1726 4551 +10862 -58 +10423 -1241 +9033 1418 +-2172 5031 +-7499 537 +1210 -63 +33 7509 +-5384 -350 +-3326 -10227 +-2065 -10116 +-4116 -7898 +-3901 3667 +1717 6974 +-744 -882 +-7102 2969 +2295 4368 +10789 -1570 +10159 -2728 +9176 130 +-1449 5326 +-7424 1657 +1194 -165 +1101 7487 +-5453 471 +-4934 -9617 +-3686 -9667 +-5389 -7077 +-3335 4309 +2797 6589 +-918 -793 +-6579 3979 +3027 3813 +10471 -3296 +9619 -4301 +9122 -1396 +-533 5498 +-7118 2908 +1175 -423 +2482 7063 +-5265 1466 +-6425 -7801 +-2631 -6573 +3515 -3316 +7432 6822 +9050 5245 +10384 -1690 +3296 3695 +-3433 4887 +-6807 -2246 +-7247 2145 +-45 10074 +-1962 6481 +-5761 168 +-2043 -7249 +-6207 -3644 +-8036 4736 +-1782 -3063 +4925 -2387 +9766 1846 +5588 -4478 +-2727 -5344 +-752 -6500 +8629 -2524 +8491 -198 +1495 -5158 +-5247 -987 +-6886 -2837 +3693 -8662 +3190 -8555 +-7481 -4310 +-8628 5952 +-7393 1631 +-1904 -7665 +-2112 -2573 +-4424 4523 +890 9769 +-3957 6727 +-7021 -2261 +-4851 -539 +-2383 7924 +2553 8832 +-3547 2702 +-1336 -4152 +3686 -9002 +-6554 -4523 +-6013 -1899 +2471 -5705 +8064 -955 +8666 -1832 +-909 -7885 +-1465 -5044 +5770 -1411 +2145 6223 +-200 11299 +7677 7645 +4587 5764 +-4563 1400 +3469 -4611 +7337 -7975 +-96 -10823 +4637 -5371 +7393 5251 +-5050 7800 +-7515 8157 +389 10124 +-1622 2028 +501 -4979 +10234 726 +10580 -1453 +7348 -7615 +4973 860 +250 5862 +-6940 2439 +-4533 6109 +6241 7682 +2472 6117 +-4555 3636 +-6206 -4353 +-7362 1049 +-3589 8580 +-3369 -1232 +2431 -4854 +9054 -4650 +1724 -6893 +-5512 -2364 +-4756 -4451 +5192 -7261 +6692 -5382 +-1995 -4805 +-4828 2543 +-7303 2180 +-2526 -8803 +-2795 -8452 +-8720 1485 +-3181 10015 +-4868 5971 +-6470 -4601 +-3343 -589 +-649 6244 +6988 6824 +1327 7635 +-6944 2846 +-4188 2699 +3169 7393 +7648 4941 +-1011 4331 +-3828 -2254 +-3001 -9138 +-8043 839 +-5905 2366 +-1811 -5862 +5690 -5990 +5635 -7051 +-5850 -5272 +-4451 -2864 +3528 -4906 +5738 3191 +7291 8550 +11035 731 +7348 1426 +-2668 4055 +-324 -5692 +507 -10737 +-7218 -7972 +86 -7023 +9295 -1046 +1345 9061 +-371 11018 +7085 7048 +60 2501 +-3047 -3920 +8354 -6299 +7237 -8137 +575 -10459 +4436 -2677 +4239 4089 +-3654 6404 +567 7540 +9987 1541 +6012 2905 +-1066 5703 +-7679 962 +-4984 5662 +2947 8568 +-3444 1293 +-1455 -5173 +3827 -9425 +-3289 -6163 +-5847 1967 +-6696 -155 +-928 -8855 +1488 -8423 +-4848 -2140 +-2003 5092 +-4160 6483 +-7973 -4694 +-7884 -4163 +-5685 7057 +4357 9341 +363 7542 +-7978 717 +-3320 -1591 +-2759 -313 +-6577 1920 +-1238 10530 +4255 6248 +4336 -5632 +2116 -2978 +-838 -815 +2105 2796 +-1129 8967 +-5195 2775 +-5970 5302 +-8962 10926 +-2421 5417 +-2912 4656 +-8215 757 +-589 -1822 +1820 427 +3549 -3742 +2798 -4825 +-9669 -1447 +-8266 4649 +921 6300 +1020 1438 +2042 -3011 +-3221 -9597 +-9122 -8081 +-1868 -5185 +734 -3833 +-253 4701 +3686 4976 +2634 4158 +3368 5166 +6470 3879 +4256 8307 +1887 4524 +1907 -3460 +2507 -6270 +4246 -4654 +9741 3208 +2689 1148 +-15937 -8071 +-8948 -9698 +7588 -4662 +698 -5027 +739 -7378 +17861 152 +13289 2437 +-8058 -2503 +-7762 -4462 +1935 -6509 +1809 -5613 +-518 -1797 +-6461 3749 +-9425 2732 +-6900 -1189 +-5469 2394 +-4437 1104 +-5040 1931 +-713 2407 +3875 -4630 +7240 -1664 +13050 -891 +6456 -5521 +-1149 -2068 +-2805 1889 +-3932 5680 +5806 8606 +7383 1877 +-219 -7029 +-261 -3607 +-1205 -95 +3420 739 +4852 7436 +-2363 5406 +-1317 7882 +48 13945 +1585 5545 +720 5403 +-4507 6559 +3925 2051 +3268 2563 +-2856 4499 +-361 7791 +-1912 11497 +-7523 13118 +-5703 6956 +1093 -3930 +5769 -8863 +14219 1122 +11210 6467 +-535 -829 +661 3379 +4412 2003 +7428 -11333 +11491 -6158 +6996 1474 +-4742 -3689 +-11004 -8853 +-7998 -9680 +-5043 -4642 +-1194 -228 +1065 1070 +3510 -3114 +8978 -5770 +6073 2303 +1600 1824 +504 -3417 +1630 706 +3304 7386 +745 8056 +2594 -2790 +-1283 -34 +-6377 8654 +-946 -1168 +-5124 1744 +-7729 8747 +1548 3181 +6046 3233 +3334 -2356 +-6345 -5469 +-10025 2481 +-545 3094 +1892 818 +-4792 -873 +-3762 -4937 +-2245 -362 +-3337 12288 +5230 11253 +7401 -2220 +-33 -4352 +-7211 -121 +-11686 -543 +-4263 -981 +-6142 -401 +-8836 13 +5158 2196 +2668 3319 +-3333 -2703 +-494 -3837 +-817 876 +1543 -3755 +-4139 -8329 +-1937 -7846 +9654 -6367 +4821 90 +624 5237 +4977 5923 +6157 9717 +2846 14613 +215 8919 +-1725 -3611 +-1457 -10281 +11726 -8506 +13148 -2653 +-870 -239 +2736 2100 +4813 -1448 +-1633 -13273 +5063 -11703 +10325 -1970 +5212 -311 +2882 -3320 +9770 -2987 +4128 -52 +-6800 -3549 +-8565 -5547 +-8419 -4198 +-11309 -7795 +-6966 -3302 +4427 5079 +6486 2026 +4255 -3740 +-2019 -2852 +-4470 3486 +7823 2731 +8766 177 +2114 4326 +7072 2066 +6157 -298 +-1362 -2264 +2553 -7104 +5544 -4233 +-4129 -2627 +-8995 -3374 +-9453 -1297 +-6613 -475 +992 -7336 +53 -15744 +5032 -2811 +8291 8148 +1957 1547 +6571 4433 +2101 1377 +-9309 -7312 +-5763 -1606 +-1798 1438 +-2673 2110 +-3154 5932 +5992 6511 +16274 5451 +6668 6973 +-7855 9016 +-8662 1643 +-1456 -1315 +3695 8124 +1377 7616 +-9371 3870 +-11191 4721 +1706 3448 +5381 5637 +537 4129 +-1711 -1205 +2640 -2199 +4231 -1922 +-7436 48 +-4165 1666 +1701 4418 +-5399 2465 +2643 -9433 +4289 -12479 +-2381 -5424 +2933 1464 +5408 3479 +6753 -2888 +3834 -3943 +267 -4376 +5935 -8393 +3257 -2599 +-7613 1595 +-10255 1205 +-9328 2168 +-13873 1279 +-7591 1922 +6749 1085 +6527 -2541 +1022 -5500 +-3327 -869 +-1197 5347 +6221 -2197 +-966 -5720 +293 -5453 +9962 -4600 +9869 -3377 +7308 -5187 +-4080 -3436 +-6588 2841 +1223 -879 +-3371 -6783 +-4276 2983 +2329 10614 +3587 9576 +581 8836 +-5607 -799 +-2240 -6856 +380 1523 +-7443 2411 +-968 -5349 +9190 -6378 +9062 -4957 +6180 -6349 +-4737 -2642 +-6037 3886 +1069 -1136 +-4510 -6018 +-3490 3758 +4456 9913 +5399 8586 +2269 8462 +-5672 272 +-3492 -6305 +726 1479 +-6888 3833 +-2009 -5047 +7900 -8015 +8130 -6640 +5068 -7417 +-5080 -1686 +-5208 4926 +840 -1285 +-5644 -4998 +-2852 4371 +6140 8942 +6950 7451 +3912 7891 +-5535 1318 +-4660 -5544 +946 1263 +-6156 4968 +-2951 -4608 +6424 -9295 +6861 -7956 +3650 -8153 +-5418 -738 +-4422 5777 +586 -1359 +-6424 -3993 +-2158 4665 +7535 7739 +8058 6210 +5034 7105 +-5328 2111 +-5481 -4745 +1148 1101 +-5277 5848 +-3633 -4046 +4782 -10112 +5382 -8908 +2172 -8610 +-5470 105 +-3312 6324 +427 -1504 +-6990 -2849 +-1301 4941 +8847 6316 +9097 4730 +6268 6113 +-4828 2964 +-6187 -3712 +2165 -901 +-1908 -484 +-8126 -4237 +1836 -3270 +4963 3440 +1390 8659 +7132 2717 +2259 -5437 +5933 -3397 +5517 6285 +3425 6935 +5910 -1174 +-2625 -5454 +830 -6589 +7901 -1058 +9475 -1250 +-2 -8694 +-9256 -4496 +-3461 -4997 +4861 -5547 +2578 -2944 +-7195 -1838 +-7061 5698 +-7408 -160 +-1217 -7178 +-918 -4229 +-8981 1871 +-5097 6721 +-4709 -2033 +3717 -3230 +8908 -1230 +424 -7807 +-515 -5829 +5271 -709 +5384 6435 +4648 6169 +6769 -5059 +3507 -3631 +3687 4397 +-3853 4972 +-9936 5361 +-2235 10198 +-3031 6674 +-3103 -3196 +5250 819 +9748 2444 +9005 -5526 +6326 1190 +-1043 8941 +-8535 -316 +-10046 -2196 +-7843 5688 +-2383 -198 +4292 -2250 +4097 8765 +5380 8159 +10199 1978 +918 4548 +-4426 3242 +-5054 -4368 +-7188 -526 +-3041 9221 +-4971 5236 +-4253 -2463 +-4 -7219 +-3812 -5642 +-9105 1611 +-639 -3446 +6109 -715 +6753 5428 +7426 -2629 +-1677 -5523 +2425 -6344 +8428 1152 +7253 2879 +3979 -4765 +-5499 -2379 +-3611 -5412 +5478 -5800 +6612 -7018 +-5034 -6664 +-6643 1311 +1111 1684 +-2024 5949 +-13047 2252 +-10467 -6773 +-3970 -896 +-7449 6236 +-6112 4809 +-2480 4011 +2040 9013 +10672 11312 +9282 4798 +-1259 -2661 +5458 -3740 +12041 -2207 +442 -3463 +-6359 -6395 +61 -8562 +6048 -7732 +-2949 -382 +3787 6177 +8625 -937 +-1479 -7158 +2877 -11516 +2597 -13978 +6374 -3265 +378 2154 +-1675 5466 +9181 4288 +4108 -4355 +2236 -3811 +-10761 -907 +-10188 -237 +8088 -4095 +3679 -3458 +-1524 722 +217 2287 +1541 4169 +3140 24 +1971 -847 +661 522 +2958 -2770 +767 -6957 +3818 164 +8828 8165 +1180 3108 +1086 1855 +1254 -2710 +-5283 3012 +-2392 16653 +4010 12758 +-1701 8801 +-10487 1789 +-10859 -5137 +-7037 -7998 +-31 -9722 +-132 -3911 +81 1117 +1972 5253 +-884 -3480 +473 -8456 +-1836 4614 +-7033 6109 +-4588 4267 +-600 4758 +1884 345 +2410 5780 +-8475 10267 +-12511 2033 +-3541 1955 +-1418 9343 +-1439 7448 +781 4553 +7552 5240 +15729 1259 +10402 -2523 +-2783 -1063 +1625 -6252 +7827 -9404 +595 -3072 +3730 -738 +6075 2745 +4162 7186 +3964 1396 +6024 2398 +5054 24 +-1071 -10332 +-2954 -7260 +5857 -7529 +10226 -9568 +-1795 1700 +-2777 9358 +-2710 8686 +-4902 5988 +3018 -3885 +12501 -8753 +11877 -4712 +790 -2779 +1253 4492 +2301 7244 +3966 4008 +7006 3678 +-533 2916 +-3302 6140 +-5581 -1003 +-10556 -10079 +-5843 -6318 +285 -1764 +-3024 3147 +-6939 -939 +-2404 -1936 +2548 6514 +-1937 5503 +-3963 270 +-6115 -2326 +-6781 3931 +-1394 5056 +-4293 -9066 +-835 -11141 +-449 2646 +-3694 4216 +3856 -3886 +9569 -205 +5514 87 +-2750 -6412 +163 -1486 +-4842 3022 +-4880 1360 +2168 4661 +-5571 4583 +-7413 4535 +-2009 9887 +5543 11811 +1290 14058 +-3699 4995 +-869 -3951 +-6083 -1932 +-7592 -4370 +491 -988 +5496 -1094 +291 -2974 +489 2850 +-1171 620 +1178 -7427 +8449 -10015 +7044 -2179 +7757 2702 +4028 -1613 +6284 -2049 +4149 -3148 +-7448 -6875 +-7002 -3390 +-333 -9333 +1738 -13608 +-334 2381 +3841 8598 +3518 8115 +101 7532 +-197 -4869 +3294 -14266 +2167 -6870 +-7205 2307 +-1023 -9899 +-4704 -8704 +-8670 437 +391 -4573 +-2474 -1367 +-1764 3135 +-4207 1180 +-5348 1370 +48 3437 +2836 3191 +2856 5558 +1913 5720 +6740 -443 +12336 -4668 +11645 2319 +2639 10508 +-5796 3387 +-8141 -2046 +-7543 -2771 +-3456 -1616 +-2369 -1750 +-7571 -7790 +-3713 -5449 +1198 503 +1716 3212 +8314 -1979 +6639 -5239 +3210 2204 +-1799 2795 +-7591 -980 +-3305 -573 +1147 -671 +2998 3033 +2856 8123 +11212 1471 +12825 -5486 +-413 -3304 +-1981 106 +1078 1138 +1095 493 +8528 1002 +8834 4776 +2949 5131 +7138 -1570 +4498 -161 +-1728 -3651 +-2205 -6524 +-1911 5631 +-1988 4500 +-1666 -3233 +2681 -1090 +2292 2714 +4399 841 +1828 2131 +2977 5655 +6859 2505 +-6594 -6296 +-4164 -6000 +-2866 7249 +-11120 1189 +-9713 -2575 +-10007 -2722 +-5474 -3386 +-4091 5969 +-7163 -6706 +-9336 -3275 +-6437 5865 +-2702 -3730 +-2780 545 +800 3445 +-2426 3624 +-3282 4507 +2295 2537 +4305 565 +5817 2286 +5190 2981 +4975 -4763 +5112 -11581 +2708 -9910 +3955 -2186 +5079 3409 +4056 4303 +4404 11721 +-1131 13400 +3416 -1033 +7534 -8106 +666 6404 +4037 13390 +8734 7238 +8512 1812 +-185 -1499 +-4091 6391 +4373 9352 +7776 -919 +1403 -6744 +-9629 -4709 +-7804 2574 +-6171 6511 +-13661 6249 +-5348 2845 +414 -5630 +-10087 -10724 +-8524 -11463 +-2747 -6894 +-355 -1804 +5130 1913 +2650 5005 +-902 -1125 +3251 -8865 +4650 -6867 +-7685 3108 +-7814 5042 +1821 -1511 +3987 -4030 +10540 340 +5394 7687 +-1565 5829 +-3759 1231 +-4197 -1872 +-2348 -2319 +-5576 -1267 +773 -1976 +6383 -1879 +4061 -2178 +2561 514 +5236 -5556 +2496 -7615 +65 -7050 +971 -6315 +-4728 2243 +394 -4149 +-3910 -468 +-10288 6585 +-5514 5284 +-7045 5517 +3456 569 +6855 8093 +-2985 2859 +-2331 -10428 +1056 -6459 +1307 1196 +-2923 4138 +-6410 -2439 +1360 -4376 +6346 -585 +5967 792 +11024 6196 +7662 10905 +2068 -2968 +803 -10941 +4640 4398 +11777 7430 +11517 -231 +7819 -4134 +-1150 -972 +899 7408 +9456 4111 +6277 -5736 +3109 -7824 +-1887 -3689 +-11830 -472 +-11193 -2894 +-362 -9537 +2719 -2231 +2325 4897 +2967 -6340 +6745 -10094 +5334 -12023 +-1157 -8416 +2364 4610 +2581 386 +-2042 -5553 +1958 537 +5845 209 +166 1686 +-7954 3530 +-11089 639 +-3511 942 +6605 1775 +5214 8370 +2919 4459 +7195 1052 +4691 1971 +1608 -1987 +8426 2136 +5391 -4547 +-1541 4991 +-4722 18429 +-4298 1626 +3105 -4441 +1269 1894 +4293 4251 +2204 4679 +-8051 858 +-4430 -5292 +-945 -2829 +-6594 1707 +-4589 -2799 +8798 -4970 +4840 1999 +-1832 11015 +465 7761 +-332 4201 +2697 7345 +-12 2655 +-4760 -3772 +-7687 -2448 +-29 2808 +10234 6093 +4986 2451 +18 -1827 +-5214 2221 +-6854 1386 +1643 -875 +1645 313 +-5756 -3514 +-5293 -9439 +-3743 -9254 +-2791 -593 +979 -530 +5749 -2039 +7133 -2589 +1114 -6979 +-4053 -1684 +-9532 7503 +-10565 5189 +-6563 -6798 +552 -3406 +4943 2099 +-1802 -6705 +-1278 -11878 +-3645 -12355 +-6474 -5515 +4761 1819 +2288 -1371 +-5228 -2721 +1867 -969 +4425 -3876 +681 -1875 +-152 115 +4 -38 +2 -18 +-1 -7 +-3 -9 +2 -7 +2 -1 +7 8 +6 0 +2 1 +1 5 +-6 6 +-35 5 +-65 19 +-54 29 +-40 24 +-40 36 +-596 143 +-4987 1011 +-8683 2978 +-341 4165 +7191 -143 +551 -1123 +494 5902 +4517 1154 +367 -8879 +-1982 -9289 +-515 -8985 +3972 -1956 +2750 6880 +-1242 1092 +5441 -2348 +3366 3742 +-7662 4979 +-9173 3208 +-7944 4387 +483 4163 +7244 -1364 +420 -1166 +1496 5849 +4725 432 +-1171 -8870 +-3613 -8901 +-2023 -8846 +3706 -2588 +3985 6380 +-1052 1292 +5054 -3295 +4038 3137 +-6771 6355 +-8595 4920 +-7181 5868 +1225 4044 +6947 -2747 +131 -1223 +2594 5478 +4841 -477 +-2917 -8556 +-5407 -8164 +-3767 -8407 +3189 -3271 +5171 5616 +-841 1495 +4470 -4210 +4641 2402 +-5602 7535 +-7730 6429 +-6183 7146 +1980 3757 +6456 -4055 +-67 -1244 +3708 4872 +4775 -1430 +-4577 -7851 +-6962 -6914 +-5383 -7471 +2474 -3833 +6282 4469 +-478 1623 +3593 -4962 +5063 1482 +-4113 8523 +-6434 7827 +-4725 8197 +2767 3291 +5680 -5179 +-311 -1185 +4566 4104 +4445 -2299 +-6034 -6852 +-8208 -5500 +-6720 -6325 +1732 -4240 +7060 3226 +-213 1654 +2565 -5584 +5281 471 +-2474 9087 +-4937 8903 +-3183 8930 +3279 2721 +4627 -6102 +-588 -1121 +5237 3220 +4018 -3040 +-7116 -5776 +-9073 -4135 +-7802 -5201 +1022 -4465 +7536 2072 +91 1674 +1716 -5904 +5360 -407 +-1005 9286 +-3485 9474 +-1730 9234 +3704 2196 +3712 -6744 +-662 -1051 +5743 2340 +3521 -3590 +-8014 -4556 +-9701 -2616 +-8574 -3864 +348 -4567 +7841 778 +361 1617 +720 -6084 +5237 -1264 +564 9336 +-1828 9913 +-158 9332 +4019 1547 +2510 -7203 +-909 -889 +5988 1387 +2882 -4078 +-8673 -3093 +-10058 -947 +-9153 -2393 +-447 -4512 +7850 -464 +627 1550 +-299 -6060 +4952 -2032 +2035 9063 +-299 10005 +1269 9249 +4243 860 +1326 -7497 +-1045 -737 +6200 340 +2247 -4536 +-9101 -1686 +-10102 678 +-9419 -910 +-1176 -4344 +7743 -1645 +884 1410 +-1156 -5941 +4636 -2688 +3327 8678 +1118 9926 +2572 8934 +4355 188 +299 -7618 +-1139 -581 +6244 -531 +1562 -4755 +-9326 -250 +-9921 2216 +-9479 577 +-1878 -4084 +7403 -2856 +1061 1261 +-2198 -5622 +4137 -3465 +4836 7578 +1948 7448 +-2741 4230 +-5354 -5364 +-5985 -8543 +-9875 -1454 +-4935 -2749 +3415 -4963 +5123 2047 +7212 2303 +3746 -7766 +2064 -7323 +5766 -376 +1169 5750 +2513 6879 +8740 -1590 +3217 1276 +-3856 3228 +-7713 -3970 +-7650 905 +-424 5258 +927 6202 +-6862 2608 +-8642 -2582 +-4091 3336 +2501 3178 +6792 2904 +-2463 6962 +-6947 6766 +3255 7037 +8859 -1289 +8250 -2396 +1682 6864 +-269 4671 +5071 -1449 +2096 -7902 +3613 -7641 +6527 1883 +4155 3265 +4666 -4541 +337 -9169 +2367 -3805 +2770 2922 +-5573 6803 +1817 6736 +6741 3075 +-1653 4730 +-6623 1061 +-8836 -1838 +-3160 6198 +1283 6471 +-4641 1367 +-2838 -4174 +3237 -9962 +-3139 -9259 +-5156 -7149 +3791 -2068 +-951 3084 +-9000 4793 +-3564 9437 +-3805 6961 +-7149 -4387 +3030 -7033 +9685 -5180 +3213 -9174 +1520 -4671 +449 4572 +-7588 -600 +-10206 -2817 +-8798 5070 +-5698 1027 +-481 -5873 +5346 -1671 +7224 -2890 +-2004 -8193 +-3162 -6781 +4196 -3954 +4614 3594 +6433 3481 +5759 -6833 +3319 -1137 +-868 4870 +-8626 2089 +-5394 5650 +3167 4162 +4895 4019 +-3574 6424 +-8506 3755 +-1046 5118 +3987 628 +7182 -2419 +2869 6664 +-842 9588 +7243 2992 +6064 -6862 +4799 -7275 +5905 3896 +2933 3619 +3049 -4443 +-3590 -7267 +-2321 -8034 +6315 -2871 +5415 -294 +668 -6426 +-5758 -7009 +-651 -4367 +4129 383 +86 8803 +5828 3865 +7292 -2049 +1783 4619 +-4488 5080 +-8175 4349 +1455 6658 +5160 4021 +-2750 4017 +-4906 -1328 +-3825 -9584 +-8427 -4957 +-8666 -2069 +1662 -4060 +1283 2850 +-4008 9394 +3288 9338 +1572 7558 +-8437 1349 +-2264 -7142 +4263 -10144 +-3350 -8911 +-1809 -4357 +3387 3203 +-6315 4440 +-9900 4499 +-3642 9510 +-3811 4422 +-4275 -4115 +3062 -4769 +3821 -6869 +-6911 -4795 +-6901 -3026 +678 -5736 +5925 -385 +7316 -1674 +46 -8826 +1867 -2978 +2565 4166 +-5365 7129 +-526 7678 +5218 1031 +6441 -165 +1459 7099 +-4217 8317 +2534 4507 +3593 -2093 +4100 -6349 +6587 3227 +5530 7706 +7623 -2478 +329 -9066 +-977 -8488 +7068 -1127 +4823 2850 +3108 8898 +1012 11963 +1794 7020 +4400 2920 +-2158 -1398 +-3315 -1313 +1072 -2591 +-4863 -3624 +-8205 -7391 +-1115 -6477 +11673 3118 +13353 -6168 +1640 -14332 +3345 246 +5650 10247 +756 7452 +2257 814 +447 1465 +-538 3593 +-494 -4088 +-5056 -5575 +-9851 -4078 +-8636 -6540 +1202 1074 +-136 7740 +-4162 3002 +81 -2657 +-3439 -8268 +-2850 -4717 +-1863 3688 +-7834 -4664 +-6160 -8273 +-2871 -1301 +-3715 -3117 +-5137 3517 +-742 11625 +2402 5602 +-3225 -113 +-811 -5301 +5663 -8209 +6697 -2378 +6315 3984 +3842 1180 +3024 -4541 +-771 -3847 +-6023 -4156 +-1924 -6587 +5022 -7660 +5914 -3104 +1964 3612 +-4443 749 +-10466 2620 +-4722 6980 +4867 2844 +3010 1976 +7395 2618 +10087 4689 +-1682 4328 +1434 742 +9962 826 +3634 -1117 +-1077 2932 +-5706 4756 +-4590 -983 +7763 3773 +8871 8253 +5902 4013 +5300 -685 +-2601 323 +-3475 1119 +-832 -2649 +-6163 358 +-11203 -366 +-5150 -4180 +11075 -5163 +6547 -13208 +-7959 -11767 +2777 -1964 +10919 3662 +2822 1557 +-1402 -10590 +1007 -13096 +-960 -6535 +1330 -7288 +3617 -4487 +1507 3252 +1904 779 +-1168 -3450 +-11483 1926 +-12208 5931 +2503 -1168 +7873 -4026 +4907 -601 +4089 2302 +-3311 2701 +-5203 -1819 +2158 1766 +5464 4175 +-387 -2075 +-8801 -5138 +-1068 -6864 +7888 -2599 +1000 -4320 +507 -7668 +5167 3124 +2162 4592 +1520 3617 +-235 4187 +-3552 -6384 +1537 -8658 +2848 -6425 +-3115 -8365 +-3707 -5601 +-1325 3083 +2769 10110 +4758 5822 +155 -1162 +-96 -3292 +-1643 -939 +-5305 3682 +3764 4774 +6473 6048 +-4631 8688 +-5325 9974 +-1198 7467 +-1317 4469 +3518 6305 +3929 8250 +-5124 7770 +-6294 552 +607 -5593 +-1664 -1154 +-4788 3776 +2101 1729 +2797 -7371 +913 -7049 +5034 -183 +2596 138 +1871 8708 +800 10958 +-7463 -637 +1933 -6827 +15127 -7920 +4242 -4148 +-8837 -6967 +-7975 -10018 +-5125 -4077 +-3874 -6157 +-253 -5648 +3323 1330 +1971 -726 +-3230 -1715 +-7542 9031 +-5448 12434 +1106 -2405 +3425 -8107 +3389 -3705 +4657 -947 +-465 4362 +-3571 4449 +-985 3239 +-2918 -3691 +-1932 -8696 +-549 -3599 +-2046 2115 +-3658 4043 +3478 4850 +13118 1174 +9896 4093 +3196 9050 +-3232 -1808 +-6481 -2945 +-3327 2244 +-2697 -2706 +806 3082 +2635 7571 +868 4765 +-2499 3858 +-10540 -193 +-6482 2409 +-422 5451 +-2225 1969 +-826 -397 +805 -2725 +6588 -2479 +4820 -3522 +-5267 -5372 +-4336 413 +2607 4045 +5635 -4373 +1451 -7961 +2396 1805 +653 4815 +-7872 -338 +1861 -2549 +3767 -1730 +-5687 -2950 +-3474 -7633 +-8924 310 +-12251 8916 +-8412 -911 +-4135 -6394 +4365 -1679 +3619 -53 +1826 -3722 +4118 -3239 +7326 -517 +14150 -6799 +6447 -3100 +-4074 3446 +3540 -4649 +6527 -2944 +592 2692 +-346 133 +-5462 751 +-9737 1000 +-1373 1144 +2426 -2070 +-4280 -9287 +-4635 -3673 +4678 9373 +7127 10113 +-479 2855 +152 944 +2224 2708 +-4824 -541 +-7400 -4925 +-2831 -2144 +-85 2926 +-12 5365 +5934 1103 +10697 -8041 +10284 -3719 +8706 4319 +-3602 937 +-7004 2293 +-1026 3876 +-3867 -104 +2404 1752 +5624 3217 +1357 -5280 +-5463 -10448 +-13854 899 +-10235 -698 +944 -5420 +5178 3948 +-2247 10314 +-5964 6786 +-1589 1644 +1778 5774 +1239 789 +-3943 -3018 +-3769 4453 +1211 -2052 +-690 -1839 +-116 2884 +5131 -4360 +401 -8256 +-4981 -5320 +4388 7660 +10916 7672 +6428 -574 +5169 7212 +4087 8102 +4668 360 +6988 -6449 +1957 -4563 +2957 2308 +7564 -5132 +4274 -5571 +7807 3536 +8930 3995 +-1170 1432 +-2151 -305 +-623 -115 +-171 1158 +4090 9308 +-5291 11910 +-13333 421 +-11836 -3195 +-15996 -1127 +-5399 307 +7751 2109 +-86 -329 +-2183 -2707 +2258 -4993 +-2601 -1619 +-4153 3219 +21 2283 +-1410 189 +719 -5407 +7244 -6322 +1095 -1742 +-3597 -2076 +711 -2340 +1759 2154 +623 6346 +-5091 4714 +-7144 -1620 +-4472 -5831 +-1574 -2980 +5731 -3554 +4761 -6240 +837 -569 +-2792 -4168 +-10761 -4585 +-10456 9360 +-8543 5820 +-2738 -4756 +6619 -266 +4730 9268 +-476 8899 +-230 2287 +5081 3299 +1518 -107 +-5041 341 +-110 5728 +-330 -2331 +-1946 -1088 +261 2969 +97 219 +-28 -31 +5 30 +4 -5 +3 -9 +-1 1 +-1 1 +0 -2 +1 5 +5 4 +0 4 +3 0 +7 -5 +9 2 +11 8 +1 -3 +0 2 +-1 2 +2 3 +5 5 +6 -2 +6 -2 +2 4 +5 3 +4 3 +3 -4 +-4 -7 +-6 -1 +3 2 +1 2 +0 4 +0 3 +1 3 +-1 1 +2 -1 +-1 3 +-3 3 +2 1 +0 -4 +0 2 +4 1 +2 -3 +2 1 +0 0 +6 0 +3 0 +-1 2 +5 1 +2 7 +1 1 +5 -2 +1 1 +6 -6 +6 -1 +4 4 +1 -2 +0 -7 +-4 -2 +-2 4 +8 -3 +3 -2 +3 8 +-2 13 +4 10 +5 6 +0 2 +2 5 +2 0 +6 -2 +3 -1 +2 7 +3 2 +3 3 +1 5 +-2 -1 +-1 2 +-1 5 +0 3 +6 0 +5 1 +0 5 +-1 -2 +-4 -1 +-3 2 +-1 3 +-5 0 +-6 -3 +-3 -2 +-2 -2 +2 -1 +-3 -5 +0 -5 +3 -11 +1 -5 +-1 0 +-1 6 +0 3 +-7 1 +-2 4 +0 4 +4 0 +2 0 +3 -3 +2 1 +-4 6 +-1 4 +3 8 +-2 5 +-4 7 +-7 1 +-3 0 +1 10 +0 7 +-3 -2 +-5 -2 +-1 -5 +-5 1 +2 1 +0 4 +0 5 +6 4 +2 3 +0 5 +-1 4 +2 1 +6 2 +-1 -5 +1 -8 +3 -4 +2 4 +3 4 +-3 -1 +-1 -4 +4 0 +6 7 +6 1 +3 5 +-2 5 +-1 -3 +6 0 +8 4 +5 5 +2 1 +-2 1 +-3 5 +-6 3 +-5 4 +-6 5 +-4 1 +-2 -4 +-4 -1 +0 8 +-4 8 +2 6 +7 2 +-5 4 +-10 0 +-2 -2 +-5 6 +-12 7 +-6 3 +1 2 +-1 10 +-10 6 +-9 5 +-5 6 +0 7 +0 3 +-7 -1 +-7 -5 +-2 2 +-2 6 +3 4 +5 -2 +0 -3 +-4 -1 +3 4 +3 -5 +6 -10 +3 -2 +3 7 +0 5 +-2 0 +5 8 +-9 25 +-16 45 +-10 40 +-10 36 +-1 39 +-510 6 +-4999 -285 +-9685 564 +-2102 4052 +7374 2073 +1361 -1187 +-1255 5885 +4195 3044 +3003 -8730 +528 -10211 +1767 -9568 +4606 -1655 +1374 7888 +-1752 1316 +5962 -1365 +3270 4574 +-8734 3523 +-10323 1157 +-9471 2510 +-1201 4483 +7891 600 +1189 -1407 +-77 6146 +4806 2235 +1206 -9293 +-1504 -10344 +-49 -9917 +4371 -2525 +2968 7603 +-1472 1651 +5695 -2467 +4090 3933 +-8140 5142 +-10143 3131 +-9007 4358 +-377 4736 +8021 -901 +867 -1615 +1049 6053 +5227 1214 +-721 -9338 +-3719 -9780 +-2223 -9675 +3708 -3411 +4502 6783 +-1159 1953 +5135 -3642 +4944 2966 +-6944 6786 +-9382 5210 +-8025 6157 +656 4652 +7751 -2668 +547 -1802 +2445 5718 +5589 69 +-2638 -9007 +-5745 -8808 +-4198 -9062 +2978 -4146 +5897 5716 +-702 2095 +4402 -4593 +5490 2006 +-5599 8107 +-8338 7006 +-6775 7630 +1630 4430 +7292 -4060 +231 -1838 +3416 5225 +5486 -937 +-4276 -8484 +-7207 -7735 +-5636 -8264 +2313 -4576 +6805 4722 +-396 2168 +3575 -5183 +5738 1099 +-4362 8790 +-7276 8162 +-5608 8514 +2190 4081 +6518 -5110 +-131 -1851 +4205 4560 +5314 -1833 +-5577 -7660 +-8431 -6410 +-7060 -7133 +1454 -4890 +7570 3410 +68 2235 +2675 -5741 +5978 9 +-2620 9367 +-5649 9283 +-3946 9334 +2964 3559 +5568 -6253 +-380 -1785 +5081 3691 +4985 -2717 +-6926 -6428 +-9533 -4736 +-8255 -5711 +619 -5046 +8148 1996 +434 2129 +1606 -6135 +5884 -996 +-1026 9664 +-3985 10069 +-2257 9865 +3567 3060 +4428 -7006 +-766 -1640 +5532 2812 +4446 -3466 +-7925 -5185 +-10267 -3127 +-9179 -4281 +-272 -4996 +8323 715 +752 2086 +588 -6248 +5677 -1945 +651 9633 +-2307 10544 +-737 10073 +3994 2430 +3238 -7639 +-1036 -1534 +6007 1862 +3947 -4188 +-8654 -3962 +-10676 -1521 +-9762 -2828 +-1057 -4898 +8439 -603 +1107 1871 +-315 -6280 +5372 -2746 +2038 9411 +-769 10715 +770 9984 +4388 1696 +2138 -8043 +-1246 -1355 +6226 971 +3261 -4638 +-9190 -2549 +-10743 81 +-10037 -1400 +-1745 -4730 +8297 -1788 +1346 1703 +-1249 -6132 +4930 -3452 +3409 8734 +377 8473 +-3589 4138 +-4395 -6324 +-4003 -10708 +-9739 -4069 +-5108 -3859 +4404 -4732 +4858 2749 +6807 4293 +5678 -6960 +3499 -7773 +6086 322 +473 5957 +871 7938 +9296 255 +3697 1527 +-4385 3030 +-7140 -5480 +-8272 -1016 +-1827 5385 +59 6793 +-7312 1867 +-8665 -4610 +-5168 2264 +1488 3938 +6620 4155 +-3263 6930 +-8914 5732 +1428 8222 +9352 927 +9407 -1372 +949 7341 +-1505 5183 +5415 -251 +3884 -7639 +5024 -7848 +6592 2905 +3634 4611 +5788 -3364 +2491 -9660 +3035 -4085 +2740 3455 +-7065 5849 +-256 7591 +6547 4825 +-2295 4587 +-6993 71 +-8741 -4149 +-5007 5283 +21 7341 +-4861 671 +-2552 -4791 +5491 -9667 +-745 -10529 +-4178 -8941 +4157 -1831 +-947 2953 +-10320 2828 +-6003 8728 +-5177 6977 +-6739 -5660 +3903 -7042 +11176 -3267 +5530 -8739 +2517 -5248 +-192 4816 +-7533 -1688 +-10074 -5497 +-10319 3126 +-6544 321 +406 -6347 +5619 -965 +8216 -1076 +115 -8888 +-2215 -8084 +5015 -3594 +4142 4314 +5722 5569 +7592 -5617 +3934 -1165 +-1553 5050 +-9225 271 +-7296 4427 +1942 5222 +4379 5081 +-4554 6011 +-9786 1937 +-2705 4935 +3659 1978 +7897 -1087 +1912 7245 +-3407 9934 +6332 5261 +8005 -5280 +6522 -7069 +5477 4878 +2138 4848 +4083 -3640 +-1841 -8232 +-1140 -9190 +7035 -2095 +5842 1097 +2338 -6242 +-4377 -8751 +-362 -4989 +4394 790 +-1649 9039 +4771 5720 +8239 -790 +1295 4934 +-5378 4758 +-9595 2836 +-371 7176 +4889 5348 +-3285 3816 +-5193 -1732 +-2342 -10698 +-7689 -7087 +-9211 -3652 +1932 -4161 +1328 2730 +-5961 8969 +1256 10400 +701 8521 +-9077 344 +-1839 -7767 +6405 -9920 +-1582 -10118 +-1588 -5436 +3109 3657 +-6876 3718 +-11464 2617 +-5935 9136 +-4901 4574 +-4013 -4958 +3600 -4458 +5637 -6262 +-5819 -6555 +-7101 -4477 +1486 -6033 +6025 337 +8086 197 +2120 -9117 +2282 -3383 +2220 4743 +-6872 6347 +-2649 8081 +4967 2487 +6763 790 +662 7460 +-6134 8038 +1302 5384 +4145 -1059 +5204 -6134 +6384 3871 +4180 9371 +8406 -644 +2501 -9261 +139 -9418 +7375 -40 +3953 3905 +1408 1186 +5433 2312 +6966 -5574 +1474 -10481 +-5283 766 +-5062 3025 +129 985 +-788 -900 +2835 -7855 +6511 -2651 +5861 -154 +12490 -5899 +7792 -4401 +2799 -3693 +8310 994 +2186 3517 +-1723 -1088 +-3011 900 +-6557 2715 +3890 5202 +10861 2519 +3993 -5843 +148 -3339 +-2059 483 +-3027 7260 +3468 12648 +1914 6990 +-3335 4241 +1488 -1575 +388 -6605 +-1421 -4872 +318 -6061 +-3627 -6031 +-1672 -8710 +1073 -7576 +-2172 600 +-4575 4192 +-5501 4251 +-6886 -4223 +-5168 -6378 +7544 9872 +8660 15699 +-6717 4018 +-5620 1670 +-1262 7964 +-12626 -2850 +-15198 -10578 +1621 1985 +7847 8193 +-2291 5601 +-4867 4840 +-1770 2923 +4701 -158 +10252 53 +6609 3974 +5809 1423 +5057 -61 +4892 1382 +4009 -2097 +-3826 1355 +-6487 1018 +-10596 -5132 +-10859 244 +-1727 3507 +2251 72 +5902 -1920 +1721 -8618 +-5788 -8573 +-3620 4106 +-2021 5582 +716 663 +-1170 -181 +-2881 -7662 +3394 -6155 +4518 -3913 +5899 -12529 +3261 -8325 +-124 -4570 +6799 -5118 +915 -2977 +-3984 -4452 +3491 -2421 +4192 -5557 +5094 -9155 +11327 -9482 +11131 -5625 +621 674 +-7418 6317 +-11732 -2296 +-9642 -12614 +-1520 -2108 +1162 -281 +-963 -6121 +-8968 4534 +-13878 4183 +-8164 -4950 +123 1670 +5576 11526 +3581 13394 +2075 8668 +1724 2329 +-176 -1236 +-2273 14 +-9557 2058 +-7062 -3202 +-498 -4393 +-1880 2022 +-1335 846 +-457 -6316 +3080 -9119 +-1132 -1551 +-2686 2595 +9107 -4325 +3466 -1051 +1491 2590 +11432 -3629 +3712 -3750 +-3490 -5090 +-4134 -2687 +-274 6841 +9289 4810 +5285 -1792 +-1891 -2398 +2599 1232 +2683 5809 +139 3934 +7235 -6084 +4547 -13547 +-6545 -5378 +-4448 3707 +3746 3102 +10090 5261 +5801 4158 +2946 2120 +9054 4755 +-256 -1504 +-3460 -5898 +2506 1685 +-1115 4946 +846 471 +-1582 766 +-1885 7817 +901 9632 +-9564 3682 +-8047 -1109 +1313 -4168 +-707 -6765 +-2442 -10016 +2020 -14432 +4484 -11554 +860 99 +-1273 9589 +-10383 6266 +-16046 -2538 +-2770 -512 +682 -1052 +-4903 -3788 +-3852 9219 +-7738 12081 +-10713 -125 +-6644 -3396 +-2899 1163 +-8598 -156 +-6960 -2912 +2820 3534 +5036 9131 +5229 7350 +6207 10713 +5890 9984 +359 -3591 +-4262 -6706 +-5477 -123 +-2346 3405 +5613 953 +-1441 -5260 +-9482 -4606 +-1443 -4203 +-2833 -5367 +-7203 -3243 +-748 727 +-2743 5530 +-7997 2636 +-551 2514 +6053 6826 +7273 5866 +7476 3967 +-962 3954 +-7063 13010 +-5968 7380 +-4877 -10560 +-1374 -4738 +-2850 -4296 +-3239 -6487 +3628 8422 +5206 7346 +2701 -276 +2540 -271 +5319 -2944 +1374 -6917 +-10521 -11542 +-7879 -10541 +7767 -6503 +10906 -273 +2548 3768 +-104 -5897 +1066 -9887 +6883 -1533 +13446 1173 +3941 -2327 +-3221 -6789 +541 -5996 +1409 462 +-1367 1777 +-5780 -413 +3028 2224 +7227 2074 +-432 -3904 +5270 -2575 +-1200 5508 +-10980 9604 +-2055 7908 +-911 282 +-3009 -5740 +-5807 -1975 +-6949 1803 +-1611 2878 +-6733 5493 +-7345 2453 +4439 711 +9873 3296 +8834 1885 +11735 3798 +11000 3465 +-2027 -2974 +-7724 -2277 +-4378 3400 +342 4046 +4940 -2825 +-3812 -3064 +-4618 4224 +-51 5946 +-9367 1230 +-10604 -1412 +-9828 241 +-2186 4404 +7621 2409 +1184 -1438 +-1948 6276 +4304 3626 +3642 -9086 +901 -10702 +2213 -9704 +4950 -1290 +1094 8179 +-1796 913 +6437 -1233 +3085 4963 +-9436 3125 +-10678 473 +-9638 2020 +-1296 4791 +8027 1127 +957 -1556 +-881 6409 +4816 2720 +2080 -9543 +-833 -10576 +621 -9760 +4652 -2034 +2344 7838 +-1657 1139 +6188 -2300 +3873 4360 +-8851 4561 +-10537 2135 +-9265 3445 +-642 4828 +8043 -154 +664 -1698 +151 6408 +5235 1895 +634 -9674 +-2461 -10239 +-1006 -9723 +4244 -2731 +3587 7320 +-1438 1436 +5793 -3183 +4604 3714 +-8037 5935 +-10067 3802 +-8558 4942 +237 4876 +8038 -1500 +443 -1729 +1283 6262 +5512 998 +-1150 -9564 +-4321 -9602 +-2726 -9342 +3794 -3441 +4930 6521 +-1173 1613 +5113 -4228 +5192 2799 +-6821 7354 +-9185 5702 +-7483 6532 +1119 4742 +7569 -3020 +33 -1778 +2368 5877 +5628 -73 +-2864 -9160 +-6021 -8662 +-4393 -8669 +3006 -4013 +5919 5498 +-1485 2242 +-357 -888 +4890 6607 +330 4834 +-5135 -3514 +2887 -7650 +-2578 -7805 +-5166 1167 +-5687 3171 +-4706 -6362 +1747 -8682 +-4932 -4316 +-2061 4085 +-1605 6864 +-6954 92 +-8085 -5004 +-7043 4503 +3917 9512 +3762 6302 +-5301 4492 +-5835 510 +2666 4960 +8327 189 +7860 223 +713 7561 +-3536 4438 +6313 4033 +8727 -2885 +4755 902 +-1894 4185 +-8386 -3658 +-5442 3953 +-1902 7349 +-4143 556 +-3632 -6248 +-36 -9228 +-6571 -1344 +-6607 3318 +-1996 -3757 +2527 -4794 +10821 429 +8116 -5666 +5834 -7728 +3377 2807 +-2926 448 +-6091 -7616 +-9928 -1196 +-7877 -1012 +2293 -8295 +7399 -12 +6997 8043 +9451 528 +5293 -575 +-3920 2181 +-1768 -7064 +1397 -10878 +-7045 -7321 +-2755 -5141 +5951 -2459 +2605 4040 +4674 6562 +6890 -4381 +6895 -5412 +4534 2807 +-1887 5612 +-1957 7495 +7538 3634 +3539 2952 +-6307 888 +-3030 -7450 +-7316 -3922 +-3269 4327 +-2245 6186 +-7955 -1408 +-4647 -7376 +-6792 286 +1068 4348 +3431 6053 +-5199 4736 +-9547 1899 +-3097 8246 +2104 4507 +-1905 -4422 +6251 -453 +-1467 3396 +-394 -1022 +4901 -3753 +-5769 -4773 +-4550 633 +-3795 4159 +1896 1598 +5955 1641 +787 3236 +-5511 -2370 +-3784 1080 +6866 5106 +5500 2137 +-3200 5663 +-8440 93 +1486 -1238 +7344 -808 +-3887 -5685 +-1969 5785 +4309 11182 +-652 4633 +2315 5238 +8584 5553 +3447 3685 +-551 -495 +-1739 -5511 +286 -158 +3515 3342 +467 184 +-4990 5326 +-2689 7764 +4182 2554 +2233 3787 +-795 2876 +-5627 -2960 +-11724 -2675 +-15178 2011 +-7150 3132 +1216 -6416 +-582 -8090 +8153 -682 +6622 332 +-586 -309 +1097 -5411 +2032 -3143 +5761 272 +10148 -2459 +8303 3838 +-496 6326 +3333 2351 +4645 2130 +-2446 -418 +-2137 -10689 +2217 -11959 +-806 -6295 +-8647 -11887 +-6162 -9154 +-5272 966 +635 1550 +-3333 728 +-8060 1054 +-2985 3934 +-4891 -422 +4754 -4636 +1008 3452 +-1078 -559 +1405 -5992 +-7605 126 +-3155 3368 +-251 5532 +2472 -99 +5650 -2683 +2748 1840 +-5885 1847 +-2299 3258 +8605 -792 +5357 -2432 +1439 4058 +382 7238 +384 3350 +2413 -1424 +1758 5270 +-4260 7295 +-5683 -2317 +-5067 -2420 +-950 241 +-4692 -2111 +-3525 -6870 +2681 -10537 +2661 -3475 +6324 812 +2730 -316 +-2415 1474 +1199 2023 +4569 774 +1812 -462 +8799 8186 +13051 13106 +1890 -7655 +-3079 -14977 +-3384 -932 +3132 4823 +190 11162 +-9641 2117 +-6372 -6926 +391 -3895 +4763 -3125 +-553 2727 +-89 -7146 +-87 -8070 +-4295 6371 +3393 2487 +7734 -1024 +6097 188 +2808 -38 +1325 -931 +-4973 -7540 +-9536 -13804 +3917 -14419 +4355 -6620 +-6337 1609 +-426 2085 +3080 6856 +-4239 10447 +-2186 4005 +5705 -952 +3387 -1547 +1954 -26 +1357 1025 +-2850 7002 +1105 6731 +663 1737 +-2149 5601 +-4591 9345 +-8798 11715 +-165 3873 +3281 -1119 +1177 -3167 +4748 -8394 +1733 -3505 +-6672 -2925 +-6210 -690 +3419 4751 +2793 2361 +877 -2661 +4732 2751 +1463 8095 +-5866 2023 +-5434 1545 +-555 753 +-4979 1475 +-7257 -2650 +-4956 -9363 +-241 -4273 +5359 -3589 +1878 -1988 +-1307 3060 +-2145 4838 +-1788 6014 +2728 6927 +6898 2691 +8546 -6690 +7538 -8164 +5105 -9445 +6743 -9114 +1927 -756 +-8926 7125 +-4076 2715 +-1965 -5121 +-1311 249 +2680 6719 +-5270 1643 +-4063 680 +10651 -1250 +7915 -3074 +-5572 -2530 +5672 -6070 +16024 1338 +2259 9480 +-5535 11055 +-1987 7909 +313 5539 +1040 165 +4304 -3289 +1775 2653 +-6731 -4482 +-7534 -4763 +-7516 -7018 +-10061 -7612 +-4320 5805 +1965 3199 +-384 -445 +-2115 -704 +-2161 763 +-477 -2755 +4750 -8575 +2329 -2284 +-1425 3845 +1205 -2112 +-38 -6721 +-5479 2059 +-9283 -3258 +-4918 -8646 +-123 -6468 +2194 -4033 +9308 4595 +8182 5210 +3960 6784 +4304 -677 +-703 -6132 +-8888 5671 +-14691 8827 +-6295 693 +7165 -1509 +1805 1444 +-5663 3713 +-753 7320 +3387 5552 +7095 6446 +5163 -1474 +-3665 -7514 +-2881 5739 +2393 7367 +6787 3076 +7126 -2565 +2295 -10524 +568 -10917 +-2149 -10257 +-654 -11057 +969 -1759 +-2408 10975 +-1410 4545 +-4789 -2619 +-876 1045 +6468 3342 +-3084 4584 +-3426 3547 +1752 -3906 +-638 -3148 +6468 7123 +7920 7795 +-5561 -599 +-11820 -5658 +-4193 -1975 +-437 10065 +-3984 -2424 +-6632 -6583 +-3804 5586 +-174 2483 +4849 600 +7291 -3333 +3583 762 +-3640 2120 +-4899 3108 +2223 9873 +6937 -1276 +2551 -10658 +-1847 -7440 +-1387 -3 +-6016 1888 +-2886 3154 +1822 4873 +-514 -1298 +-242 -5141 +-1597 -9239 +2055 -15090 +5278 -8843 +1773 6388 +-1401 5089 +4347 -889 +8142 3977 +3324 1529 +5128 -4066 +6557 -6076 +7495 -4882 +7416 1285 +2914 2534 +-1247 -2942 +-5572 -1848 +-4160 3446 +-263 2322 +-374 2604 +-2368 4758 +-7089 3409 +-6763 1830 +753 -3559 +-1146 -5366 +-3603 -373 +-3181 1625 +455 5238 +6545 3166 +-1259 -1712 +-8438 -855 +-8537 -3734 +-1177 -3877 +-1947 1136 +-3881 -1250 +7830 -8225 +681 3127 +-320 13065 +8438 4399 +-742 827 +-3455 96 +9870 674 +11375 539 +-4663 3169 +-12833 3512 +-4540 1260 +6372 7632 +-4670 867 +-9492 -350 +868 6602 +1553 1908 +4141 -2824 +3383 -7291 +3210 -1766 +-1571 4253 +-4375 7140 +-717 5351 +-3592 -5626 +1194 -8575 +2642 -4911 +-2562 -5356 +-1583 -8671 +-5892 -2507 +-10499 -1313 +-6436 1762 +7284 7741 +6824 9094 +-447 9131 +-468 5729 +-7103 1834 +-7506 -5295 +-4431 814 +3018 6995 +5485 -449 +-2615 -6849 +4777 -4156 +3760 4445 +-3210 4264 +8233 3514 +4864 -1194 +-4581 -6283 +-155 -5101 +-2652 -1146 +-10402 3946 +-5991 -5330 +5624 -7720 +-627 7329 +-7761 4911 +-272 -7806 +8333 -4557 +13495 -1942 +10233 1398 +8353 4126 +8760 -5565 +9236 -6658 +685 -1982 +-427 -2409 +8734 -2456 +-5670 5071 +-8766 7284 +-2426 3451 +-3254 5378 +4073 5435 +2179 7013 +-2337 4762 +-3630 208 +-1817 -6175 +-483 -8103 +-1957 -2023 +3953 -2472 +6539 -5405 +-30 -6834 +-3423 2051 +-659 8518 +-792 3241 +-3869 -1091 +-3545 -1490 +-2444 -1740 +-3675 1845 +2610 9848 +3683 5348 +-6679 -1788 +-4982 -7072 +-1346 -5344 +-5620 -2059 +-7121 -5085 +-6201 2269 +-8878 6184 +-3650 5579 +10762 681 +11343 1927 +5813 6829 +3486 4421 +-4183 5973 +-9313 515 +-4153 -1233 +126 103 +32 64 +-26 10 +6 -2 +5 -6 +-1 0 +-3 3 +8 7 +9 8 +5 2 +6 2 +5 0 +9 4 +5 3 +2 -3 +-2 0 +0 -1 +2 -6 +3 -1 +4 3 +-1 0 +-4 -4 +0 -7 +2 -7 +3 -2 +6 -2 +3 -1 +3 1 +6 3 +3 4 +-1 2 +0 1 +-1 4 +3 7 +1 2 +-2 3 +0 -3 +1 -2 +-1 4 +1 5 +0 2 +1 4 +5 0 +3 -4 +-4 -3 +-8 1 +-3 4 +-1 6 +1 6 +0 7 +-8 4 +-4 -3 +2 7 +1 1 +-2 -2 +-3 -5 +-2 -5 +-1 0 +-2 -1 +-1 0 +-1 0 +4 1 +4 5 +0 -1 +-1 -3 +5 1 +-2 -2 +0 1 +1 6 +1 3 +5 -3 +0 0 +-3 -3 +-6 -1 +-17 -5 +-31 -8 +-57 -15 +-74 -17 +-63 -5 +-79 -10 +-1077 -349 +-6091 -1876 +-8541 -808 +165 4415 +6251 2085 +-634 -131 +-1495 6516 +4181 686 +4007 -8849 +2606 -9114 +4258 -7482 +3731 2663 +-1964 6787 +-135 -846 +6430 1746 +-1355 4644 +-9783 153 +-9357 -1104 +-8337 1159 +1129 4320 +6714 721 +-652 93 +-126 6773 +4341 -194 +2273 -9589 +880 -9584 +2879 -8212 +4238 2004 +-737 7070 +-312 -816 +6683 619 +-612 4809 +-9819 1799 +-9647 492 +-8244 2555 +1812 4113 +6866 -429 +-672 150 +984 6751 +4346 -902 +569 -9961 +-853 -9712 +1391 -8662 +4589 1177 +562 7109 +-490 -735 +6792 -646 +302 4899 +-9451 3687 +-9540 2366 +-7769 4125 +2654 3655 +6764 -1811 +-593 282 +2415 6364 +4151 -1795 +-1512 -9830 +-2918 -9272 +-514 -8783 +4825 152 +2198 6872 +-596 -639 +6617 -2132 +1395 4726 +-8531 5673 +-8937 4346 +-6765 5697 +3492 3050 +6371 -3161 +-601 430 +3612 5867 +3769 -2575 +-3365 -9427 +-4561 -8590 +-2034 -8527 +4798 -664 +3272 6418 +-719 -555 +6191 -3116 +2137 4373 +-7599 6762 +-8251 5595 +-5899 6564 +3825 2423 +5769 -4085 +-537 477 +4513 5103 +3309 -3176 +-4801 -8694 +-5873 -7731 +-3375 -8069 +4646 -1371 +4240 5744 +-790 -452 +5679 -4038 +2806 3990 +-6512 7838 +-7297 6731 +-4859 7358 +4194 1864 +5273 -4873 +-382 533 +5246 4465 +2919 -3535 +-5993 -7918 +-7004 -6770 +-4599 -7431 +4451 -2074 +5162 5014 +-862 -279 +4975 -4873 +3445 3501 +-5161 8864 +-6089 7906 +-3536 8103 +4447 1127 +4269 -5656 +-365 657 +5963 3429 +2237 -3991 +-7443 -6709 +-8178 -5447 +-5851 -6492 +3986 -2785 +5959 4046 +-887 -113 +4110 -5624 +4010 2849 +-3604 9488 +-4726 8730 +-2237 8514 +4573 373 +3353 -6225 +-240 680 +6430 2449 +1654 -4271 +-8321 -5440 +-8890 -4045 +-6804 -5486 +3492 -3373 +6575 3023 +-861 -15 +3210 -6163 +4405 2224 +-2106 9899 +-3345 9282 +-829 8670 +4694 -413 +2383 -6652 +-130 715 +6806 1384 +954 -4428 +-9123 -3955 +-9418 -2506 +-7582 -4247 +2939 -3843 +7003 1967 +-899 131 +2210 -6541 +4722 1477 +-309 9618 +-2242 6667 +-4567 751 +-1047 -9069 +-2447 -9641 +-8229 -5350 +-613 -4706 +5493 -1756 +3272 5563 +6058 3572 +6352 -6939 +5292 -3952 +4386 3242 +-2850 6395 +1363 6783 +8622 1650 +-68 3200 +-4961 -636 +-5488 -7311 +-6826 -609 +-1608 5409 +-3068 5548 +-7593 -2654 +-6158 -5225 +-4412 2679 +2626 3937 +3603 6078 +-7299 4500 +-7798 4208 +2142 7838 +9494 997 +6786 2700 +-2965 7051 +-560 3455 +5747 -364 +5158 -7554 +6830 -3354 +3978 5564 +2912 3617 +6342 -3977 +3512 -8184 +4076 -462 +-851 3980 +-8046 4509 +1412 7205 +3602 5032 +-4850 3129 +-6410 -3451 +-7343 -4013 +-4286 6233 +-1803 5156 +-5071 -1842 +1430 -5630 +6956 -8257 +-581 -10086 +-294 -7332 +4169 1277 +-4616 1685 +-10088 1382 +-6567 8065 +-6566 2153 +-2740 -8103 +7755 -3352 +10401 -1395 +5868 -7771 +2619 -1225 +-2932 3852 +-6891 -5880 +-8299 -5469 +-9860 1497 +-3593 -3053 +3039 -4860 +6216 1972 +7114 -1363 +367 -9260 +1425 -6370 +5504 -499 +2005 6623 +5495 4104 +7751 -4413 +2088 2406 +-4256 2799 +-9145 -1701 +-5679 4084 +2381 5004 +1378 6093 +-7592 3104 +-8294 234 +-1705 4836 +4616 1163 +6904 2140 +-2597 8129 +-3311 8253 +6836 4348 +8075 -5498 +7085 -2517 +2420 7051 +1855 2899 +4236 -4052 +-1066 -8904 +2932 -6983 +6778 1432 +4625 745 +2223 -7075 +-2702 -8248 +2867 -2966 +1978 3533 +-3329 8673 +5802 4418 +6131 1381 +-1666 5515 +-7252 1709 +-8413 1899 +737 7382 +1988 4968 +-5186 1937 +-2652 -5169 +-55 -10712 +-7169 -7023 +-5139 -5212 +4153 -1928 +-2317 4252 +-6807 7776 +474 10189 +-3491 5910 +-7681 -3917 +3497 -7680 +6967 -8112 +-856 -9495 +1167 -2540 +518 4828 +-9209 495 +-10050 1753 +-6479 7782 +-4765 226 +-966 -5574 +6078 -2727 +4591 -5739 +-5892 -6980 +-3170 -5608 +3867 -4082 +5963 3406 +6994 -762 +2986 -8369 +3241 355 +-1314 4851 +-8165 4878 +-1638 6785 +5175 2093 +5119 3575 +-3736 7318 +-6232 5707 +1953 4680 +4273 -2246 +6655 -3022 +3460 7658 +3007 8161 +8212 -1521 +2469 -9422 +3724 -6562 +6597 3217 +2265 4891 +-1581 10968 +-3934 10564 +-32 6847 +2575 3187 +-2481 -2969 +-1331 -2068 +1771 -2499 +-4125 -6322 +-3265 -10764 +3115 -3416 +11065 8576 +13968 -4254 +5741 -11431 +2827 5283 +-289 11552 +-1957 5882 +2129 1070 +-1235 2214 +-1237 2249 +1256 -5460 +-3415 -7081 +-7311 -8822 +-3487 -8429 +670 4089 +-4761 6074 +-3734 102 +1699 -3543 +78 -9805 +-658 -3041 +-4131 1702 +-4385 -9952 +-1646 -8410 +-2009 -2190 +-2411 -4561 +-6902 3549 +-4245 10551 +-356 4328 +-2965 -2575 +3578 -5629 +8855 -4443 +6349 2224 +3530 6397 +3258 1186 +4476 -3355 +-569 -4182 +-3542 -6759 +2607 -6574 +8258 -4519 +5399 930 +-744 3754 +-5560 -2253 +-11124 -382 +-4510 5231 +3847 4064 +1705 3177 +7219 6267 +5265 7990 +-4076 2006 +3477 2208 +8378 4302 +2290 -119 +-3299 3211 +-7408 517 +-1603 -1869 +6192 8873 +3780 10493 +4342 5117 +4040 731 +-3858 -647 +-2378 -704 +-162 -3042 +-7259 -2161 +-9447 -6003 +140 -4563 +14091 -702 +8179 -12166 +-2894 -12122 +5614 2187 +6582 8275 +-255 1849 +7829 -7038 +10530 -2234 +3691 1515 +1722 -196 +-1384 2995 +2488 1464 +-1556 1092 +-7803 4941 +-4465 2189 +-1037 -943 +5193 -5739 +3269 -8531 +2139 -3921 +5082 -5987 +1790 -6914 +4866 1673 +7495 1505 +6385 -5925 +322 -2216 +-3921 6875 +3657 5369 +1397 -3839 +-1537 -6757 +406 4102 +-5665 10877 +-8795 5630 +-9931 4515 +-4308 -1380 +5509 -7822 +4807 -63 +1008 3056 +-271 -224 +-3495 -390 +-12414 215 +-9628 -1407 +4435 -3755 +3305 2905 +-1851 2819 +-785 -12694 +147 -17782 +-273 -6918 +-4687 4523 +-2503 5640 +4633 2007 +1636 1031 +-4429 -3459 +-1566 -3123 +-4437 -186 +-11209 -4950 +-2166 -658 +2838 8246 +-963 6398 +2315 3559 +875 -22 +-4115 2274 +-660 12830 +5695 11122 +1051 5732 +-2358 3678 +6366 389 +6222 1518 +6553 -3889 +7851 -3649 +-1338 665 +1194 -10069 +7015 -8617 +3918 -1291 +1215 -1220 +839 3146 +2890 -495 +-539 1857 +-2940 8721 +-2069 4516 +-1462 -10 +367 -7627 +-2944 -8490 +-863 -4342 +120 -7787 +-4404 -6358 +-6230 -3075 +-9700 -4363 +-2174 -5382 +1689 -11817 +-1566 -6243 +774 6070 +4936 3371 +7771 3544 +7549 6092 +-302 -842 +821 -2487 +6465 -354 +-5095 -209 +-12233 -2837 +-5370 -10302 +-3507 -1076 +-3014 12884 +3403 11223 +7364 14059 +680 8001 +-4269 -5601 +-3156 821 +-3276 8307 +-73 7593 +3225 5099 +9068 3306 +10453 4504 +1055 4780 +-136 4509 +-2588 -3633 +-5325 -5769 +474 5565 +-1796 4285 +-2651 -3725 +-3042 -5454 +-5162 -2644 +-1160 -121 +-2224 -6447 +-368 -7801 +1974 -3936 +-1029 -6317 +1653 -6157 +3110 -1220 +-1574 4974 +-6950 8165 +-2008 4182 +5721 -2187 +3199 -4458 +933 -1032 +885 2119 +5071 -1784 +3354 -5646 +-6529 200 +-3710 334 +-3761 -7313 +-6706 -1852 +1857 2821 +4054 -719 +39 -890 +-883 -3372 +2570 -822 +3035 1265 +-486 -5642 +888 -3236 +-5321 3624 +-10984 3510 +-5089 -2670 +-6372 -9772 +-5309 -3541 +4542 3987 +6051 -675 +8337 -2373 +9839 -312 +-754 -399 +-1026 -2364 +4796 -4428 +-4109 3082 +-11376 5686 +-10898 -4210 +-4607 1595 +-1342 13000 +-875 4541 +1496 -5835 +-7828 4861 +-11021 8605 +-7447 -2276 +-4613 716 +-2117 1819 +61 -4355 +9664 -3339 +9457 -4380 +-328 -7576 +-4274 -3717 +-3965 2056 +2994 -987 +1605 -5372 +1046 -1346 +3728 5076 +-9032 9635 +-9733 5430 +-2347 -3306 +-3825 -1360 +-3683 6443 +-2166 7158 +11665 2042 +19747 -887 +9833 -4600 +1985 -8851 +-3261 -8219 +1279 -5413 +7239 590 +2688 53 +656 -3911 +2581 629 +7720 3031 +7273 4286 +-2506 5004 +-9776 1826 +-7153 -293 +2017 -3665 +-953 -2429 +-7081 1327 +-1296 2680 +3402 4679 +3062 5 +1119 -4823 +4340 -3432 +2967 -3228 +-10302 -2799 +-7777 -2311 +3896 -4636 +119 -4948 +-6111 -1876 +-7021 -3742 +-4172 -3921 +998 5462 +4330 9540 +5762 4499 +-809 39 +-7377 -290 +-570 -1818 +3395 -4296 +685 -439 +4095 6579 +5726 12418 +1822 4112 +-2631 -5395 +-2909 8718 +-2872 13514 +-7299 3206 +-3133 3511 +-420 2641 +-2824 -3255 +5271 -8744 +4535 -9382 +-5166 -5440 +-5779 29 +-1857 4129 +1652 -2666 +-2019 -5013 +-2133 -526 +82 200 +-4 15 +-13 6 +0 5 +1 7 +0 5 +-1 3 +4 7 +7 6 +4 -6 +5 0 +4 3 +3 5 +7 7 +4 6 +6 1 +2 -2 +-5 2 +-4 4 +-4 1 +-3 -2 +-5 2 +-7 2 +0 -2 +5 3 +0 3 +-7 0 +-4 0 +-5 3 +-4 2 +-4 -2 +3 -2 +9 0 +2 -4 +-2 -6 +7 -1 +3 -4 +-6 -3 +-6 -5 +-2 -7 +3 -7 +2 -5 +-1 -2 +2 -1 +4 6 +-2 -4 +0 -7 +0 -4 +4 1 +-1 4 +-3 1 +-3 -1 +-2 -3 +3 1 +-5 8 +-8 9 +-4 7 +0 7 +2 2 +-2 1 +1 -1 +0 -1 +3 -3 +2 -3 +1 0 +-1 -1 +-4 2 +-3 2 +0 1 +-2 -3 +-5 3 +-1 6 +0 1 +2 5 +4 5 +5 -4 +2 -4 +-5 1 +-2 9 +-1 6 +-2 2 +-3 0 +-2 -2 +1 -4 +-12 -11 +-11 -3 +0 0 +2 1 +3 0 +-1 -1 +-7 2 +-5 3 +-5 3 +-5 -5 +3 -2 +4 10 +2 3 +-9 -3 +-6 0 +-1 -3 +-5 1 +-2 0 +-1 3 +-2 -4 +-3 1 +-5 7 +-3 8 +2 6 +1 0 +-4 0 +-4 -1 +-1 -2 +-3 5 +-5 5 +0 2 +-2 2 +-1 -4 +-4 -2 +3 6 +2 5 +3 2 +9 3 +7 3 +8 -4 +9 -1 +1 -3 +-2 -7 +2 -4 +3 -3 +3 -2 +2 1 +-3 -3 +2 -2 +3 -3 +1 -2 +0 -1 +4 4 +6 5 +3 3 +0 4 +6 3 +-1 4 +-4 -1 +-8 11 +-18 31 +-6 42 +-19 43 +-14 57 +-384 -32 +-4394 -1016 +-9568 -1231 +-3572 3380 +6703 3737 +2161 -968 +-2464 5162 +3269 4472 +4668 -7624 +2409 -10133 +3295 -9339 +4915 -1692 +395 8034 +-2241 1622 +5683 -604 +3148 5032 +-8737 2242 +-10362 -686 +-9805 595 +-2822 4077 +7505 2447 +2070 -1310 +-1444 5659 +4129 3917 +3168 -8454 +438 -10555 +1515 -9877 +4625 -2557 +1994 7880 +-1885 2009 +5577 -1682 +4047 4370 +-8378 3818 +-10551 1273 +-9714 2475 +-2075 4589 +7933 970 +1748 -1721 +-416 5787 +4861 2981 +1498 -8931 +-1640 -10499 +-438 -10105 +4059 -3501 +3516 7360 +-1547 2360 +5206 -2800 +4997 3527 +-7558 5590 +-10269 3461 +-9254 4411 +-1148 4945 +8150 -729 +1416 -2092 +799 5825 +5533 2039 +-224 -9025 +-3694 -9952 +-2372 -9811 +3386 -4196 +4894 6577 +-1058 2582 +4725 -3648 +5584 2602 +-6557 6739 +-9662 5118 +-8429 5899 +-210 5070 +8021 -1998 +1066 -2230 +1697 5691 +5774 1093 +-1770 -8930 +-5339 -9186 +-4027 -9249 +2623 -4727 +6032 5639 +-602 2706 +3959 -4442 +5984 1569 +-5307 7816 +-8668 6812 +-7271 7382 +658 4964 +7458 -3511 +582 -2396 +2791 5190 +5914 -96 +-3458 -8435 +-7046 -8022 +-5841 -8332 +1677 -5132 +7081 4436 +-40 2826 +3172 -5079 +6294 429 +-3791 8612 +-7362 8183 +-5963 8496 +1520 4782 +6959 -4739 +268 -2471 +3717 4600 +5943 -1096 +-4886 -7627 +-8395 -6626 +-7131 -7176 +880 -5285 +7725 3206 +406 2712 +2319 -5538 +6254 -566 +-2455 9080 +-5986 9233 +-4492 9305 +2284 4516 +6129 -5682 +-163 -2436 +4300 4004 +5688 -1931 +-6033 -6739 +-9418 -5262 +-8244 -5992 +-47 -5337 +8125 1902 +843 2658 +1374 -5781 +6104 -1579 +-845 9285 +-4382 9994 +-2931 9834 +2983 3969 +5023 -6617 +-615 -2375 +4959 3091 +5331 -2932 +-7064 -5514 +-10133 -3438 +-9180 -4353 +-1035 -5211 +8401 377 +1341 2421 +336 -5907 +5787 -2649 +818 9276 +-2565 10609 +-1195 10168 +3740 3362 +3954 -7434 +-1014 -2206 +5475 2290 +4766 -3710 +-8049 -4259 +-10706 -1765 +-9829 -2864 +-1842 -5014 +8434 -908 +1679 2198 +-648 -5821 +5296 -3441 +2295 8821 +-824 8813 +-3951 4036 +-3642 -6223 +-2024 -11477 +-8644 -5901 +-5130 -4570 +4843 -4285 +4491 2964 +5822 5828 +6998 -5327 +4805 -7673 +6081 932 +-37 5736 +-1104 8209 +8881 2314 +4219 1837 +-4645 2758 +-5959 -6357 +-7995 -3059 +-3148 4763 +-788 6822 +-7238 1322 +-7883 -6068 +-5569 820 +286 4215 +6010 4944 +-3423 6551 +-9897 4180 +-721 8289 +8620 3073 +9800 -224 +362 7196 +-2719 5206 +5040 980 +5226 -6419 +6058 -7501 +6281 3370 +2821 5451 +6149 -1815 +4310 -9112 +3439 -4286 +2662 3664 +-7589 4577 +-2342 7419 +5904 6027 +-2362 4303 +-6882 -482 +-7904 -5712 +-6122 3766 +-1163 7616 +-4621 379 +-2420 -4888 +6673 -8665 +1500 -10540 +-3058 -9832 +4099 -1839 +-572 2860 +-10447 1051 +-7688 7274 +-6136 6757 +-6037 -6123 +4246 -6822 +11618 -1339 +7340 -7412 +3525 -5573 +-578 4551 +-6860 -2250 +-8858 -7643 +-10660 841 +-7069 -320 +1133 -6290 +5470 -498 +8366 811 +2234 -8415 +-1097 -8769 +5387 -3109 +3610 4452 +4315 6976 +8484 -3771 +4493 -1190 +-1957 4957 +-8790 -1143 +-8348 2691 +470 5582 +3730 5633 +-4802 5548 +-10139 365 +-4002 4146 +2846 3002 +7924 -100 +1489 7066 +-5263 9361 +4656 6692 +8896 -3177 +7699 -6435 +4891 5196 +1136 5690 +4674 -2438 +133 -8191 +7 -9574 +7109 -1450 +5671 2342 +3681 -5226 +-2318 -9568 +49 -5416 +4513 1098 +-3094 8316 +2825 7028 +8478 740 +908 4745 +-5722 4078 +-9965 813 +-2431 6728 +4000 6445 +-3412 3302 +-5088 -2087 +-355 -10812 +-5640 -8873 +-8743 -5436 +2089 -4033 +1411 2529 +-7630 7513 +-1448 10434 +-503 8984 +-8765 -794 +-1119 -7829 +8395 -8376 +1214 -10317 +-705 -6395 +2510 3876 +-6814 2630 +-11853 -194 +-7906 7330 +-5817 4174 +-3175 -5510 +3948 -3847 +7208 -4701 +-3479 -7827 +-6381 -5984 +2333 -5753 +5632 1114 +7813 2567 +4383 -8163 +2824 -3658 +1663 5022 +-7663 4681 +-4991 7293 +3892 3958 +6518 2005 +-318 7317 +-7896 6658 +-595 5683 +4186 465 +6270 -5032 +5675 4463 +1725 10380 +7997 2049 +5102 -8062 +2009 -9688 +7144 925 +3289 4992 +994 1489 +4424 3512 +7989 -3065 +4386 -10206 +-4874 -1114 +-6001 1913 +-276 870 +-591 -683 +4088 -7000 +7117 -1697 +5414 1427 +13053 -2889 +9230 -2611 +3375 -3111 +7816 2389 +1823 4267 +-1512 -1334 +-2760 48 +-7084 1147 +1887 5532 +10045 5269 +5482 -4569 +964 -3607 +-1845 -122 +-4503 5910 +448 13228 +844 7770 +-4169 3676 +1338 -774 +1953 -6378 +-556 -5151 +1591 -5828 +-2143 -6632 +-265 -8780 +2717 -7721 +-1920 -269 +-5211 3106 +-6286 3296 +-5956 -4898 +-4126 -8062 +4765 9938 +5923 17672 +-6847 3485 +-6326 -54 +-2656 7642 +-11124 -4250 +-13110 -14058 +159 1093 +6096 9840 +-2901 5285 +-5955 3732 +-2657 2589 +3982 953 +9873 2132 +5736 5303 +5143 2990 +5018 972 +4238 2577 +4648 -945 +-3527 156 +-6530 -88 +-8646 -7367 +-10802 -3018 +-3045 2964 +1908 705 +5911 -267 +4211 -7369 +-3182 -10267 +-4480 2374 +-3447 5348 +347 865 +-862 -129 +-1369 -7818 +4472 -5813 +5200 -2444 +8397 -10678 +5616 -7917 +670 -4478 +7426 -3731 +2272 -2471 +-3119 -5310 +3542 -1713 +5272 -4202 +6620 -7742 +12692 -7002 +12492 -3324 +1220 467 +-8290 4526 +-10915 -3865 +-6880 -14638 +-1213 -3323 +1076 517 +799 -6326 +-8954 1860 +-14589 1675 +-7360 -6607 +-558 830 +2846 12199 +688 14167 +-49 9444 +1193 3063 +95 -1140 +-1863 -617 +-9415 147 +-6840 -4383 +414 -4767 +-2132 1278 +-1659 875 +766 -5880 +4903 -8381 +-72 -2287 +-3739 2036 +9228 -1980 +4412 -659 +219 2950 +11668 -693 +5302 -2734 +-2095 -5565 +-3224 -4114 +-2313 6127 +7404 7236 +6109 -144 +-1185 -2845 +1953 1404 +1564 6215 +-1056 4298 +7828 -3592 +8279 -12038 +-4499 -7562 +-5581 2303 +2398 3773 +8502 7180 +5202 5754 +2102 2554 +7687 6654 +766 -700 +-2452 -6813 +2153 1565 +-1990 4732 +464 869 +-1289 204 +-3736 6778 +-951 9908 +-9493 2177 +-8257 -2694 +1829 -3658 +1010 -6595 +-347 -10051 +4733 -13564 +7107 -10830 +1192 -475 +-3154 8873 +-10800 4545 +-15207 -5864 +-3305 -1620 +1146 -443 +-3712 -5046 +-5498 7403 +-9790 10958 +-10590 -1620 +-6065 -4965 +-2952 319 +-8005 -1621 +-6716 -4570 +1628 3363 +2945 9990 +3417 8413 +3646 11447 +3477 11662 +1406 -2488 +-2374 -7761 +-5145 -1721 +-3504 2619 +4890 2545 +664 -5086 +-8113 -6949 +-919 -4436 +-953 -5824 +-6267 -5052 +-1144 88 +-3420 4768 +-8453 1012 +-1697 1933 +4094 7990 +5503 7585 +6441 5888 +-1173 3560 +-9781 10629 +-7921 7039 +-2465 -11088 +-178 -5745 +-1681 -4330 +-1767 -7767 +1379 8280 +3290 9114 +2758 552 +2374 354 +5626 -1396 +3497 -5984 +-6934 -13430 +-5877 -12621 +8292 -5053 +11129 1824 +2034 4585 +1010 -4994 +3248 -9725 +6517 -560 +12684 4358 +5116 -848 +-1631 -7185 +1770 -6115 +1399 450 +-1445 1684 +-5561 -1689 +1633 2478 +6849 4076 +560 -3571 +5404 -1798 +-1332 4874 +-12808 6810 +-4410 7441 +-846 677 +-1483 -6277 +-4716 -3688 +-7345 -108 +-2313 2240 +-7364 3818 +-8284 820 +3439 1515 +8718 5625 +8102 4118 +10222 6290 +10196 6499 +-474 -2832 +-6864 -4336 +-5157 1994 +-1110 4225 +5361 -1191 +-2221 -4075 +-5865 2610 +-1118 6037 +-8983 -299 +-10106 -3628 +-9670 -2102 +-3885 3500 +6675 4408 +2165 -1147 +-3344 5189 +3007 5195 +5652 -7591 +3338 -10451 +4126 -9286 +5238 -1088 +-281 8326 +-2334 1116 +6192 -264 +2786 5574 +-9471 1532 +-10614 -1723 +-9878 -233 +-3114 4225 +7382 3226 +1869 -1439 +-2496 5655 +3805 4497 +4267 -8470 +1476 -10794 +2419 -9736 +4997 -1981 +1230 8190 +-2105 1471 +6040 -1449 +3740 4878 +-9080 3152 +-10864 181 +-9897 1514 +-2362 4608 +7863 1742 +1563 -1792 +-1395 5925 +4614 3630 +2702 -9041 +-518 -10776 +533 -10012 +4521 -2873 +2707 7736 +-1815 1845 +5763 -2443 +4703 4186 +-8327 4778 +-10631 2143 +-9471 3257 +-1518 4955 +8132 363 +1338 -1965 +-257 6087 +5247 2856 +1103 -9244 +-2378 -10413 +-1120 -9812 +4071 -3487 +4032 7202 +-1457 2099 +5267 -3367 +5290 3306 +-7527 6020 +-10213 3883 +-8835 4831 +-734 5162 +8089 -992 +923 -2201 +629 6008 +5598 1914 +-500 -9261 +-4131 -9869 +-2811 -9495 +3325 -4126 +5126 6353 +-1670 2584 +-443 -1287 +3463 7039 +39 5506 +-4738 -3990 +3970 -6981 +-490 -8419 +-5335 -437 +-6029 2684 +-4129 -6738 +3248 -8435 +-3634 -5526 +-3056 3123 +-2376 6777 +-6822 -480 +-6941 -6514 +-8083 2316 +1442 10184 +3076 7116 +-5636 3857 +-6115 -619 +1207 5140 +7974 2267 +8000 1160 +-93 7690 +-4568 3836 +4769 5274 +9259 -714 +4868 1219 +-2215 4279 +-7259 -5205 +-6279 1993 +-3455 7120 +-4000 175 +-2619 -6579 +1992 -9266 +-5521 -3295 +-7520 1952 +-1410 -3671 +3014 -4691 +10198 2472 +9284 -3289 +7342 -6900 +3204 2986 +-2820 623 +-4269 -8627 +-9129 -3671 +-8008 -2183 +3315 -7773 +7432 565 +5138 9412 +8912 3028 +5966 309 +-3965 1630 +-836 -6789 +3872 -10552 +-4940 -8760 +-2404 -5939 +6287 -1555 +2050 4009 +2941 7702 +7483 -2281 +7918 -4452 +4261 3458 +-2589 5126 +-3893 6971 +6074 5347 +3708 3730 +-6391 60 +-1551 -7730 +-5895 -5957 +-4539 3063 +-3050 5843 +-7636 -2401 +-2954 -8421 +-6579 -1812 +-587 4317 +2373 6632 +-5716 3899 +-9629 -486 +-5113 6607 +7671 2618 +11388 -9496 +5112 -9745 +1319 -1840 +-4243 2819 +-7776 1742 +1132 -175 +8143 -3249 +2829 6424 +-2255 12568 +4679 4129 +7924 898 +2799 -3154 +7 -2707 +-2952 5874 +-2889 8397 +745 4833 +-1912 -1656 +-108 -1815 +1848 3682 +-9916 -846 +-10454 -1716 +1916 2036 +-647 -2421 +-3688 1537 +4257 2722 +3032 -344 +-3 169 +-1140 -3308 +-3545 873 +-2301 4602 +2525 79 +8953 1372 +2911 8514 +-4420 2623 +-10831 -2210 +-11212 4359 +1979 6836 +-2606 5064 +-6739 -1522 +5776 -2503 +12080 -6155 +7081 -709 +-4212 10591 +-4316 4438 +5855 -1164 +7557 -8131 +1685 -4208 +-3585 -4006 +-6483 -5947 +-2067 4616 +4916 -3847 +3215 -4345 +-1232 1997 +1319 -6035 +3018 -8411 +-4357 -2364 +-7356 -704 +-1264 -3165 +5724 2411 +1011 6114 +-6401 4225 +-361 1524 +-2252 -1823 +-4217 -8766 +899 -14686 +-1970 -10352 +-298 -2201 +-1530 4856 +-5044 6368 +637 -814 +4218 -7604 +6324 2952 +6273 10713 +6289 40 +6801 -4460 +179 -4178 +-1750 -2005 +1469 6220 +3135 7814 +5596 -1305 +6618 -8611 +950 5062 +-1238 5772 +6575 -4979 +8192 -1280 +-1660 1896 +-3602 4600 +2351 10853 +4120 8996 +9261 -311 +5904 723 +-3599 -8705 +6 -11999 +-822 -2687 +-421 -694 +5567 6061 +808 299 +2641 1150 +4661 7013 +-500 5813 +-3078 5235 +-2081 554 +689 3410 +-699 -5252 +498 -8248 +-2171 2315 +-9249 4827 +-4000 9137 +6744 3922 +7470 -2979 +8174 -1618 +7215 -7139 +-1736 -3738 +-3452 7507 +-3610 2584 +-6681 1287 +-15347 5401 +-13434 -1012 +-3777 -6011 +-4119 -3094 +-114 -87 +-6819 -5620 +-8431 -3767 +697 2015 +6783 -2376 +6829 -4847 +-3038 -3436 +-85 -5829 +1357 -7320 +6651 927 +7969 3002 +-8327 -4653 +-12007 -5894 +-8768 -3085 +-1393 595 +665 2512 +-7013 -4288 +-4293 -776 +6015 9439 +8115 2466 +5706 696 +5067 6446 +2218 9431 +-921 688 +-1277 -10484 +4250 3144 +2949 5081 +1851 -7906 +5555 -6235 +-22 2441 +140 5712 +8842 6553 +9100 3947 +7054 -6328 +5096 -3351 +-8561 -4230 +-7867 -8958 +-2357 -1474 +-1232 -571 +5246 -2185 +-5308 -3344 +-14984 3750 +-13163 6304 +-4393 -1802 +3402 3069 +-5407 10234 +-8010 5163 +-3866 -3686 +-1698 -12974 +2517 -7460 +538 2247 +4217 2636 +7511 3824 +4972 2758 +3666 4586 +8010 2461 +1178 245 +-9750 7634 +-4985 3141 +906 5504 +591 5613 +-1767 -8265 +5142 -8110 +-1401 -9446 +-8390 -5961 +-5960 -1313 +-10637 -7040 +528 -7132 +244 -5186 +-7325 -1273 +-674 -533 +7429 2363 +8562 8487 +-1732 4965 +-749 -833 +10461 -3938 +14347 -2976 +10376 -2962 +8059 -3865 +3379 315 +1822 -1514 +3695 -6625 +-4715 -5734 +-5664 -6044 +87 -6478 +5218 -367 +8217 496 +6164 -3590 +3893 3945 +-1759 5019 +-7272 1266 +1345 7135 +8234 -4070 +-4330 -12023 +-7234 -198 +1936 2673 +4142 4898 +-3771 9616 +-5208 8095 +-529 3730 +-2533 -4364 +3450 -3194 +1439 4010 +-6135 28 +-8760 1206 +-8673 12400 +-6064 13232 +-4553 1508 +4692 -29 +2647 10978 +-2803 8982 +-5366 -182 +-9748 -8310 +-2943 -7062 +1875 1263 +4988 -845 +8323 -2069 +5618 -1186 +5753 1193 +7681 -1668 +403 -2340 +2402 1943 +11888 -745 +5313 -2058 +2507 2430 +5463 5592 +4028 6681 +3415 -2104 +-2195 -10243 +-2852 -8072 +12039 -4413 +8349 4377 +-2287 6635 +5315 -276 +61 -137 +-8763 -2485 +-783 441 +3081 4207 +-6245 -1173 +-8989 -1937 +-2460 -1963 +3188 3204 +-1393 5740 +-9875 3527 +-8488 5546 +-7300 4176 +1343 1138 +4981 -2526 +-2295 -642 +-5012 6 +-10696 -4988 +-6657 -2646 +-628 1628 +-2266 2785 +4691 3806 +8804 2086 +3800 -1889 +6625 1344 +1692 5812 +-7477 -1702 +-76 -4610 +-840 4432 +-3779 7804 +-1804 6823 +-2589 6378 +-2415 6018 +-2866 1029 +1600 -6966 +-22 -5898 +-84 -1380 +7809 1390 +7929 -3728 +5816 -3772 +5495 2446 +-70 -7653 +-2107 -12627 +23 -4201 +-557 5513 +333 6952 +-5951 2020 +-6271 1517 +-4077 -2080 +-6868 -5182 +-595 -6296 +-695 -1467 +3162 -365 +8512 -8569 +2722 -5026 +3613 77 +7982 409 +7658 2138 +1224 -3815 +-8591 -5925 +-7673 -3880 +6233 -11087 +9371 -2186 +2685 6421 +3849 -3669 +298 24 +-8167 4449 +-11285 -967 +-3299 -4379 +2822 -11137 +-3617 -9656 +483 -1122 +584 -3354 +-4236 460 +-3869 2196 +3956 -922 +11090 -2763 +6036 189 +3784 10001 +317 8499 +-1699 -1891 +1946 -2674 +1605 -1409 +5405 -7196 +2937 -347 +-2768 1734 +2918 -2575 +1655 96 +-4967 -2745 +-1872 5802 +-4139 9607 +-5664 1457 +4033 2148 +5980 9411 +2924 6739 +718 -5009 +-3370 508 +-5925 6392 +-4211 577 +-949 -6843 +758 -9370 +1295 -5524 +-3109 -7091 +-3706 -2473 +273 5277 +6909 4575 +10860 6662 +729 5471 +-6703 1201 +-9878 6360 +-8480 4769 +-1256 1878 +-1105 8352 +540 8129 +769 2773 +486 -2358 +3823 -965 +3770 -4417 +2365 -8726 +-3016 -4951 +-5284 -5459 +1396 5088 +11543 9609 +5749 -1116 +-9860 -3274 +-3112 -4413 +4514 -7801 +-847 -6752 +-3972 -2361 +2050 1004 +4865 3344 +-7459 5765 +-5751 -979 +-5038 -10220 +-9131 -4785 +-316 -1168 +-1671 -2853 +-2877 3146 +-1482 4140 +2441 -3341 +6729 -9454 +4755 -3935 +9625 4793 +5978 6043 +-2583 -191 +-234 -3135 +1182 489 +-25 269 +-41 -30 +6 19 +5 4 +0 -4 +-2 2 +-1 -1 +6 1 +2 4 +-2 5 +-4 -3 +2 2 +3 9 +-4 9 +-2 10 +6 8 +4 6 +-3 8 +-5 7 +0 2 +4 -1 +1 5 +5 4 +7 2 +2 6 +0 4 +0 0 +3 1 +-5 -1 +-4 -5 +-2 1 +-1 5 +1 3 +2 1 +7 -4 +7 1 +5 3 +-1 5 +-1 -1 +1 2 +1 6 +-2 2 +5 7 +4 5 +3 0 +4 9 +-1 5 +-3 3 +-4 4 +-7 -5 +-12 -1 +-2 -2 +1 -9 +-4 -4 +3 -2 +9 -4 +4 -4 +-3 -5 +1 -2 +5 2 +3 9 +1 9 +0 3 +-3 -2 +0 1 +-3 2 +-2 3 +-1 1 +2 2 +3 -3 +-1 -1 +-2 -1 +2 0 +7 -1 +6 -1 +2 5 +1 9 +3 -1 +1 -5 +4 -1 +6 0 +7 2 +7 1 +-2 -10 +-7 -8 +-3 -7 +-2 -7 +-5 -3 +0 6 +0 5 +-5 -3 +-1 -7 +-3 -2 +0 5 +7 8 +5 5 +0 4 +-1 1 +8 1 +6 0 +4 -1 +2 0 +-5 5 +-1 4 +7 8 +6 6 +7 2 +10 4 +11 -1 +-3 -3 +0 -2 +6 -3 +5 0 +1 -4 +0 4 +-17 29 +-46 46 +-37 34 +-38 44 +-37 56 +-211 171 +-2783 2068 +-6792 5869 +-1382 5296 +6747 -1831 +1515 -3029 +1249 4177 +5707 1571 +-1587 -7078 +-6023 -7692 +-5288 -7600 +324 -5607 +6353 3262 +552 3618 +1771 -4020 +6233 -718 +-2413 7179 +-6995 7335 +-6022 7292 +-253 5390 +6455 -3063 +1049 -3288 +2059 3946 +6054 573 +-2865 -6708 +-7416 -6537 +-6614 -6617 +-599 -5604 +6979 2241 +1158 3559 +1045 -4293 +6074 -1762 +-1232 7576 +-5727 8566 +-4709 8378 +735 5442 +5907 -4219 +392 -3443 +2725 3553 +6144 -565 +-4193 -6089 +-8800 -5020 +-7997 -5175 +-1796 -5399 +7384 735 +1916 3226 +164 -4456 +5676 -2987 +359 7689 +-3927 9541 +-2998 9213 +1850 5173 +5006 -5377 +-320 -3470 +3464 2908 +6051 -1804 +-5329 -5205 +-9717 -3284 +-8899 -3613 +-2835 -4979 +7481 -664 +2580 2817 +-639 -4446 +5082 -3996 +1786 7503 +-2128 10068 +-1307 9555 +2825 4727 +4109 -6168 +-906 -3334 +3919 2309 +5689 -2767 +-6239 -4212 +-10260 -1626 +-9506 -2138 +-3672 -4492 +7335 -1846 +2952 2356 +-1392 -4269 +4453 -4723 +2982 7108 +-559 10342 +199 9682 +3519 4248 +3086 -6690 +-1467 -3124 +4278 1653 +5209 -3664 +-6886 -3107 +-10421 130 +-9856 -444 +-4441 -3763 +6959 -3095 +3381 1807 +-2115 -3938 +3533 -5471 +4234 6426 +1307 10202 +1956 9391 +4250 3517 +1867 -7188 +-1978 -2873 +4578 833 +4549 -4512 +-7314 -1840 +-10283 1894 +-9800 1189 +-4975 -2989 +6435 -4144 +3635 1248 +-2703 -3562 +2669 -5883 +5143 5742 +2819 9896 +3316 9043 +4783 2930 +894 -7299 +-2385 -2496 +4608 250 +3861 -5062 +-7559 -757 +-10011 3388 +-9557 2633 +-5395 -2173 +5693 -5038 +3830 707 +-3217 -3069 +1735 -6192 +6016 4810 +4351 9199 +4714 8357 +5173 2076 +-402 -7284 +-2832 -2053 +4599 -574 +3005 -5657 +-7597 476 +-9267 4996 +-8978 4183 +-5754 -1212 +4792 -5917 +3911 3 +-3690 -2527 +711 -6350 +6750 3777 +5829 8369 +6037 7450 +5499 1147 +-1491 -7185 +-3099 -1597 +4525 -1224 +2183 -5953 +-7523 1612 +-8575 6213 +-8422 5307 +-5941 -441 +3954 -6480 +3890 -491 +-4047 -1905 +-228 -6365 +7208 2623 +6111 6238 +1092 5395 +-5831 94 +-9032 -6047 +-9755 1244 +-7497 1870 +-598 -5598 +4340 -2181 +7949 447 +2453 -7112 +-2593 -8473 +3912 -4240 +4332 2390 +4293 6624 +7505 -3298 +4712 -3208 +-217 4937 +-7424 630 +-8294 2752 +-108 5409 +4469 4423 +-2236 6101 +-9368 2106 +-4278 3828 +2336 3378 +7037 -1393 +3768 5162 +-3805 9467 +3701 6482 +8117 -2492 +6206 -7637 +5773 2686 +1922 6014 +3843 -1837 +101 -6967 +-2172 -9008 +5761 -3507 +6041 1619 +3305 -4183 +-2741 -8559 +-2035 -5544 +4516 -634 +-1018 7215 +2200 7238 +8371 -240 +2562 3335 +-3755 5200 +-9027 1915 +-3072 6116 +4749 6020 +-1470 3695 +-5311 58 +-1787 -9120 +-5173 -8360 +-9388 -3863 +-128 -4223 +2859 1058 +-5625 7535 +-1188 9775 +1911 9120 +-7420 2007 +-3975 -6402 +6414 -8882 +978 -9590 +-2346 -6937 +2970 2090 +-4195 4061 +-11144 1261 +-6944 7153 +-4693 6122 +-4083 -3823 +2003 -4384 +6630 -4781 +-2596 -6904 +-7562 -4643 +298 -5691 +4963 -934 +7701 2288 +3859 -7218 +1631 -5377 +3014 3840 +-5515 5260 +-4849 7387 +3430 4088 +6439 457 +2276 6072 +-6029 7600 +-951 5612 +4045 1004 +4681 -5622 +6384 1388 +3196 9507 +7156 2410 +4805 -7192 +-123 -9778 +6245 -1821 +5474 3156 +1823 -3925 +-4477 -5281 +-7663 -5289 +2143 -6333 +5769 -2697 +-130 -5200 +-7665 -4528 +-5187 -2805 +3122 -3445 +3974 6036 +6453 3950 +6392 -5584 +4204 840 +526 6334 +-5780 7401 +1570 6552 +7650 1336 +1321 3712 +-4084 3505 +-7352 -5578 +-9507 -2835 +-9851 3179 +-2905 -3125 +2901 -1092 +539 9209 +5467 7965 +7455 5432 +-4390 6326 +-7289 -2028 +-902 -10677 +-5483 -7695 +-6335 -3495 +3732 -366 +-479 5712 +-7806 8198 +-639 9817 +488 7571 +-5676 -125 +-1416 -4549 +1940 -7904 +-6578 -3360 +-8923 1522 +-3528 -4426 +3141 -3983 +7390 -3490 +-1839 -7858 +-2344 -5021 +4929 748 +-611 7412 +1281 8514 +5382 638 +5195 -3917 +5813 2922 +472 9559 +3041 4769 +3834 -1902 +-103 -7095 +5842 -3086 +8737 4851 +7144 -3004 +-956 -8499 +-6448 -7129 +3642 -5428 +6492 -614 +5914 4514 +7151 10314 +4458 7004 +5942 1734 +-281 -582 +-4650 544 +-242 -2127 +-3875 -1898 +-10301 -1776 +-7134 -5767 +8331 -2342 +13074 -8186 +-2485 -14906 +-619 -4674 +9879 5308 +5437 7306 +2619 1323 +1442 -303 +1071 3942 +-754 -1647 +-6062 -3949 +-9637 514 +-12154 -1229 +-1930 -1154 +4795 5691 +-1822 6122 +-1156 -755 +-5028 -5272 +-6831 -4566 +230 2846 +-6562 1690 +-10847 -4972 +-4121 -790 +-4044 -801 +-4574 2558 +2363 10883 +5836 5853 +-1167 1677 +-4002 -2250 +590 -9355 +4154 -6910 +7392 -190 +5398 592 +1385 -4583 +-1069 -4272 +-6461 -961 +-6172 -3696 +-19 -8368 +3578 -7284 +4158 957 +-1428 2744 +-8366 4940 +-4193 9289 +4917 2241 +4353 -28 +5805 73 +12105 -1291 +3406 4027 +-812 1755 +8853 -3173 +5150 -3130 +221 1149 +-1501 6721 +-5998 2721 +4987 -606 +12614 2988 +7925 2428 +5770 -2464 +-561 -244 +-3304 3326 +-1567 -1500 +-4325 1035 +-9260 5227 +-9418 237 +4902 -7051 +4990 -14516 +-11662 -9661 +-3559 -3109 +11095 -2186 +7391 4043 +1600 2332 +5296 -5610 +2754 -8726 +-4142 -6084 +460 -401 +1717 2297 +-1909 1745 +-531 -450 +1607 2547 +2069 -71 +-8428 -4969 +-11051 -2447 +1331 -3874 +2767 1927 +110 8715 +-4608 2567 +-3533 1648 +6776 4554 +-628 6993 +-8233 10319 +-3026 2416 +2796 -5267 +7886 -386 +2707 -1765 +286 -5789 +3432 -885 +-1292 -3834 +-3769 -4563 +-776 1223 +1238 -41 +-3197 5412 +-1626 8117 +8432 2947 +6742 600 +4646 -3110 +7026 774 +4840 2554 +4753 -2911 +759 -3947 +-2606 -7585 +1720 -9324 +859 -5256 +-1739 -1115 +1869 -3353 +2362 -6781 +-905 -2163 +3231 -6626 +6073 -10893 +-814 658 +-4465 7320 +-4968 3522 +-5424 3316 +-2217 7793 +65 1914 +1999 1372 +-1169 7172 +-8388 -6705 +-8920 -6352 +-7032 5992 +980 -2493 +6293 -3186 +-3752 6355 +-2138 11273 +7366 11895 +3179 2513 +354 -8006 +-3636 -8222 +-7264 -1752 +30 -626 +2859 513 +-330 2480 +-736 23 +2889 837 +1629 -1446 +-9703 1747 +-10151 5355 +-1532 -3709 +3398 -363 +5998 6176 +911 2570 +2986 -2329 +902 -6760 +-6093 -11454 +117 -7013 +6147 2917 +9267 -3216 +5430 -10186 +2447 -2369 +8380 2036 +3060 -121 +-6551 -1827 +-9993 -2184 +-7757 972 +-1781 701 +-1076 -2638 +2343 1661 +4346 6102 +303 4164 +-5075 567 +-12339 -4010 +-7083 1686 +3283 10426 +3874 857 +1775 -2361 +-283 9500 +-2916 5421 +-5406 -1702 +905 2800 +6231 -780 +1513 -3910 +2841 5178 +1352 7289 +-3577 -1940 +-1911 -6570 +-1961 -1172 +29 7582 +1363 8073 +1303 1944 +2274 4134 +-1742 8647 +-613 1049 +8733 -7110 +12895 -2629 +5012 857 +193 -5628 +4843 -5866 +1432 -163 +-3421 -1185 +-8365 2606 +-16284 11367 +-12692 6905 +-9413 609 +-7168 2624 +4296 407 +4006 1229 +-3410 4544 +-1831 -4876 +1386 -10041 +5573 -7825 +4657 -5323 +-1767 5603 +4602 3709 +10662 -6377 +3437 -3879 +1084 -4877 +-3914 -5351 +-12446 -4319 +-4659 -5198 +6662 -2000 +4991 -8530 +-2705 -10906 +177 -3333 +7708 -4127 +2256 -2093 +-6223 3096 +-9168 5118 +-5372 5932 +-925 1707 +-2686 -1224 +1729 293 +3262 6015 +1123 7651 +80 3656 +-2134 239 +-5254 -3010 +-6777 4716 +700 7696 +2390 -4871 +-3316 -5447 +-6704 3830 +-13845 1341 +-8235 3654 +7894 12731 +4156 5498 +-1678 -2866 +1265 -1055 +-5374 -3709 +-7009 -4727 +-1654 -2265 +-5824 -493 +-7236 1513 +-576 -3298 +3481 -9772 +3003 -4967 +-125 3329 +-3471 4170 +-2516 4218 +1682 4092 +-1350 -5 +-7849 -2079 +-5020 1684 +-912 6180 +-6244 2422 +-5455 -1787 +11539 -1096 +15538 -2081 +3478 -1079 +2915 -436 +1892 1177 +-5654 2680 +-5698 124 +-1022 -564 +3008 -4545 +-481 -2691 +-5169 3760 +-4699 2216 +-3466 3399 +4382 -1127 +8766 -820 +1590 6436 +-541 -3011 +6049 -4553 +8310 -194 +4369 -3637 +4486 -4456 +4156 -10306 +-1575 -7308 +-3607 2332 +-1276 5575 +900 4062 +-1299 -8149 +-2246 -11211 +4170 -7399 +7195 -7108 +6439 1817 +5690 5086 +2460 2620 +-1501 1535 +-6064 1090 +-2369 7836 +5463 5309 +-1157 -5147 +-6068 -1934 +-2835 7109 +-10092 9652 +-4291 7875 +14280 4739 +6765 1590 +-3190 -1066 +1161 -1262 +594 -7 +-116 107 +3 22 +-2 1 +-2 -7 +1 -9 +1 -6 +-2 -9 +-1 -2 +-2 -2 +-2 1 +-2 5 +2 3 +1 -4 +0 -2 +2 0 +-1 2 +5 3 +5 4 +7 -1 +8 -4 +3 -2 +4 -6 +4 -4 +3 -3 +-7 -5 +-4 2 +-3 -1 +4 0 +9 -3 +6 -3 +3 4 +-4 6 +-2 1 +-4 -3 +1 0 +-2 2 +-7 1 +2 1 +2 -5 +-3 0 +-7 11 +-6 7 +1 2 +8 4 +5 5 +4 1 +0 4 +0 0 +0 -4 +-1 -1 +1 2 +1 -6 +7 -6 +2 5 +-2 0 +-3 -4 +2 -5 +-3 -3 +-2 5 +3 8 +0 -2 +3 0 +8 9 +4 -1 +-1 -5 +0 -4 +5 -2 +8 -3 +4 -1 +4 -3 +9 -3 +6 -1 +5 3 +7 5 +5 0 +6 -1 +11 0 +8 2 +4 1 +0 1 +-1 0 +2 -2 +-4 -6 +-3 0 +-1 -1 +1 2 +3 0 +-5 5 +-3 2 +2 5 +-1 5 +1 -1 +8 -1 +14 3 +4 0 +-1 -3 +-1 -2 +-3 3 +0 1 +2 4 +-1 3 +-4 2 +-3 -2 +-1 -8 +2 5 +-1 9 +0 4 +-3 3 +-3 8 +2 11 +5 8 +0 3 +-2 -2 +1 6 +5 -3 +3 -7 +-10 -19 +-20 -22 +-25 -20 +-25 -27 +-10 -28 +88 -114 +2332 -1917 +6844 -6228 +2361 -6178 +-6957 1531 +-2325 3862 +-860 -3782 +-6292 -2243 +1025 6918 +6660 8066 +6123 7717 +838 6605 +-6885 -2309 +-1555 -4463 +-1029 3819 +-6864 2036 +1180 -7170 +7042 -8262 +6255 -7952 +975 -6392 +-6715 2844 +-1683 4282 +-1616 -3625 +-6801 -1122 +2274 6612 +8206 6742 +7596 6465 +2095 6381 +-7378 -888 +-2465 -4076 +-197 3981 +-6305 3421 +-292 -7291 +5205 -9627 +4447 -9184 +-493 -6536 +-6061 4225 +-700 4533 +-2349 -3213 +-6962 466 +3778 6018 +9741 4817 +9012 4681 +3512 5762 +-7438 654 +-3292 -3526 +616 3926 +-5615 4643 +-1860 -7161 +3158 -10542 +2579 -9955 +-1789 -6308 +-5133 5456 +292 4679 +-3043 -2629 +-6972 1879 +4858 5212 +10591 2824 +9883 2907 +4633 5075 +-7342 2090 +-3982 -2837 +1355 3812 +-4721 5636 +-3101 -6711 +1316 -10980 +845 -10288 +-2932 -5883 +-4296 6252 +1038 4486 +-3466 -2168 +-6583 2969 +5806 4221 +11066 885 +10340 1109 +5540 4175 +-6894 3379 +-4442 -2056 +2088 3448 +-3625 6358 +-4295 -6017 +-654 -11045 +-966 -10284 +-3884 -5294 +-3118 6849 +1833 4215 +-3795 -1504 +-5995 4050 +6475 3207 +11086 -901 +10416 -635 +6160 3157 +-6315 4402 +-4791 -1340 +2542 3090 +-2648 6869 +-5286 -5212 +-2462 -10706 +-2686 -9891 +-4767 -4526 +-2005 7267 +2465 3890 +-4032 -833 +-5367 4976 +6910 2147 +10902 -2643 +10278 -2252 +6626 2192 +-5575 5373 +-4965 -534 +3081 2644 +-1491 7168 +-6057 -4316 +-4181 -10125 +-4314 -9252 +-5538 -3693 +-821 7436 +3121 3385 +-4089 -204 +-4438 5687 +7213 811 +10353 -4564 +9770 -4090 +6946 883 +-4480 6142 +-4980 299 +3469 2072 +-221 7308 +-6766 -3181 +-5922 -9223 +-5848 -8431 +-6094 -2741 +467 7436 +3714 2811 +-4105 558 +-3489 6415 +7244 -268 +9479 -6064 +9034 -5456 +7033 -140 +-3531 6821 +-4935 1119 +3751 1499 +905 7182 +-7172 -2103 +-7243 -8167 +-7022 -7371 +-6429 -1671 +1594 7274 +4084 2168 +-4016 1121 +-2476 6733 +7194 -1467 +8511 -7410 +8166 -6705 +6998 -1143 +-2506 7278 +-4747 1824 +3987 871 +1985 6905 +-7402 -923 +-7557 -5884 +-2445 -5513 +5385 -1614 +10201 5586 +10331 -1315 +8280 -3437 +1946 5363 +-3741 3539 +-8408 58 +-2923 7141 +3897 9052 +-2976 5303 +-5026 -1151 +-4823 -6860 +-7651 3044 +-5025 4864 +-863 -4919 +7135 -1710 +9199 -2974 +556 -5901 +-5147 -4119 +740 -6650 +9607 -3392 +5160 -4029 +-2240 -4023 +-7003 2052 +-5384 -4146 +3033 -10367 +-3492 -7169 +-8682 2307 +-5823 9050 +-6625 -898 +-2908 -6558 +-3716 1546 +-158 7091 +3903 9345 +-4996 5163 +-6929 -1049 +-3595 3942 +3074 8904 +3659 6088 +-4571 1823 +-147 -6898 +-2060 -8268 +-8944 532 +-3755 -2501 +2849 -6134 +9251 -2848 +3960 -6345 +-5554 -6217 +252 -4013 +5774 -1352 +3070 8683 +5731 8988 +10701 3331 +1891 4445 +-3653 89 +4769 -7940 +1319 -10320 +-3502 -9609 +6812 -3768 +6144 5567 +-5386 9850 +-1030 10161 +3725 7653 +-3038 -1047 +2832 -4924 +11759 -2369 +7254 -7644 +4241 -7895 +5002 2764 +-664 5028 +-6608 5451 +2334 7169 +8956 4114 +1180 5832 +-4707 2392 +-8331 -1929 +-4035 7208 +-691 6828 +-3890 -3082 +4445 -5940 +5274 -8220 +-3469 -4723 +-6772 351 +-3877 -5324 +5263 -8740 +1455 -6348 +-4358 -1465 +-4095 6125 +-6937 437 +-4394 -9665 +-7394 -3086 +-5397 7325 +1255 10500 +-5703 3584 +-6481 -3033 +-1970 3551 +4365 5451 +9117 4580 +-507 7033 +-6089 3605 +-271 5162 +8112 4550 +6790 2191 +-2367 4332 +-4633 -5051 +-6955 -4770 +-6578 6218 +-4564 543 +-1829 -6397 +5439 -8152 +-899 -7293 +-8327 -1064 +-2419 -3249 +3593 -4764 +8024 4509 +10311 3027 +10498 -4381 +4352 2171 +-2806 2431 +-1394 -8963 +-5631 -8521 +-8930 -4919 +2886 -7201 +8428 132 +2194 10736 +5796 8289 +7926 3331 +-3119 1221 +-1135 -5443 +7571 -9333 +730 -10344 +-1801 -8604 +5762 -1224 +2839 4123 +-1665 8310 +6417 3871 +9718 -2592 +4716 3714 +-2103 4828 +-7836 3957 +1429 8027 +3835 5571 +-5162 241 +-498 -7258 +-1255 -9476 +-5805 -1244 +-5062 4609 +-6583 -1493 +-1705 -9925 +-3057 -5628 +-4405 1792 +795 7196 +-5098 4832 +-9704 -4297 +-7739 2581 +596 9008 +7855 6965 +-2179 6324 +-7322 931 +-2133 -326 +-4475 1044 +-4255 6287 +4742 10404 +4727 -266 +2123 -6963 +150 -1397 +-292 -592 +3729 5072 +-1112 8208 +-4509 3073 +-4740 10447 +-4587 11112 +707 4390 +-4552 5650 +-6555 635 +1229 -1107 +1471 -1012 +3174 -6090 +-2464 -3262 +-11153 3922 +-2235 7258 +2899 3968 +1098 -369 +-250 -5577 +-9429 -7887 +-9119 -3785 +-1140 -5321 +-494 -879 +2857 5498 +4969 2567 +3506 4200 +5939 2682 +7501 3181 +5464 7199 +1958 649 +683 -5208 +755 -7007 +5389 -3608 +10717 1231 +-5163 -984 +-19684 -4340 +-3663 -7448 +5988 -5588 +-4101 -5661 +4855 -7143 +20184 -3721 +5156 -851 +-11778 61 +-5052 -3868 +577 -7149 +-355 -4589 +-2064 896 +-6715 6972 +-8141 3216 +-6133 1668 +-3902 4139 +-4385 1824 +-3177 4350 +1389 -668 +2937 -5969 +9392 -2943 +10961 -6524 +955 -5620 +-1782 216 +-3002 4005 +735 7396 +10449 4660 +3525 -3252 +-2847 -6551 +-824 -1166 +-642 -256 +6175 1405 +4455 6837 +-1598 5182 +2989 10845 +3594 11240 +3349 2880 +282 7224 +-1341 5163 +6376 78 +920 2913 +-697 6032 +2574 8623 +-321 13263 +-4642 13624 +-2135 3923 +590 -7563 +6602 -8684 +16370 765 +7348 2096 +-1845 -533 +3766 4458 +4177 -4454 +5874 -13868 +10376 -4673 +3115 -295 +-9625 -3626 +-12741 -6814 +-8672 -6390 +-4462 -1563 +216 534 +1403 25 +4334 -6399 +7947 -5355 +4769 2871 +823 -1017 +-257 -2854 +3438 2375 +4703 8117 +2100 4288 +1372 -5114 +-3034 5723 +-3017 7236 +-1571 -2472 +-6062 7710 +-2966 8119 +4694 1414 +6313 860 +-908 -4954 +-9883 -272 +-6116 5681 +2552 1342 +-342 678 +-6099 -848 +-3676 -4062 +-2088 4933 +2703 14035 +9237 4063 +3491 -5884 +-3156 -1716 +-9539 2820 +-9912 1763 +-2909 -3 +-8989 2278 +-3978 1627 +7702 1116 +-432 1942 +-3480 -3651 +-1129 -1539 +185 314 +-1252 -6084 +-7914 -6331 +624 -8114 +8102 -7105 +2585 1923 +3482 4821 +7823 4554 +8675 9792 +5700 12849 +1121 4174 +-4734 -5854 +-1021 -10644 +12902 -10574 +7123 -3515 +-1659 903 +5952 637 +185 -6000 +-5018 -13773 +5553 -9791 +8971 -2952 +2495 -2022 +4051 -5148 +8961 -4239 +-648 -373 +-9524 -2543 +-9213 -2156 +-10518 -2361 +-13102 -4135 +-2460 1240 +7682 3164 +5393 -2009 +1379 -4917 +-4636 772 +509 4446 +10508 -2141 +5814 -171 +4176 3390 +8218 -1932 +2795 -1255 +-2642 -3684 +2892 -8028 +1893 -3596 +-7744 -882 +-9558 30 +-9269 2148 +-3900 -613 +-1895 -11430 +-3499 -13101 +8695 1246 +7393 4314 +2822 471 +8714 3037 +-3729 -1769 +-11025 -3309 +-3266 1623 +-1409 1668 +-2107 4321 +723 6588 +12488 2428 +16294 635 +3028 7672 +-7151 9959 +-6514 702 +1446 1437 +6760 7972 +-190 5874 +-10566 7685 +-5648 6182 +5856 2184 +5475 4671 +-131 2110 +-1599 -1569 +3988 -3469 +88 -1438 +-8438 3458 +682 2267 +657 5073 +-4579 70 +1544 -13365 +-2270 -10008 +-2179 -2195 +5422 1607 +5999 -248 +5077 -6177 +533 -3488 +-236 -6445 +4132 -9014 +-724 175 +-9004 4425 +-8498 4518 +-9598 5216 +-12721 5556 +-1053 2487 +8037 -2745 +2903 -5035 +-2158 -4487 +-3035 3550 +3235 2969 +3500 -6133 +-4714 -4079 +2807 -6603 +9053 -6799 +8014 -6467 +2267 -6304 +-7362 1214 +-2313 3965 +-404 -4252 +-6564 -2910 +520 7383 +6573 8794 +5966 8063 +368 6688 +-7123 -2689 +-1210 -4484 +-1113 4269 +-7238 1971 +1600 -7498 +7677 -8275 +6654 -7750 +952 -6554 +-7138 2558 +-1548 4252 +-1166 -4106 +-6917 -1556 +2048 7038 +8196 7240 +7418 6714 +1639 6491 +-7549 -1307 +-2021 -4143 +-247 4380 +-6723 3230 +171 -7616 +6000 -9530 +5090 -8875 +-257 -6555 +-6490 3879 +-660 4459 +-1903 -3767 +-7175 -220 +3266 6664 +9372 5749 +8569 5281 +2842 6021 +-7640 30 +-2732 -3712 +464 4369 +-6201 4395 +-1245 -7507 +4268 -10392 +3480 -9563 +-1426 -6381 +-5833 4963 +26 4503 +-2613 -3351 +-7248 1008 +4338 5827 +10310 3894 +9411 3628 +3849 5417 +-7581 1452 +-3380 -3095 +1268 4177 +-5276 5368 +-2556 -7164 +2336 -10958 +1688 -9981 +-2588 -6043 +-4917 5760 +809 4394 +-3023 -2875 +-6941 2104 +5203 5055 +10830 2277 +9915 2225 +4676 4746 +-7233 2441 +-3752 -2837 +2389 -173 +-5632 -511 +-7303 -2232 +4719 -3080 +4223 5215 +5843 6114 +5724 -2546 +121 -6440 +7304 -2233 +5698 6791 +6428 2335 +2039 -4002 +-5071 -4891 +2175 -6203 +8926 -2124 +5846 -6511 +-7183 -6225 +-9213 -622 +-2003 -5861 +4318 -5621 +-2264 -2613 +-7457 3360 +-4821 7379 +-7322 -1845 +-992 -6501 +-5226 -956 +-6485 6976 +-2905 5641 +-4346 -3169 +6909 -3528 +4968 -5221 +-3844 -7292 +264 -4102 +6436 -453 +6790 6339 +6205 297 +3598 -7937 +2967 -1218 +4042 4344 +-6236 6607 +-5279 8793 +2046 10296 +-3352 3640 +-1444 -3084 +8328 744 +9205 -3556 +6462 -7440 +5826 4059 +-1975 7699 +-10298 -114 +-8834 3914 +-4427 6817 +-648 -2909 +5330 -170 +6504 8846 +9192 2516 +8634 -514 +-814 5806 +-3837 1632 +-7761 -2583 +-4440 5292 +265 9739 +-4767 3656 +-3589 -3260 +-2778 -7325 +-7043 -202 +-6907 3328 +1637 -5342 +6703 1003 +8630 575 +2865 -5612 +-4124 -4873 +4181 -6419 +8873 1259 +6561 -2539 +-922 -4366 +-7070 -461 +-2295 -6000 +5608 -7343 +514 -8798 +-5034 -2295 +9153 3590 +10503 8287 +-793 5406 +-9496 -5465 +-3688 -7031 +-5766 -6974 +-14522 -7232 +-6395 2826 +-4783 6433 +2590 -1432 +5464 -6388 +1131 -4689 +8028 2548 +6050 1973 +3573 -217 +3976 1683 +6071 -4017 +1982 -6094 +-5882 3481 +-1071 5878 +757 -3446 +-4520 -91 +-4053 5346 +-572 1534 +-4147 -499 +-1070 -6245 +-5129 -1911 +-9958 8970 +6756 8819 +10606 6445 +4532 5884 +-1225 4517 +1007 -499 +10527 -3106 +7713 -1556 +4520 -285 +3631 4200 +3595 5030 +-659 193 +-79 398 +3068 -3987 +487 -9228 +1081 -2308 +-2650 562 +514 -1728 +1201 494 +-3802 -1978 +2624 -13548 +2810 -10991 +-9069 4977 +-8745 3125 +3717 -1794 +788 -784 +460 -5702 +4148 -5468 +-2724 1836 +2093 5811 +4340 4329 +-4305 2733 +-9678 1704 +-6929 4014 +-790 7913 +-6552 8007 +-3708 -314 +11015 -7149 +13606 -39 +2795 4636 +-11022 1806 +-7364 -3035 +-8866 -1672 +-16027 3691 +-3249 6205 +374 7847 +1226 -2835 +395 -8351 +-2046 -4269 +7997 -3185 +6043 -2390 +2698 -2637 +4487 -4791 +-2025 -9464 +-7143 10622 +1950 16791 +109 -1437 +1727 50 +4681 3183 +1702 -6707 +4312 -10587 +-112 -5897 +-3509 -2460 +564 -2026 +2610 1268 +152 5991 +-5494 2062 +-3764 -2971 +6531 -395 +6044 -4567 +1382 -6998 +2335 -9008 +4883 -11954 +2910 -4584 +232 -169 +8970 -152 +11564 -3605 +8468 1239 +2901 9444 +-230 5179 +2998 3978 +639 3303 +4592 -126 +7090 2757 +1449 8840 +-952 5740 +-813 640 +-9148 -1233 +-9106 -9365 +-2185 -3568 +-10900 4667 +-7131 -3555 +-1051 -4060 +-2309 -1807 +-2944 982 +-7503 7736 +-3251 4103 +2395 -3378 +1478 -4300 +-197 7122 +8288 12683 +7368 -694 +-4660 -3275 +-8663 -1867 +-4558 -4881 +741 6803 +-3986 10815 +-251 3935 +502 -1625 +-2224 -4282 +6390 6361 +3691 9616 +-5260 5208 +-2507 798 +4860 -6354 +1210 -743 +-4790 -6674 +-9527 -8748 +1458 12662 +12418 11364 +-756 -1161 +1474 -1056 +5838 -643 +-2937 -6145 +-3490 -10653 +-3878 -4274 +-4308 424 +-943 -1879 +2785 -742 +4016 4402 +-2860 5118 +-4838 344 +5141 -3272 +1811 -5928 +-7977 -6570 +-7826 -8058 +1362 -5087 +3491 5754 +-2594 -1721 +-536 -7555 +-900 1853 +-8018 4995 +-8284 1082 +-7509 912 +-9701 -1482 +-6547 963 +-8045 5980 +-5592 -2549 +5263 -10328 +2849 -8014 +-3384 392 +-1247 5120 +2004 6298 +-1759 2469 +-3558 -2499 +58 -2708 +2160 -6005 +3665 589 +3498 11126 +8048 8278 +9320 3953 +4174 3327 +1600 1564 +2268 10001 +439 10806 +106 -1982 +3836 -440 +-56 -2694 +3221 -8379 +2029 -6233 +-3421 -6830 +3923 -5340 +6257 -1327 +4266 -1407 +-5091 920 +-5270 8088 +6162 4312 +8521 -1506 +10863 -124 +6156 6506 +-7658 2204 +-12564 -7323 +-12372 -1092 +-10717 -954 +-62 2680 +1752 7345 +2237 5008 +3204 -73 +-2090 -4102 +6975 725 +5085 -10232 +-79 -6878 +8918 3021 +5043 -4607 +-6849 -401 +-974 -1781 +10141 -6922 +-415 -4476 +-10704 394 +-11361 -880 +-2368 -4580 +6511 2019 +-2998 525 +-5381 -5104 +510 2008 +-2949 8936 +-5776 6132 +-5231 5530 +-8492 5229 +-4422 4973 +-2277 9633 +-5996 1929 +-1182 -7147 +5878 -351 +3900 66 +-6378 -700 +525 567 +626 4832 +-6802 419 +-1990 -11747 +5117 -8734 +8326 -5119 +-695 -4375 +-5766 2910 +3538 -5518 +9653 -12896 +2661 -927 +-1792 3329 +-2960 8077 +-3072 15283 +1932 7410 +5761 -7771 +12005 -12901 +4001 -4196 +769 -817 +9126 -3664 +920 -8897 +2456 -10833 +3575 -6821 +-3191 -6984 +3763 -2571 +3905 8254 +-946 13673 +-1227 9881 +-3048 2351 +68 -4245 +-99 -4811 +-3246 -1570 +-2880 2505 +-4401 9563 +-3894 13326 +-4060 14805 +-2481 7828 +-4998 -1672 +-8915 -305 +52 -2376 +-1325 -4457 +-7280 3403 +3543 1576 +9465 -4447 +1359 452 +-3372 1727 +-1882 570 +692 -3127 +3989 -1886 +131 61 +-3437 -2409 +3860 7376 +7386 2896 +1066 -3418 +-856 1239 +-1740 1015 +-1589 1057 +2483 -3167 +-4119 3408 +-7469 7104 +593 3060 +7100 -850 +2739 -2731 +-5453 3669 +818 59 +3731 3188 +-4951 4833 +-9384 -7273 +-1924 -9817 +2995 -9220 +-3527 -2697 +-2604 5936 +-989 -6475 +-1171 -15775 +1404 -2415 +731 3304 +1436 4209 +2067 2433 +255 -2698 +-3875 885 +-3530 9098 +-198 11919 +-1471 8925 +-4039 -3634 +97 3556 +2807 6242 +3294 530 +115 5699 +-4437 -1515 +-795 6440 +-532 10723 +2709 1430 +9836 -5598 +8042 -11663 +-124 -7921 +-8480 -5318 +-6830 -2428 +-3404 -3783 +-964 -2750 +9107 -3838 +12692 -5069 +5371 3381 +3433 4777 +4194 3232 +4643 -3208 +1692 -735 +-6275 3851 +-1839 -10060 +2383 -10535 +548 -3137 +-5236 -3251 +-12032 8504 +-5564 10389 +-3570 -3901 +-8777 -7962 +-8240 -4798 +-1028 -1798 +1205 -2119 +-1919 2361 +-4960 8676 +-7719 7223 +739 5119 +6382 4474 +-1093 6095 +-9833 7134 +-7 2182 +7422 -7785 +-3030 -5189 +-899 -1055 +1778 -3746 +1402 434 +609 -133 +-3793 -491 +-243 647 +25 1762 +-911 248 +7264 301 +8227 -993 +1403 -14760 +2818 -10073 +1988 2023 +487 -39 +-1558 -2282 +-2492 3179 +3143 9009 +7590 8968 +4743 7529 +-5471 -149 +2328 2520 +6275 2816 +2983 -1705 +3935 4111 +-4373 1796 +3623 5257 +6732 8162 +3129 -461 +4526 -4962 +693 -973 +-221 163 +-4 -54 +-4 -9 +-8 -3 +-4 1 +-3 -7 +1 -5 +1 -1 +-3 -1 +2 1 +4 -1 +8 -4 +-1 -1 +-1 -3 +1 -2 +4 4 +11 9 +9 5 +10 -3 +3 -2 +-5 -9 +1 -4 +1 8 +2 4 +1 2 +-2 -5 +0 -7 +1 1 +2 6 +1 0 +-5 2 +-2 -9 +-1 -5 +-5 -5 +-7 -1 +-6 4 +7 2 +-1 -3 +-3 5 +2 8 +-1 2 +-3 0 +0 0 +-5 0 +-6 7 +-1 8 +-1 5 +9 4 +3 4 +0 -2 +2 -6 +-3 5 +1 12 +-4 5 +-2 5 +1 4 +1 -1 +-1 -2 +2 2 +-1 5 +-7 -2 +-3 5 +-4 3 +-2 0 +-2 -2 +-4 0 +-6 2 +-1 3 +4 1 +-2 -1 +3 -2 +2 1 +3 6 +-1 6 +-1 4 +-4 6 +-2 2 +2 0 +5 6 +1 -1 +2 -5 +1 5 +4 0 +6 -1 +7 0 +-4 2 +-6 2 +-1 -2 +2 4 +-3 8 +-2 5 +5 3 +4 -7 +3 -5 +11 4 +7 6 +-2 9 +-24 11 +-61 20 +-60 17 +-45 12 +-43 16 +-81 43 +-1784 841 +-7005 3569 +-5385 5351 +5297 1577 +4484 -3172 +-738 2253 +4460 4895 +2109 -4834 +-3717 -9118 +-3940 -8378 +-468 -7347 +5434 2021 +1408 5593 +412 -2251 +6774 -1590 +-640 5594 +-8136 6228 +-7788 5559 +-4146 6140 +5644 566 +4006 -3984 +-219 2425 +5463 4037 +1202 -5162 +-5516 -8329 +-5615 -7540 +-1879 -7222 +5912 954 +2546 5294 +-45 -2309 +6431 -2904 +416 5672 +-6938 7784 +-6694 7042 +-2939 6925 +5745 -515 +3179 -4728 +150 2457 +6241 2934 +208 -5376 +-7200 -7224 +-7102 -6424 +-3321 -6781 +5992 -249 +3528 4674 +-503 -2256 +5824 -4032 +1482 5543 +-5393 8925 +-5349 8183 +-1630 7357 +5651 -1658 +2261 -5267 +682 2345 +6857 1689 +-743 -5345 +-8507 -5791 +-8217 -5011 +-4532 -6080 +5948 -1260 +4411 4039 +-863 -2187 +5132 -5010 +2425 5231 +-3868 9771 +-3942 9040 +-308 7547 +5419 -2622 +1371 -5562 +1069 2230 +7101 474 +-1750 -5092 +-9535 -4108 +-9090 -3407 +-5651 -5137 +5616 -2384 +5096 3098 +-1318 -1976 +4118 -5865 +3407 4630 +-2041 10330 +-2227 9639 +1069 7466 +4798 -3544 +260 -5694 +1422 1964 +7105 -817 +-2649 -4685 +-10090 -2409 +-9596 -1799 +-6505 -4040 +5176 -3280 +5584 2183 +-1603 -1753 +3126 -6493 +4172 3984 +-294 10423 +-596 9723 +2304 7105 +4227 -4243 +-586 -5661 +1785 1677 +6939 -1933 +-3337 -4201 +-10395 -787 +-9814 -270 +-7076 -3007 +4690 -4031 +5927 1284 +-1850 -1452 +2054 -6830 +4741 3311 +1340 10360 +910 9698 +3380 6699 +3576 -4799 +-1455 -5467 +1983 1439 +6594 -2934 +-3939 -3624 +-10550 852 +-9874 1257 +-7506 -1890 +3987 -4676 +6078 407 +-2041 -1139 +997 -7043 +5214 2529 +2919 9912 +2363 9389 +4372 6056 +2775 -5318 +-2340 -5183 +2193 1047 +6124 -3992 +-4442 -2975 +-10263 2520 +-9500 2814 +-7722 -602 +3187 -5198 +6116 -634 +-2196 -844 +-154 -7106 +5583 1642 +4553 9267 +3906 8783 +5351 5130 +1894 -5709 +-3157 -4690 +2339 731 +5437 -4819 +-4928 -2188 +-9854 4052 +-9013 4177 +-7783 545 +2314 -5624 +5958 -1543 +-2357 -406 +-1315 -6885 +5769 730 +5722 7572 +1676 6005 +-4317 1864 +-7248 -7256 +-8991 -3184 +-9204 1623 +-1648 -4453 +3780 -3484 +6994 2489 +5891 -3762 +-703 -9491 +2955 -5032 +4951 1026 +2058 7445 +6852 1440 +6823 -4161 +655 4049 +-5671 1115 +-9236 -714 +-3700 4940 +3271 4928 +-596 6161 +-8920 1670 +-6888 1317 +-163 4821 +5950 214 +5422 3776 +-4591 8579 +-965 7910 +7927 2225 +7751 -6541 +6427 355 +1343 7300 +2923 1064 +3224 -5332 +-1279 -9399 +4726 -5007 +6332 2546 +4442 -935 +962 -8226 +-2323 -7377 +4142 -1509 +-149 5104 +-2120 8552 +7455 2905 +4279 2293 +-3155 5304 +-8298 412 +-6929 3263 +2289 7505 +232 4202 +-5613 783 +-1079 -7223 +-982 -10343 +-8125 -6406 +-2496 -4960 +4101 -242 +-4913 5186 +-5604 8323 +597 10160 +-5741 3505 +-5763 -5851 +6328 -7400 +5633 -8348 +-993 -8991 +2141 -70 +-1888 4438 +-10446 -964 +-9286 3199 +-6394 6995 +-4181 -2391 +790 -5032 +7218 -2415 +2474 -6513 +-6497 -6790 +-811 -5682 +4638 -2234 +6310 4547 +6476 -3073 +2931 -7352 +2995 2735 +-3934 4373 +-8004 5155 +87 6088 +5767 1757 +3423 5128 +-6174 6797 +-4846 5262 +2837 3796 +4981 -3598 +6827 -557 +1763 9364 +4208 6606 +7800 -3354 +2077 -9930 +5344 -3837 +5713 4664 +3037 -1097 +-739 -6156 +-7021 -6447 +529 -6846 +6660 -2062 +2954 -3539 +-4466 -6859 +-6554 -4132 +2340 -3815 +3142 3996 +3675 7873 +7764 -2425 +4889 -852 +899 6068 +-6355 5642 +-3510 6909 +6593 4274 +2858 3072 +-3959 4163 +-5519 -4758 +-7472 -7143 +-10562 376 +-5194 -2192 +3087 -2921 +-530 7027 +901 9879 +7024 7218 +-2248 6286 +-8358 -658 +144 -9591 +-1015 -9880 +-6662 -5999 +1674 -1347 +1514 4560 +-8811 6014 +-5247 8406 +-444 9358 +-4811 874 +-2698 -4284 +4039 -6500 +-2310 -6516 +-9561 -949 +-4329 -3811 +2140 -4729 +7878 -766 +3077 -6575 +-2512 -7430 +4202 41 +-121 5770 +-2850 9056 +4090 4439 +5646 -2459 +6069 1575 +-260 9080 +-252 7087 +4785 957 +1509 -5823 +4934 -4748 +7552 5810 +7657 2123 +3939 -7602 +-4818 -8740 +1683 -6268 +7291 -216 +4533 3698 +5215 10989 +2698 9524 +4894 4364 +2431 118 +-4679 -678 +-974 -1369 +-1191 -3019 +-8578 -2867 +-8035 -7225 +4003 -2580 +15219 -1696 +5075 -14076 +-1846 -9220 +7370 4993 +5472 9037 +2005 3971 +2103 -701 +187 3532 +237 719 +-3621 -5454 +-8167 -2195 +-11857 -2773 +-5421 -3349 +3996 4679 +-1592 7048 +-2021 866 +-1897 -4931 +-6455 -7188 +-1146 464 +-4111 2786 +-10176 -6438 +-5256 -3600 +-3174 -769 +-5043 -892 +-1882 9380 +4310 9203 +818 2397 +-4175 -1171 +760 -7969 +4812 -7917 +6929 -539 +6228 2516 +2736 -2684 +905 -5203 +-4561 -2375 +-6645 -3739 +-339 -7441 +4424 -7964 +4582 -447 +230 3188 +-7644 2008 +-8283 7765 +1983 5249 +5212 531 +3900 1447 +11329 389 +6493 3885 +-2471 3100 +6839 -1041 +8078 -2009 +708 -555 +-1292 5753 +-6499 3594 +663 -474 +11749 4089 +8462 5049 +6576 -136 +1911 -1512 +-4016 2648 +-1686 -322 +-2970 -1380 +-8440 3630 +-10959 166 +1181 -4521 +10345 -11440 +-5623 -13522 +-7654 -5387 +8897 -1306 +8536 2499 +-4533 -1158 +-6815 -4688 +1312 2002 +-6627 -1184 +-11240 -5024 +4404 -1673 +8387 538 +3132 1935 +1309 6636 +-1583 13135 +3421 9095 +8111 -220 +2175 -3647 +-3665 -6014 +-2481 -2330 +2299 5544 +707 6504 +-6574 2854 +-6824 -4633 +-2021 -4100 +-2007 6745 +901 4368 +7036 -1425 +1118 1752 +-8235 1011 +-8235 2239 +-4089 106 +2558 -8088 +4923 -4547 +-556 2469 +-4389 6917 +-3153 10840 +3782 6618 +9444 1882 +7453 1190 +8195 -2876 +7764 -7082 +-1475 -6303 +-5490 -6076 +-3567 -5876 +-1226 -4829 +1072 -7392 +-4500 -4133 +-5739 -1062 +124 -3520 +-4878 3742 +-3985 4678 +4692 -6413 +-4869 -6310 +-12892 -1888 +-5167 -8045 +-1966 -9818 +1089 6483 +5374 11163 +1381 -3682 +569 -5439 +2780 -2789 +1601 -5285 +1013 -6666 +2343 -6855 +7431 6290 +6486 13536 +1993 5207 +3077 3476 +-5797 3604 +-8431 924 +2403 549 +-5880 3602 +-12052 3896 +2144 -4154 +6712 -5205 +3704 -681 +5529 3970 +7678 10631 +8793 4295 +6064 -5562 +-802 -4053 +-6835 -1870 +-3442 79 +5087 4087 +5799 8490 +1017 2629 +-4590 -3071 +-441 -1461 +5956 -2739 +4827 1602 +3895 1801 +9313 -7640 +6811 -8293 +-1738 -3253 +-67 -5991 +-1502 -4997 +-6468 7166 +-5557 8798 +-5202 3566 +567 10756 +9284 10258 +11104 -3630 +-564 -5404 +-17119 -468 +-11191 -1116 +135 2868 +-4785 -216 +-6432 -7321 +-5574 -2237 +-3441 -3607 +2444 -5533 +-2595 1353 +-7927 2560 +-1882 1199 +-2631 -3000 +-8209 -3307 +-3484 -2140 +144 -651 +-1211 12486 +-500 9919 +5 2378 +2498 8672 +2950 3952 +-3687 2417 +-4581 1154 +4240 -5479 +6062 -581 +-1066 118 +-4086 3147 +-1942 4942 +4871 -8540 +9526 -11998 +5334 -4798 +4001 94 +3571 873 +-43 -1565 +1497 1979 +3863 2468 +3389 -6011 +192 -5915 +-3173 1755 +-3653 207 +-3607 -1907 +-3461 -171 +-1075 -6522 +5064 -8470 +5531 1196 +4267 8508 +9143 6919 +2738 1033 +-5640 599 +-1305 -776 +2899 -5870 +4819 -1935 +4207 -1195 +2289 -11648 +-77 -10501 +-3453 -1303 +-3915 -4375 +-4388 -2685 +-395 9498 +1425 10056 +-1805 5961 +5748 6645 +6233 -1416 +-4159 -8411 +-5675 -3333 +2586 -465 +1650 2808 +-11125 10029 +-5778 8322 +11789 -1543 +8271 -7996 +312 -9671 +3515 -8016 +467 -2469 +-2413 1677 +-2165 586 +-4408 1550 +809 7517 +2182 3599 +-4117 -5759 +-2454 606 +2422 8512 +1933 8050 +-2548 8479 +-2426 286 +906 -10776 +1732 -10039 +2473 -6232 +1845 -6826 +3816 -7232 +-1748 -3080 +-7144 -1094 +5848 -5759 +4349 -5790 +-4157 2695 +3519 9404 +3121 9321 +405 4679 +-1151 1520 +-3705 5134 +-3533 8287 +-9340 8034 +-7047 5722 +-500 -8689 +567 -15618 +-479 -457 +-4534 5513 +-287 4595 +-2294 9150 +-8032 906 +-4974 -4177 +-8912 4504 +-4597 1954 +3032 -5862 +360 -2365 +510 1169 +-129 -184 +4906 592 +8681 3627 +5993 7751 +9157 6110 +3891 -1842 +-1332 -3730 +4635 -4518 +7419 -7577 +7859 -5701 +1080 -5153 +-8706 -3255 +-6566 1232 +1669 -2009 +3109 1080 +-2093 14677 +971 9830 +8064 -8924 +1116 -11274 +-6064 -7285 +-2511 -8144 +-1216 -2074 +-752 2830 +-1305 1874 +-2515 4010 +3290 4505 +1879 921 +-270 -97 +-21 69 +-1 17 +-1 -1 +4 -3 +12 2 +5 2 +-1 -3 +-6 6 +-4 5 +-1 -1 +1 2 +0 7 +2 5 +-4 2 +0 0 +6 -2 +6 -8 +2 -5 +1 -5 +0 -4 +1 -9 +1 -6 +3 1 +4 4 +3 -1 +0 -2 +0 0 +7 -4 +2 -6 +5 -4 +-1 -6 +-6 -6 +-9 -5 +-5 -4 +2 -9 +7 -5 +6 -5 +4 -4 +0 -4 +-2 0 +0 -2 +3 0 +0 0 +-4 3 +0 -1 +8 -5 +2 0 +-4 1 +-2 -8 +-5 -4 +-4 2 +0 4 +2 1 +8 -5 +10 -4 +11 3 +3 3 +0 2 +6 5 +8 -1 +5 -5 +6 -2 +1 3 +-2 8 +-5 4 +-6 -2 +-6 -3 +-5 1 +-1 6 +2 4 +6 -3 +1 -2 +-3 5 +-3 0 +-2 -5 +2 -4 +-4 -6 +3 4 +10 8 +7 5 +4 4 +2 -2 +1 -5 +6 -4 +1 -1 +1 -1 +-2 7 +-5 4 +0 0 +7 4 +2 2 +-1 -7 +2 -15 +5 -7 +-6 1 +-6 6 +2 0 +1 -3 +0 -2 +-4 -5 +1 -7 +5 -7 +-1 2 +-4 2 +-5 -2 +5 6 +4 3 +-6 0 +-3 1 +-2 -4 +-3 -8 +-1 -12 +1 -10 +-1 -7 +-6 -8 +-3 -5 +-6 -4 +-10 1 +-4 3 +-2 7 +-4 6 +-3 5 +-2 2 +-1 -3 +3 -3 +-4 -5 +-8 -4 +-4 -5 +2 -2 +-1 3 +0 6 +0 -1 +9 -5 +9 3 +2 3 +1 -3 +-4 -9 +-4 -2 +4 4 +-4 5 +-1 5 +5 0 +3 6 +-5 1 +-6 2 +-4 2 +-6 -4 +-2 -1 +0 0 +-2 2 +-1 5 +-1 0 +4 6 +4 7 +0 6 +-2 3 +-5 -4 +-13 3 +-41 28 +-51 26 +-61 1 +-59 2 +-83 -21 +-1024 -1436 +-4608 -6288 +-6494 -4849 +-1187 5545 +4064 4418 +-2004 -339 +-4626 5682 +4923 1689 +8997 -5755 +8065 -6031 +7867 -2577 +-506 5890 +-5766 3313 +2009 -242 +3282 6545 +-5563 1301 +-8042 -6748 +-7163 -6728 +-7309 -3115 +-21 5852 +5064 3648 +-2037 153 +-3486 6683 +5248 713 +7847 -7626 +6851 -7656 +7393 -4113 +747 6020 +-5066 4496 +1980 -654 +4675 5783 +-5319 2338 +-9469 -5078 +-8587 -5146 +-8038 -1541 +1067 5781 +5793 2569 +-2077 509 +-2202 7232 +5349 -390 +6280 -9106 +5293 -8973 +6519 -5582 +1954 5697 +-4170 5396 +1776 -1075 +5844 4720 +-4821 3464 +-10528 -2947 +-9710 -3274 +-8347 153 +2392 5372 +6383 1201 +-1908 986 +-587 7614 +5273 -1504 +4276 -10262 +3373 -9904 +5356 -6815 +3106 5251 +-3029 6169 +1593 -1385 +6700 3566 +-4143 4277 +-11017 -983 +-10190 -1511 +-8178 1631 +3332 4916 +6502 97 +-1764 1287 +679 7521 +4910 -2471 +2436 -10813 +1599 -10256 +4080 -7577 +3942 4616 +-1975 6520 +1345 -1685 +7203 2365 +-3417 4819 +-11108 729 +-10413 104 +-7942 2851 +4062 4293 +6473 -913 +-1576 1532 +1859 7300 +4587 -3207 +858 -11012 +59 -10381 +2884 -8115 +4623 3970 +-963 6767 +1056 -1844 +7607 1159 +-2536 5350 +-10918 2587 +-10303 1874 +-7383 4180 +4809 3528 +6348 -1954 +-1207 1822 +3143 6856 +3983 -3899 +-1045 -11011 +-1671 -10234 +1579 -8416 +5319 3169 +209 6794 +734 -2007 +7705 -127 +-1621 5645 +-10349 4330 +-9850 3598 +-6667 5379 +5239 2719 +5934 -2993 +-1016 1935 +4172 6214 +3333 -4461 +-2818 -10612 +-3339 -9734 +140 -8495 +5686 2220 +1239 6597 +402 -2052 +7641 -1269 +-766 5825 +-9605 5779 +-9301 4850 +-5895 6131 +5625 1873 +5488 -3832 +-696 2062 +5087 5565 +2755 -4850 +-4333 -10023 +-4780 -9095 +-1188 -8416 +6025 1279 +2366 6321 +87 -2095 +7382 -2509 +232 5841 +-8582 7290 +-8384 6358 +-4703 7037 +5966 865 +4777 -4641 +-299 2185 +6005 4524 +1750 -5301 +-6144 -9088 +-6331 -8091 +-2614 -8072 +6211 267 +3377 5823 +-315 -2114 +6854 -3693 +1195 5703 +-6595 8162 +-5875 3702 +-3264 -3475 +5836 -9428 +2109 -10289 +-3643 -9303 +3972 -3324 +4860 2648 +-1096 7719 +4596 5559 +9894 -3142 +6189 1276 +394 5456 +-7175 3987 +-859 7417 +5938 6173 +-3663 1951 +-2574 -5164 +-629 -9920 +-5739 -3091 +-4573 4516 +-6455 1416 +-3615 -8536 +-2335 -7295 +-5155 695 +714 5973 +-2406 6857 +-9612 -2256 +-8558 452 +-1420 8789 +8187 6509 +1604 6719 +-7370 3406 +-1025 3155 +5915 2358 +9561 -3783 +6653 3046 +-1164 7291 +1487 4517 +8682 -857 +7538 -4650 +2792 3580 +-4826 1560 +-9414 -296 +-1945 8297 +-1208 5415 +-6225 -1512 +-2333 -8257 +-4439 -6832 +-7349 4125 +-4389 1819 +-2206 -5544 +6789 -3391 +10718 -3743 +5143 -10170 +4688 -4696 +1589 4138 +-6031 -3246 +-9612 -3956 +-10422 3455 +-5326 -4346 +4435 -8001 +9006 3887 +9798 3800 +9350 -3741 +1161 1748 +-5062 -148 +-1497 -10887 +-4908 -9046 +-8865 -4610 +917 -5059 +5509 -1176 +4167 6415 +7167 1092 +5541 -8707 +5556 -3144 +3883 3745 +-2967 7714 +4165 6012 +8658 592 +-1502 3829 +-5423 -2089 +-7066 -6806 +-6466 1598 +-455 6309 +-3966 5368 +-8484 -3776 +-6685 -3772 +-3509 3909 +4551 3795 +2891 6551 +-8785 4858 +-6268 6072 +4785 7271 +10586 -782 +5856 3718 +-3196 7346 +1365 2902 +6070 -2327 +4693 -9139 +7086 -2285 +3991 5999 +4111 2300 +6028 -6320 +2655 -8292 +4500 752 +-2640 4357 +-7461 6022 +3985 7230 +2662 4693 +-5795 3046 +-7322 -4420 +-7982 -1995 +-2900 7848 +-2162 4209 +-5464 -2490 +2873 -6948 +5708 -9848 +-2864 -10704 +452 -6416 +4061 1948 +-6770 1706 +-10086 3618 +-5623 9413 +-6994 371 +-2136 -8749 +9428 -3294 +10110 -3889 +4573 -8910 +2065 599 +-3900 3356 +-8462 -6797 +-9846 -3195 +-9900 2631 +-2767 -4316 +3364 -4596 +7510 1823 +6274 -4051 +-1588 -10041 +2203 -5925 +5497 150 +2853 7559 +7240 1612 +7072 -5210 +1359 3845 +-5576 2016 +-10044 -382 +-4045 5402 +3742 4937 +453 6563 +-9069 2806 +-7695 1663 +-117 5193 +6007 -189 +6535 2926 +-3556 9264 +-802 8495 +8579 2061 +7649 -7594 +6967 -1049 +1833 7591 +-735 3001 +440 3270 +4125 5532 +10821 -2826 +3502 -5598 +-6878 -2411 +-2632 -879 +-667 907 +3182 -3276 +9028 -670 +3340 4418 +7781 4382 +12359 5311 +5195 128 +5409 2482 +1846 7592 +-1571 184 +-174 -1752 +-5080 -2716 +-4769 -213 +1732 10555 +6809 5128 +5374 -3045 +639 -1255 +-4123 -812 +-8685 7216 +-5192 10767 +-4914 2481 +-3062 526 +5109 -1656 +3471 -5079 +3764 -3612 +3855 -5182 +2534 -7478 +7429 -6232 +2938 -2762 +-4333 -738 +-6846 -579 +-4888 -3496 +1315 -10749 +0 -405 +-4525 18816 +-7588 8903 +-5996 -6336 +-5241 2149 +-7339 -335 +-3666 -18599 +-688 -10859 +-390 10025 +-2950 7608 +-7002 -197 +-5499 173 +-906 1362 +5796 5664 +4241 8185 +607 6695 +3822 4311 +1816 4087 +3478 4042 +1578 -571 +-5752 -2479 +-2876 -7380 +-4538 -12067 +-6511 -3236 +-1093 1800 +2883 2429 +6760 1367 +6524 -9164 +-867 -6404 +-6952 2636 +-2682 1079 +70 1146 +337 -4447 +6578 -6195 +5453 1189 +8968 -1724 +13204 -3975 +4185 -3205 +5918 -1647 +6800 2317 +704 -5279 +2157 -2872 +5392 1309 +8000 -2347 +12209 -1096 +14380 3843 +6824 2989 +-5309 -108 +-9784 -3902 +-1069 -15131 +3425 -11134 +-867 1477 +3757 -2424 +-621 -4637 +-13407 -4228 +-7197 -9692 +38 -5977 +-2958 7075 +-6514 13208 +-7448 9936 +-2801 5640 +217 678 +663 -1337 +-4624 -2988 +-6882 -7382 +1896 -5937 +682 -1628 +-3405 462 +999 -2315 +7152 -5148 +6827 -2642 +-4182 -1679 +2024 1942 +9301 3616 +-1855 1199 +4361 5128 +9704 4473 +2187 -3238 +1736 -6743 +-3761 -1470 +-3016 8599 +5026 8159 +2533 -1241 +468 -1371 +-174 5390 +-4295 4573 +1548 2252 +13491 -921 +8196 -8736 +-4610 -5527 +-3450 1947 +1859 7830 +1766 11103 +-148 3655 +1222 7126 +1690 7285 +1115 -6726 +2635 -2196 +-2644 3943 +-3092 1388 +982 304 +-4810 -22 +-7042 7170 +-7551 2663 +-8091 -7939 +44 -3885 +5026 -2545 +4553 -7086 +8664 -8933 +13573 -6789 +7208 -2402 +-4149 3487 +-10024 3670 +-10874 -10311 +-4863 -10426 +305 1518 +1260 -4362 +-3556 -2946 +-13081 5904 +-11699 -2571 +-3789 -9273 +-1626 -3987 +-4280 -2315 +-4924 -8813 +-686 -2444 +-2119 8414 +-2973 9684 +-2043 9677 +-4699 13464 +-622 6021 +3738 -6713 +-395 -6341 +-4837 -2397 +-1360 3532 +4641 2065 +-197 -9347 +-1445 -7514 +3814 -3444 +-434 -7788 +-1570 -4846 +-2383 2412 +-7785 -1107 +-4768 -3449 +-1381 5898 +-929 9660 +1257 9434 +587 5599 +-8762 1246 +-15043 4610 +-2060 -5656 +5787 -9656 +719 -2193 +3133 -8281 +-20 -448 +-4811 11434 +392 4990 +1706 1248 +3130 2039 +6643 762 +4280 -9358 +1273 -17295 +6067 -6700 +10601 5700 +2520 7493 +-362 764 +7540 -6506 +6610 -2575 +6536 8851 +7053 8149 +2549 -3953 +4380 -6591 +3341 -1187 +-1055 2029 +-3043 -2554 +-2566 -2476 +2566 7796 +2478 1951 +4223 -2572 +2852 4571 +-11202 -94 +-12240 1003 +-3731 3714 +970 -4111 +1311 -6175 +-5246 -5281 +-4320 -1666 +-4850 1042 +-9565 -3270 +-2128 -1316 +3813 7552 +3934 9018 +4635 8455 +4919 12925 +3408 4763 +-1351 -7414 +-4401 -4508 +-5345 1349 +1156 3452 +4915 -1507 +-4730 -4823 +-4830 3701 +-5748 178 +-6906 -8418 +-5904 -8149 +-6814 -4334 +-1198 6068 +4478 4373 +-2261 -669 +-5107 6055 +5157 1913 +9441 -6137 +8188 -6391 +7966 -2574 +-573 6266 +-5806 3309 +2437 -438 +3592 6851 +-5887 1269 +-8418 -7110 +-7227 -6911 +-7469 -2971 +-80 6208 +5157 3496 +-2383 -257 +-3863 6749 +5466 737 +8113 -7880 +6852 -7829 +7392 -4010 +652 6235 +-5138 4287 +2317 -939 +4854 5940 +-5585 2338 +-9708 -5347 +-8511 -5375 +-7997 -1512 +1035 6019 +5752 2416 +-2367 166 +-2541 7324 +5659 -224 +6777 -9059 +5458 -8856 +6581 -5266 +1732 5986 +-4313 5135 +2110 -1273 +5917 5008 +-5046 3364 +-10521 -3465 +-9336 -3751 +-8141 -97 +2141 5720 +6178 1393 +-2303 627 +-1253 7668 +5506 -1175 +5104 -10090 +3864 -9580 +5633 -6188 +2725 5634 +-3414 5725 +1917 -1639 +6677 3946 +-4477 4089 +-11022 -1695 +-9887 -2068 +-8162 1343 +2965 5278 +6318 330 +-2228 990 +1 7735 +5230 -2094 +3243 -10800 +2026 -10120 +4395 -7114 +3720 4940 +-2366 6132 +-932 -2240 +-151 3212 +-2078 8862 +-3581 -2186 +3112 -5306 +7650 -4639 +-236 -7276 +-6128 -22 +-4031 -5635 +5681 -6740 +4958 -5808 +-3148 -4404 +-4769 4780 +-6559 122 +-2397 -7863 +-4364 -7911 +-7971 4360 +-1518 10042 +-4696 3940 +-6272 -3497 +-3220 -358 +932 7625 +7486 4646 +517 7342 +-6686 2568 +-2911 3193 +5118 7510 +7202 2431 +-1742 5154 +-3885 -4042 +-3969 -7167 +-7585 3044 +-4919 1650 +-1594 -5359 +5422 -7099 +3450 -6366 +-7227 -4311 +-3318 -2238 +3701 -4837 +5983 3475 +7971 7035 +10457 -1728 +6076 1648 +-2803 3352 +78 -6341 +-934 -9870 +-7693 -6599 +1162 -6227 +8737 -612 +846 9044 +1248 9888 +7410 5210 +-867 1790 +-2409 -4086 +8221 -6594 +5323 -8171 +-760 -9956 +4810 -1139 +3425 3370 +-3093 6823 +2534 6313 +9876 -379 +5380 3647 +-1980 4412 +-7196 2363 +-3191 5989 +3922 7830 +-4098 530 +-1071 -6013 +2893 -8431 +-4978 -5245 +-4857 3878 +-6822 -1611 +-13 -8662 +-15 -7503 +-5409 -1241 +-631 6550 +-5001 4308 +-7006 -4257 +-8667 -2984 +-4672 7071 +4286 5660 +3899 4245 +-3867 1223 +-3202 719 +4166 -35 +5504 4014 +-148 11354 +-648 7078 +-4268 -2861 +-3046 -2501 +2459 208 +1742 -1668 +10014 -6105 +8197 -5162 +-2099 2308 +-292 5593 +-1589 8030 +445 2569 +8624 -3524 +4076 -2153 +-660 -580 +-3178 3653 +-7334 2730 +-6429 -5632 +-3084 -7366 +-902 -289 +-6862 -1807 +-2027 -7447 +2771 -8375 +2160 -6159 +5370 3256 +-3875 6001 +-1510 -1701 +1463 -3121 +-2452 4120 +3598 7223 +9202 1369 +11353 -13152 +3938 -14929 +-4478 526 +-5651 3295 +-6924 1806 +-8504 1497 +585 -2793 +2676 -4177 +987 448 +4830 3080 +-3737 -1283 +640 2852 +5497 -621 +-589 -5612 +-1053 7452 +-149 9629 +-684 1709 +-7174 -1974 +-4838 490 +1567 4526 +2995 -546 +-3046 2610 +-3051 9052 +7897 -1117 +7370 -4520 +-2602 3313 +-11951 2024 +-1813 -1353 +6163 752 +-2089 3426 +-2043 2573 +3159 -2780 +6851 -632 +7314 8470 +4159 5663 +-5132 623 +-3933 79 +2086 -1445 +305 -2360 +3920 -11022 +3107 -9098 +-171 3021 +2478 3267 +301 3263 +-2631 4699 +1701 2774 +4362 -172 +2407 -3473 +-992 -9810 +-3269 -5902 +-136 5 +-6192 -7167 +-8567 -210 +1722 6375 +6007 -4146 +2080 -6090 +-2249 1072 +3559 6032 +8686 6488 +8300 8654 +2613 2670 +-5477 -5001 +-8289 680 +-9934 -1228 +-7333 -2038 +-4429 6535 +870 7607 +-28 1516 +-6816 1153 +-4329 11022 +2200 9505 +2959 987 +-5574 -3656 +3663 -10263 +13426 -6720 +5632 -1375 +3072 -840 +-3100 2639 +-2536 552 +77 -1246 +-463 1461 +-358 -795 +-8180 -1138 +-2845 3891 +2206 3835 +-2404 -870 +-2308 -261 +-849 2346 +3599 -4283 +3930 -4346 +6162 3044 +12321 1514 +7070 37 +886 -4663 +3898 -6919 +3636 -2089 +-4083 2170 +-7063 5026 +2927 -3676 +13442 -4885 +4382 1714 +-4000 -5000 +5312 -6840 +1110 2633 +-11086 6693 +-11414 -700 +-7466 -4413 +-1594 1795 +1234 5266 +3222 915 +3289 -2976 +-357 -4147 +-7103 -6560 +-6329 -2203 +12 81 +-9403 -1240 +-6767 5483 +5575 3663 +2034 -6963 +-2356 -5833 +-1051 2279 +6693 2066 +9523 -2302 +4176 439 +-419 10028 +2363 1433 +2922 -6992 +2571 -2629 +1358 7868 +3317 5187 +7971 -6534 +6976 186 +8209 9377 +5013 9101 +-3341 5156 +-2698 4006 +2802 2343 +-1272 -5241 +-5235 -7771 +-1370 3904 +-1096 6503 +-3208 -58 +-3963 1377 +-4750 210 +-5444 4566 +-1480 7123 +-1234 -2301 +-2546 -9679 +1673 -9361 +-912 -10529 +2801 -10844 +8854 -2048 +-269 -3943 +-6855 -6894 +-2875 946 +-880 2056 +-5601 3749 +-5525 7804 +-3541 1989 +-8751 -5224 +-8344 -7668 +2508 -3933 +7388 3082 +3250 3044 +377 374 +653 -7937 +7328 -9700 +11848 -6362 +10997 -7109 +7564 -7479 +-990 -8250 +-2569 11263 +-3343 16429 +-2471 3285 +3216 2785 +670 67 +-2001 1606 +-7829 1739 +-11025 1270 +-3442 888 +-286 4305 +2672 2315 +8141 -2528 +1930 6129 +-3837 3484 +574 5098 +-2256 897 +-1545 -3187 +6222 7296 +2896 -411 +-2273 -7045 +325 -3618 +6228 4876 +5947 1535 +1835 -10071 +5472 -4394 +12429 1467 +9860 3361 +851 5981 +515 4694 +3674 -129 +-4465 -2954 +-8629 -3141 +3618 -3278 +8763 -7934 +5266 -7021 +3825 366 +7042 2227 +1464 894 +-5655 3970 +903 8355 +5713 8627 +3281 6372 +-62 -966 +1188 -2180 +5639 1843 +5207 -3697 +-2742 -10399 +-4918 -13956 +4475 -12017 +4251 -2361 +348 -7071 +3902 -8375 +3818 3282 +-785 1225 +-5623 -1600 +269 292 +12790 2548 +12267 -4250 +2856 -4806 +-1304 3443 +-2962 -313 +-7921 -689 +-8359 -7656 +-2386 -3253 +-900 8351 +-2275 3488 +-6296 5847 +-9108 6889 +-9616 2991 +-10766 2283 +697 957 +5040 -6407 +1525 -734 +1053 10057 +-11936 4395 +-12324 4058 +4276 7404 +13630 6648 +10258 -1420 +3779 -1936 +2651 5465 +514 3505 +2849 2845 +4244 5654 +-3263 5059 +-165 -6921 +8148 -11586 +-924 -5823 +-999 1647 +6905 5236 +-394 -389 +-3685 5227 +-8450 5364 +-11287 2968 +-7792 7491 +-4465 -111 +2360 -7977 +1484 -11507 +-494 -8635 +3219 -2248 +6879 -2995 +1773 -373 +-1742 6616 +6229 5561 +10118 2622 +6771 2534 +-645 -690 +-521 -2365 +5632 -2327 +1643 -6086 +-9038 -5769 +-14531 -5399 +-12481 -7090 +-6602 -328 +-7002 7807 +-8136 4656 +-5362 200 +-541 -4138 +-850 -4132 +-3671 5342 +1071 5751 +-3343 -4438 +-3627 -3209 +3724 2319 +6704 -3531 +1328 -6859 +-7334 -6232 +-2596 -6543 +4761 2958 +4828 7481 +2245 1132 +-390 -1773 +658 214 +8712 8241 +3940 4874 +-3560 -1685 +-169 5972 +388 3633 +7530 -4315 +2245 -3258 +-820 3431 +8106 9445 +2006 7920 +2539 4217 +5047 -1557 +110 -8517 +-987 -10641 +-3524 -3913 +-7384 6318 +-6105 5696 +-3114 -1100 +-4643 -3060 +-7661 -4503 +-3212 -8600 +5538 -4751 +8179 1952 +10441 -2554 +7303 -3055 +1663 3821 +2434 1638 +4364 -240 +2676 -222 +447 -6201 +1643 -1135 +-4149 6458 +-700 680 +2927 -2732 +-1530 -966 +3918 1943 +1603 -399 +-1947 -2914 +43 1938 +-1794 -2253 +-6722 -9158 +-4668 -4047 +-4028 6948 +-11732 7020 +-5895 4119 +-530 10179 +-3505 8671 +-4189 3583 +-3092 -2679 +-3306 -2438 +572 6359 +4598 3512 +-5513 -1147 +-5029 -13 +4385 -712 +2849 -7010 +-3511 -5933 +-9786 241 +-7442 -2629 +-920 -1486 +161 171 +-77 4 +-13 -5 +-2 4 +6 3 +2 2 +-4 5 +-11 7 +-3 6 +4 -3 +5 -2 +3 1 +0 -6 +5 -9 +-2 3 +-2 6 +-4 4 +-7 1 +-6 5 +-1 0 +-5 -6 +-6 -2 +-6 3 +-5 -2 +-8 -36 +-9 -61 +1 -52 +17 -42 +8 -53 +79 -342 +1050 -3801 +1646 -8901 +-2677 -3991 +-4015 6018 +790 2481 +-4241 -2363 +-4887 2777 +6452 4218 +9509 2000 +8772 2696 +2189 4470 +-7422 827 +-1943 -2267 +672 4781 +-4778 3513 +-1988 -7669 +1215 -9756 +159 -9229 +-3410 -3344 +-2915 6699 +1208 2372 +-4730 -1562 +-4464 3627 +7219 3017 +9927 272 +9328 1105 +3021 4083 +-7315 2231 +-2377 -1872 +1624 4596 +-4088 4349 +-3528 -7280 +-790 -9935 +-1706 -9141 +-4106 -2675 +-1661 7161 +1674 2063 +-5011 -681 +-3753 4443 +7844 1560 +10073 -1667 +9581 -692 +3842 3435 +-6856 3533 +-2759 -1456 +2470 4280 +-3298 5070 +-4862 -6544 +-2562 -9663 +-3345 -8806 +-4598 -1866 +-327 7456 +2091 1770 +-5140 245 +-3046 5080 +8103 244 +9734 -3366 +9417 -2337 +4472 2702 +-6170 4718 +-3017 -886 +3223 3733 +-2312 5537 +-6059 -5497 +-4422 -8944 +-5060 -7927 +-4976 -860 +1123 7374 +2417 1286 +-5080 1281 +-1972 5556 +8093 -1437 +8958 -5225 +8816 -4121 +4953 1777 +-5230 5813 +-3161 -323 +3918 3027 +-1257 5868 +-7039 -4302 +-6050 -8036 +-6445 -6902 +-5067 -5 +2392 7032 +2645 856 +-4770 2113 +-968 5757 +7812 -2693 +8027 -6539 +8127 -5503 +5216 974 +-4275 6528 +-3170 165 +4421 2466 +-295 6070 +-7645 -3126 +-7211 -6999 +-7438 -5843 +-5061 732 +3444 6579 +2765 503 +-4435 2876 +-78 5844 +7294 -3954 +6906 -7786 +7170 -6790 +5363 113 +-3092 7200 +-3149 723 +4776 1606 +801 5983 +-8152 -1719 +-8433 -5545 +-8462 -4335 +-4958 1628 +4554 5816 +2816 -57 +-3939 3489 +894 5739 +6629 -5088 +5639 -8863 +6034 -7884 +5305 -774 +-1945 7555 +-3029 1211 +4978 853 +1713 5733 +-8321 -456 +-9172 -4207 +-9056 -3101 +-4673 2312 +5403 5033 +2804 -476 +-3341 4034 +1754 5512 +5744 -5935 +4151 -9449 +4716 -8522 +5120 -1535 +-819 7705 +-2819 1650 +5076 147 +2524 5415 +-8326 725 +-9706 -2825 +-9417 -1739 +-4302 2993 +6104 4224 +2729 -832 +-2757 4490 +2552 5132 +4771 -6772 +2630 -9957 +3355 -9149 +4862 -2304 +376 7711 +-2549 2005 +4995 -731 +3347 4883 +-8024 2151 +-8428 -932 +-3770 -3645 +5489 -3361 +10937 -1233 +6573 -7523 +4735 -5231 +3725 4379 +-2735 4140 +-6359 4929 +3420 6858 +6925 4791 +-1110 5635 +-5199 162 +-7589 -1825 +-3467 7825 +-2020 4487 +-2525 -4229 +6085 -5238 +4132 -7365 +-3822 -3526 +-6365 -732 +-1352 -6472 +6230 -7331 +199 -5412 +-4030 -362 +-4972 5563 +-6088 -2581 +-3042 -9426 +-7442 -1500 +-4106 7670 +-274 9431 +-6644 812 +-5024 -2929 +-1565 4374 +5067 5110 +7028 5611 +-3061 6035 +-5550 2569 +716 5575 +7952 4387 +4373 3113 +-3485 2903 +-3862 -6721 +-6635 -2985 +-6241 5555 +-4061 -1673 +251 -6353 +5857 -7294 +-2471 -6115 +-7386 -1237 +-597 -4062 +4432 -2708 +7436 6140 +9550 2164 +9596 -2876 +1994 3568 +-2872 106 +-386 -9528 +-5954 -7505 +-6683 -5598 +5396 -5882 +6647 3249 +589 10810 +6002 7281 +5533 3498 +-4096 -159 +1652 -6056 +7733 -8097 +154 -9828 +214 -6905 +5764 828 +575 4880 +-1758 7852 +7157 2782 +8545 -1051 +2839 4871 +-3986 3481 +-7185 3596 +2353 7987 +1364 4577 +-4764 -1486 +1364 -7850 +-1515 -8164 +-5277 -103 +-5420 3643 +-5339 -3888 +13 -9510 +-3383 -4154 +-3370 2374 +-154 7408 +-6448 2206 +-8655 -4861 +-6779 3871 +1912 8325 +6095 7071 +-4509 4994 +-5817 1228 +1692 4296 +7315 449 +9173 -544 +1675 6382 +-2599 5480 +4181 3567 +9032 -2000 +5441 -560 +-784 4393 +-7388 -2457 +-7126 2073 +-1249 8071 +-4277 1331 +-3998 -4920 +-132 -9247 +-5838 -2989 +-6595 3722 +-3093 -2711 +1730 -4858 +9832 -145 +8989 -4487 +5716 -8224 +3934 1471 +-2108 1908 +-6366 -6951 +-9503 -1904 +-8868 -44 +529 -7892 +7336 -1781 +7364 7859 +9436 1728 +6618 -903 +-3376 2494 +-2603 -5566 +999 -11018 +-6145 -7549 +-4319 -5332 +5060 -3061 +3606 3289 +3670 7022 +7469 -2499 +6088 -6246 +5466 1826 +-791 5168 +-3296 7314 +7022 4393 +4067 2509 +-4683 1987 +-4061 -6654 +-6499 -4997 +-4231 3374 +-1931 6157 +-6735 533 +-6181 -7059 +-5379 -861 +-1166 3938 +4601 5508 +-3611 5663 +-9921 1889 +-2896 7219 +6809 5068 +9288 1510 +-321 6595 +-4746 4112 +-8098 5 +-11972 -3445 +-7643 -2059 +-5510 2622 +1116 -286 +3130 -3117 +1605 1747 +4633 -1652 +9627 -4997 +8486 396 +-6179 7717 +-3817 14526 +11928 8857 +1835 3021 +-11583 1812 +-8359 -2286 +-2508 1047 +-1077 729 +-3308 -2250 +2365 970 +7377 -1287 +7535 -6714 +10352 -6209 +1053 82 +-7456 -1852 +-1922 -5570 +1649 345 +7906 631 +7529 -764 +-2336 -2355 +5326 -5371 +11428 -2721 +3264 -2020 +4043 -1943 +1233 -5207 +-9304 -6364 +-7716 -451 +454 -2332 +4830 -121 +5270 7870 +444 7563 +-6078 4531 +-4137 2927 +2123 4447 +3588 2030 +5955 -3521 +7094 -452 +5072 6775 +1253 7405 +-4091 1369 +22 -3360 +3296 -9546 +-3063 -8925 +-5137 2107 +-3276 2430 +-4933 4234 +-8845 8324 +-4587 -1762 +-639 -987 +-5184 8316 +-1603 4998 +-1253 -1197 +-2727 -6418 +3182 -5242 +-4650 4333 +-11931 5427 +-7366 3321 +-2644 5461 +711 -984 +481 -4321 +2422 301 +2644 -4203 +4377 -9880 +7037 -5188 +253 9675 +6487 13176 +15154 -1201 +3400 1077 +-7988 8747 +-12020 2397 +-16254 -3741 +-10863 -5511 +3299 -2268 +11437 -6916 +2913 -3061 +-4399 4094 +-5071 -3044 +-7361 -494 +1395 1869 +8513 -3111 +5105 4574 +4857 4993 +8497 -4084 +10806 3240 +8268 4748 +7994 -6796 +7513 -1926 +422 1552 +-2562 -4875 +1408 1574 +7765 5831 +4867 -1195 +-5553 -3420 +-5532 657 +-1729 2725 +-1934 1910 +-2026 1839 +-91 -1992 +2806 -5971 +-468 -886 +-3927 4332 +404 183 +3436 -1310 +-453 4433 +-3457 -78 +-3135 -8949 +-5236 -6969 +-1997 501 +5271 6529 +3369 4981 +-3614 2852 +-7277 3394 +-3028 4130 +-2081 8176 +-7258 3965 +3459 -1620 +13812 262 +5396 415 +-820 -1038 +-508 -1370 +-1103 4731 +-480 -509 +1916 -10038 +1390 2490 +-1248 6114 +2134 2830 +5176 3944 +5936 -780 +4833 5408 +-6424 624 +-11473 -7424 +-3349 423 +-1903 -2696 +-8698 417 +-15308 8275 +-12126 3374 +966 -3946 +4091 -12573 +164 -4073 +-620 5885 +-5935 1198 +-5943 4580 +2282 387 +4286 -7945 +6889 -165 +7023 309 +3735 -8572 +10333 -4797 +9210 -1783 +-3365 -7464 +-8289 -4304 +-174 -1881 +5195 -6263 +-612 -5598 +-3507 3551 +974 6592 +5343 4571 +9498 4897 +-1034 7953 +-12518 7874 +-2269 -133 +2841 -7187 +930 -11858 +-447 -6285 +-6111 6277 +-2668 1669 +-1665 -588 +-3803 4513 +2726 -4584 +6032 -2638 +4375 4592 +1090 -2968 +-951 -3837 +-3300 -5694 +-1994 -8993 +5333 -1183 +1186 -1873 +-1421 -7822 +8427 -8277 +7948 -6263 +-1886 -2631 +-4096 -2544 +29 3985 +-2791 7201 +-10135 -2114 +-10557 -820 +-1617 -885 +10462 -7431 +8033 -6059 +-1122 -7468 +-3225 -6654 +-4724 -3291 +-1069 -434 +-1104 5712 +-2781 2030 +4730 59 +4214 4210 +1431 3929 +3079 8129 +4179 10731 +10248 6495 +5855 686 +-5360 849 +-2729 5644 +-1310 1552 +-4750 2400 +4055 4638 +12805 -1045 +7289 5013 +422 4547 +-587 -8626 +-142 -5681 +-2114 5165 +-8448 7409 +-9038 4611 +-1423 -1635 +-276 -8075 +-4265 -3563 +-246 4931 +5202 4119 +7135 -299 +10539 -2826 +4573 6461 +-4217 14004 +-1804 1456 +-2628 -7115 +-7182 -9299 +-4491 -4230 +-425 8900 +1333 5369 +320 1774 +-1307 4545 +5090 1437 +2653 -5414 +1407 -4324 +7070 254 +6695 4256 +5327 5369 +-1744 -402 +-671 -5881 +6627 281 +-2685 10237 +-2119 2498 +10301 -4609 +4534 2928 +-3629 2647 +2273 2660 +5174 4281 +2370 -2133 +5532 -3816 +4630 -2250 +-1332 2247 +844 6886 +2057 3994 +11 -661 +6440 -3646 +9024 -1518 +-4706 1348 +-13587 -718 +-8935 -5419 +-6805 -6825 +-5679 1048 +-4213 2010 +-7971 -3552 +-10710 2571 +-5768 3790 +1650 -2321 +-234 173 +-1617 1901 +1507 1314 +-3618 343 +-10194 -4253 +-12669 2671 +-5954 9801 +3188 -97 +-1898 2544 +-4636 7338 +3295 -10089 +9653 -16680 +10480 -10650 +6339 -5663 +4132 3104 +3979 1750 +2017 598 +-2770 4694 +-3203 -4344 +-307 -10044 +-5333 -4101 +-7898 1458 +-2768 6804 +1315 5329 +627 2415 +-1313 6369 +3196 3799 +3107 1560 +1830 4133 +4893 -2214 +-1398 -5646 +-1693 -4066 +5707 -4395 +8038 -1204 +7725 517 +-1611 797 +-4400 -3978 +5344 -4011 +4501 9492 +-80 3272 +5075 -9975 +5635 -721 +-327 3827 +-450 137 +18 -136 +-14 0 +0 -3 +-1 2 +-1 -9 +-2 -4 +-1 6 +2 -1 +-1 0 +-1 -2 +-1 -9 +1 -2 +3 1 +4 -1 +0 -7 +1 1 +1 0 +2 -1 +4 1 +2 -2 +-2 1 +-1 0 +-6 -6 +4 -1 +3 1 +-3 -1 +-1 -4 +-6 -4 +-3 5 +-1 2 +-4 -8 +-1 -6 +-7 -1 +-4 -1 +-6 2 +-2 -1 +3 -6 +6 1 +0 -1 +-2 10 +1 5 +3 -1 +2 7 +3 5 +1 -1 +-5 -2 +-6 1 +0 -2 +-4 -2 +-2 -4 +3 0 +2 -6 +3 -4 +-3 0 +-3 2 +2 0 +4 0 +-2 3 +-11 0 +-6 2 +0 5 +-1 8 +-4 6 +0 -4 +-5 -5 +1 -3 +-3 2 +-3 6 +2 -1 +5 -4 +6 0 +-1 1 +-10 0 +-4 -2 +3 -3 +3 -3 +1 -8 +-3 -6 +-1 -2 +-2 0 +2 8 +-3 2 +-1 -3 +0 -7 +-2 -9 +-3 -4 +-2 0 +0 -4 +2 -6 +2 -3 +4 3 +-2 7 +-2 8 +-6 8 +-7 6 +-7 2 +-5 -1 +0 0 +-1 -3 +6 5 +4 5 +2 2 +0 -2 +3 -7 +0 -11 +-5 -8 +-6 -2 +2 -4 +6 -7 +-4 -5 +-5 2 +-4 6 +1 0 +-2 1 +-2 6 +-4 0 +2 -6 +5 -8 +1 -7 +1 -1 +4 2 +0 9 +1 4 +-3 0 +-7 -5 +3 -8 +0 0 +-1 3 +-2 3 +-2 3 +-2 0 +-1 3 +-3 3 +-3 0 +-1 -6 +-4 0 +-4 0 +1 -2 +1 -8 +-3 -10 +-1 -4 +0 -4 +-1 0 +-8 -2 +6 0 +5 5 +4 4 +8 5 +0 5 +2 4 +5 1 +0 -2 +1 -3 +4 -9 +5 -8 +7 2 +-1 5 +-7 2 +-5 -1 +-4 0 +3 0 +0 -3 +1 -2 +0 6 +1 4 +6 3 +4 1 +2 -5 +4 -1 +1 7 +-3 1 +0 4 +2 2 +0 -4 +-3 -2 +4 -2 +6 2 +3 3 +-1 10 +-10 32 +-12 43 +-22 35 +-19 19 +-17 21 +-553 -189 +-4849 -1958 +-9288 -2376 +-2743 3544 +6454 4082 +1268 -728 +-2903 5627 +3317 3785 +5416 -7864 +3533 -9703 +4663 -8647 +4810 265 +-1245 7969 +-1742 460 +6308 736 +1219 5374 +-9604 628 +-10103 -1869 +-9673 -121 +-1853 4197 +7439 2636 +1142 -911 +-1716 6220 +4069 3039 +3680 -8898 +1493 -10288 +2924 -9424 +4872 -588 +295 8172 +-1652 779 +6405 -461 +2140 5054 +-9521 2370 +-10423 40 +-9654 1723 +-1112 4473 +7894 1080 +913 -1172 +-486 6391 +4689 2134 +1875 -9539 +-664 -10519 +859 -9953 +4628 -1711 +2033 7877 +-1539 1109 +6255 -1856 +3320 4508 +-8878 4473 +-10394 2313 +-9318 3718 +-152 4589 +8103 -605 +739 -1344 +866 6447 +5214 1229 +136 -9748 +-2557 -10252 +-832 -9988 +4384 -2455 +3456 7465 +-1275 1386 +5948 -2816 +4017 3910 +-8201 5770 +-10036 3906 +-8686 5100 +620 4609 +8051 -1753 +524 -1415 +1804 6234 +5291 351 +-1528 -9650 +-4263 -9634 +-2527 -9642 +3943 -3182 +4708 6775 +-1067 1551 +5394 -3854 +4689 3123 +-7089 7115 +-9259 5642 +-7707 6619 +1413 4361 +7622 -3230 +191 -1491 +2945 5769 +5339 -663 +-3211 -9189 +-5934 -8667 +-4299 -8973 +3290 -3771 +5899 5802 +-766 1731 +4660 -4730 +5282 2257 +-5672 8306 +-8107 7203 +-6426 7864 +2174 4044 +7011 -4507 +3 -1476 +4006 5126 +5235 -1576 +-4843 -8460 +-7446 -7443 +-5803 -8032 +2645 -4218 +6818 4713 +-459 1780 +3772 -5381 +5458 1373 +-4367 9061 +-6897 8368 +-5106 8742 +2785 3652 +6205 -5552 +-296 -1454 +4688 4400 +4891 -2346 +-6198 -7483 +-8645 -6145 +-7104 -7002 +1865 -4596 +7524 3503 +-175 1863 +2859 -5957 +5732 390 +-2780 9578 +-5485 9312 +-3698 9412 +3321 3120 +5229 -6483 +-512 -1412 +5437 3547 +4582 -3120 +-7276 -6326 +-9530 -4614 +-8194 -5735 +1094 -4852 +8103 2192 +191 1848 +1868 -6318 +5769 -590 +-1031 9870 +-3776 10079 +-1972 9805 +3929 2364 +4087 -7283 +-780 -1249 +5963 2637 +3945 -3784 +-8368 -5009 +-10209 -2995 +-9000 -4310 +284 -4923 +8345 914 +433 1751 +909 -6491 +5614 -1364 +425 9633 +-2167 8090 +-4663 2603 +-2016 -7867 +-828 -11423 +-8165 -6721 +-3112 -5262 +5755 -3008 +3626 4510 +5292 6036 +7539 -5321 +5829 -6027 +5511 2540 +-1860 5959 +-1382 7833 +8848 3073 +2484 2800 +-5173 1198 +-5031 -7709 +-7452 -3162 +-3130 4800 +-2226 6483 +-7613 -876 +-6689 -6999 +-5480 978 +620 4232 +4910 6075 +-5610 5542 +-9896 3071 +-529 8436 +8894 3199 +9063 1587 +-1595 7421 +-2575 4402 +5434 1057 +5821 -6621 +7061 -5760 +5186 4949 +2249 5241 +6601 -2064 +4850 -8790 +4089 -2706 +1284 4140 +-8539 3827 +-1765 7436 +4786 6321 +-3836 3694 +-6708 -2299 +-7269 -6367 +-6113 4190 +-2097 6892 +-4964 -1057 +-581 -5519 +8104 -7954 +1884 -10594 +-1220 -9652 +4562 -206 +-2306 2411 +-10762 -134 +-8103 7043 +-6986 4766 +-4427 -7787 +6257 -5264 +11555 35 +7698 -7088 +3835 -3831 +-1928 4543 +-6696 -4467 +-7953 -8129 +-10727 177 +-5892 -1935 +2507 -5920 +5768 1065 +8097 1063 +2472 -8903 +598 -8271 +5959 -1673 +2450 5726 +4039 6773 +8870 -3438 +3772 495 +-3262 4217 +-9012 -2475 +-7934 2530 +703 5596 +2579 6220 +-6415 4361 +-9767 -696 +-3547 4302 +3310 2622 +7868 1189 +-618 7731 +-5695 8761 +5155 6566 +9101 -3505 +8139 -4795 +3675 6544 +871 4984 +4955 -2739 +244 -8718 +1696 -8959 +7315 209 +5242 2388 +3754 -5842 +-2013 -9620 +1436 -4652 +3784 2239 +-4145 8499 +3488 6600 +7876 1464 +-457 5253 +-6647 2798 +-9866 207 +-1921 7075 +2981 6364 +-4489 2584 +-4123 -3542 +909 -11122 +-5556 -8919 +-7294 -6195 +3441 -3195 +-79 3287 +-8407 7104 +-1614 10567 +-2263 8069 +-8686 -2794 +1242 -7996 +9025 -7665 +1280 -10336 +377 -5290 +1653 4626 +-8029 1174 +-11542 -728 +-8252 7132 +-5869 2370 +-1973 -6101 +5139 -2989 +7082 -4474 +-3764 -8192 +-4853 -6507 +3575 -5117 +5608 2640 +7574 2409 +4801 -8208 +3281 -1948 +178 5287 +-8697 3940 +-4680 7008 +4153 3745 +6034 3109 +-2280 7460 +-8272 5788 +-67 5570 +4321 -171 +6962 -4174 +4594 6191 +1245 10184 +8342 1504 +4832 -8489 +3177 -8761 +6985 2574 +2382 4807 +1064 1592 +4717 3777 +8296 -3941 +4047 -9629 +-5604 -454 +-5464 1367 +-79 1027 +-450 -1424 +5265 -6864 +6817 -408 +5860 1358 +13715 -2240 +8198 -2114 +3903 -2679 +7589 3592 +757 3732 +-1273 -1614 +-3369 109 +-6859 875 +2874 6392 +9711 5026 +5153 -4817 +939 -3023 +-2286 -32 +-4889 6666 +-14 13263 +-386 6998 +-4294 3092 +2155 -1381 +2076 -6321 +183 -5053 +2037 -5822 +-1734 -6941 +1331 -8941 +3183 -6709 +-2476 110 +-5687 2639 +-6491 2157 +-5100 -6669 +-2652 -7231 +4259 12600 +2684 17273 +-7847 1139 +-5522 268 +-3950 7129 +-11296 -7583 +-10587 -14650 +1380 3097 +4576 10124 +-4213 4485 +-5944 3137 +-2370 2182 +4830 1350 +9503 3381 +4745 5861 +5076 2968 +4594 1583 +4213 2816 +4166 -847 +-4395 232 +-6433 -1338 +-8575 -8358 +-10084 -2772 +-2390 2788 +2232 688 +6248 -114 +4073 -8157 +-2822 -9774 +-4734 3176 +-3563 4470 +533 846 +-1208 -814 +-30 -8490 +5250 -4511 +5586 -2614 +9643 -10294 +5287 -6705 +1456 -4460 +8019 -2708 +1270 -2781 +-2227 -5317 +4173 -1249 +5540 -4349 +7676 -7355 +13649 -5700 +11811 -2096 +-181 951 +-9140 3820 +-10269 -6365 +-5003 -14892 +-700 -1935 +1288 -281 +683 -6077 +-10286 1954 +-14100 -571 +-5820 -7175 +-197 2273 +1722 13072 +-858 13834 +-602 8702 +872 2508 +192 -1312 +-2428 -623 +-9791 -1137 +-5193 -5408 +891 -4160 +-2525 1493 +-1424 143 +1788 -6440 +5875 -7597 +-1051 -1583 +-2922 1566 +10187 -1318 +2989 252 +1023 2892 +11989 30 +4169 -2514 +-1654 -6114 +-3158 -3526 +-2140 6835 +7525 7297 +5157 -351 +-1059 -2834 +2211 2240 +497 6439 +-875 3603 +9137 -4044 +7987 -11808 +-4974 -6700 +-5087 2447 +2989 4170 +8127 8096 +3987 5430 +2391 3099 +7198 7197 +-204 -2054 +-1308 -6485 +1778 2637 +-2536 4274 +804 572 +-2006 409 +-3974 7273 +-2217 9271 +-10337 262 +-6712 -3498 +2680 -3670 +1294 -6890 +1012 -10539 +6687 -13198 +7650 -9327 +454 852 +-4509 8895 +-12167 2106 +-13916 -7568 +-1969 -1005 +775 -1147 +-3518 -4924 +-6731 8149 +-11264 8677 +-9823 -3856 +-4918 -5104 +-3190 309 +-8237 -3116 +-5279 -4797 +1700 4645 +1934 10293 +2736 8624 +2511 12382 +2456 10895 +1328 -3910 +-2078 -7555 +-5208 -1540 +-2945 2710 +5157 2389 +-242 -5861 +-7392 -7229 +253 -4442 +-1320 -6129 +-5697 -5263 +-800 741 +-4665 4346 +-8248 -148 +-926 2565 +3633 8529 +5067 7842 +5625 5981 +-2685 3529 +-10963 10554 +-7696 4391 +-1008 -11951 +166 -4567 +-1381 -5412 +-895 -6739 +867 9808 +2642 8247 +2549 420 +2517 506 +5994 -1306 +3012 -6577 +-6688 -14518 +-3680 -12225 +9789 -3508 +10003 3383 +945 4207 +1889 -6117 +4105 -8921 +7241 1125 +12223 5325 +3933 -1338 +-868 -7639 +2431 -5348 +1101 1059 +-2030 1113 +-5333 -2156 +2627 3378 +5950 3904 +815 -4085 +5902 -499 +-3647 5005 +-13295 5974 +-4028 6908 +-969 -456 +-1022 -6548 +-5148 -3595 +-6970 -382 +-2453 2366 +-8513 2963 +-7349 -159 +4346 2440 +8191 6491 +7744 4702 +10001 7625 +9028 6506 +-1426 -3800 +-6580 -4334 +-5114 2214 +-583 3954 +5540 -1564 +-3210 -3997 +-5323 3050 +-2009 5599 +-9536 -1709 +-9658 -4318 +-9426 -2322 +-2815 4001 +6743 4264 +1118 -1015 +-3521 5863 +3364 4245 +6062 -8072 +3963 -10031 +5055 -8608 +4915 736 +-1698 8130 +-1674 40 +6716 1059 +861 5699 +-10126 -30 +-10167 -2694 +-9647 -756 +-2112 4442 +7327 3209 +929 -1177 +-2581 6259 +3970 3556 +4655 -8962 +2293 -10487 +3596 -9262 +5023 -49 +-288 8294 +-1650 295 +6810 -179 +1867 5388 +-10043 1746 +-10605 -825 +-9725 1001 +-1359 4634 +7778 1737 +715 -1341 +-1426 6594 +4597 2765 +3156 -9603 +493 -10661 +1905 -9715 +4933 -963 +1166 8163 +-1613 598 +6711 -1342 +2820 4999 +-9620 3491 +-10615 1032 +-9480 2646 +-518 4799 +8116 436 +511 -1366 +-280 6733 +5031 1999 +1479 -9904 +-1327 -10516 +296 -9791 +4785 -1686 +2555 7840 +-1485 846 +6411 -2473 +3627 4383 +-8983 5086 +-10391 2898 +-8910 4314 +313 4850 +8067 -1034 +225 -1472 +905 6570 +5333 1001 +-402 -9954 +-3304 -10065 +-1581 -9646 +4309 -2544 +3863 7160 +-2155 1421 +47 -690 +2951 8012 +-1360 4404 +-3693 -4946 +4995 -6722 +-723 -8238 +-5264 165 +-6419 1230 +-2358 -7670 +3936 -7935 +-3851 -5277 +-2876 3845 +-3648 6116 +-6730 -2202 +-6558 -7002 +-7824 3095 +1573 10310 +1614 7007 +-6499 2741 +-5555 -932 +1621 5786 +8198 2081 +7287 2673 +-1781 7479 +-4354 3359 +5393 5567 +9080 -762 +4019 2533 +-3261 3177 +-7068 -5885 +-6207 2899 +-3785 6479 +-4164 -912 +-1453 -7287 +2441 -8910 +-6314 -2802 +-7018 1371 +-712 -4497 +4071 -3697 +10448 3263 +9200 -3866 +7563 -5720 +2179 3853 +-2992 -795 +-4026 -9044 +-9449 -3483 +-6894 -3317 +4854 -7428 +6990 2551 +4637 9755 +9164 2856 +4815 1038 +-4546 777 +769 -7695 +4215 -10158 +-4927 -9079 +-693 -5596 +6340 -588 +1186 4919 +2892 7553 +7983 -2703 +8030 -2935 +3208 4223 +-3704 4946 +-3788 6752 +6414 5626 +1888 3776 +-6200 -1342 +-580 -8244 +-6023 -5610 +-4119 3566 +-4318 5091 +-6957 -4160 +-2225 -8423 +-6592 -1380 +111 4685 +1125 6825 +-6599 2641 +-9675 -941 +-4934 7443 +1463 8248 +-3662 5710 +-1403 2165 +550 -445 +-5544 3291 +-10941 5145 +-5858 -2385 +6071 -11265 +-2226 -4124 +-7379 5965 +-712 -214 +888 -2619 +3868 5620 +4389 9110 +8599 347 +3477 -1065 +1765 11340 +8830 6655 +8001 -1725 +7296 -1083 +-2015 -1286 +-4138 -7162 +7828 -5906 +5484 5420 +-1735 6599 +4759 11069 +-4079 7067 +-11730 1048 +2997 2706 +3729 -512 +2047 3005 +2690 2388 +-2278 1852 +-3600 847 +-5349 2778 +-918 2313 +6417 -4796 +2756 905 +-6691 -3879 +-6178 -8248 +-1117 -4451 +-1091 -7039 +-5223 -1672 +-4925 2844 +3295 1229 +10684 -1940 +4027 -9318 +-10302 -6081 +-5463 5447 +7802 3594 +8606 7085 +-625 10933 +-574 2398 +-874 1772 +-5572 -5291 +316 -14289 +-7586 -11584 +-7185 -6426 +55 -5803 +-2284 -8345 +1496 -968 +-3077 1159 +-2351 -1802 +1207 3073 +-820 6265 +-206 7514 +638 2278 +78 -687 +-2076 6145 +-4974 11012 +-6145 2126 +-2959 -12274 +-4449 -1431 +-1598 9201 +-712 260 +-1130 -2473 +6695 1498 +9420 3680 +6819 -5511 +1566 -3695 +4486 3522 +-310 891 +-4949 485 +2487 2967 +3104 7966 +6744 -5151 +-551 -4669 +-7445 4200 +-4623 -904 +-3832 -3751 +4320 2811 +5857 9732 +8624 -3045 +5966 -5623 +-2500 2487 +-1903 -6233 +3604 -3148 +-33 9526 +-13324 7047 +-11584 -2095 +-9252 -3057 +-2999 -1540 +6513 666 +151 3718 +-2298 4191 +374 9648 +3542 4944 +5879 -5366 +1056 3884 +920 10209 +5791 2272 +1735 -3234 +230 -2687 +-3624 94 +-8246 -1274 +-6247 -4844 +-11360 -8578 +-5581 -5245 +-554 -3073 +-4433 -9696 +-206 -8076 +2200 -7332 +-2697 -715 +-1318 4146 +9622 -7727 +5812 -9154 +2008 -3454 +4304 2084 +62 3937 +-67 -1624 +3361 -7658 +7940 -2715 +2854 8454 +2111 6408 +8399 8241 +5280 10314 +1308 1346 +-1954 -4442 +-4816 -6113 +-2026 -5373 +1445 596 +-4567 2759 +-4568 2288 +1743 6483 +1647 -1284 +-1262 -192 +-3195 3791 +3827 467 +7652 3499 +1714 -8327 +-3456 -3083 +-2774 7938 +-4101 2444 +-5379 -180 +5210 -936 +11010 3061 +4624 -8025 +855 -8032 +-316 3411 +-6000 -2964 +-6303 -3107 +-9227 -1377 +-9403 -155 +-7128 6720 +8548 -358 +11229 -5521 +-1092 937 +6551 -575 +7710 -4689 +5435 -4641 +3424 -7543 +-7097 -315 +-12450 6141 +-4308 1748 +3403 3728 +4230 8039 +4237 2560 +-1312 -9363 +2854 -7053 +4838 854 +1643 -2080 +6078 3109 +8040 8531 +6022 874 +-5867 -6178 +-10618 -5493 +764 -203 +5340 -1847 +3867 -2517 +7474 2979 +6261 3647 +3324 2503 +3110 -1286 +-302 2563 +-4193 6946 +-3968 -4311 +407 -8613 +-2340 1768 +620 9701 +774 5871 +-9578 -2898 +-14270 -2875 +-16184 3549 +-7220 3229 +-282 4305 +-1938 7176 +-7670 886 +-6301 -763 +-3216 3189 +-2671 5845 +7594 6783 +-2865 5803 +-6803 1476 +3310 -3293 +-486 -1342 +-976 -7468 +1114 -11249 +1483 -6369 +5034 -6298 +16361 145 +11253 -2928 +-2199 -10798 +-3092 -545 +728 3706 +1658 4407 +-7732 5942 +-7602 5993 +-1049 9563 +6193 -5968 +4880 -11509 +-239 1351 +4681 -4807 +2819 -8550 +1014 -6961 +-2423 -7723 +-5586 4452 +-5411 12683 +-2172 4082 +5093 404 +8748 2758 +8311 -3318 +3430 -9837 +-4439 -7467 +-11023 2211 +-7339 1865 +-5824 -2271 +-4933 5088 +-4079 3962 +812 -4781 +6104 -3940 +-2283 3714 +3286 671 +868 -4890 +-6504 -1033 +824 3224 +58 1198 +1400 7064 +3626 9717 +4984 -6167 +1270 -6988 +-279 -2648 +4079 1465 +6862 3696 +8575 1132 +-861 13040 +711 9297 +6203 1057 +-1232 1832 +-1338 -3390 +1234 -175 +-2688 5247 +-5608 -5403 +-3681 -9930 +-6822 -1541 +-8583 -5404 +-1105 1179 +-1443 8046 +-7195 1832 +-1475 1491 +7486 585 +5153 -45 +3659 -1814 +10725 -4251 +4301 -4088 +-1926 5906 +3138 5616 +-5150 -6216 +-4064 -8506 +4605 -7296 +-23 -195 +-4178 2305 +-5804 1041 +-1784 -4673 +-794 -7800 +1143 -3054 +4466 -1011 +-105 635 +3590 -5777 +10173 -4218 +8820 5764 +-1457 8629 +-11099 5627 +-5416 4731 +3367 2446 +1529 -7124 +-3980 -9994 +-8307 -2651 +-7221 8784 +-4545 6106 +-6137 2100 +-655 7023 +-658 5611 +-2443 -4120 +2236 -6782 +531 4389 +2916 -1563 +-2522 -4110 +-5742 3492 +2696 1874 +-196 879 +-6494 3205 +-15493 2884 +-4755 804 +3521 5361 +-2340 -3135 +2287 -11434 +2817 -1896 +-2734 -1839 +-4834 -7055 +1282 -1340 +8137 2779 +2368 3596 +-134 63 +1014 -114 +4510 853 +-243 -1693 +-10365 598 +-2038 7762 +7861 7381 +9926 -987 +6631 3291 +1786 4164 +4121 933 +1770 -57 +-8317 -1308 +-9267 1400 +-2158 -3272 +-598 1183 +-1195 6072 +2151 3743 +3532 2357 +-253 1409 +-169 3574 +346 393 +883 -3347 +1966 -7066 +-430 -3855 +2278 -2681 +8076 -1634 +15617 4806 +9305 2917 +-4864 3811 +-2573 1004 +2891 -4154 +11458 -3148 +8728 4800 +-3962 8258 +-3389 3598 +-8865 2688 +-7463 -3662 +3603 -6898 +573 -8461 +6 -2574 +5253 4097 +7421 -3848 +1622 453 +-5078 3418 +-4807 -6597 +-9972 -2675 +-7285 6836 +-440 398 +-6667 -3633 +-10049 -2176 +-5360 -5223 +-4948 5282 +-11325 13116 +-3136 3478 +6257 1848 +-3814 -998 +-5353 -10219 +1023 -3288 +-3419 258 +-8392 -2319 +167 -1824 +8220 -3024 +4168 1249 +-67 115 +720 -708 +4121 -2217 +-414 -1903 +-3876 1710 +-192 181 +84 -58 +-30 19 +2 3 +3 -1 +1 -2 +3 -1 +-1 1 +-2 2 +7 0 +9 5 +4 10 +3 4 +0 0 +-7 0 +0 -2 +1 -1 +2 2 +5 4 +-4 3 +-2 -2 +2 -4 +4 1 +0 1 +2 2 +5 0 +-2 -4 +-1 1 +21 45 +22 53 +14 77 +5 87 +153 978 +957 6030 +2889 8159 +3887 -1772 +-651 -6755 +-153 485 +6414 -1526 +-583 -4242 +-9536 466 +-9368 2032 +-8733 -22 +214 -4481 +7052 -1805 +-343 740 +-1409 -6325 +4618 -1560 +4424 8439 +2972 8943 +4489 7160 +3566 -2572 +-2018 -6595 +-27 410 +6124 -2898 +-1436 -4078 +-9461 2425 +-8940 3940 +-8724 1712 +-651 -4506 +6701 -3178 +-195 791 +-2678 -5926 +4307 -2376 +6108 7489 +4788 8238 +5961 6171 +3066 -3253 +-3357 -6073 +37 479 +5546 -4007 +-2227 -3715 +-8975 4324 +-8157 5677 +-8317 3410 +-1562 -4241 +5999 -4387 +-26 821 +-3792 -5346 +3836 -3146 +7443 6177 +6255 7205 +7040 5009 +2415 -3787 +-4484 -5342 +131 436 +4774 -5017 +-2894 -3295 +-8085 5815 +-7024 7009 +-7673 4885 +-2373 -3889 +5176 -5491 +126 787 +-4802 -4547 +3193 -3845 +8600 4613 +7643 5841 +8012 3470 +1676 -4267 +-5486 -4417 +224 398 +3808 -5830 +-3516 -2612 +-6950 7319 +-5671 8212 +-6701 6158 +-3053 -3457 +4184 -6341 +240 801 +-5623 -3625 +2521 -4344 +9435 3148 +8679 4536 +8594 2135 +1012 -4444 +-6169 -3433 +292 402 +2877 -6335 +-3966 -2016 +-5828 8283 +-4374 9018 +-5677 7211 +-3543 -2905 +3137 -6875 +372 706 +-6129 -2743 +1790 -4654 +9832 1715 +9235 3253 +8809 960 +420 -4446 +-6629 -2569 +302 258 +1949 -6655 +-4155 -1409 +-4498 8983 +-2941 9512 +-4549 7917 +-4013 -2372 +2038 -7252 +515 671 +-6515 -1669 +1016 -4866 +10032 -52 +9686 1410 +8847 -785 +-384 -4448 +-7009 -1245 +377 248 +713 -6817 +-4408 -607 +-2856 9669 +-1254 9896 +-3114 8551 +-4332 -1597 +791 -7404 +632 546 +-6695 -643 +268 -4911 +9903 -1483 +9831 125 +8727 -1908 +-1051 -4301 +-7146 -229 +397 191 +-278 -6836 +-4498 -27 +-1485 9908 +188 9882 +-1863 8878 +-4563 -924 +-350 -7470 +653 405 +-6801 363 +-522 -4884 +9644 -3022 +9803 -1483 +8293 -3351 +-1863 -4088 +-7197 934 +440 100 +-1390 -6703 +-4442 765 +220 10006 +1812 9725 +-418 9023 +-4650 -195 +-1491 -7291 +777 368 +-6624 1419 +-1277 -4725 +8627 -4458 +7086 -1420 +3004 3470 +-7264 5297 +-7893 6657 +-1421 9900 +-3765 3198 +-4098 -3916 +3370 -5413 +1167 -7178 +-8645 -2678 +-6152 -2625 +784 -5545 +6706 -610 +5863 -4099 +-1989 -8586 +2607 -1812 +1881 4455 +-4367 8147 +1820 6648 +5399 -810 +6252 -104 +1003 7743 +-2520 8100 +3968 3050 +2629 -3846 +3886 -6246 +7011 4120 +6762 5586 +6483 -5060 +-2500 -8979 +-693 -7499 +7523 -788 +3652 -827 +-2293 -5124 +-8734 -1315 +-6238 -4309 +3237 -6233 +2464 -4291 +-5796 -4087 +-8985 515 +-2454 -3197 +3776 -1445 +7206 5354 +6189 -4067 +3141 -5884 +4790 2650 +-246 7145 +-1070 8562 +7107 1437 +5668 -913 +516 5296 +-5448 1603 +-10239 -2659 +-9036 4871 +-6805 4082 +-884 -4299 +3383 2807 +5170 8534 +9832 2264 +5176 4512 +-5880 6513 +-6447 -4868 +-5394 -8923 +-9414 -1877 +-2741 -1671 +4743 491 +-2162 8620 +-1913 10034 +5360 8156 +-790 4777 +-5752 -184 +-954 -6236 +-3818 -6089 +-8442 3710 +-6466 2134 +-3025 -4524 +4839 -4810 +1953 -6832 +-7171 -4952 +748 -3045 +4433 2047 +2107 8915 +5891 3585 +3635 -4223 +4718 -4267 +5989 4920 +3515 7509 +5048 -466 +-575 -4549 +-1279 -7130 +8091 -1755 +8952 -525 +1551 -8017 +-7951 -4846 +-5732 -4944 +5039 -5597 +2213 -3045 +-5233 -2094 +-7534 4986 +-7717 1127 +-1781 -6653 +-962 -4716 +-7136 936 +-6518 6292 +-4034 -751 +1910 -3586 +9148 -926 +2070 -7068 +-1492 -6353 +5497 -1268 +4652 5386 +4935 6977 +6360 -3671 +3688 -4410 +3939 3443 +-3031 4760 +-9640 4902 +-3689 9540 +-2466 7428 +-3627 -2540 +4430 -192 +9748 2721 +9081 -4943 +6973 -169 +-53 8630 +-8109 843 +-10103 -2839 +-8391 4879 +-3126 573 +3988 -2851 +4143 7642 +5236 8541 +9594 2408 +2609 3997 +-4584 3640 +-4836 -3986 +-7007 -2021 +-4275 8230 +-3723 5701 +-5386 -1425 +594 -6698 +-2931 -6321 +-8865 914 +-1388 -2798 +4794 -1371 +7443 5233 +7006 -1198 +125 -5515 +905 -6254 +7941 -335 +7791 3221 +3685 -3737 +-3390 -2960 +-5734 -4344 +5045 -6575 +6598 -6297 +-4136 -6972 +-9392 1595 +-7650 -4 +31 -7297 +3332 -4302 +10202 -3432 +11298 -1230 +6382 -2821 +2142 -3934 +-1711 3332 +-1397 2391 +-2880 -654 +-3988 6201 +-8302 7922 +-4892 -632 +3484 -13417 +-8580 -11196 +-13118 -53 +2965 -4570 +10617 -5224 +6401 -927 +237 -2435 +2277 3 +2798 145 +-5176 1200 +-5120 6114 +-4743 10498 +-6331 7475 +2890 -2312 +7559 993 +1935 3415 +-3591 73 +-8757 4488 +-3014 2276 +3465 2542 +-6578 8495 +-7221 5718 +-1098 2824 +-3115 4276 +5560 4642 +11411 -1057 +4380 -2027 +-822 3761 +-6266 -154 +-7826 -5598 +-1041 -6616 +4256 -6260 +39 -3580 +-4838 -2509 +-3569 2151 +-4524 6333 +-6919 1039 +-7520 -5172 +-1740 -5340 +3787 -1314 +326 5670 +3755 10255 +6654 2212 +2276 -5300 +2143 -2951 +2734 -8993 +5106 -8841 +3629 2609 +543 -3561 +618 -9721 +-1071 -2309 +3994 1389 +3870 6228 +-1102 2865 +5046 -9363 +8015 -8531 +3103 -6265 +-920 -4282 +891 3548 +523 2628 +-2701 1418 +1006 7200 +-1171 11258 +-4216 2800 +-6211 -11970 +-14068 -2356 +-10150 8600 +-848 -5305 +4297 -10219 +3864 -696 +663 636 +-1 -3452 +-3578 5922 +-2285 5224 +949 -7729 +3896 -3067 +6332 2058 +-2712 165 +-3449 -610 +5818 -2400 +-213 -127 +-5369 -2725 +-3808 -2707 +-7736 1852 +-3903 -1453 +5231 -2587 +6066 -4577 +5735 -1418 +5042 10534 +3244 12431 +5241 12657 +106 12366 +-6069 5564 +3198 8285 +12098 11687 +6366 4183 +-5702 3597 +-8295 5939 +157 -1545 +202 -2708 +-6840 283 +-5487 -2849 +711 -4927 +629 -3559 +-5212 3065 +-4231 2350 +1920 -5613 +4454 -2127 +5439 492 +3404 -5161 +-1964 -8296 +-6398 -6950 +-7659 -6830 +-3614 -10489 +649 -5395 +-2248 3791 +-5732 681 +-5396 -7594 +-4543 -4423 +2219 5662 +8090 4579 +4963 2967 +4029 3261 +2960 -2164 +-3494 -201 +-8173 -1742 +-11113 -4149 +-13198 5118 +-8320 3089 +5980 -5504 +13690 -2770 +7177 -1113 +2209 -3512 +2943 233 +797 187 +-2308 -2646 +1116 6703 +1659 5267 +-4457 -6334 +903 -4882 +6299 -2675 +-1926 1891 +-3035 1720 +2970 -5669 +-169 -1 +-5956 1507 +-4785 504 +-4768 6394 +-4947 2181 +-1490 -967 +1873 221 +-3338 1600 +-5645 4373 +1212 -2976 +-3313 -11058 +-323 -1610 +5959 1048 +1720 -5793 +710 -2379 +-180 -751 +-1976 3527 +-7991 8196 +-11226 5359 +-3497 9553 +-154 7310 +79 -3083 +-2671 -1305 +-6936 1712 +-4962 -1008 +-1087 -2356 +8115 -1050 +11768 3101 +6097 3270 +8458 -1822 +11219 -1988 +7582 129 +8350 -2542 +6614 -2010 +-2746 945 +-1073 -2813 +7468 -4085 +6173 -3670 +2427 -7915 +-2326 -1916 +-4203 4976 +1537 772 +4367 2699 +838 4285 +-2402 2242 +-2897 4036 +-9656 -2881 +-8211 -8922 +4860 -6251 +2503 -3534 +-954 4091 +-2964 7273 +-7909 -1165 +715 -5829 +-901 -943 +-11242 3082 +-8107 2083 +2909 4241 +8205 -174 +-4102 -13727 +-5578 -11862 +6996 1016 +4733 7775 +1376 11227 +3016 9604 +1863 4258 +-1253 -1986 +-2663 -5567 +-2595 2427 +-2339 6685 +1198 -317 +-1409 3215 +-1284 6969 +-1178 -2987 +-10184 -5573 +-1496 -942 +5197 -3359 +-2658 -5231 +-1091 -2163 +-645 -437 +919 3841 +-399 11256 +-4786 11401 +3865 9139 +5466 5751 +-31 470 +-4244 2510 +-4188 4706 +-4376 6413 +-4847 8071 +912 6838 +-4105 5398 +259 4567 +7862 3943 +5887 -2001 +13077 -10357 +7506 -7620 +-7662 774 +-8580 8141 +1262 10014 +9628 4101 +-4049 -1525 +-15694 -2432 +-3606 2901 +2893 3044 +-3273 -337 +-3789 4057 +1734 1038 +2918 -5466 +2717 -3744 +1904 801 +-2754 3261 +-646 595 +2352 1027 +-2927 5881 +-5810 6208 +-4922 -1643 +-4771 -3814 +-3981 2535 +-4085 -7476 +-2078 -15877 +5364 -7695 +4876 -3971 +1426 -445 +10818 -202 +14669 -2232 +1680 -1562 +-5694 -8412 +1218 -13008 +6796 -10368 +6041 -815 +6453 6020 +1993 5339 +-1932 7325 +5841 2745 +7612 -1853 +-2403 -2885 +-7108 -3180 +-7170 6215 +-12566 4312 +-9773 -2205 +524 4050 +-2563 -353 +-342 -8822 +6229 -1320 +145 4113 +-80 -2718 +-2248 -1125 +-5447 2829 +246 104 +-876 4398 +-561 6283 +489 7655 +1185 9123 +5078 4655 +90 6669 +3050 3317 +8784 -1890 +3469 -5300 +3857 -16093 +1127 -10463 +-5613 5497 +-1639 11552 +7387 6672 +11140 -3346 +3693 -2413 +-258 201 +68 45 +32 -5 +-3 -6 +-10 1 +-10 3 +-10 0 +-5 0 +-3 -1 +-4 -4 +-1 0 +-3 -2 +7 6 +10 1 +9 -8 +2 -3 +-2 1 +-1 2 +-1 -4 +1 -5 +0 -6 +3 -3 +-2 1 +-1 5 +1 1 +2 -3 +-5 3 +-2 1 +3 2 +-2 9 +0 8 +8 1 +3 -5 +-2 3 +1 8 +-2 5 +-5 -1 +-6 2 +-3 -1 +-2 3 +-1 6 +-4 4 +-2 3 +-4 0 +0 -1 +3 3 +-4 5 +3 9 +2 13 +-4 9 +-1 9 +1 9 +4 4 +1 11 +-4 7 +3 9 +1 -2 +0 -3 +7 -1 +8 -3 +-4 -1 +0 3 +0 2 +-1 2 +3 1 +1 -1 +4 -3 +4 -1 +-1 1 +1 0 +4 4 +0 -4 +1 -2 +1 0 +3 0 +1 4 +-3 7 +-3 5 +-3 0 +-1 2 +-1 5 +2 7 +0 -2 +1 -1 +2 5 +1 7 +5 2 +4 -3 +2 0 +-2 -5 +-4 -4 +0 2 +-2 -6 +-4 1 +-2 1 +-1 0 +-1 3 +0 6 +0 3 +1 7 +5 9 +-1 7 +-3 4 +-4 5 +-7 1 +-1 0 +1 -1 +-2 2 +2 0 +-1 4 +3 14 +4 6 +-1 2 +-1 1 +-4 -1 +-2 3 +-1 4 +3 1 +7 0 +1 4 +-2 8 +2 9 +4 3 +4 1 +6 1 +-1 0 +5 3 +11 2 +5 4 +-3 4 +-5 7 +-4 2 +-1 2 +-4 5 +10 7 +5 3 +3 -2 +-2 -2 +-5 6 +-1 3 +-2 0 +-4 0 +1 1 +-2 4 +-3 5 +-2 3 +-4 -1 +-3 0 +-5 6 +-6 3 +-1 5 +0 2 +-2 -2 +0 -2 +0 -2 +2 0 +-7 -4 +0 1 +4 3 +2 0 +-3 -4 +-7 -6 +-1 -11 +0 -8 +0 -1 +-2 1 +0 4 +2 2 +-2 0 +-9 -4 +-4 1 +0 5 +-2 -3 +-6 0 +-5 -1 +-2 -2 +2 -1 +-6 0 +-9 -3 +-6 -3 +1 -5 +7 0 +7 6 +1 8 +5 8 +4 3 +0 -10 +-1 -4 +-2 1 +-1 5 +4 1 +2 3 +0 7 +-5 7 +-14 20 +-38 29 +-45 34 +-34 27 +-17 29 +-794 -299 +-5593 -2351 +-9131 -2113 +-1360 4208 +6492 3493 +169 -494 +-2590 6307 +3944 2368 +5372 -8493 +3713 -9446 +5096 -8020 +4265 1984 +-2202 7564 +-887 -384 +6579 1755 +-544 5118 +-10099 -278 +-9905 -2063 +-9301 98 +-344 4482 +7332 2002 +92 -468 +-1158 6847 +4460 1540 +3454 -9543 +1634 -10135 +3402 -8956 +4660 1160 +-652 7933 +-954 -225 +6924 410 +455 5139 +-10195 1741 +-10337 -10 +-9338 2022 +468 4529 +7733 464 +-39 -553 +94 6955 +4739 654 +1586 -10137 +-363 -10398 +1625 -9551 +4816 175 +875 7874 +-1003 -49 +7018 -906 +1492 5028 +-9796 3707 +-10297 1954 +-8933 3714 +1372 4310 +7826 -1062 +-83 -521 +1485 6850 +4914 -285 +-346 -10239 +-2371 -10090 +-206 -9655 +4916 -743 +2545 7648 +-971 195 +6770 -2211 +2424 4664 +-9067 5471 +-9912 3808 +-8210 5308 +2210 4077 +7644 -2369 +-212 -446 +2644 6509 +4799 -1156 +-2142 -10086 +-4043 -9572 +-1832 -9478 +4713 -1553 +3745 7086 +-958 290 +6334 -3362 +3174 4120 +-8116 6844 +-9229 5390 +-7267 6563 +2820 3556 +7086 -3631 +-322 -404 +3759 5861 +4537 -2023 +-3852 -9490 +-5707 -8646 +-3582 -8966 +4362 -2373 +5017 6249 +-862 485 +5720 -4405 +4026 3510 +-6691 8191 +-8138 6944 +-6052 7727 +3417 3032 +6534 -4761 +-293 -339 +4769 5190 +4268 -2657 +-5382 -8723 +-7065 -7629 +-4923 -8280 +4018 -2993 +5944 5414 +-787 556 +4991 -5213 +4434 2881 +-5462 9087 +-6977 8090 +-4729 8579 +3892 2486 +5723 -5743 +-449 -298 +5463 4350 +3789 -3325 +-6793 -7702 +-8334 -6330 +-6309 -7325 +3422 -3569 +6751 4375 +-699 753 +4112 -5939 +4923 2120 +-3900 9848 +-5666 9095 +-3424 9177 +4207 1776 +4683 -6575 +-472 -252 +6195 3385 +3320 -3864 +-7923 -6458 +-9234 -4859 +-7394 -6166 +2811 -4085 +7436 3109 +-560 795 +3080 -6481 +5230 1259 +-2208 10293 +-4104 9832 +-1846 9509 +4544 1000 +3644 -7192 +-479 -113 +6657 2320 +2563 -4299 +-8962 -4986 +-9991 -3225 +-8389 -4831 +2092 -4517 +7925 1848 +-431 878 +1878 -6889 +5311 345 +-285 10038 +-2447 7462 +-4856 1551 +-1311 -8992 +-1517 -10932 +-8431 -6289 +-1621 -5134 +5898 -2295 +3353 5404 +5854 5024 +7359 -6441 +5815 -4934 +4994 3191 +-2798 6333 +-107 7634 +9125 2590 +950 3166 +-5243 -11 +-5175 -8126 +-7345 -2047 +-2511 5301 +-3011 6077 +-7770 -2358 +-6286 -6617 +-5168 1850 +1653 4294 +4083 6545 +-7124 4716 +-9301 3266 +503 8600 +9452 2564 +7934 2731 +-3032 7333 +-1807 3863 +5824 698 +6104 -7171 +7491 -4188 +4328 5892 +2411 4676 +6929 -2918 +4690 -8650 +4300 -1214 +-127 4188 +-8928 3805 +-367 7687 +4040 6004 +-4772 3232 +-6535 -3479 +-7273 -5773 +-5491 5342 +-2174 6056 +-5116 -1884 +862 -5769 +8191 -8086 +910 -10788 +-380 -8800 +4581 943 +-3828 1836 +-10843 88 +-7851 7596 +-7126 3035 +-3172 -8624 +7644 -3916 +11356 -140 +7219 -7573 +3326 -2355 +-2809 4247 +-6749 -5981 +-8052 -7421 +-10700 471 +-4591 -2932 +3237 -5446 +6078 2058 +7877 142 +1829 -9479 +1451 -7377 +5998 -743 +1836 6610 +4782 5827 +8822 -3836 +2762 1763 +-4130 3241 +-9244 -2939 +-7164 3063 +1360 5569 +1522 6497 +-7642 3124 +-9288 -1047 +-2999 4627 +4008 2116 +7454 2237 +-2461 8089 +-5212 8419 +5982 5979 +9090 -4295 +7980 -3159 +2471 7356 +1169 4009 +5012 -3305 +18 -9267 +3030 -8008 +7190 1515 +4919 1943 +3549 -6607 +-1879 -9441 +2608 -3644 +2640 3272 +-4697 8612 +4544 5963 +7000 1969 +-1715 5553 +-7444 1605 +-9556 352 +-1032 7548 +2075 5935 +-5282 1857 +-2997 -4879 +1347 -11300 +-6108 -8682 +-5848 -6342 +4261 -2185 +-1806 3804 +-8519 6965 +-1345 10711 +-3656 6787 +-8095 -4415 +3359 -7739 +8846 -7332 +873 -10251 +1128 -3793 +621 5025 +-9088 -94 +-11138 -335 +-8120 7233 +-5548 730 +-1077 -6194 +6054 -2427 +6303 -5034 +-4740 -8282 +-3649 -6451 +4246 -4402 +5704 3753 +7531 1281 +4537 -8459 +3364 -347 +-1069 5170 +-9151 3848 +-3646 6963 +4707 3304 +5411 3938 +-3976 7296 +-7982 5223 +692 5387 +4529 -1005 +7295 -3090 +3340 7637 +1393 9592 +8675 586 +4447 -9018 +4268 -7384 +6540 4082 +1586 4335 +1235 1834 +5070 3926 +8563 -4949 +3364 -8780 +-6341 124 +-4692 867 +-22 1168 +-69 -2290 +6499 -6235 +6258 886 +6549 1156 +14061 -1494 +6980 -1844 +4544 -1990 +6951 4761 +-249 2905 +-1029 -1759 +-4132 47 +-6378 828 +3788 7372 +9215 4434 +4811 -4922 +797 -2359 +-2852 165 +-5191 7643 +-333 13030 +-1594 6052 +-4131 2615 +2912 -2092 +1844 -6266 +767 -4901 +2027 -5922 +-1609 -7202 +2372 -9061 +2894 -5797 +-3072 574 +-5970 2598 +-6461 1380 +-4707 -7995 +-1877 -5489 +4578 14974 +962 15880 +-8370 -593 +-4845 1423 +-4802 6380 +-11677 -10208 +-8986 -13542 +2521 5351 +3402 9729 +-5229 3840 +-5777 2913 +-1843 1947 +5855 1656 +9057 4167 +4106 6012 +5195 2730 +4173 2040 +4415 2752 +3463 -996 +-5199 264 +-6185 -2685 +-8688 -9012 +-9311 -2133 +-1679 2604 +2637 725 +6524 -326 +3528 -9123 +-2977 -8617 +-4922 4173 +-3204 3679 +709 884 +-1518 -1719 +1083 -8791 +5508 -3272 +5962 -3307 +10153 -10150 +4356 -5827 +2325 -4410 +8186 -1886 +205 -3440 +-1310 -4985 +4704 -983 +5779 -4624 +8736 -6938 +14343 -4496 +10650 -1180 +-1749 1382 +-9864 3021 +-9519 -8768 +-3593 -14299 +-440 -410 +1658 -1320 +71 -5604 +-11787 2170 +-13335 -2423 +-4522 -7050 +36 3986 +943 13814 +-1820 13393 +-666 7961 +712 1886 +195 -1446 +-3300 -546 +-10101 -1877 +-3792 -5855 +827 -3444 +-2756 1806 +-1053 -622 +2470 -6996 +5911 -7000 +-2323 -843 +-1439 1363 +10673 -1283 +1384 849 +2489 2894 +12133 2 +2880 -2815 +-1492 -6519 +-3419 -2530 +-1452 7656 +7831 6832 +4048 -910 +-889 -2563 +2356 3152 +-460 6472 +-218 2921 +10291 -4719 +7033 -11748 +-5605 -5620 +-4364 2671 +3622 4696 +7583 8817 +2931 4804 +2841 3805 +6456 7237 +-992 -3616 +-206 -5666 +1130 3545 +-2741 3708 +1073 281 +-2804 781 +-3825 7999 +-3275 8369 +-10842 -1189 +-5084 -3633 +3166 -3814 +1184 -7277 +1890 -10886 +7790 -12897 +7327 -8082 +-418 2177 +-5478 8775 +-13164 -86 +-12514 -8044 +-726 -96 +368 -2165 +-3745 -4107 +-7530 9434 +-11678 6968 +-9148 -5023 +-4311 -4512 +-3592 379 +-8510 -4016 +-4142 -4128 +1858 5954 +1687 10290 +2611 8732 +2175 13050 +2303 9481 +1069 -5347 +-2378 -6978 +-5374 -1011 +-2008 3073 +5459 1772 +-1605 -6707 +-6808 -6959 +1085 -4497 +-2135 -6340 +-5151 -4827 +-589 1598 +-5647 3970 +-7694 -605 +-27 3547 +3649 8696 +5201 7698 +5011 5549 +-4108 3837 +-11159 11018 +-6718 1839 +-377 -12116 +51 -3442 +-1366 -6569 +-634 -5091 +1038 10952 +2729 6891 +2423 279 +2827 473 +6237 -1656 +1929 -7549 +-7102 -14951 +-1977 -11164 +10788 -2487 +8791 4027 +441 3331 +2453 -7304 +4195 -7997 +8065 2328 +11860 4970 +2627 -2440 +-447 -7856 +2557 -4514 +775 1609 +-2609 517 +-4979 -2050 +3874 4261 +5139 3040 +1142 -4399 +5931 569 +-5805 5013 +-12813 5957 +-3117 6443 +-1016 -1661 +-1067 -6525 +-5849 -3103 +-6313 -132 +-2586 2689 +-9188 2707 +-5938 -263 +5427 3054 +8184 6250 +7858 4516 +10400 7947 +8140 5223 +-2717 -4618 +-6519 -3477 +-4771 2878 +489 3554 +5236 -2439 +-4580 -3409 +-4302 4007 +-2664 4923 +-9932 -2422 +-9443 -4182 +-9154 -1792 +-1325 4658 +6819 3433 +-65 -822 +-3056 6683 +4166 2790 +5909 -8858 +3993 -9804 +5408 -7965 +4299 2448 +-2556 7644 +-668 -748 +6981 2127 +-898 5313 +-10576 -884 +-9900 -2796 +-9278 -411 +-579 4884 +7278 2491 +-234 -767 +-2122 6998 +4487 2005 +4465 -9671 +2366 -10250 +4011 -8656 +4657 1680 +-1233 7859 +-794 -688 +7248 842 +31 5352 +-10656 941 +-10404 -1027 +-9370 1203 +206 4829 +7632 1138 +-335 -761 +-818 7145 +4836 1109 +2784 -10233 +581 -10442 +2435 -9213 +4908 829 +138 7938 +-924 -498 +7378 -383 +1045 5300 +-10346 2789 +-10433 787 +-9048 2785 +1050 4687 +7834 -165 +-405 -622 +435 7195 +4945 371 +960 -10437 +-1203 -10311 +891 -9385 +5014 69 +1497 7762 +-980 -376 +7227 -1603 +1857 5025 +-9817 4447 +-10148 2570 +-8464 4328 +1781 4462 +7659 -1524 +-574 -572 +1599 6903 +4936 -550 +-886 -10383 +-3053 -9884 +-836 -9384 +4931 -891 +2885 7317 +-2248 284 +476 239 +2790 8501 +-2438 2922 +-2594 -5540 +5336 -6922 +-1697 -7855 +-5305 1224 +-6559 -75 +-918 -8170 +3618 -7684 +-4407 -4551 +-2510 4772 +-4421 5320 +-6390 -3402 +-6721 -6605 +-7224 4516 +2238 10166 +294 6602 +-7007 1683 +-4792 -619 +2400 6273 +8510 1573 +6303 3964 +-3056 6896 +-3623 3293 +6233 5525 +8725 -974 +2937 3695 +-4058 1637 +-6835 -6081 +-6075 3937 +-3892 5642 +-4205 -2025 +-318 -7912 +2215 -8470 +-7247 -2159 +-6187 832 +-25 -5186 +5051 -2405 +10469 3652 +9009 -4612 +7414 -4296 +1005 4408 +-3016 -2443 +-4061 -9212 +-9713 -3208 +-5350 -4364 +6173 -6663 +6286 4437 +4493 9557 +9234 2407 +3329 1518 +-4692 -212 +2196 -8334 +3662 -9775 +-5151 -8997 +943 -4819 +5990 171 +526 5772 +3388 6884 +8368 -3472 +7670 -1630 +2198 4548 +-4465 4856 +-3012 6675 +6834 5596 +64 3443 +-5566 -2590 +-142 -8588 +-6357 -4940 +-3562 4242 +-5274 4058 +-5926 -5594 +-2047 -8063 +-6512 -731 +885 5178 +-228 6802 +-7274 1312 +-9597 -883 +-2854 7528 +10472 4490 +5471 370 +-4774 -3859 +-494 -1131 +-1457 7064 +-3163 5195 +1250 6885 +342 -699 +-6786 -2592 +-2676 6555 +6415 3472 +2605 1834 +-344 -2834 +4368 -302 +15008 3578 +12205 4973 +-27 7155 +-4269 -612 +-6601 -291 +-982 578 +-77 771 +-2238 2993 +2052 213 +1071 -2598 +654 -8591 +4066 -6838 +-197 4726 +-4092 6479 +4918 3970 +2176 2450 +-5139 -2197 +-1045 507 +-4919 2003 +-1427 6030 +3181 1023 +-1028 -6441 +3257 7253 +5909 2205 +5326 -2543 +295 21 +-2939 -7951 +4254 2724 +5151 11764 +-17 3690 +-1381 -3574 +628 -3848 +-5649 -7169 +-13840 -11476 +-9044 -8626 +-3039 266 +-601 3578 +259 -1723 +-1777 3172 +-8938 8881 +-8779 -2294 +4957 -15016 +7198 -7084 +-1704 -149 +-3022 -588 +-2673 3224 +-5845 1282 +-2553 7175 +925 8114 +-460 -6006 +5947 -12248 +4530 -3363 +-6169 429 +-1220 -479 +3446 6203 +873 5869 +5433 4234 +-179 -836 +-7024 2460 +2159 6520 +7297 -1618 +3240 -353 +-2144 -1928 +3179 -3003 +14159 -7001 +12211 -4858 +1018 1780 +-3204 3216 +-2873 5523 +-3219 -1712 +6350 -1724 +8987 833 +3068 3265 +-1700 7487 +-11705 3353 +-16963 -8331 +-7366 -2748 +-1512 10268 +1064 -269 +3536 -9671 +-5009 -9914 +-5334 -9751 +1868 -6762 +3735 -5085 +2361 -2729 +-419 -6881 +-1177 -6880 +-28 503 +4094 1964 +518 7644 +-6270 11015 +-3309 11190 +387 10947 +-4159 -52 +-1327 -2917 +4902 979 +-946 -70 +5898 4187 +8259 756 +-3577 -5820 +-3162 -2650 +1978 -2259 +3726 921 +-1043 4016 +-28 -1291 +804 -3304 +-3914 2241 +1481 4743 +5260 -6528 +4239 -7084 +2928 4816 +2759 2407 +4335 381 +6634 -2117 +5918 -7334 +667 -2301 +582 -986 +-426 -4874 +-2069 1170 +-777 7207 +545 1025 +4543 1529 +-1591 3543 +-1452 -3605 +9471 -8231 +-2353 -10624 +-9310 -1017 +-1051 7777 +770 4291 +2289 3836 +-3497 2918 +-1469 3903 +1605 6008 +-3615 769 +3759 -5449 +7444 -5255 +4529 412 +3622 6593 +-6809 9971 +-18571 4793 +-7623 2700 +5445 8449 +635 -968 +-3616 -9352 +-10338 -3901 +-9999 -3218 +2186 -4321 +5014 -3518 +689 -1651 +8124 -146 +4592 2981 +2073 -3778 +8542 -5367 +8524 -2908 +7066 -5244 +-4067 -6019 +-12701 -5448 +-7019 4744 +-1250 10776 +1618 13318 +-2748 11856 +-12073 2976 +-6069 -6870 +-576 -7922 +-3543 -1693 +215 -7303 +84 -1653 +-1660 4832 +4555 -1351 +7461 -3250 +-1511 -5590 +1003 -5597 +4712 -9111 +-689 -5289 +1580 -1015 +-2469 -6474 +-1159 -7001 +-1539 -13737 +207 -14814 +7790 -424 +3741 5268 +6616 -2318 +76 -7829 +1030 -2419 +11196 5305 +1809 6081 +-2102 7441 +-2330 6907 +-5114 -783 +-3941 -4152 +1521 2027 +1144 5928 +-4586 2915 +-336 1287 +205 -262 +-2643 1612 +267 3050 +-4511 6293 +-7415 8614 +1876 558 +2487 1561 +1864 4304 +3408 2423 +-2432 979 +4129 5926 +8471 13886 +153 6694 +-2411 -1245 +-3720 -489 +-2357 4930 +3435 -1055 +1938 -5844 +-738 -1723 +6179 -5410 +5514 -858 +-945 -4091 +3012 -9485 +4715 -7702 +2033 -8456 +-7181 -1725 +-13608 4282 +-2481 8054 +6087 8745 +9935 8692 +5594 10441 +-6812 10285 +-3633 3565 +2131 5569 +-4201 9068 +-3954 2636 +-1408 -751 +925 6337 +-1763 8042 +-6788 -4746 +698 -9082 +2196 -2933 +-4579 1848 +488 4530 +-331 -1637 +-5167 -1071 +-7968 6975 +-3713 4080 +9277 1028 +4181 1769 +-3413 3633 +-2077 8805 +7334 9895 +4991 1059 +-4760 -4727 +4501 3954 +-978 8036 +-3834 5517 +3408 6453 +4381 1935 +3272 -4786 +-1200 -6729 +2076 -7767 +742 -8809 +5317 -1387 +3694 4978 +-8772 308 +-6204 -4881 +-3049 -6487 +-3323 -6353 +-3657 -7674 +3274 -4455 +6079 -548 +-5941 -1191 +-11194 -1528 +655 191 +9535 1856 +4602 -1388 +2288 1152 +-2380 -5910 +-4156 -13122 +-3932 -709 +-6782 4298 +-1645 4744 +-3823 -1072 +-4005 -5413 +2684 -4384 +-1747 -6433 +-615 -631 +5515 -3758 +1777 -6799 +5655 -2765 +7025 3620 +-629 8713 +157 2545 +-2550 1299 +-1108 144 +5766 2682 +2980 9443 +-1164 4564 +-1540 461 +4956 3941 +4154 6946 +-8446 1143 +-5694 -6984 +-352 -3507 +-2371 4423 +3295 2920 +-1377 -974 +-4677 2698 +-1462 10399 +-1101 6504 +327 -406 +-7955 -3845 +-12716 -4605 +-5952 2197 +-2047 -981 +-9605 -3325 +-6384 -2525 +577 -1141 +5306 4279 +1358 7910 +247 8034 +8131 3570 +-320 5112 +-9382 8779 +-3365 -291 +2917 -3003 +-324 5233 +1212 6578 +-342 10324 +-6295 12036 +1037 -960 +5809 -5707 +5987 1218 +992 7771 +-5345 4385 +-164 -9461 +2614 -5620 +-3049 5541 +370 5774 +5557 1665 +1714 -3617 +130 2000 +72 3593 +4452 -3165 +-54 -2032 +1734 -2059 +9441 1918 +-357 6128 +1786 -1536 +-2067 -369 +-7106 7560 +2084 4001 +5147 -2010 +4136 -2545 +-5547 -1555 +-9693 -4172 +-8935 -4869 +166 490 +6450 2373 +-3421 1651 +-3402 823 +-6014 -4282 +-11430 -7921 +-8359 -1933 +-3123 4450 +3764 -2379 +7090 -7080 +7350 -5874 +4042 -10731 +1460 -12338 +2176 -10907 +-2171 -6830 +-3341 -6021 +4378 -6545 +3343 3032 +-8714 4345 +-12897 5024 +-3067 5644 +-2279 787 +-9666 4232 +-6693 2520 +-382 -1207 +6937 -475 +6378 4885 +5546 5759 +8579 -2833 +3193 3969 +-1272 12618 +-2765 1945 +-127 -4993 +1071 -642 +-49 239 +-48 -1 +-6 2 +2 -1 +1 2 +-1 4 +-2 1 +1 3 +3 6 +6 2 +3 -1 +0 1 +-1 -4 +4 -4 +6 1 +-3 2 +-12 -2 +-7 -1 +3 9 +4 8 +1 2 +4 5 +1 7 +6 6 +6 -2 +-2 0 +1 6 +-2 2 +3 3 +1 4 +-3 2 +1 -1 +0 -1 +-5 -4 +-5 -2 +-1 13 +2 5 +-2 -4 +-1 2 +1 1 +3 6 +5 10 +5 3 +1 -6 +1 -5 +0 0 +3 -6 +2 -3 +6 2 +1 9 +3 25 +7 61 +20 53 +21 42 +17 45 +54 160 +684 2530 +2545 7893 +4363 4546 +1738 -6168 +-2401 -3585 +3297 739 +4863 -4437 +-5913 -1753 +-9649 2910 +-9066 2718 +-6395 -1236 +4317 -5100 +4804 44 +-2780 -1773 +604 -6581 +5680 3044 +4452 8878 +4344 8130 +5228 3372 +534 -6485 +-3106 -3161 +3494 57 +4051 -5330 +-6205 -592 +-9083 4692 +-8565 4357 +-6627 -99 +3429 -5875 +4848 -836 +-3144 -1244 +-650 -6635 +6317 1960 +6237 7903 +5984 7157 +5957 2325 +-690 -6453 +-3710 -2454 +3463 -603 +2898 -6059 +-6275 779 +-8016 6660 +-7551 6189 +-6594 1389 +2068 -6441 +4616 -1828 +-3360 -556 +-2029 -6345 +6642 611 +7738 6459 +7353 5924 +6356 1145 +-1984 -6250 +-4219 -1724 +3360 -1270 +1831 -6568 +-6204 1810 +-6914 7956 +-6527 7361 +-6394 2469 +997 -6758 +4333 -2657 +-3455 -55 +-3078 -5967 +6738 -468 +8827 5132 +8375 4623 +6579 -8 +-3069 -5877 +-4499 -942 +3098 -1857 +617 -6761 +-5834 2987 +-5445 9080 +-5155 8399 +-5910 3589 +-253 -6811 +3795 -3333 +-3423 681 +-4151 -5265 +6597 -1719 +9742 3427 +9188 2997 +6527 -1182 +-4111 -5166 +-4663 -79 +2713 -2345 +-658 -6709 +-5294 3947 +-3805 9815 +-3636 9196 +-5237 4566 +-1443 -6666 +3219 -3934 +-3269 1207 +-5071 -4504 +6204 -2808 +10225 1768 +9555 1441 +6281 -2209 +-4900 -4430 +-4678 585 +2345 -2787 +-1632 -6545 +-4644 4677 +-2307 10272 +-2235 9642 +-4546 5245 +-2464 -6424 +2570 -4382 +-3091 1685 +-5737 -3653 +5742 -3720 +10432 117 +9724 -91 +5976 -3135 +-5479 -3632 +-4531 1290 +1947 -3062 +-2617 -6188 +-3935 5289 +-724 10482 +-750 9789 +-3672 5839 +-3380 -5889 +1927 -4676 +-2795 2133 +-6243 -2743 +5163 -4544 +10356 -1489 +9654 -1594 +5420 -4005 +-6082 -2618 +-4321 2052 +1376 -3328 +-3667 -5656 +-3014 5791 +1033 10317 +852 9633 +-2656 6303 +-4332 -5205 +1061 -4925 +-2442 2519 +-6662 -1680 +4363 -5305 +10045 -3146 +9311 -3137 +4669 -4858 +-6486 -1609 +-3938 2678 +874 -3463 +-4495 -4922 +-2044 6218 +2719 10011 +2426 9366 +-1609 6657 +-5102 -4414 +331 -4948 +-1979 2937 +-6842 -568 +3396 -5865 +8308 -4361 +5871 -202 +-375 4994 +-8869 6436 +-3550 9033 +-429 8452 +-5218 -127 +-1714 -4392 +3646 -7001 +-4246 -5433 +-9346 -166 +-3507 -4299 +2826 -4013 +7863 -1437 +1086 -7411 +-2237 -6300 +4550 809 +-1068 6413 +-1478 8847 +4677 2906 +5578 -2923 +5624 2631 +-751 9359 +1064 6065 +4493 -283 +1028 -6557 +5483 -3563 +7734 6040 +7562 -21 +1945 -8107 +-5471 -8120 +2992 -5516 +7032 -279 +468 -3577 +-5711 -2872 +-9595 119 +-2891 -5883 +3636 -5638 +-1566 -4446 +-8663 -675 +-6887 1169 +-36 -4521 +5827 1901 +8021 1438 +3195 -7774 +3465 -2688 +4391 4364 +-1164 8806 +3528 5944 +7684 -2446 +3575 1317 +-833 5453 +-8371 -359 +-10134 1222 +-7237 7776 +-4736 393 +1239 -3366 +4787 6581 +8088 5119 +9853 387 +1041 6853 +-7114 3640 +-6289 -7860 +-8124 -4885 +-8374 436 +1717 -2238 +3407 3675 +-2691 10679 +3173 8967 +5295 6426 +-4108 3348 +-4251 -2103 +-1809 -7544 +-6869 -1112 +-7284 6083 +-5559 -807 +-467 -4800 +5210 -6315 +-4015 -6178 +-5946 -3103 +4049 -2402 +3485 5466 +4785 7497 +5604 -1029 +2340 -5871 +6073 -1850 +5673 7308 +4865 3743 +3293 -3192 +-3590 -5529 +1817 -6262 +9995 -634 +5899 -5026 +-3873 -7288 +-9711 -2370 +-1476 -6003 +5284 -4869 +-1974 -2980 +-6353 1623 +-7371 6443 +-6157 -2355 +-889 -6506 +-4080 -2224 +-7201 5173 +-4636 5325 +-3032 -3371 +5782 -2417 +7233 -4159 +-2545 -7821 +973 -4230 +6281 350 +4846 7236 +6622 2132 +4425 -6716 +3649 -1328 +2904 4571 +-6767 5174 +-7131 7533 +-570 10457 +-3494 3349 +-1348 -3268 +7976 1798 +9730 -1494 +7973 -6126 +5305 4454 +-3133 7364 +-10095 -1675 +-9563 1745 +-6134 5799 +-141 -2866 +5102 322 +5092 9464 +8448 4298 +8378 1075 +-925 5158 +-4691 1301 +-6474 -4322 +-6121 3763 +-1606 9284 +-4878 3067 +-3647 -3178 +-224 -8031 +-7206 -1800 +-6679 1672 +1463 -4470 +6310 1642 +8730 2271 +3688 -4496 +-2042 -5834 +3461 -5369 +9232 1590 +6292 -518 +500 -4554 +-6345 -1692 +-2770 -5796 +7257 -7107 +1241 -7610 +-7856 -2820 +-9136 4545 +-5171 -3542 +1051 -6864 +5104 -4339 +12016 -4471 +8951 -2297 +4176 -5049 +-145 -1124 +-1000 4747 +-1808 203 +-3085 2152 +-4196 9023 +-8049 6670 +-886 -6315 +-1839 -15038 +-14612 -3204 +-7169 667 +7066 -7793 +9239 -4166 +3109 -2010 +-274 -1781 +3945 0 +-486 -9 +-5804 4084 +-2695 8675 +-4636 11551 +-2997 3320 +6174 -3567 +6142 2764 +-249 1392 +-5863 2456 +-7342 5756 +1690 680 +1113 5432 +-8009 9501 +-2986 4315 +-1514 3370 +-345 5060 +10352 1357 +8573 -4128 +1830 491 +-2467 3750 +-8424 -2105 +-6392 -5403 +1245 -7004 +2828 -5508 +-3239 -2625 +-4783 -631 +-2788 5258 +-4941 5775 +-7795 -1122 +-6787 -5070 +1222 -4184 +2787 978 +1581 8549 +7340 7538 +4524 -3101 +1162 -4646 +1859 -4527 +1964 -11695 +4892 -4130 +2089 2135 +-382 -8262 +-1284 -6932 +259 -238 +5965 2328 +1763 6716 +114 -2885 +6215 -11569 +5477 -7490 +-27 -6424 +-871 -702 +2285 4150 +-1289 1308 +-1058 3630 +2588 9388 +-1939 10160 +-4809 -4035 +-11323 -9844 +-13574 7177 +-5142 5083 +430 -10223 +4531 -6488 +771 1792 +-3534 -3766 +1542 -6513 +1854 -2598 +-7212 480 +-10107 -1354 +-7868 -4761 +-4538 -627 +-541 2346 +-6902 5771 +-12737 5120 +-7800 -4216 +2666 -1401 +7341 168 +779 -6627 +1729 -3729 +4450 -3252 +1570 -4678 +1885 2865 +-2666 6283 +-89 2298 +8009 670 +3081 -1597 +341 -2597 +7599 3428 +8504 7369 +-662 9477 +399 4401 +8329 -8451 +3582 -8939 +-1779 -2795 +-536 616 +4214 -725 +8197 -2523 +8853 2288 +12760 -1462 +5125 -1600 +-8547 5967 +-6465 4770 +-4207 4576 +-4968 -479 +-378 -3529 +958 -2398 +1 -7519 +146 610 +643 3085 +2188 -8795 +-1939 -5186 +-6764 9581 +-707 18624 +266 9477 +-5794 -3004 +-5966 -3147 +-7744 -6207 +-4429 -5926 +2807 -2592 +-2242 -4741 +-6682 2815 +-3054 9404 +1600 316 +3914 -1158 +3366 4155 +6992 -3401 +11039 -4678 +3925 2577 +-5500 -660 +-2975 -5939 +-214 -3082 +-5329 5022 +-8760 5510 +-9260 1555 +-3996 2450 +1064 2172 +-1657 8892 +-6614 12109 +-8867 1938 +1159 -2820 +5865 -4665 +-3651 -5357 +845 -2945 +7409 -148 +234 1531 +-4572 382 +-4046 -1872 +7237 -4763 +10464 -3373 +2589 -1322 +4672 -3256 +561 -7267 +-5116 -8586 +-1140 -7249 +358 -4052 +152 -3155 +354 -10353 +2809 -7665 +-1048 2604 +-6464 -2410 +-325 -2922 +2866 5037 +662 5255 +-2200 8779 +-1624 8082 +1163 2778 +96 7595 +5077 6381 +4311 -4131 +-1606 -10668 +771 -2535 +-3687 18077 +-9526 19084 +-4245 4585 +2968 3381 +1981 1864 +-348 -4914 +123 -4810 +-2072 -2495 +4145 -4489 +5543 -6395 +-3445 -635 +2015 5546 +3891 6341 +-255 3156 +1549 -709 +-3252 2838 +-3960 2238 +2638 -5162 +6537 -5686 +4933 -1287 +5245 5925 +7630 3171 +-3190 -7218 +-5735 -1610 +4328 7667 +-599 10100 +-5090 8975 +-414 717 +-4066 -1954 +-10312 1537 +-7290 4398 +-5036 5585 +-5731 -1460 +-83 -4510 +4849 -3785 +8178 -9611 +7782 -6629 +1045 1200 +-3340 3142 +-4344 1085 +2708 -8229 +6126 -9194 +1242 -2678 +1596 -5424 +-4240 -5806 +-9548 -3187 +-5577 -4740 +-2323 -3278 +-1866 -2458 +-6206 -8032 +-2582 -7630 +1035 2159 +-3261 1685 +-2972 -3742 +-2594 3605 +-2698 2732 +-3771 -7382 +-5530 -3137 +-4612 -2359 +-3641 -6112 +-5207 -2076 +3206 -1971 +3426 -1512 +-5445 6841 +-1148 7348 +-6021 1621 +-10571 2952 +2660 5318 +7614 5051 +1376 702 +111 -5159 +8850 -1922 +10238 2323 +3981 -2021 +7931 -4673 +8294 1595 +2889 6369 +1711 2017 +4243 -1765 +8758 -286 +2241 1692 +-4062 378 +1640 -2229 +730 1560 +-2514 5055 +3381 153 +2902 -3820 +-5505 -5134 +-836 -5932 +8834 905 +3141 5431 +-2921 938 +2773 4487 +4982 5858 +-705 -6581 +-5978 -7538 +-7385 2659 +-1231 4044 +3117 1538 +-794 -78 +-118 -2758 +1296 652 +2378 4265 +2714 -4408 +-3419 -10231 +1191 -8541 +5735 -5183 +1764 9110 +4160 14083 +318 2532 +-2678 -1817 +1394 -3330 +3965 -2765 +771 852 +-15678 915 +-17518 4753 +-5228 3867 +-4002 -851 +255 4410 +-305 3716 +-7690 -3127 +-6397 1101 +-5171 1077 +-6790 -2241 +-5380 1765 +1234 -3571 +1757 -3342 +307 8544 +3916 6102 +-3591 5063 +-6458 9114 +2006 3034 +1261 -311 +-130 11 +32 24 +9 -3 +5 0 +2 2 +2 5 +1 4 +6 -3 +6 -8 +3 -6 +3 -4 +0 -1 +6 4 +6 8 +2 9 +9 13 +5 8 +7 3 +0 1 +1 2 +-1 4 +-2 2 +8 -1 +6 2 +1 6 +-2 4 +-7 0 +1 -1 +0 1 +-2 1 +4 -2 +5 -3 +4 -4 +10 2 +6 1 +5 -3 +8 -2 +4 -2 +1 5 +2 9 +3 3 +-2 4 +-2 5 +2 -6 +-3 -6 +-3 -8 +6 2 +2 -1 +2 -8 +6 1 +6 3 +1 -3 +4 -1 +2 1 +-1 0 +2 -6 +0 -7 +3 -1 +-2 9 +0 5 +1 2 +-4 3 +-3 4 +2 7 +-1 6 +2 1 +1 -1 +2 -2 +3 -9 +0 -7 +2 2 +3 -4 +7 -5 +6 6 +4 2 +0 -3 +-3 -4 +-1 -5 +3 -7 +2 -4 +-4 2 +-3 3 +7 -1 +9 1 +6 4 +5 1 +5 0 +2 -1 +-3 -7 +-1 -8 +-2 3 +4 7 +4 1 +1 -1 +-2 -6 +-4 -5 +-5 6 +-3 2 +-1 3 +0 2 +3 -2 +0 -2 +-1 2 +-3 7 +5 12 +3 5 +4 -9 +3 -8 +-3 -3 +4 2 +2 5 +-4 7 +-2 1 +0 0 +-3 3 +-9 -2 +-3 -6 +5 0 +4 2 +3 3 +-2 -1 +-8 -2 +-5 -2 +-1 -7 +-2 -7 +4 -6 +6 -1 +0 0 +1 -5 +1 -6 +1 -3 +-1 1 +0 10 +-1 3 +-2 -3 diff --git a/testing_inputs/conducted/dot11n_6.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt b/testing_inputs/conducted/dot11n_6.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt new file mode 100644 index 0000000..d908671 --- /dev/null +++ b/testing_inputs/conducted/dot11n_6.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt @@ -0,0 +1,46080 @@ +6 5 +5 7 +-1 4 +0 7 +0 2 +-2 -1 +-3 -2 +-8 -9 +-5 -4 +2 2 +-2 1 +2 -6 +5 -5 +-1 -5 +0 -4 +-2 2 +4 -5 +7 -4 +6 -3 +0 -11 +-1 0 +-4 -4 +-8 -6 +2 -2 +2 3 +-1 5 +1 -1 +5 -2 +7 -1 +2 1 +1 2 +5 1 +3 -3 +4 -2 +-1 -2 +-4 -6 +-6 1 +-1 -5 +4 -1 +-1 0 +3 5 +3 -1 +-1 0 +3 3 +6 5 +6 4 +2 3 +-4 4 +-4 -2 +-2 -7 +2 -6 +20 -22 +37 -43 +31 -32 +9 -35 +18 -42 +187 121 +2667 2316 +7405 5544 +5758 92 +-3145 -6619 +-3447 -852 +4051 -2297 +571 -6351 +-7138 3311 +-7034 8057 +-7021 7239 +-5998 971 +2238 -7405 +3730 -1514 +-4541 -936 +-2004 -6303 +7913 665 +9010 5285 +8794 4256 +5627 -1229 +-4547 -5943 +-3693 -216 +3627 -3168 +-642 -6455 +-6408 4733 +-5310 9454 +-5464 8631 +-5811 2200 +572 -7815 +3338 -2297 +-4771 105 +-3342 -5706 +8096 -997 +10145 3307 +9731 2322 +5458 -2455 +-5749 -4912 +-3716 632 +2973 -3774 +-2003 -6135 +-5373 6032 +-3312 10472 +-3712 9688 +-5338 3391 +-958 -7774 +2949 -2901 +-4694 1022 +-4475 -4997 +7846 -2590 +10730 1268 +10148 467 +4969 -3381 +-6681 -3673 +-3615 1330 +2157 -4366 +-3263 -5682 +-4157 6910 +-1173 10846 +-1694 10212 +-4602 4389 +-2557 -7458 +2272 -3449 +-4514 1864 +-5454 -4096 +7290 -4020 +10939 -757 +10207 -1448 +4251 -4310 +-7408 -2447 +-3365 1934 +1451 -4723 +-4204 -4991 +-2908 7675 +707 10936 +54 10366 +-3817 5121 +-3799 -6924 +1706 -3765 +-4107 2689 +-6110 -3054 +6627 -5225 +10832 -2669 +9903 -3251 +3514 -4967 +-7729 -1019 +-2951 2537 +519 -4847 +-5150 -4115 +-1548 8020 +2614 10566 +1905 10138 +-2842 5658 +-4967 -6118 +1006 -4015 +-3657 3297 +-6676 -1971 +5540 -6241 +10192 -4438 +9245 -4817 +2664 -5431 +-7915 160 +-2648 2891 +-260 -4872 +-5753 -3285 +-279 8096 +4296 10003 +3498 9687 +-1975 6013 +-5973 -5270 +326 -4109 +-3055 3867 +-6867 -843 +4569 -7029 +9459 -6012 +8404 -6287 +1792 -5811 +-7703 1507 +-2039 3280 +-998 -4750 +-6218 -2260 +1146 7963 +6028 9050 +5136 8879 +-880 6203 +-6710 -4117 +-338 -4071 +-2360 4248 +-6939 309 +3233 -7675 +8242 -7490 +7278 -7573 +786 -5962 +-7358 2920 +-1440 3647 +-1887 -4458 +-6645 -1111 +2402 7652 +7478 7912 +6572 7926 +138 6291 +-7427 -2953 +-1059 -3951 +-1717 4584 +-6902 1397 +2065 -8076 +7106 -8671 +6034 -8564 +-234 -5998 +-7045 3906 +-948 3737 +-2495 -4177 +-6728 -105 +3626 7229 +8669 6681 +7715 6789 +1128 6178 +-7796 -1757 +-1614 -3718 +-870 4809 +-6548 2515 +598 -8154 +4610 -8315 +5196 -2956 +1729 5885 +-3764 11346 +3870 9603 +3934 6964 +-5579 2538 +-3477 -3597 +-1713 -8244 +-7951 -87 +-8071 5544 +-5473 -2547 +1198 -5182 +5594 -6610 +-5385 -6521 +-4648 -3619 +5097 -1443 +2759 7387 +5066 7524 +5465 -1824 +3190 -6110 +6894 99 +4868 8794 +5109 3023 +2757 -3571 +-3536 -6590 +4123 -5672 +10819 385 +5465 -6215 +-5006 -7378 +-9752 -3462 +851 -6797 +5498 -4137 +-3050 -3228 +-7119 2459 +-8508 5577 +-5341 -4467 +-158 -6683 +-5213 -1875 +-7956 5873 +-5121 4114 +-2015 -4330 +7612 -1498 +6712 -4819 +-2645 -8351 +2598 -3740 +6379 2007 +4599 8555 +7064 1013 +4708 -6919 +4169 242 +1606 5393 +-8715 5074 +-6980 8312 +-1227 10976 +-4285 1685 +223 -3145 +9227 3198 +10181 -2112 +8665 -5048 +4176 6832 +-5350 6254 +-10791 -3394 +-9984 2339 +-6423 4851 +1267 -3644 +5361 2883 +4494 10901 +9264 4520 +7686 2597 +-2596 5605 +-5121 -343 +-6848 -4867 +-6115 5269 +-2320 9386 +-5820 1861 +-2524 -4559 +-100 -8582 +-8462 -1272 +-6005 368 +2943 -4384 +6960 3733 +8893 2321 +3142 -4904 +-1470 -6582 +5402 -4352 +9507 3421 +6019 -1000 +-100 -4393 +-7026 -2628 +-469 -6862 +8781 -6606 +405 -8111 +-8670 -2314 +-9887 3630 +-3687 -5598 +1669 -6599 +-4458 -448 +-4002 6416 +-3119 9666 +-7084 102 +-4417 -4887 +-5265 1928 +-2487 9407 +-1425 6308 +-4435 -1951 +5073 -6004 +2288 -7859 +-7437 -4527 +-351 -4507 +6300 -2672 +9112 3408 +6172 -3744 +-746 -8141 +3431 -2500 +4781 2988 +-3576 9435 +-187 10762 +6122 9047 +-2340 4035 +-1982 -2500 +9271 -3586 +6664 -8201 +3522 -9313 +7748 2411 +27 8076 +-10605 4522 +-6336 8269 +-1850 7721 +-1433 -3628 +6006 -1369 +10586 5240 +10234 -2592 +7726 -2998 +1578 5844 +-4206 2999 +-8548 731 +-1538 7887 +4097 8550 +-3503 5069 +-4954 -1857 +-5552 -6438 +-7554 4409 +-4546 4034 +-538 -5253 +7823 -1796 +8434 -4099 +-759 -5698 +-5436 -3960 +1370 -6793 +9690 -3652 +4051 -4659 +-2973 -3164 +-7236 2605 +-4911 -4810 +2528 -10563 +-5019 -6183 +-8312 3975 +-5330 9088 +-6612 -1762 +-2644 -6060 +-2050 -1265 +-6379 -2170 +-11714 2499 +-7577 3740 +9046 -7122 +14093 -9200 +789 -1864 +-845 5355 +-3520 6015 +-7900 -1902 +-407 -4411 +-5445 -2298 +-5597 946 +-2276 3677 +-7360 -2358 +952 -6946 +1609 -3590 +1192 4787 +10646 13743 +3337 6415 +-7729 -8125 +-9630 -5284 +-5657 542 +-1611 -868 +1577 -726 +5579 -904 +2988 -113 +850 -3842 +-4182 -8292 +-8635 1680 +-5625 6082 +-6739 -1975 +-1067 2068 +1738 11378 +-6772 8508 +-2390 552 +5690 -1403 +5318 -2610 +3242 -7304 +3376 -6252 +6658 -6381 +7322 -7940 +7595 3886 +8411 5162 +6213 -9058 +6497 -3474 +9345 6791 +7315 3736 +4194 5358 +4903 5114 +5515 -687 +4061 -778 +-4769 -679 +-8879 -7576 +-979 -10301 +-2337 1204 +-6508 3975 +-7187 -3783 +-7476 3814 +261 9431 +2759 1658 +3372 -1308 +5406 -605 +626 533 +-2536 1453 +-6483 2486 +-7365 9567 +-3410 7663 +2184 -11259 +4669 -16062 +-642 -1869 +2872 4459 +1300 6663 +-7378 3807 +-3295 -2919 +-5747 1977 +-3710 4433 +716 4207 +-7262 3518 +-3577 -478 +1735 5292 +190 4074 +-1002 1833 +-3198 4502 +-12512 603 +-15167 -4415 +-4627 1733 +2012 903 +5262 3340 +1267 9209 +-2183 3061 +8713 10859 +6741 12873 +1202 -1608 +7888 744 +6005 3581 +4533 -3820 +3270 -11718 +176 -15431 +1934 -7876 +-704 1385 +-453 5576 +2991 4291 +7761 752 +8487 2026 +-731 3232 +411 -1953 +4704 -4782 +-1191 3238 +-8069 8408 +-9656 10631 +-3206 9808 +-2783 -7060 +-3007 -12990 +4452 -680 +388 2895 +-7110 1150 +-6345 1401 +-3339 1681 +-576 -3022 +-3912 -8255 +-5960 -1260 +1295 3357 +3955 -759 +2176 -2377 +3957 -3926 +-4764 -1701 +-12989 4890 +-3865 5293 +22 192 +-5347 -6178 +-930 -8222 +7869 -3698 +-756 -1015 +-13204 1513 +-1940 1559 +4897 -6705 +565 -8926 +4116 -4097 +-546 925 +-2460 4453 +3605 -3651 +3780 -6869 +6226 3590 +7142 6116 +2559 2530 +494 1955 +559 5438 +-9176 8820 +-14665 6922 +-2438 4336 +2187 -683 +6202 -1001 +7125 5921 +370 3712 +14000 2230 +13955 4950 +-97 -1937 +6681 -4535 +7732 1027 +605 1458 +-278 -2263 +6802 -657 +5069 2112 +-3587 2555 +-6725 -1069 +-4686 -532 +2072 1616 +-4989 -2583 +-9895 2681 +4534 10779 +9377 5931 +10202 -4503 +569 -7505 +-13642 -66 +-888 -1847 +1307 -7467 +-8754 -1726 +-3625 354 +-934 91 +4495 3465 +8937 5616 +243 4659 +-437 -3244 +7151 -3155 +825 4615 +-2935 -288 +7384 -6061 +3751 -1652 +-2418 4168 +1080 6345 +-3266 7816 +-2575 11647 +4252 8394 +1637 2340 +-6176 3964 +-4640 2159 +639 3185 +-3930 11209 +1090 10825 +4412 5024 +-5852 -4784 +1489 -14537 +13928 -12737 +13530 -2793 +7302 7746 +100 5337 +-2328 -3836 +-6345 -3070 +-5730 -1818 +-2941 -34 +-7311 10 +-6212 -4943 +-4158 -7888 +-6055 -11652 +-7238 -6195 +-6044 1685 +-1106 349 +1466 1802 +5262 766 +6218 -1794 +-3735 -4041 +-5074 -8236 +4794 -3919 +2898 916 +-1700 -1537 +4979 -4934 +5412 -1704 +-1141 4244 +-5928 3602 +-3993 2674 +2730 -114 +-5478 1326 +-6064 8401 +10471 5266 +11196 -1497 +5149 -9851 +-4321 -5929 +-11026 8780 +-3357 -178 +1674 -7928 +7898 2299 +8249 7206 +8044 5937 +6020 -406 +-3482 -6943 +-3647 -487 +4564 -2303 +651 -6828 +-7494 3579 +-7101 8703 +-6924 7676 +-6150 968 +2070 -7843 +3648 -1421 +-5088 -753 +-2296 -6582 +8426 631 +9470 5476 +8988 4274 +5770 -1624 +-4733 -6153 +-3672 190 +4114 -3090 +-603 -6718 +-6803 4951 +-5512 9796 +-5552 8694 +-5944 1972 +742 -8050 +3386 -1961 +-5172 209 +-3411 -6004 +8475 -774 +10335 3792 +9683 2683 +5518 -2478 +-5711 -5176 +-3599 811 +3505 -3714 +-1779 -6490 +-5942 5999 +-3812 10515 +-3950 9529 +-5499 2966 +-652 -8012 +3023 -2534 +-5092 1034 +-4453 -5360 +8221 -2284 +10829 1900 +10016 971 +5061 -3403 +-6581 -4247 +-3528 1324 +2881 -4234 +-2780 -6133 +-4944 6794 +-2162 10953 +-2438 9959 +-5012 3726 +-1918 -7805 +2572 -2932 +-4930 1837 +-5237 -4579 +7878 -3490 +11117 177 +10132 -683 +4532 -4202 +-7159 -3090 +-3229 1902 +2208 -4596 +-3729 -5542 +-3748 7456 +-305 11100 +-808 10194 +-4320 4489 +-3121 -7308 +2475 -3325 +283 2086 +-459 -6655 +1435 -6798 +3586 4735 +-5285 5166 +-4234 7674 +4003 4061 +6544 -1082 +2711 7328 +-6069 7033 +-178 6903 +4234 -41 +4242 -5971 +6458 1653 +3725 8603 +7899 2966 +3981 -9337 +-754 -8660 +6030 -2586 +5961 3060 +1643 -3853 +-5352 -5973 +-7780 -3314 +1531 -7610 +6131 -2184 +-956 -5988 +-8417 -3830 +-5344 -1960 +2922 -4505 +4788 6743 +6258 2349 +6256 -5645 +3973 91 +1044 6620 +-5245 8162 +2080 5813 +8322 1264 +1020 3164 +-3926 4483 +-8103 -5232 +-9724 -1864 +-9663 4490 +-3341 -3094 +2890 -1208 +702 9048 +5945 7704 +7886 4687 +-4250 6563 +-7586 -1326 +-1411 -10488 +-6065 -7129 +-6742 -2608 +3535 -826 +12 5858 +-7778 8467 +-41 9893 +1174 7702 +-6203 -160 +-1431 -3818 +1054 -8360 +-6617 -2213 +-9421 1956 +-3659 -4359 +3382 -3999 +6750 -4582 +-1972 -7181 +-3523 -5614 +5856 1209 +-696 7245 +1716 8458 +5796 497 +4440 -4922 +6792 3686 +-204 9065 +4359 5248 +3371 -2728 +-474 -7148 +6026 -2856 +8352 3984 +8200 -2669 +-1365 -5339 +-4244 2952 +-1790 239 +-8121 4176 +-13068 -4199 +-11682 -12051 +-3218 -3100 +3040 2612 +3180 -223 +994 -5617 +-4953 1904 +-3571 4619 +-2037 -5568 +-363 -6116 +9484 262 +6848 -400 +-6749 -6553 +-4542 -6395 +6866 -2696 +4402 -427 +-1884 6467 +2482 2929 +2861 -5491 +-3144 -1706 +-2425 -93 +8243 351 +17819 1006 +8928 7731 +184 7670 +581 889 +-3598 5530 +-4619 -437 +-4377 914 +-872 7163 +-500 -2759 +-3744 -7301 +542 2319 +-1643 9903 +1389 4103 +10183 1695 +7013 -3961 +8712 -1472 +11075 4879 +4452 -3936 +92 -5468 +-4692 -6642 +-4440 -3722 +-274 5312 +584 3697 +5844 -5368 +4635 -6450 +-1281 -4890 +-6051 -2558 +-12345 4076 +-7522 -3706 +-6651 -3831 +-9430 2366 +-272 5451 +204 9108 +-102 -835 +5031 -7158 +40 -64 +223 7676 +4894 141 +4164 859 +1591 6017 +-1621 1230 +-3583 8296 +-12815 5345 +-16970 -1266 +-4646 -145 +4034 26 +2343 -2224 +-2886 -4718 +-2649 4715 +169 5738 +-5273 -2760 +-4295 -4285 +7626 -5909 +5256 -4637 +-8289 89 +-8032 117 +-3986 2693 +-1985 7070 +-8113 587 +-5975 2081 +5883 4243 +75 -1861 +-441 1059 +1791 -1078 +-2776 -3349 +-6241 1602 +-4207 -2159 +-3879 -682 +-14649 6170 +-9350 1535 +10916 -8783 +12103 -7719 +-333 -3039 +1518 -4754 +4606 -3730 +-2005 -3381 +-1700 1582 +1086 183 +-1524 30 +717 6563 +6239 -5663 +5647 -4900 +6360 3295 +4163 -3190 +5411 -5730 +6026 -2751 +-1066 6041 +1260 13779 +-731 9991 +-2960 -6352 +-85 -7371 +-1066 1298 +1456 908 +3617 3181 +5556 2423 +5233 -1483 +1498 -11149 +1083 -13203 +1597 -7960 +4578 -4046 +5575 1577 +-5384 -5123 +-4483 -2972 +1910 7178 +-5771 4188 +-9608 1687 +-13426 2146 +-8652 2943 +1147 5879 +3327 4988 +2897 2721 +293 683 +-428 -1257 +-8369 167 +-3192 -2639 +13163 -708 +12664 545 +2873 -187 +-4231 7418 +-5081 5561 +-1351 4567 +3215 6428 +-5677 5857 +-3120 5546 +7374 -780 +-1159 -1261 +338 1094 +666 -1980 +-4317 -504 +-3599 5326 +-4643 1234 +-3431 2109 +-6914 14133 +-6070 7519 +2049 -9832 +7694 -8313 +13102 -495 +1911 -7167 +-10915 -10777 +-1532 904 +3138 1757 +-4569 -76 +-8755 -519 +2208 -3918 +1294 -6794 +-2567 -8410 +4721 -3475 +2113 -778 +9190 -4139 +11377 -1818 +8607 7671 +5184 6365 +839 1305 +1436 6044 +-6579 6963 +-806 6187 +-897 2798 +-8626 -3658 +-2174 -1098 +3705 -124 +-4003 323 +-13628 8293 +3553 7452 +12346 5458 +1904 4402 +-1683 -6827 +-5471 -7138 +-4260 -217 +-336 1096 +-3084 1851 +-5593 -1874 +-2710 4106 +-2224 11988 +-59 5505 +2032 4577 +-2181 4578 +-1952 -1531 +4852 -3286 +7022 -523 +6331 7736 +5744 8916 +-3678 2573 +-3113 -3930 +4556 -11425 +4605 -7669 +6869 155 +3975 2018 +-2806 990 +-2858 -4826 +871 -5264 +-2690 -6097 +-5713 -9951 +-3598 -421 +-4706 3840 +-2740 -1455 +-790 -185 +-4349 3394 +-2609 9122 +1070 4341 +2316 -7580 +9748 -9488 +-3017 -6578 +-15559 -895 +-612 1668 +3669 -707 +-3535 2990 +-7175 5374 +-998 -4321 +-3546 -5710 +-7634 -4383 +1299 -5661 +1174 -2009 +4209 -9144 +7212 -8998 +10472 -1581 +11565 -1157 +4581 -2421 +884 -2763 +-2019 1635 +1770 -1606 +12527 -7573 +6350 -4872 +-3376 3362 +-7005 7996 +-5206 12650 +-4122 9659 +-830 -1362 +9556 -3902 +5776 -7060 +1636 -7072 +8272 191 +7500 -5722 +4155 -7513 +-1732 3015 +-12514 2649 +-12789 3413 +-7119 5195 +-1076 574 +7842 -7577 +8558 -10061 +22 770 +-1559 1714 +3878 -1558 +3356 -5647 +5043 -6805 +6856 4558 +9199 1166 +6503 -6011 +-4330 1048 +-1812 2295 +-871 -1610 +1202 2128 +3047 4519 +-6800 1411 +-6500 -2008 +1495 1892 +-2919 5818 +-14600 905 +-4534 1385 +2812 1749 +-457 4405 +-405 7227 +-6719 3037 +-2829 1883 +-1754 -734 +2888 6852 +5969 12350 +-4803 3163 +-721 -179 +5550 5558 +310 5500 +-5991 -1383 +-1087 247 +2133 501 +-1040 3085 +1611 1968 +728 -10244 +-6889 -6266 +-5557 -3629 +5212 -7830 +2407 -4830 +-1189 -2581 +-475 2461 +359 -2396 +4890 -13697 +1840 -7645 +-440 4740 +-196 10437 +4290 12756 +3224 9904 +-1553 -466 +4767 -9140 +-187 -8893 +-3696 -6103 +3504 -3311 +1993 -3119 +1049 -8584 +-4689 -4404 +-2310 1989 +-2496 415 +-2596 4609 +5002 1299 +153 2169 +-8582 3357 +-9337 -2589 +-11503 105 +-8837 2790 +5317 7696 +2780 6395 +-3614 -745 +3675 319 +-3808 8030 +-2763 7018 +11698 479 +11472 -1577 +8617 -2560 +6768 3659 +-2160 1996 +-4130 1998 +4012 4084 +2595 -372 +2582 685 +3901 -6400 +-1867 -7947 +2989 -601 +4735 5030 +-4076 10481 +-12509 6312 +-10358 1552 +971 5656 +1275 2781 +253 -6143 +-294 -7346 +-6385 -4601 +-4749 -2448 +1808 -12619 +2123 -10842 +-4454 5093 +-1401 4380 +1840 -10 +-3499 -2786 +-542 1282 +1569 -415 +335 -4194 +-437 2407 +5119 1634 +4030 -1151 +-11764 -6699 +-8066 -6832 +3376 -5261 +23 -7820 +-5732 -4464 +-3128 -2481 +2583 4116 +4684 2816 +10014 -7836 +13077 -2551 +7637 6304 +-2037 6054 +-3242 -738 +-4704 -7389 +-6573 -77 +-490 3021 +-1675 1982 +1012 5072 +4626 -2403 +1587 1449 +-4212 8107 +-8787 4322 +-8682 7752 +-4881 7865 +9288 1994 +6468 2733 +-3310 1839 +3586 -2996 +9181 -1303 +4939 -7934 +2327 -5671 +7636 6878 +3681 -764 +-653 -7156 +-2164 3077 +-406 6900 +6379 800 +11444 -1776 +5511 153 +-4677 -5374 +-6387 -1236 +163 3551 +1640 -1119 +-398 1607 +2822 -2178 +-4531 -5830 +-5450 -805 +3103 7509 +1738 10917 +3438 3215 +6224 -3723 +77 -2111 +-7034 2503 +2942 4240 +7559 5306 +-7256 3994 +-5126 7465 +2233 5522 +-3881 -3039 +-5964 -6666 +-4910 -7101 +-3317 1396 +-6374 5527 +-16219 7533 +-12771 195 +1286 -6236 +3406 3930 +4222 3956 +9788 1076 +4477 -632 +4108 2311 +4641 6692 +-1699 4346 +1110 2531 +-4357 -1513 +-3341 -1245 +3469 -2232 +1927 -4771 +8140 -2348 +4204 1222 +4174 3230 +9143 3266 +4997 -1960 +3355 -520 +-5315 4462 +-12028 -9791 +-8475 -14160 +-1180 -5690 +-3191 -971 +-9369 3927 +-7937 1619 +-2569 -828 +5667 -4997 +-1078 -9349 +-1867 -5727 +10413 296 +2434 -2963 +-5144 -4989 +236 3735 +4129 5552 +5638 -3434 +8011 -8649 +4538 -3415 +-7190 -1075 +-5991 3158 +2371 2549 +415 -2120 +-1553 -547 +-2858 -1928 +-4286 6996 +-6340 6417 +-184 5501 +572 8693 +-4951 -1824 +-2357 831 +180 -2304 +-1577 -12244 +2361 -608 +3876 1665 +6253 -4350 +16024 -7249 +11057 782 +-104 5053 +-543 -6564 +12600 -5963 +16556 -1369 +4847 121 +1900 -3376 +2688 1853 +3833 11554 +43 -49 +-3250 492 +-3756 2340 +-3136 -9321 +-3530 -3892 +-8920 -2574 +-5384 -2898 +1906 6856 +9969 2458 +4763 -2308 +-8130 4427 +-8253 5408 +-5489 -1254 +-1927 -1945 +738 4332 +4589 6086 +1197 3288 +-3556 835 +2324 -3283 +6257 -9692 +741 -7342 +-5030 -741 +-4521 3585 +-6884 6117 +-3501 1784 +-3671 2923 +-8393 4274 +-3582 3033 +1101 4592 +8945 800 +10315 -2622 +1470 -220 +-3292 2457 +-2936 -4030 +-3937 -6334 +-2805 -572 +1292 3786 +-2530 5918 +-8702 5425 +-5010 3984 +4844 -6234 +-344 -9922 +-5729 -1291 +1508 8304 +-478 8810 +3476 4060 +6248 5890 +-4927 1976 +-1198 2247 +-1367 -1802 +-9315 -7894 +1481 -1942 +4186 -1278 +1976 -7306 +7590 -15821 +9054 -6563 +3310 4103 +-5431 -442 +-1840 -4010 +3756 -6538 +1258 2149 +-8252 -3392 +-10255 -4769 +-4118 2384 +-1839 -2347 +1485 3634 +9377 -4050 +9128 -10062 +2970 1759 +11490 2083 +15826 -383 +4025 -3157 +-289 -4171 +3184 -2772 +-2495 -6560 +-11315 -11984 +-7795 -5666 +-1722 -2371 +1531 -14366 +-3968 -7171 +-2859 2770 +3983 514 +1950 5189 +11107 4255 +5566 2890 +-3315 -1463 +3625 -6713 +-499 1816 +-7348 5609 +-4496 4081 +7007 4598 +6525 5654 +-1187 4689 +-2730 287 +-3780 1098 +-1253 2454 +-688 1461 +-7492 -1566 +-5823 -2438 +5671 2961 +4642 6163 +2373 4251 +-4084 658 +-12750 -1971 +-4262 -5584 +8429 -4038 +12162 737 +998 1934 +-4828 4575 +-6025 4991 +-3873 834 +8347 -2002 +6529 37 +-717 1991 +1246 2509 +3683 1353 +1718 1266 +-1731 5436 +-8703 4519 +-5723 3744 +5758 5142 +5255 5754 +-1245 -447 +-1806 -7350 +2460 764 +-8590 2958 +-11160 3306 +-1642 4549 +-3022 -453 +3508 1673 +4496 -9135 +263 -13365 +3467 -748 +10231 -6200 +11862 -10824 +996 -4954 +-2764 -2820 +5476 -3329 +14503 -2374 +11444 -2237 +6183 -1923 +485 948 +5294 1680 +7716 -1195 +2038 520 +3171 1864 +4272 -2724 +7010 -9207 +-3949 -10384 +-817 703 +8004 7208 +-5723 395 +-4628 50 +4399 -4024 +3464 -1724 +31 11087 +-304 -3582 +-7578 -9234 +-11033 1928 +-2897 2427 +-213 3804 +-1864 2384 +-5911 5839 +-1216 4539 +-407 972 +-7049 4989 +-9681 8810 +-9247 9589 +2589 -1831 +11372 -2623 +6319 1901 +-187 -4705 +425 -712 +-6264 6065 +-10117 1086 +-4035 -5683 +-6971 -8647 +-4689 -6277 +508 2766 +2204 5204 +3922 -1340 +4205 -5730 +8261 -3140 +6172 2855 +791 2645 +2663 1678 +1589 7481 +-4688 7898 +-5198 3471 +475 3558 +5430 1564 +441 -715 +-8185 -5769 +-8327 -6750 +-3858 -4416 +2772 -9997 +5310 -4223 +-2442 1623 +-4188 1160 +2166 8171 +-2998 10182 +315 -1077 +11008 -11068 +7764 -8913 +3694 -5238 +1031 357 +5397 -2075 +5577 -5720 +2055 -836 +3752 -456 +1754 -4740 +88 -11438 +-9547 -5664 +-176 1035 +11133 725 +-4264 3803 +-3543 3035 +2902 -3878 +4324 -5907 +5134 -1776 +-2844 -465 +-1654 -4659 +5130 -6508 +-154 -4780 +-5470 -3705 +686 -798 +3451 3919 +-4422 10051 +-981 17339 +3224 7975 +1422 -7018 +-422 -3917 +-6622 -511 +-5204 -2151 +-1969 4505 +-1157 4666 +8702 -8744 +7733 -15785 +1332 -8144 +4461 636 +-1862 1379 +-6914 958 +-1669 5298 +267 7871 +-4443 1634 +-1384 -627 +3884 5491 +2408 4945 +1598 -2638 +-5048 -9917 +1141 -10430 +5917 -2170 +3367 1532 +1123 -5444 +-9699 -6104 +-1772 -394 +863 -4607 +235 -8266 +4802 -6177 +-3534 -9090 +2278 -8378 +10421 -778 +1757 1614 +-7267 -35 +-4424 -62 +-4602 929 +-5784 718 +4444 -1818 +10328 1204 +934 9689 +-2267 9190 +5944 5327 +265 7868 +564 3387 +-3182 1436 +-8164 8168 +3846 3734 +4007 -2308 +5525 3673 +359 11527 +-4076 8779 +2877 -347 +2144 -6287 +2613 -4682 +-2546 1474 +-4256 -2536 +-70 -8229 +-3142 -3575 +-6711 684 +-55 -1015 +5215 787 +2850 10343 +10242 13618 +7677 3892 +-3495 -6169 +-2943 -2651 +-5638 3916 +-7757 1340 +-4826 -1400 +-726 -1899 +-2615 4848 +1781 5845 +7629 1293 +-4841 1627 +-5119 2300 +-1864 2569 +924 -728 +5445 1144 +-5689 5080 +-6788 2558 +-6949 -2647 +-12277 -8173 +-6214 -2922 +2542 10261 +3048 14266 +-1460 5860 +-5646 4508 +-5609 1676 +2263 -5826 +291 590 +-3787 1593 +-3869 4082 +-2467 1798 +1555 -8457 +-5581 -2432 +-4326 1549 +2166 2396 +-461 5625 +4364 4020 +7219 2537 +3480 3478 +1043 -4769 +-2289 -12105 +-468 -6310 +1578 -6897 +4736 -7104 +8907 -1237 +5376 -3339 +5043 -8365 +3647 5377 +2148 12041 +-1338 -6219 +-6010 -8075 +-3276 2036 +-6958 9917 +-6317 8452 +1940 2599 +10034 2924 +2549 -609 +-13799 1284 +-2848 -3130 +7894 -7720 +484 -6279 +-382 -8689 +6996 -5383 +6608 -2445 +7228 -859 +11778 -1231 +1501 -2627 +-5794 -2937 +-4462 -5910 +-5052 -1576 +-4863 2438 +-1843 -809 +1080 5271 +5100 3284 +6884 -3888 +-2754 4240 +-2631 4942 +120 3084 +260 -1121 +5111 -2563 +-1190 7403 +-3717 6222 +-7185 2424 +-14928 1667 +-6857 1676 +6531 3855 +4735 3689 +-4025 -1876 +-6855 -3606 +-7000 4456 +41 -4476 +6985 -3311 +2206 4980 +-2296 -5654 +-5023 -10220 +-746 -4589 +8174 58 +3913 2520 +2432 5731 +-3140 4666 +-6042 5239 +6082 6145 +565 4804 +-4783 4448 +-4804 674 +-6488 -2492 +4339 -5618 +7359 -8939 +1605 -2979 +-4650 4448 +-4933 -5559 +3169 -2778 +6976 5597 +7047 -3497 +2083 -413 +-5849 2178 +-5508 2169 +-2696 4151 +-6321 -1097 +-4823 -10744 +-4244 -8144 +-7604 10022 +-4424 10161 +-7881 5839 +-7854 8769 +65 10345 +66 2216 +-1778 -11802 +-2061 -6093 +-710 1046 +-2391 -1478 +-750 -2386 +2271 180 +-2101 953 +-38 -3071 +1517 -2318 +593 -448 +-2436 -7784 +-4096 -8878 +3098 5130 +7158 8448 +11860 1413 +9489 887 +9091 6085 +9859 1065 +-2399 -11753 +-258 -10627 +5941 -2114 +4607 2388 +5876 -1885 +4548 -1102 +-4182 1254 +-7759 1945 +-2420 7720 +-3064 -3413 +2968 -7069 +4987 2107 +-5580 -2524 +-10724 -3951 +-3728 -2790 +6139 -5453 +4632 -836 +5686 2451 +838 5407 +-1229 7709 +6360 -3026 +2964 -10127 +4122 -1520 +2084 -6189 +-2856 -12939 +-6269 -5234 +-1169 -1500 +6627 666 +6770 -1329 +3650 2846 +-5026 8499 +-15385 282 +-10752 2508 +3802 7400 +6192 -757 +4175 772 +502 4984 +890 5533 +7748 21 +150 -13560 +-92 -13818 +8572 -2201 +1624 5365 +-1154 6938 +925 4850 +-2944 -3111 +-7463 -5742 +-12859 -423 +-4215 3506 +-1900 4087 +-8631 -423 +6841 -484 +11583 2965 +1862 4724 +-2856 956 +-2413 -1706 +-1373 6244 +-1152 7546 +1298 3088 +-8721 5264 +-3297 6025 +6916 4867 +22 8564 +-1900 5831 +-1605 1273 +850 1528 +-3610 -3086 +-8697 -4466 +-8653 -1900 +-2831 55 +2504 -1023 +71 -3018 +3943 -6037 +6975 -5206 +7475 756 +271 -8085 +-1997 -8694 +4312 -842 +4499 -6674 +2194 -4465 +-3518 -161 +278 -2762 +6608 -667 +9681 6362 +1652 2782 +-6662 -7066 +2281 -4227 +-2413 -5860 +-10784 -7435 +-8355 415 +-1962 -293 +5535 -3864 +4385 -5455 +4641 -319 +1718 9509 +-11650 10286 +-6623 8858 +7847 2911 +4310 -4571 +3739 -2170 +3413 1610 +3697 -1570 +8599 -1209 +3663 2193 +-1593 4922 +-1585 1555 +4860 -3592 +12646 -2140 +3805 4289 +1009 12244 +2832 10435 +-676 -784 +2356 -10657 +-1672 -6836 +-1313 -309 +3057 -579 +2467 -11 +-1493 2041 +934 8271 +4646 8014 +-1279 -2761 +-99 -12788 +-1299 -10906 +4862 -3563 +12638 -958 +2922 1713 +-3283 -2129 +-1751 -4506 +4661 -2850 +8315 -1251 +832 -1352 +-3908 -10161 +1550 -5921 +-6153 3560 +-10621 3463 +3769 6806 +6521 7965 +858 9432 +-4093 6103 +-6058 4877 +-3149 9072 +460 -124 +-2203 2109 +-1986 3305 +1004 -10177 +-7075 -6793 +-4863 -232 +2390 -1191 +1650 -340 +-2092 6065 +3582 9350 +7795 2710 +-5707 -823 +-2530 743 +-870 -728 +-10404 -1713 +-7004 2829 +-7188 -1393 +-6810 -7493 +-2845 -9611 +-4533 -3894 +-8515 8871 +-3651 2647 +7724 2455 +5714 7940 +1298 -2624 +5814 -6789 +4309 -744 +1975 4622 +-227 2194 +1304 -5789 +8301 -9858 +5798 646 +8926 8204 +9149 5659 +-1002 -141 +-5384 -9279 +-5883 -3755 +-1197 676 +2036 -2469 +3253 -1271 +2500 -633 +4643 -1508 +4201 -883 +659 8048 +3557 11282 +60 2671 +372 9913 +1446 14488 +-2756 6657 +-4402 -4227 +3082 -8243 +9597 2873 +6014 446 +10459 -4371 +5353 3199 +-7226 -5212 +-1396 -11252 +-4184 -4177 +-5667 -6670 +7609 -5160 +3732 3617 +-7830 6355 +-14008 5891 +-7360 4008 +-3030 1081 +-12468 2807 +-5716 2280 +-214 -5262 +-1172 -4512 +-3048 -3110 +-4035 -6238 +2053 -6294 +-405 -1215 +1359 6577 +1927 -1364 +-4497 -8733 +-6251 -837 +-1865 4238 +1807 6720 +5302 4632 +7296 -6558 +-1826 -10435 +1531 -2722 +4870 1366 +-3266 3217 +-7792 4600 +-4773 3301 +5192 5047 +5086 6401 +67 2828 +-5292 -1478 +964 -4665 +4315 -9765 +-6653 -5977 +-6472 5206 +-2675 5938 +2025 3339 +6927 -905 +5829 -7082 +-3113 97 +-3269 5580 +1569 -3113 +1092 -6993 +6437 -3883 +3325 -2041 +2407 -4208 +2667 -3393 +5804 5397 +10259 5809 +1857 1899 +6829 7118 +10683 9822 +2179 6698 +-6263 -347 +-3046 -8185 +9407 -4054 +5091 -3543 +5394 -9978 +6642 -911 +-4302 2817 +3310 -723 +6095 1138 +7167 -5225 +3919 -4621 +-5077 -99 +-848 -3013 +8863 -8176 +8139 -5048 +502 10080 +1720 6803 +-3039 -1806 +-2109 2180 +1731 2477 +-2678 1161 +-1125 321 +1587 -704 +2513 -451 +353 3051 +2946 -746 +1091 -2192 +3702 7820 +3851 6810 +-11963 861 +-10303 -382 +945 -3224 +2507 -3083 +-7932 188 +-8279 2005 +-2667 5253 +-2110 3613 +10069 3699 +6728 6296 +-1769 -6315 +-6894 -7934 +-16012 2132 +-9872 -2711 +-2451 -5628 +-2009 -922 +-5809 -633 +-6235 77 +2485 3790 +1124 2702 +-5173 137 +-439 -2749 +7320 1288 +12956 6025 +7329 2582 +1127 9285 +4505 13162 +-531 4907 +-2654 -2616 +1504 -4498 +2983 2528 +3055 1454 +30 -7447 +-499 -4973 +-1609 -1699 +-5194 -4647 +-597 -12007 +2302 -15070 +-8550 -5238 +-4011 329 +6895 9024 +2234 8593 +4165 -3768 +5230 -3124 +2074 -8546 +20 -6001 +-4009 3219 +-2672 -1680 +1516 -11885 +3022 -9057 +6828 7043 +5737 3779 +-3509 524 +-222 2888 +3031 713 +-1388 2480 +-766 932 +-220 498 +1703 7258 +10065 9482 +13299 -2311 +2650 -1475 +-1791 2480 +-1555 -543 +-3867 3779 +-5385 3137 +-8947 -4079 +-5288 -1391 +761 3285 +10585 -5371 +7255 1208 +-9098 4895 +-10617 -3806 +-9479 -3743 +-1201 -7476 +8293 5980 +4606 9093 +650 -4060 +-6933 -1769 +-9030 -2238 +-1045 -5840 +-717 -4248 +-1464 152 +788 -2114 +3260 4398 +11559 7667 +12875 -4867 +1775 -5577 +-4732 -2687 +1242 -6514 +4874 -8203 +-772 -4828 +-2383 -10805 +3217 -12705 +5015 -2144 +-2884 2107 +-1578 3191 +6879 1947 +3099 5695 +-694 5070 +-2881 -648 +-3807 166 +-4432 41 +-6816 5785 +929 4871 +-564 -6555 +-7973 -3768 +-3894 1611 +-3456 371 +-2315 76 +-12 -5924 +3651 -5648 +5722 -2361 +-3151 -2521 +-1719 -528 +6375 3793 +3654 13514 +-1615 9991 +1009 4434 +5850 7520 +369 5721 +-3921 3368 +5281 4712 +14249 -88 +8606 -10669 +1029 -2902 +375 2931 +-1505 674 +-296 5325 +-1883 5892 +-9499 3182 +-4911 2614 +2847 1799 +4438 -11064 +6371 -3990 +-3632 9538 +-10403 4016 +-6276 2787 +-5342 1538 +-6357 3325 +1554 -2564 +1498 -90 +-5202 7291 +-6776 5585 +3410 1607 +11610 1567 +2381 -3641 +1633 -3288 +662 8267 +-1746 16303 +3393 15948 +-5308 2844 +-5109 -3909 +1512 7328 +-7524 1366 +-15316 -9956 +-14195 -3430 +-3904 -2896 +5480 39 +4031 -2551 +2282 -11390 +-2009 -1190 +-8935 4758 +-7012 910 +2469 -1418 +1950 754 +-6262 4993 +682 1865 +-339 -1716 +-3168 511 +9258 111 +6161 935 +-3399 7043 +-5200 4453 +3302 1579 +7399 -377 +4938 -3468 +8191 -3607 +5512 -4431 +5845 -3529 +1721 -4532 +-3951 661 +-5193 -1463 +-8221 -9242 +-3817 -2525 +6400 4421 +11149 5141 +1386 4025 +820 2527 +4149 -4637 +1502 -7155 +2562 -6715 +1004 -8576 +1382 -5273 +-2377 -6192 +-2611 -292 +-3248 7098 +-5712 2981 +-2058 -7508 +-2027 -7099 +1066 4454 +1300 -571 +-2661 878 +-2759 6904 +-535 -2862 +1039 -1014 +907 8716 +-1400 8483 +3748 -1085 +10023 -6470 +-481 -4252 +-856 -3549 +5930 5606 +9281 13135 +13058 9676 +-2277 5461 +-6783 233 +4553 4841 +-2787 8509 +-10368 3194 +-3348 5062 +1172 2792 +-500 -531 +-1951 4335 +-796 4128 +5756 -2834 +-454 -4865 +-832 -9519 +7978 -10814 +2063 -729 +-198 -5050 +4521 -9157 +2221 -2735 +-2315 -3998 +-1828 -9813 +1291 -3801 +-2784 -2246 +-4676 -12855 +3818 -7851 +424 -3486 +-4995 -2151 +823 5384 +1053 3813 +314 -622 +-1135 3480 +3866 6024 +9083 5406 +2554 7600 +2273 628 +1184 1592 +-57 2344 +-5534 -2553 +-12129 4576 +-2579 450 +-1650 -8475 +-4572 -7499 +2411 2373 +11106 11736 +10497 10541 +-1056 990 +-542 -7728 +-73 -6200 +-3227 3263 +-938 10234 +-1585 -310 +2680 -99 +6095 8221 +2869 -1338 +-4025 -6582 +-2594 -5397 +1456 4202 +-3832 16921 +-2724 13746 +-225 -1000 +199 -10524 +-1758 -6736 +4791 -238 +12502 -3260 +1699 -4463 +447 3057 +-431 2084 +-1408 2786 +932 9080 +-5266 9107 +803 5894 +2765 1198 +-710 -53 +1444 4397 +2207 3417 +2456 -5884 +-3596 -3192 +-6989 -6393 +-1175 -13176 +1078 -1878 +-3502 -3532 +-2576 -9629 +133 -3497 +-752 -2181 +-578 -3958 +-6440 4979 +-4639 2768 +7277 -4311 +5678 -2365 +63 -8254 +1545 -5272 +4363 -78 +-2153 -4315 +-13975 -555 +-8635 4962 +3890 2756 +4301 2501 +7419 3110 +10114 4986 +4042 10309 +2924 6296 +2729 3201 +3036 1227 +3582 -11325 +-2562 -12427 +-4219 925 +-219 4744 +-6341 996 +-7600 1063 +-1223 6500 +-3074 5306 +3736 -6855 +3500 -5550 +-6116 4479 +-6095 9979 +-6243 7724 +-1435 4703 +-1973 7267 +-8604 -83 +-6593 -1491 +-3348 1421 +-2872 -788 +-3815 -4273 +-1575 -4539 +6197 -3017 +7455 -3523 +2152 12701 +982 9024 +2608 -7880 +5666 -3452 +1174 -845 +-97 2648 +816 6797 +-2962 4812 +9015 -8071 +9529 -5471 +650 9624 +719 5309 +-5541 667 +-5333 -553 +-1825 -2218 +-1832 -3818 +-6123 -4241 +-4213 -6531 +5411 -6140 +2195 -4988 +1579 -10784 +2917 -10396 +-1624 -4410 +-1958 8169 +-1801 5054 +2750 -7885 +2884 -5355 +-5343 -6015 +-2303 -4815 +3361 -2918 +-4494 -1632 +-11187 8906 +-3524 9373 +4746 -499 +4968 -1035 +7776 -2603 +10892 -3149 +7607 2945 +2486 1326 +-866 2621 +-3859 5660 +-6626 1311 +-6316 -9623 +-3941 -14655 +784 -9446 +6884 -4122 +453 -1012 +-614 5292 +3046 4755 +8400 5454 +12170 21 +-1826 -9154 +-5511 -3572 +-3096 7105 +2972 7268 +12183 -6770 +4827 -8139 +257 -4833 +-1711 -3842 +2141 2040 +7746 3550 +1415 10824 +-1820 15081 +-4972 8187 +-6695 -2107 +-3980 -4769 +-363 -1614 +-402 2185 +-7298 4567 +-8547 4268 +-4793 3619 +1586 3888 +1892 10737 +-2483 565 +7362 -3951 +11984 7082 +3822 -3113 +941 -4648 +5869 2824 +6604 -1025 +-3887 272 +-4576 4877 +410 39 +-1295 -4013 +-898 -775 +657 1853 +2223 3017 +-1199 -721 +-6623 1283 +-748 1881 +5117 -6107 +990 -5304 +4494 2230 +3974 -4072 +883 -14039 +6372 -1526 +-4335 901 +-7848 -8379 +-2086 -1846 +-6636 3312 +-8600 4711 +-6776 2530 +-602 -1131 +1503 2373 +751 6718 +-4195 5333 +-11178 -2775 +-12716 -7947 +-5694 -7282 +2602 -7475 +-278 -1008 +3041 4270 +5529 1472 +10159 -1517 +9550 -7957 +-7369 -5501 +-6592 721 +285 3591 +-4476 -1439 +-5701 -3248 +-2366 6991 +-3731 2097 +2200 -7293 +10166 -4463 +8338 1392 +4825 1737 +3437 -2113 +-1539 -2674 +-5041 -1493 +5295 -342 +11912 -4354 +4853 -3931 +2111 -2588 +1123 -3835 +911 -7452 +-1588 -11907 +-1173 -2306 +4181 4334 +2363 -1199 +-888 -4651 +-4537 -4099 +-4164 -7779 +1165 -10245 +5290 -2538 +-1939 5723 +-4323 4945 +6860 2981 +5555 557 +4530 -727 +2242 12434 +-4402 7430 +-5058 -853 +-2622 12028 +-6 7530 +-7345 1891 +-1898 -1645 +8004 -9231 +2577 -5584 +-1941 -1037 +-1160 2204 +3249 3220 +-4652 -1469 +-13637 -5146 +-8984 1018 +-3496 8864 +1730 8553 +9916 2459 +13662 -512 +1679 622 +-5101 2090 +1143 1923 +1951 -1869 +-1046 -7532 +-2926 -10128 +3639 1791 +4846 5873 +-4203 7924 +-1175 11168 +5354 730 +-665 9679 +-7571 8987 +-3647 -4198 +-5692 -892 +-6380 1661 +2699 6727 +-1458 3936 +-2998 -6768 +4981 -9795 +7407 -4270 +4956 -1807 +1292 -3778 +-2914 -928 +-4893 2140 +3845 -3845 +6411 -11100 +1144 -6065 +73 -3373 +623 -3968 +1597 -2586 +1848 -2527 +6823 -4896 +2960 1769 +2101 4008 +6216 -10493 +6431 -7538 +4870 5088 +2266 -1103 +-2820 2221 +-8124 7808 +-11216 3949 +-9016 6806 +-5202 5665 +-1903 824 +3798 -730 +8860 859 +11550 -1422 +10790 -4071 +9435 1342 +1349 99 +-3335 1689 +-2080 6617 +-11067 -2831 +-9664 -2633 +2063 5635 +-2613 2589 +-8591 -20 +-2420 -884 +-5095 -555 +-5055 -1317 +-3148 722 +-8895 1507 +-8009 -2570 +-6325 -471 +6987 -2451 +7772 1933 +-9613 5830 +-5966 148 +3588 -3567 +432 -7690 +-5474 1246 +-247 7710 +7881 7885 +9689 5864 +1005 -2558 +-5659 -4612 +-2905 -5187 +-1436 3388 +5102 11842 +6582 7487 +6443 -1024 +-297 -5188 +-8464 96 +-2553 1960 +3081 -7147 +7160 -5811 +-1264 10032 +-3687 3918 +1299 -8692 +-578 -8499 +3394 -7403 +677 -2580 +-492 -5530 +521 -4864 +-165 -3007 +2356 -7998 +3488 -537 +4245 1692 +-1698 -11832 +349 -9687 +7199 777 +1113 -2211 +-749 3551 +-1295 11093 +-6317 10194 +-2788 10833 +-413 7516 +-900 2087 +2920 1202 +2742 7746 +-5031 9777 +1478 2459 +-93 -11937 +-8496 -4582 +-4998 13167 +-6972 5867 +-2126 -4165 +3193 -3534 +7156 499 +10182 9126 +8396 5550 +4886 3431 +-3079 5455 +-3042 696 +-805 -3662 +2920 -5310 +7423 1786 +11052 1328 +12848 486 +3205 5125 +-1721 4051 +-4355 -698 +-81 -10900 +3514 -11303 +-11050 1986 +-6040 9036 +4732 -3908 +3664 -10920 +8841 -4646 +1298 -3634 +-5249 -2390 +-1334 2299 +159 7223 +1893 3614 +-346 5671 +12 8009 +1622 5129 +-3405 5431 +-4749 1073 +2114 4178 +1772 1229 +-2374 -6701 +-733 -3082 +-8212 -1008 +-11561 -5262 +-757 -3673 +-2499 5380 +-12750 5019 +-6598 1016 +109 4039 +-2219 5909 +2369 -2806 +1273 -4085 +-5399 -1145 +-2080 -5982 +2316 -5410 +126 -7777 +136 -4926 +2102 -3669 +-163 -6649 +-2137 -567 +-4572 3490 +3291 4182 +8998 5717 +2255 10281 +2705 863 +-7709 -8702 +-9625 2118 +4536 12962 +-1708 8798 +-4427 -1748 +247 -4681 +5935 -4313 +13890 110 +10198 -1354 +6038 -570 +1133 6043 +-1707 2511 +471 -695 +7504 -3980 +7241 -11150 +3341 -1508 +6867 7227 +7020 -2269 +-929 -1362 +-9742 3167 +-12879 2288 +-5236 1262 +11349 2282 +4021 735 +-5100 -2679 +-76 -3343 +-8404 -8036 +-1795 -7669 +6819 8865 +2017 10856 +2338 705 +-449 4409 +1893 -6106 +2956 -9390 +-5795 7150 +-9085 10180 +-5985 5069 +2590 -476 +5120 -6348 +-2361 -9005 +5526 -636 +13745 -945 +6068 -6174 +500 -3210 +-4089 -1277 +-8479 1918 +-10402 -5701 +-7017 -5307 +-2378 1447 +-5684 -555 +-7518 -1072 +-2027 -283 +-155 4285 +-6286 -160 +-6086 -4601 +1047 -7097 +-244 -7291 +-4707 469 +771 -2012 +4892 -5544 +4378 -4598 +1438 607 +1221 4554 +8398 -1627 +6657 -955 +4324 6503 +-317 5405 +-4088 309 +1745 3057 +2395 7710 +1081 4819 +-69 2727 +7407 7114 +6106 9510 +-1367 7530 +2706 2166 +3581 -2865 +4308 -8541 +-1798 -12885 +1502 -3311 +10007 782 +3903 -6353 +-1648 -462 +-5479 8698 +-8554 10119 +-3281 4296 +10315 -5867 +3751 -2215 +-5621 1267 +-2305 -2426 +-11828 -499 +-6420 -4790 +12089 -1433 +11486 -3264 +1981 -6315 +-443 -3977 +2505 -4942 +4523 1992 +2380 6652 +4251 -110 +4524 5744 +-2528 7869 +-2934 223 +1364 7142 +-2319 7211 +-4962 -2958 +-7215 -8799 +-4569 -4918 +8756 -3251 +9766 -5558 +3882 946 +-1718 8479 +-3259 5386 +3073 1459 +-1937 8362 +-6890 2644 +-3583 -1233 +-4662 5480 +-4047 -1311 +726 -6160 +1765 -3818 +-1593 -6840 +-11781 -3805 +-14472 9874 +-2368 3403 +1656 -7656 +5906 -4616 +7077 -2600 +-1515 -732 +-2963 -6155 +-5354 -8221 +-1468 -1811 +-3334 2243 +-8159 -2522 +2751 -3729 +1631 4024 +-4789 7607 +-6020 11268 +-250 5912 +3634 -550 +-3377 1807 +4240 6712 +9841 8287 +5284 4494 +-1568 2866 +-2334 -3850 +8111 -4760 +3125 1025 +616 -4087 +2611 -10362 +462 -5463 +-145 -132 +-8496 -204 +-6247 -3113 +-1697 -5731 +6784 2975 +17561 513 +6917 -10129 +-2846 -6200 +-2977 -2529 +-1465 -5201 +4733 -1513 +6348 3248 +3217 -2925 +7373 1147 +3446 7378 +-2116 2065 +5755 4246 +3010 6788 +-5792 1137 +-11359 -1644 +-6903 -285 +3773 -4377 +5855 -4355 +13172 -3852 +17473 -4567 +11536 2408 +-1958 5975 +-5974 -2450 +2085 -6693 +3073 -5786 +-890 -68 +2228 -2711 +3617 -11955 +886 -3229 +3654 1041 +3595 -4968 +4668 3186 +994 5227 +-5594 2434 +505 3367 +-5073 146 +-11942 1991 +-1114 1453 +-399 -864 +-7028 2232 +-5820 -168 +550 -6198 +6351 2016 +-963 14552 +-7237 16712 +-4674 10570 +37 2744 +3694 2997 +2798 -597 +7116 -4326 +-3175 -3640 +-9834 -2785 +-2238 3073 +-9986 1108 +-6630 -3157 +1501 424 +1615 -2148 +969 -8742 +1518 -2559 +590 3895 +-2893 5088 +7349 3618 +9534 -7028 +49 -6235 +-5207 6477 +1609 11209 +7739 1121 +1956 -5970 +2638 -323 +-2769 3705 +-6573 5462 +186 1622 +278 -310 +-7147 -5050 +-3687 -8810 +815 -3135 +-8551 -5414 +-3503 1763 +1459 8550 +1756 1354 +4904 312 +2856 -3585 +7606 -11878 +10693 -14909 +10605 -5720 +2469 5787 +-6228 2185 +-2791 -6260 +-1454 -6203 +-794 527 +-84 -3474 +-5046 -11122 +-1474 -2932 +3543 -1621 +-448 -5992 +5923 -861 +6323 -487 +-407 -7283 +2325 -4631 +3772 2643 +-1187 -47 +2407 -1592 +6741 3048 +3442 -725 +194 226 +-6900 6318 +1385 626 +9707 2556 +1823 9320 +1331 6679 +-2993 -2876 +-2597 -4262 +-2108 5624 +-2282 1155 +1503 -8756 +2198 -8920 +8882 -2763 +-1172 -1033 +-7470 -5037 +2007 377 +4428 -455 +5989 -3940 +1453 1638 +-1013 -391 +3995 -2423 +2495 5621 +2744 6836 +10083 -589 +8075 2764 +1390 3569 +-733 1669 +-1578 5316 +-5594 1112 +-5668 -6159 +3167 -14077 +4786 -8724 +300 -1448 +-7617 69 +-6249 9024 +-3805 2912 +-12092 -1244 +-4916 10955 +3743 13221 +2417 3091 +-2510 -6718 +-8650 -11040 +-11054 -353 +-6412 10511 +-5135 -634 +-10464 -723 +-3085 6039 +3904 322 +10957 3196 +10662 7799 +-2182 7386 +-5369 1556 +-4291 -3202 +-1123 -1010 +-592 -4704 +4556 -8485 +6112 -6988 +-4246 -6531 +-1257 -4773 +4660 -402 +-928 700 +919 -2794 +7321 -2076 +2273 -2751 +210 76 +-1543 9019 +1482 -510 +9377 -4162 +7327 5882 +5229 4177 +-4134 -266 +-4656 -1701 +4094 5679 +4363 8757 +-1442 5052 +-1999 8783 +666 6429 +4377 1938 +-2454 1975 +-12504 -735 +848 -3434 +7985 -9109 +-4296 -3236 +-7117 2028 +516 385 +-704 -2763 +3151 -2524 +4339 1969 +-3745 -4332 +2216 -3268 +2489 523 +-888 753 +1077 7182 +5189 202 +5949 -10735 +2680 1332 +3851 11864 +-2476 505 +-4230 -3655 +-7073 2581 +-7735 4596 +-4747 1687 +-7876 -3084 +-2230 -1224 +-3908 4056 +-1863 1118 +5725 -6714 +6312 -8677 +4264 -12774 +-5720 -10197 +-4873 4637 +-4978 10426 +-14044 2992 +-7362 -7790 +-2225 -6729 +-4709 -2219 +445 -2092 +-2705 3065 +-4817 4790 +528 120 +-252 752 +1651 6839 +718 2630 +1495 -2738 +6049 -220 +6445 -4876 +10988 -948 +8818 11193 +-2334 10484 +3256 3050 +10033 -9169 +914 -13745 +-1758 -9425 +-1147 -6275 +1267 3830 +1617 5013 +3575 -668 +8403 1762 +2487 4778 +4313 7734 +4868 4217 +4748 -1476 +-474 3076 +-10214 7775 +-1685 -3053 +219 -11909 +-5454 521 +-4227 6235 +639 -51 +-2387 -1564 +702 -3931 +4771 -1509 +-4986 -1638 +1434 -5442 +9286 -1096 +-1798 5498 +-270 3098 +8922 -4404 +-1611 -4993 +-670 4567 +64 6694 +-7702 -851 +-5228 3680 +-4985 4111 +-1228 -5435 +-370 -6255 +-5019 1092 +-874 6354 +4714 3528 +3500 -3514 +-3730 -5788 +-369 -4115 +7387 1336 +5991 -531 +5416 -4087 +2815 6609 +1753 1872 +-3078 -5218 +-9019 2819 +3622 2968 +2740 3555 +-9674 3103 +-6642 -5571 +-5618 -7477 +1176 -1913 +11483 -722 +11557 3016 +2888 153 +166 -4524 +8809 2327 +5916 2771 +1727 -3021 +4104 -12083 +8397 -9093 +6558 -4759 +-66 -8962 +1708 -2316 +-3690 3484 +-7054 8478 +1429 8951 +9703 1375 +8933 -5535 +1957 -1920 +-4788 1291 +-9248 -1511 +-6879 6327 +-11782 5112 +-11460 266 +-1285 2046 +1445 7558 +-1056 7934 +-4466 -1677 +-163 4997 +-698 13056 +-4228 8828 +-2613 -2230 +-5702 -8593 +-2004 -7541 +6356 -6328 +2207 5189 +1768 2404 +4020 -9074 +-4496 -2641 +2488 3723 +4567 4808 +-6448 4491 +-1510 6140 +-1006 6285 +-4494 -3205 +-4409 -4334 +-3083 4119 +3529 5144 +4888 -595 +2840 -4729 +7204 2785 +7019 10206 +-2654 3523 +-9498 5100 +-5115 8688 +3663 4795 +9081 2177 +2903 4817 +-7749 3858 +-2793 -2590 +-11 284 +2791 -2605 +11664 -3175 +622 -193 +822 2284 +6408 10126 +-785 2258 +-592 -951 +-4059 -893 +-1322 -7760 +7198 204 +8136 4206 +4829 -3403 +881 -10817 +-3193 -8932 +2950 -2965 +12963 -1622 +4965 3923 +-4006 5618 +-315 7304 +7698 6032 +511 -787 +-3831 1300 +793 -2887 +-8934 -7332 +-7613 -4284 +-4618 636 +-8991 10465 +-3816 9515 +1516 1815 +-4247 3125 +-10659 3026 +-4263 -4099 +2850 -1702 +5645 6874 +3236 3713 +3458 -5538 +5370 -6031 +1013 3189 +-6257 3677 +-10259 -3437 +-2440 -2903 +1371 -2878 +-5250 -10058 +-9090 -8214 +-4613 2187 +-7943 5812 +-7884 7233 +1516 1697 +-3443 -1606 +1978 -24 +7674 -7175 +-1612 -7049 +-2638 -4684 +7581 -2735 +12180 2695 +376 4292 +-3849 10023 +1818 9729 +6006 1107 +8468 -4360 +5431 1663 +-3397 7910 +-3910 -9 +154 281 +425 -3726 +6829 -9927 +-16 -417 +-3602 1397 +-6447 558 +-16900 524 +-13909 -3167 +267 2951 +8529 4822 +3694 -706 +-5370 5144 +-6377 2918 +1713 800 +9122 -3709 +2051 -8681 +2238 -4351 +11177 -196 +4158 -3390 +8602 -9960 +12268 -1859 +3842 -2755 +5893 -8999 +5512 1911 +8615 1689 +6246 -6607 +-2027 -202 +-6411 4824 +-5661 850 +3341 -4622 +-638 -9021 +-3090 2399 +-4305 16350 +-8301 13607 +-4505 3649 +962 -2736 +8893 -2480 +1113 -5294 +-4589 -9667 +2654 -4962 +366 2773 +-3935 6752 +955 6658 +3191 -318 +-5504 4239 +-6059 9321 +-1982 -1513 +-2877 -308 +-2118 -583 +1657 -3905 +2124 1708 +-396 1580 +-1585 41 +-1162 -2106 +3990 -1535 +630 2688 +622 2915 +512 2517 +-8688 7969 +-2257 4664 +-716 -3819 +-7539 1347 +-5166 4862 +-779 -1062 +6464 -2959 +10177 2945 +3665 -3628 +712 -8764 +1807 1136 +-5107 6977 +-13198 11003 +-13038 6366 +2029 2020 +9828 -1869 +2520 -2940 +-945 7274 +-3211 6321 +1771 -481 +4833 -8656 +-3921 -7858 +-1094 -4756 +8634 -7391 +1062 -5224 +68 -12966 +6974 -7687 +-4124 2781 +-6999 -641 +2578 -271 +2982 -3586 +1137 4358 +-1496 9992 +-7116 2274 +-4821 -191 +848 -8250 +5071 -12070 +-278 -8605 +-11734 -4437 +-6419 -3630 +-3772 -5845 +-4846 1377 +5987 2159 +6576 -4923 +8186 -1210 +11798 -1895 +1519 -9867 +-441 -12541 +3233 -5657 +5062 3323 +4826 5488 +-3360 9354 +-2556 1600 +-1000 -4920 +-840 54 +7516 2106 +1788 4597 +-4879 -495 +1875 1110 +1538 2745 +-2119 -621 +-3219 -5601 +-3364 -12124 +-42 -2686 +-56 2291 +1380 3249 +7318 3408 +-202 2399 +-16439 5158 +-10083 7032 +4212 10821 +-3193 4635 +-5453 128 +997 2318 +998 1906 +5679 -1799 +4359 4738 +1578 11103 +13744 -752 +14735 -3159 +-2287 3379 +-5582 6348 +1048 2781 +818 703 +-1188 -4724 +-749 -13018 +1477 -4672 +1552 177 +-680 3395 +-548 1068 +4364 -1846 +-1426 5847 +-5994 3827 +1773 -1851 +-16 -4538 +3804 2537 +5519 8392 +-3954 6364 +-3904 3005 +-4742 -6669 +-3902 -12204 +-5701 -6156 +-11882 4437 +-7352 1586 +-6735 -1744 +-2839 4443 +5853 -671 +-3891 -8287 +-11620 -5405 +-8256 5299 +-5541 7741 +-3384 5742 +-7874 -1080 +-4483 -1909 +5340 -928 +8567 -3473 +7807 6804 +6168 9713 +-2395 3528 +-8592 807 +-3412 3568 +5952 9940 +9278 4509 +2732 -5493 +1844 -7994 +5060 461 +9095 8719 +3969 8504 +-5449 7129 +-1021 2947 +-840 -6692 +1149 -7758 +7399 -2223 +8098 -5536 +4115 -8738 +-5766 -11372 +-5003 -2129 +241 5015 +-2049 269 +902 6358 +6216 4417 +1729 7428 +-4612 10229 +-2380 -1671 +-2060 -2186 +-3922 5551 +809 6969 +-1530 -4920 +-2021 -8172 +-3168 -179 +-13805 451 +-4230 -4085 +3069 -375 +-3829 1742 +-237 -3975 +573 2619 +-7163 -2693 +-7442 -10804 +-2024 -172 +-7785 3565 +-2718 371 +7168 -4400 +822 -5731 +-3648 160 +-1131 -2330 +7479 -9683 +7463 -1375 +6706 2303 +4713 -3644 +-1280 -1940 +3430 -2654 +-6887 -1883 +-12624 3710 +-2869 9377 +857 9308 +1243 6421 +-6710 4432 +-4726 1563 +3520 -4163 +4369 -8110 +10606 -41 +11267 3196 +470 4224 +-6186 6173 +-300 4837 +11131 3398 +10523 -4394 +4344 -8725 +2692 1875 +2793 6215 +8780 7218 +5358 2497 +-17 -7639 +306 -5241 +-3230 -2414 +-2170 2852 +330 5312 +-786 1553 +-5132 -5078 +-11396 414 +-10919 4244 +-9852 -750 +-9991 1578 +-11138 -2592 +-10232 2203 +-3126 5080 +-196 -3218 +-440 -5405 +-2677 -1191 +-2513 4053 +1421 5471 +1405 5786 +5993 -5739 +7575 -8899 +1350 -5303 +2877 -170 +3275 7522 +507 -1649 +3246 -1487 +2910 84 +928 637 +-1283 5549 +-9371 -2336 +-11884 -1554 +3397 -824 +11719 -8268 +4916 -1655 +7249 7517 +7511 2871 +4661 -5372 +4789 -4403 +-5145 1817 +-11146 -5500 +-1643 -7613 +4469 9260 +-4427 11244 +-3299 640 +7985 -1404 +6287 -1357 +1604 -9066 +-99 -9727 +6325 -1816 +12987 -3457 +-183 -76 +-7716 11424 +-3993 6676 +-4104 -4283 +1094 -1089 +2355 -2193 +2866 -1405 +495 -320 +-3077 -7847 +3466 -633 +6210 2877 +11460 -413 +5811 -1685 +-4996 -5627 +-3152 -4073 +-4101 238 +108 3465 +3665 3693 +390 1631 +-7265 -425 +-8614 7692 +-5747 10179 +-8065 5504 +-3511 858 +2509 -11585 +1397 -4288 +392 2448 +1944 1165 +-9582 -4666 +-17400 -5947 +-10020 2546 +-992 9325 +2586 3759 +798 -6520 +5093 2632 +686 -1220 +-6314 -10134 +-1685 680 +2563 -640 +-298 -2349 +1487 2306 +11454 1650 +-1310 -2253 +-3815 -4102 +5560 -2252 +-6479 44 +-7424 5823 +4261 11211 +12827 12496 +5636 4585 +-3305 -2544 +-1295 -24 +5726 -3898 +15459 -8655 +12415 -1695 +5910 2210 +1680 3484 +-1644 4117 +-179 -463 +3448 6966 +-1482 8434 +-7961 -1783 +-1623 -3182 +-685 -4255 +-1239 -1082 +-2970 -42 +-5000 -2702 +2050 -879 +-2579 -1624 +-8973 -939 +-2460 -854 +4419 936 +8056 3078 +1843 -988 +-1041 -1701 +6192 367 +2049 1086 +1565 -1173 +3213 -3580 +3556 -1021 +6575 3587 +-4971 8115 +-6064 3333 +1233 -390 +-1401 4624 +-4460 1399 +-7517 -2567 +-10601 -8854 +-8542 -13885 +-1455 -3691 +1918 1556 +2264 -422 +-10551 2789 +-17534 6887 +-6179 8349 +5378 7468 +4451 1023 +-3772 -5341 +5592 -1395 +-270 -1435 +-11597 -3393 +-870 1575 +1592 -2412 +-1671 -3701 +503 -2385 +4281 -5669 +-3031 1151 +-7207 6312 +-90 -1576 +2640 -1052 +-1517 1711 +-4913 -5382 +-178 -4907 +4063 -427 +12649 433 +12651 2304 +-1154 -16 +-8180 -2347 +-5304 -5195 +3707 -7452 +5248 -5029 +-1608 1888 +-11067 10110 +-9602 6179 +-2334 -126 +-1474 -5410 +1915 -6360 +1396 1788 +-2941 409 +987 -1286 +9360 1581 +800 -1213 +-7345 -2864 +-2025 -4188 +-583 -10403 +1307 -7298 +-5083 -5863 +-8321 -10807 +4571 2103 +7023 4843 +-742 3204 +4282 8596 +11735 2713 +3098 764 +-8602 -1297 +-6583 6292 +-1543 10290 +-2692 3281 +3326 6026 +3452 5646 +-431 2613 +3251 796 +4568 5527 +4681 4160 +4659 -2202 +10367 879 +6447 -5557 +34 -7037 +-300 6255 +103 12252 +7217 5064 +2631 551 +1666 8362 +-1054 2300 +-9153 -12036 +-4475 -4861 +-7937 -228 +-9001 -4032 +-2111 -3617 +-300 -6764 +-1555 2889 +-1289 9304 +-1142 -1145 +1255 -2548 +-36 2226 +-7435 -599 +-3516 -3388 +2755 -3018 +9859 -8155 +11239 -6691 +-811 859 +-7807 3807 +-7571 -94 +-1961 -5053 +516 -637 +-3597 -1153 +-7277 640 +-3899 -839 +3140 -5173 +3771 -5614 +4924 -4370 +6341 3188 +4653 4657 +4539 -521 +7820 3353 +2229 5835 +-1553 566 +7412 2770 +1668 1696 +2819 191 +6778 3106 +-666 -2089 +6830 -1556 +7517 4076 +2560 3113 +7055 40 +5702 3347 +-1123 7290 +-1874 6322 +-1969 4335 +-3873 -3540 +-4575 -5123 +-2756 -575 +879 469 +-3095 1032 +-3748 -7766 +-6452 -11026 +263 -3943 +12107 1384 +-543 4924 +-8032 -1580 +-7094 -4739 +-4420 2403 +18 4149 +-1358 -6762 +-2626 -6410 +-2693 10859 +-2716 9012 +-5533 8891 +387 15961 +7797 5719 +7468 -8637 +311 -16207 +-4874 -8453 +-1080 -8889 +-1482 -8466 +-3620 8479 +-1782 5053 +181 -5275 +-5348 -1316 +-8185 4641 +-6386 -345 +3009 -5116 +11790 943 +-3139 5713 +-8606 10828 +-3678 5783 +-2082 -2350 +801 120 +-3620 1419 +-5379 5080 +-3628 1865 +-870 -5821 +-611 -6565 +1096 -6397 +7026 -1569 +6638 557 +3184 -3265 +8232 -2450 +5398 2920 +-951 1385 +7504 -2675 +1979 281 +-2680 -730 +2462 -3235 +1664 -4094 +2352 4930 +12273 10955 +11485 5167 +3081 4104 +-2442 810 +5024 -10953 +1923 -8558 +-1793 -1390 +1799 244 +-1875 -709 +3028 -7286 +2599 -5992 +4238 -3298 +5649 -687 +6406 1903 +6555 1764 +-1522 1488 +1111 -1383 +1581 2976 +9213 3395 +10100 1666 +-3843 171 +-911 -7129 +2428 -13690 +-2481 -14814 +-1708 -2102 +4700 2351 +-727 5148 +-7064 13700 +769 4771 +6094 2545 +-4133 5927 +-15897 -2173 +-5050 1207 +5782 8067 +2647 3027 +-2180 1288 +-9411 37 +-7694 1861 +264 4026 +-1837 -218 +-1877 -603 +3940 -2256 +3125 -3187 +2517 -2880 +2728 -2889 +2783 -3437 +1676 -3275 +556 2606 +-189 1889 +-3944 1290 +-164 9055 +1081 8354 +-1846 371 +-4258 -3189 +-7776 -3245 +1360 7785 +3718 14602 +-6495 1411 +-3346 -6198 +-5899 1911 +-12792 8632 +-3458 -364 +-974 -4360 +5043 2167 +16659 164 +12254 -3684 +5073 985 +-1401 2101 +-3216 -11381 +-4001 -7572 +-2279 55 +1585 -1042 +-1892 602 +-2298 -7422 +-1781 -6132 +1156 -5051 +3229 -1189 +4710 1481 +7617 -5497 +2959 -1471 +-225 1672 +3661 4735 +2923 -7852 +3750 -7476 +4488 4839 +-2143 -4048 +1433 -4607 +5546 -329 +2852 1884 +532 4635 +-5676 230 +-3651 -2893 +6035 -409 +4025 3045 +-3759 3626 +-3514 -379 +-3912 -3202 +1709 -4565 +2880 -2015 +-6700 1764 +314 -9571 +10 -6712 +-5575 4514 +-53 615 +-2588 -3789 +-4890 -7083 +-4436 5145 +359 9643 +2374 2103 +-4783 5420 +-8473 9022 +-6782 6355 +936 1040 +6315 6052 +-261 5697 +-10244 1734 +-4885 3792 +330 -3358 +-3957 -13919 +3718 -14581 +2886 -8430 +-6775 -3339 +-4662 5684 +-28 9790 +3877 8078 +6146 1411 +3789 -7229 +-6691 -8354 +-6896 -13413 +1078 -12847 +-61 -774 +6984 2414 +9448 -974 +-389 6524 +-4424 7247 +1576 730 +9640 4530 +9779 5889 +4197 3318 +-1907 3498 +3281 9010 +5817 960 +2283 -9444 +1370 -2979 +909 1397 +5996 1189 +-2747 -7679 +-1906 -7869 +6750 741 +-4257 -1588 +-1868 -4307 +4071 -3824 +3447 -464 +3483 3018 +-4188 3880 +-4741 108 +4735 -8211 +8371 -11468 +4828 -2057 +-1493 66 +122 935 +5679 3628 +4061 3821 +3785 4111 +-3574 11841 +-7830 7413 +4926 -5378 +10708 -2946 +7155 -966 +-1423 2519 +-258 -2075 +6614 -1668 +-3939 6277 +-1372 -1437 +2745 241 +-3452 8416 +-5037 9130 +-3857 1257 +10878 -7365 +11360 -155 +1873 5424 +2807 4742 +2189 5348 +505 -710 +-505 -831 +-5010 5525 +-14547 6357 +-5771 5304 +930 -583 +-5503 -4601 +-1119 -2511 +1566 1183 +6341 -526 +7966 -7065 +-699 -2315 +-2227 -65 +2150 -10072 +-2423 -10054 +371 593 +10024 1702 +4605 -380 +166 -2424 +-4778 -9435 +-11545 -9193 +-13418 -4067 +-8786 1414 +0 2095 +3080 4864 +5625 7173 +-1710 -558 +-11190 3241 +-6704 5912 +-171 -2500 +-2327 2089 +-1829 10715 +3137 4208 +944 -394 +-3961 -288 +-6162 -2754 +988 -210 +1636 -9768 +-1739 -15343 +2499 -4651 +-1121 1066 +634 568 +6804 -930 +5656 290 +5618 735 +4746 11240 +-1464 10449 +441 -7305 +6591 -9066 +5069 -5261 +539 2845 +-1583 -1370 +4059 -5569 +862 7709 +2415 4718 +11601 -4574 +8641 -4639 +6602 5022 +-1745 5288 +-8069 7650 +-202 8327 +-875 4005 +-6753 4855 +-4351 -2316 +-2730 -621 +-2377 -4890 +-3299 -7589 +-4858 -1124 +-3660 -1430 +-3812 2154 +-4740 2258 +-3125 7885 +-2395 3935 +-3121 -17 +-4856 1574 +-5980 -5645 +-217 -4724 +-1020 -8622 +-7872 -6927 +476 -4646 +8413 -3932 +8967 5087 +10172 2422 +-1857 -4712 +-8290 -5733 +-2739 -2064 +-6994 -3453 +402 1773 +10025 15153 +-1347 8421 +-7922 -4249 +-3537 4080 +3644 10181 +6320 2450 +2645 -2504 +3312 -5079 +5707 -1932 +7036 -802 +3924 -3751 +-2314 -4332 +2062 -4053 +4336 2645 +1365 4262 +5794 -1529 +5643 -4848 +5292 -1881 +5497 1395 +2936 -1513 +1683 -7509 +-5169 -8797 +-5416 1852 +-3330 7078 +-7212 -8814 +-3385 -16875 +-979 2183 +-2903 8456 +-496 3649 +-1841 9598 +2655 1857 +6360 -11062 +3622 -9097 +8393 -630 +2155 4958 +-1303 10834 +5318 6147 +2037 3452 +-2029 7954 +-4934 1104 +-2509 1293 +-5061 -2024 +-7556 -3335 +-4436 2392 +-3587 1376 +2604 4274 +7407 1149 +4545 3952 +939 13746 +2996 9148 +9713 2592 +7917 -1378 +9634 -3234 +4662 -2486 +-5469 -710 +-650 -2833 +3094 -1475 +847 4130 +634 6134 +4330 10184 +2914 5970 +-8805 -2813 +-10950 -1422 +-5030 1084 +-3169 -469 +1645 -3130 +3091 872 +1633 3975 +1002 -7257 +329 -10627 +-1762 -7461 +-6609 -7334 +-10967 919 +-12959 2098 +-3850 -4764 +-4823 -7785 +-6982 -13 +4392 181 +6774 -8477 +5864 5782 +-3625 10525 +-4685 -209 +4444 549 +3042 -1267 +-2258 682 +-6730 4945 +-2636 1200 +1126 3702 +3106 6258 +2624 -1343 +-692 -5440 +-9383 -7708 +-7025 -7866 +13239 -2603 +11112 -2242 +1136 -4147 +-3028 -1250 +-6367 4357 +-1889 2620 +2414 2935 +2656 7227 +619 167 +-2449 -3135 +-3270 -669 +3928 4001 +-4236 10208 +-7987 -1046 +-51 -11045 +-2533 -329 +7479 3259 +8785 -4601 +6016 -199 +9936 9418 +8476 4573 +9250 -4677 +5191 -6361 +5196 -8873 +1899 -4877 +-4661 3134 +-2400 -1651 +1323 -3113 +3408 2426 +4565 4073 +10070 4489 +6456 2312 +-5393 2896 +774 -995 +9945 -8318 +4682 -695 +18 234 +7983 -7760 +10281 -7431 +5658 4406 +4206 -973 +-1564 -16403 +-5075 -5923 +1887 482 +8853 7378 +5239 11497 +706 -2117 +1934 2639 +-1097 3282 +-1479 -18 +405 14101 +-4538 9011 +-954 -1941 +801 -9551 +-7492 -13218 +-4573 4333 +-4507 6298 +-13023 -3090 +-8815 -2627 +544 932 +-6104 1362 +-11873 -2599 +-1229 1481 +1553 3230 +-1695 3443 +2022 871 +5448 1725 +4982 7289 +3484 3823 +405 2164 +-9010 -612 +-11731 -1426 +-4597 -1179 +4309 -832 +5555 -2873 +2442 -3558 +6705 4024 +6859 1350 +875 -4039 +-5027 -7580 +-5301 -6062 +-3337 1732 +-640 7976 +676 6923 +-5002 556 +-4425 4374 +-1482 2290 +1250 -7000 +3152 -11788 +2480 -6589 +1829 3362 +2468 5609 +4328 7378 +-983 4919 +559 1408 +4134 -145 +2063 2267 +1701 -2594 +2183 -12402 +3254 -3528 +206 80 +1079 -11017 +3052 -12217 +7289 -512 +2638 -3481 +-12095 -10789 +-7829 -782 +1758 -822 +11799 -502 +11842 4801 +-793 -1980 +3588 733 +4499 4002 +-42 384 +-127 -94 +15 23 +0 1 +-3 4 +-2 7 +-3 10 +-9 5 +-9 -2 +-8 1 +-2 -3 +-8 0 +-6 3 +1 6 +3 3 +2 -2 +-4 -5 +-4 0 +-1 -2 +1 -7 +4 5 +6 8 +-1 6 +7 3 +10 1 +8 -3 +0 1 +-7 -1 +-7 5 +-4 3 +-3 0 +-6 1 +0 1 +-2 3 +-5 3 +3 -2 +5 4 +4 -5 +-2 -8 +-3 -1 +7 4 +7 5 +-17 4 +-42 7 +-57 9 +-57 -2 +-40 -10 +-107 -6 +-2135 -275 +-7896 -735 +-6882 1879 +4018 4159 +5056 -504 +-1962 1962 +1312 6548 +4382 -3591 +1929 -9848 +1492 -9296 +3816 -6108 +3167 5170 +-2025 5117 +2092 -1759 +6250 2650 +-4242 4234 +-10095 708 +-9408 516 +-6338 3125 +4810 3455 +5091 -1365 +-1547 2360 +2527 6279 +3707 -4365 +60 -10155 +-253 -9517 +2724 -6767 +4148 4522 +-1076 5408 +1728 -2131 +6720 1454 +-3452 4986 +-9936 2658 +-9234 2397 +-5684 4375 +5503 2448 +4781 -2390 +-1165 2605 +3788 5635 +2792 -5068 +-2082 -10065 +-2233 -9362 +1267 -7209 +5025 3604 +31 5551 +1267 -2461 +6973 51 +-2474 5580 +-9391 4531 +-8852 4138 +-4896 5425 +5992 1320 +4331 -3300 +-634 2798 +4862 4887 +1946 -5471 +-3819 -9510 +-3818 -8804 +49 -7373 +5665 2700 +1057 5527 +874 -2624 +6963 -1127 +-1435 5976 +-8522 6169 +-8039 5654 +-3822 6193 +6222 237 +3712 -3955 +-95 2886 +5708 3830 +774 -5754 +-5671 -8513 +-5493 -7806 +-1403 -7171 +6130 1507 +2116 5125 +291 -2799 +6651 -2475 +-299 6071 +-7360 7596 +-6980 6977 +-2751 6707 +6179 -815 +2986 -4514 +355 2826 +6326 2829 +-102 -5839 +-6963 -7542 +-6770 -6876 +-2610 -6872 +6336 550 +2919 4759 +-126 -2790 +6277 -3490 +731 6012 +-6042 8618 +-5732 7975 +-1605 7076 +6011 -1840 +2263 -4955 +866 2731 +6759 1711 +-1090 -5667 +-8204 -6217 +-7880 -5629 +-3701 -6339 +6424 -513 +3700 4207 +-630 -2698 +5561 -4502 +1744 5835 +-4458 9583 +-4244 8858 +-332 7232 +5577 -2848 +1308 -5262 +1300 2507 +7045 451 +-2076 -5367 +-9307 -4626 +-8865 -4091 +-4858 -5501 +6219 -1669 +4441 3457 +-1063 -2550 +4756 -5382 +2707 5446 +-2808 10115 +-2754 9402 +864 7130 +5070 -3790 +418 -5382 +1717 2235 +7081 -707 +-2895 -4955 +-9938 -3007 +-9385 -2541 +-5724 -4592 +5858 -2613 +4982 2668 +-1448 -2340 +3864 -6002 +3572 4905 +-1146 10379 +-1206 9696 +2054 6828 +4493 -4570 +-397 -5350 +2079 1960 +6892 -1772 +-3720 -4339 +-10345 -1321 +-9705 -1012 +-6381 -3650 +5428 -3491 +5323 1882 +-1879 -2095 +2877 -6524 +4317 4230 +694 9434 +-1947 5799 +-4579 -1214 +-2254 -10264 +-6232 -7092 +-8277 -3373 +1446 -4783 +4953 -731 +4752 5826 +6872 -918 +4198 -8711 +5267 -2449 +3291 3643 +-2079 7671 +5542 4377 +7494 -66 +-1964 3905 +-5418 -2445 +-7481 -5092 +-5312 2771 +325 6037 +-4023 4763 +-8315 -3416 +-6262 -1932 +-2294 4187 +5161 3248 +2048 6119 +-8488 4965 +-4257 6577 +5914 5572 +9888 -1867 +4704 4039 +-2777 6836 +2318 2187 +5353 -3359 +3976 -8810 +6643 -1293 +3735 5510 +4385 1057 +4928 -7014 +1984 -7304 +4257 1196 +-3404 4361 +-5843 6449 +5090 6264 +1857 4200 +-5641 2748 +-7336 -4161 +-7315 -154 +-1625 7792 +-2272 3345 +-4984 -2454 +3296 -7103 +4125 -9617 +-3716 -9734 +945 -5220 +3256 2082 +-7317 1912 +-8742 4748 +-4716 9035 +-6734 -591 +-1253 -8018 +9490 -2996 +8677 -4824 +3587 -8226 +1657 1562 +-4276 2493 +-8456 -6323 +-9528 -1375 +-8741 2743 +-1959 -4532 +3422 -3691 +7527 1344 +4848 -5105 +-2359 -9165 +2486 -5195 +4903 644 +3272 7142 +7180 -261 +5859 -4891 +979 4135 +-5843 1627 +-9219 1006 +-2395 5477 +4195 4330 +-45 6208 +-8815 2764 +-6293 2576 +852 4575 +6164 -923 +5685 3225 +-3388 9136 +860 7666 +8244 327 +6562 -7806 +6335 -97 +2270 6832 +3262 124 +2071 -5996 +-2707 -9162 +4248 -5326 +6510 1607 +4083 -2086 +-818 -8374 +-3323 -6625 +4109 -1930 +316 5498 +-307 8620 +8022 1262 +4170 1923 +-2548 5761 +-8445 1811 +-5851 4736 +3809 6800 +411 3942 +-5507 1423 +-2120 -7405 +-3128 -9787 +-9287 -4708 +-2688 -4470 +3957 -648 +-4472 6181 +-3769 9260 +2264 9747 +-5616 3904 +-6317 -5090 +5383 -8384 +3665 -9235 +-2589 -8333 +2332 179 +-1587 4654 +-10716 804 +-8370 5148 +-5002 7662 +-4481 -2114 +193 -4965 +6779 -3860 +565 -6974 +-7779 -5374 +-1383 -5549 +4285 -2716 +7166 3204 +5484 -4825 +1471 -7396 +3519 2488 +-3473 5051 +-6602 6800 +1726 5656 +6100 516 +4013 4674 +-5093 7769 +-3301 6017 +3667 2888 +4286 -4629 +6579 -1084 +3150 9137 +5601 5194 +6867 -5117 +333 -10109 +5014 -4065 +6022 3639 +1746 5783 +-1370 12331 +-2457 9347 +2130 6086 +1663 935 +-3729 -2939 +136 -1672 +157 -3419 +-5950 -7101 +-2762 -10256 +5218 590 +13972 5758 +10923 -10493 +3069 -8161 +3656 8737 +-303 10474 +-174 4219 +2007 477 +-1790 3326 +-37 349 +-458 -6768 +-6019 -6099 +-8433 -8734 +-2343 -5102 +667 6538 +-5260 4941 +-1776 -480 +877 -5646 +-1673 -9415 +-1258 364 +-5109 -284 +-4927 -11009 +-2422 -5300 +-2266 -2468 +-3959 -3107 +-6474 7737 +-1018 9953 +-880 2075 +-2642 -3396 +5207 -6448 +8016 -3939 +5853 3505 +3696 5251 +3725 -1179 +3266 -3964 +-2849 -4486 +-3282 -6727 +4049 -6516 +7874 -4323 +3750 2325 +-1734 2599 +-7682 -2230 +-10635 2760 +-485 5523 +3862 3119 +2645 3433 +9304 6543 +2560 6543 +-3486 1193 +6735 2897 +7439 2252 +595 29 +-4399 4292 +-7140 -706 +1461 507 +7495 10100 +4158 8569 +5592 3154 +1914 -372 +-4790 132 +-935 -1391 +-2036 -2696 +-9215 -1541 +-8776 -5924 +4366 -2912 +14641 -4683 +1843 -14801 +-2857 -7955 +8365 4106 +5473 6174 +-7 2162 +3553 3493 +2635 2189 +-2138 -611 +-980 -3631 +4854 -3123 +3553 -724 +-4547 5534 +-4305 6930 +-1268 -187 +296 1237 +3161 -3025 +1775 -11053 +2789 -5592 +843 1990 +-9033 1499 +-7514 -5876 +738 -4881 +1355 -488 +2846 133 +4525 5126 +4975 4430 +8246 3342 +4469 4438 +-220 5336 +1860 9643 +2089 5356 +2596 53 +-1463 -1562 +-3034 628 +6447 5942 +5349 -2934 +179 -9176 +2202 1737 +1368 12507 +3046 9485 +3695 -2272 +375 -8668 +-1856 -14781 +-5029 -12162 +-1877 536 +5706 4615 +3007 7952 +-1855 4440 +3767 -3745 +1680 1553 +-6831 2196 +-1277 -4413 +922 -480 +-3330 5676 +788 1508 +304 -5387 +-3233 -5148 +4011 1243 +8206 5202 +-2353 3322 +-8097 6226 +-5802 2075 +-6956 -11229 +-5321 -5734 +-8863 4898 +-10198 3805 +-1514 -210 +-3584 -4134 +-3014 -1607 +5319 443 +3537 -78 +-2064 935 +-3179 -2030 +1685 -5431 +2313 -2811 +19 7101 +1144 7910 +-1129 648 +987 744 +517 -4323 +-5770 -9402 +-1459 -5915 +2056 920 +-2828 5651 +-1060 -3754 +-2666 -8440 +-4492 975 +-5875 -410 +-1672 -9115 +-690 -3107 +-7771 7406 +-635 9209 +-2263 2111 +-9768 -4324 +-223 -6615 +1839 -9384 +-533 -8015 +-3123 -3501 +-4935 -2954 +4183 -1673 +3220 2397 +851 6011 +8046 7032 +6946 2758 +6623 1829 +10620 4523 +7073 -1213 +31 -5999 +-307 -1444 +7611 734 +11565 -2324 +4250 973 +-4440 3103 +-5160 -4830 +-1967 -2690 +30 4976 +1296 853 +1607 -4846 +2077 -1890 +-757 9678 +-8544 9813 +-6490 2590 +2533 1546 +-5245 -8602 +-10578 -7784 +5879 1150 +9903 -4461 +2100 -4639 +6189 -2845 +6259 3535 +1209 10588 +5442 -471 +5601 822 +-4765 8764 +-8625 2372 +-3330 2746 +1888 6679 +5779 4328 +-676 1196 +-11250 -776 +-10739 -4934 +-3523 -4907 +5075 4323 +3324 7856 +-1779 7049 +369 4687 +-2406 -5235 +-633 -10818 +-1216 -8780 +-7642 -3662 +-2840 3849 +-4752 3603 +-7408 -5516 +-2569 -1858 +-959 10444 +5680 7040 +-264 3020 +-10376 3314 +-4571 -4685 +-4792 -8056 +-5718 -5466 +-4670 -507 +-5841 1152 +421 -1568 +-4188 7300 +-13830 10915 +-9261 3135 +-792 -5492 +1859 -10474 +374 -10630 +359 -1846 +7669 4424 +5807 1400 +1682 -1870 +4988 -500 +124 4459 +-6804 6013 +-5939 8865 +-1219 12574 +1020 9006 +715 2054 +464 -2592 +24 2757 +-836 3715 +-4498 -5052 +-2386 -4567 +3137 -3797 +2914 685 +2430 6181 +-118 -3686 +170 -3061 +1394 6770 +-3589 2852 +-4755 -1527 +-3399 3020 +-1452 7707 +2168 4166 +4975 1131 +3564 3691 +-120 -1007 +5317 -7331 +4329 -5242 +-4520 -2750 +-1485 -2864 +-432 -627 +-977 1666 +4833 3185 +1626 8190 +-3805 6533 +4349 -4744 +11460 -6599 +5302 -4180 +244 -6606 +-561 301 +-842 968 +-1602 -9003 +-2547 -8728 +1611 -9880 +-4113 -1801 +-8908 8959 +-209 -6412 +-4525 -5882 +-9934 7566 +-4392 -39 +-63 -3877 +3743 -6611 +566 -8205 +-186 3384 +1772 13561 +-3589 16811 +-5179 8284 +-4229 -3513 +-5378 -8905 +-6609 -8018 +-927 -1575 +8814 -1644 +5433 -2686 +89 -2477 +3510 -3600 +2985 3252 +-1400 8937 +1151 10383 +7265 9938 +5785 5133 +628 599 +-202 -93 +9 25 +2 -4 +4 -3 +3 -1 +3 -4 +5 -3 +0 -3 +-2 -3 +-1 1 +-1 -4 +-2 0 +0 6 +-3 7 +-3 3 +-3 -1 +2 0 +3 -3 +4 0 +0 10 +-5 6 +-6 11 +4 6 +5 3 +-3 -2 +-2 -3 +-1 -2 +4 1 +1 2 +0 2 +2 0 +-7 4 +-3 9 +2 6 +0 3 +-1 1 +0 -2 +4 1 +5 5 +2 7 +3 4 +2 2 +-3 5 +-1 0 +1 4 +2 0 +2 -9 +4 -2 +-4 2 +-6 -5 +-2 -6 +-4 -2 +-2 -1 +1 1 +-4 0 +-3 1 +-2 3 +-3 -7 +1 -9 +1 -3 +-1 4 +-1 1 +-5 3 +-9 4 +-2 -1 +2 0 +1 -1 +1 3 +0 1 +1 4 +6 4 +-1 4 +2 4 +7 -1 +3 2 +3 3 +-4 6 +-4 7 +0 3 +-6 -1 +-1 -3 +-3 -3 +0 0 +-2 6 +-5 6 +-3 1 +-5 1 +-4 -1 +-4 -3 +1 0 +4 0 +-3 -3 +-1 1 +4 0 +-1 8 +-4 6 +-4 1 +-6 -5 +-5 2 +-3 2 +-2 0 +-1 2 +-3 5 +-2 9 +-3 8 +-2 3 +-4 -3 +-5 -4 +-5 3 +1 2 +4 1 +11 5 +5 3 +3 2 +0 0 +2 6 +-1 -1 +2 3 +4 2 +4 6 +5 3 +-1 4 +-2 6 +-4 3 +-2 3 +-4 -1 +4 0 +5 5 +8 2 +4 5 +-4 5 +-2 3 +-1 -3 +-1 -3 +2 0 +3 0 +2 -8 +-1 1 +1 6 +2 2 +2 -6 +4 -5 +3 -7 +-4 -7 +-9 12 +-31 25 +-29 33 +-17 18 +-28 4 +-200 -458 +-1547 -4697 +-4029 -8677 +-4446 -216 +834 7696 +1611 745 +-5859 1369 +-1229 5221 +9189 -977 +9656 -3883 +9547 -2465 +3340 3476 +-6723 4618 +-1876 -972 +3452 4883 +-3021 4917 +-6478 -6465 +-4878 -8917 +-5767 -7571 +-4489 860 +2391 7522 +1742 466 +-5647 2556 +-283 5421 +8976 -2804 +8906 -5748 +9053 -4206 +3946 2914 +-5881 5865 +-2017 -610 +4419 4247 +-2135 5399 +-7705 -5351 +-6539 -8028 +-7249 -6491 +-4484 1637 +3716 6987 +1842 93 +-5202 3506 +691 5373 +8421 -4486 +7765 -7408 +8185 -5967 +4513 2005 +-4691 6872 +-2191 -250 +5234 3288 +-1057 5766 +-8788 -3624 +-8122 -6530 +-8501 -4940 +-4086 2481 +5152 6076 +1920 -277 +-4447 4504 +1773 5252 +7539 -5935 +6387 -8758 +7118 -7415 +4912 1174 +-3401 7709 +-2204 193 +5741 2380 +-84 5859 +-9391 -2169 +-9222 -5128 +-9310 -3399 +-3602 3261 +6185 5154 +1816 -560 +-3670 5235 +2680 4699 +6357 -7337 +4643 -9782 +5576 -8596 +5105 184 +-1818 8201 +-2172 590 +6168 1030 +1188 5676 +-9699 -164 +-10231 -3077 +-9976 -1419 +-2950 3848 +7164 3722 +1676 -969 +-2499 5844 +3693 4041 +4882 -8420 +2723 -10455 +3896 -9502 +5051 -741 +-301 8387 +-2031 1019 +6292 -27 +2240 5389 +-9560 1638 +-10600 -1144 +-10061 437 +-2216 4317 +7796 2455 +1551 -1166 +-1522 6209 +4306 3454 +3443 -9039 +931 -10697 +2298 -9887 +4905 -1511 +1061 8245 +-1826 1308 +6233 -1023 +2975 4904 +-9318 2954 +-10729 435 +-9950 1971 +-1612 4617 +8094 1243 +1347 -1417 +-610 6269 +4787 2688 +2077 -9489 +-670 -10786 +757 -10205 +4603 -2298 +2300 7935 +-1678 1551 +6025 -1945 +3770 4416 +-8774 4383 +-10622 2029 +-9656 3330 +-931 4733 +8205 -46 +1105 -1628 +376 6300 +5241 1946 +582 -9565 +-2386 -10412 +-851 -10144 +4240 -3027 +3684 7462 +-1338 1809 +5706 -2925 +4524 3726 +-7958 5808 +-10150 3837 +-8926 4967 +2 4811 +8201 -1434 +848 -1728 +1409 6127 +5442 1004 +-1066 -9523 +-4093 -9857 +-2501 -9791 +3698 -3619 +4789 6756 +-1087 1947 +5110 -3833 +5007 2904 +-6758 6866 +-7654 4032 +-5141 -1492 +4180 -6723 +8370 -8263 +175 -10666 +1692 -6306 +5986 2022 +-778 5631 +-1556 8166 +8507 2381 +8528 -1 +1873 5763 +-5364 2808 +-7102 4022 +2963 8744 +-143 4014 +-4395 -2826 +2618 -8868 +-1907 -8099 +-5600 519 +-6257 2875 +-4415 -5915 +1123 -9877 +-3921 -3795 +-3047 3024 +-1373 7788 +-7565 -77 +-8461 -5775 +-7019 4723 +2536 8969 +4724 8011 +-6446 3925 +-5324 820 +2242 4847 +8457 274 +9139 1187 +-141 7241 +-2839 5339 +5296 3823 +9821 -2064 +4967 874 +-2111 3991 +-8075 -3838 +-6980 3116 +-1871 8038 +-5113 -71 +-2815 -6351 +406 -9836 +-6703 -2260 +-6699 3245 +-2553 -4133 +3403 -4457 +10999 580 +9262 -5482 +6490 -7848 +3363 2881 +-3106 456 +-6701 -8094 +-10337 -1470 +-8486 -1452 +2414 -8650 +8013 246 +7474 8505 +10114 989 +5762 -148 +-4435 1952 +-1416 -7561 +1102 -11573 +-6840 -7937 +-2856 -5773 +5942 -2461 +3085 4662 +4163 7006 +8141 -3879 +6552 -5624 +5249 2986 +-2349 5646 +-2898 7679 +8046 4415 +2616 3023 +-5267 850 +-3866 -8284 +-6860 -4699 +-4009 4099 +-2926 6266 +-7353 -1395 +-5649 -8013 +-5546 -219 +-352 4232 +4186 6592 +-5819 4988 +-10382 1446 +-2274 8145 +7951 4916 +9030 2742 +-2307 7126 +-3590 4137 +4935 2111 +6782 -5332 +7957 -5118 +4640 5409 +1236 5764 +6559 -625 +6337 -7845 +4453 -2506 +914 4340 +-8948 2366 +-3517 6878 +3748 7191 +-4078 3216 +-6379 -2944 +-6111 -7643 +-6782 2666 +-3230 6739 +-4755 -1416 +-340 -5461 +8972 -6703 +3645 -10127 +-80 -9993 +4588 -32 +-2049 2351 +-10518 -1540 +-9066 5686 +-7594 4335 +-3829 -8087 +6379 -4992 +11485 1560 +8699 -5837 +4471 -3819 +-2167 4419 +-6053 -4678 +-6686 -9372 +-10597 -1502 +-6057 -2505 +3086 -5790 +5483 1409 +7869 2478 +3918 -8148 +1519 -8352 +6093 -1183 +1959 5663 +2846 7480 +9171 -2047 +4029 564 +-3520 4086 +-8424 -3475 +-8435 1120 +-423 5648 +1841 6529 +-6661 3820 +-9739 -2086 +-4421 3541 +2554 3296 +7665 2083 +-1096 7563 +-7014 7975 +3786 7432 +9404 -1788 +8715 -4037 +3117 6710 +-833 5372 +1646 2295 +5616 5473 +12164 2495 +4911 618 +-14797 1924 +-13984 1337 +772 705 +3397 -5460 +6949 -1427 +4002 6070 +-1805 3595 +5011 5011 +4350 248 +4580 -969 +3688 6829 +-5568 4636 +-1423 1797 +723 -7970 +-2105 -17638 +1178 -2536 +2836 12459 +6003 7620 +4060 1807 +407 1470 +-2619 -814 +-5154 -2111 +-2013 -980 +-3147 4603 +1092 9360 +9003 606 +7065 -1148 +4110 5603 +1642 -4355 +6074 -10485 +9657 -2164 +-173 414 +-5888 -1980 +-5965 702 +-6447 5275 +-6278 2959 +-9757 2812 +-9365 1987 +-3480 -9550 +-5763 -6059 +-10165 5884 +-6265 -3432 +-4537 -10703 +-3703 -6198 +-407 -7413 +-2376 -6024 +-5359 -1655 +-2566 -1083 +5005 4957 +1719 11944 +-4386 7686 +4920 -1163 +6666 1450 +4660 7053 +8551 -2418 +2752 -8190 +-1977 -1477 +-4139 -1089 +-4284 -1964 +714 -586 +3345 416 +7901 569 +11101 -5949 +4177 -2592 +-10514 10879 +-10299 9849 +1067 0 +-725 -6314 +4588 -5379 +7023 2146 +841 3909 +7210 643 +3645 -2593 +3010 -3630 +7772 2158 +1558 699 +1628 -6096 +1801 -2592 +2056 -1367 +6353 -1519 +11517 8576 +9419 10252 +3470 -943 +-2226 -1693 +-1662 -7405 +4740 -6903 +2012 -2436 +-1597 -16866 +1448 -11001 +-3421 1281 +-5851 -6479 +-3054 -4738 +-6860 2405 +-8679 10316 +-7926 12543 +-4372 3915 +2411 -1705 +2722 -5236 +-1419 -4909 +-7170 -5026 +-4403 -5796 +2921 -1333 +-3416 1913 +-5391 1197 +4999 -2953 +12093 -2899 +13093 -5550 +5541 -5260 +-2324 10990 +-4327 10297 +-3485 -3576 +2738 -1202 +6807 2922 +5608 1633 +2811 209 +-1845 4501 +223 9390 +4459 1955 +-880 -3832 +-3558 -522 +-3504 921 +-4942 1795 +6253 4414 +13152 1636 +3819 -2918 +266 1596 +667 9185 +-5265 5714 +-7988 -1863 +3396 3042 +11527 4898 +-947 -882 +-7539 5001 +-5078 6446 +-5092 490 +2861 -759 +2915 -2579 +-6406 2809 +-5919 2384 +-3046 -8210 +-2568 -7939 +-312 -2626 +634 -2410 +3862 -5337 +14551 -1303 +14066 1315 +2044 -2943 +-2859 237 +-6095 -4448 +-807 -8223 +11 -3150 +-12350 -11549 +-6156 -9096 +-1837 3116 +-8858 -1413 +-4216 -4922 +687 -259 +-2117 1527 +-6332 -4206 +-1220 -3779 +5006 837 +4717 5114 +2804 1394 +-1235 -1936 +4874 6552 +8985 -133 +280 -13155 +-5653 -8337 +-11477 -16 +-321 7445 +11092 6130 +-3669 2088 +-2528 6739 +6888 4952 +1990 1252 +804 -331 +-3297 -6778 +-3559 -9097 +2904 -2261 +-3537 3311 +-6760 -2424 +3607 -3466 +-268 2508 +-10005 835 +-1301 -523 +4086 -3391 +2642 -6065 +8009 -5262 +6920 -9791 +-414 -8199 +1298 -1827 +8012 163 +3267 278 +2414 -4199 +9916 -7997 +2560 -10009 +-102 -4163 +1144 9723 +-12393 10393 +-18168 1604 +-10443 -6476 +148 -10379 +2262 -2217 +43 5402 +4471 5859 +2943 3962 +3224 1454 +6028 4962 +1499 7796 +-862 9913 +-733 13390 +4064 7133 +5211 1065 +299 -85 +-510 -2847 +-5216 -3302 +-4927 -691 +1888 7385 +-1812 8687 +-6098 -987 +-490 -1346 +-598 2555 +-7670 1038 +-3481 -1982 +4380 -2683 +6989 615 +3071 -838 +-2254 -629 +7991 1562 +6920 -6012 +-8290 -9886 +-9788 -2435 +-8957 7468 +4541 5694 +13103 -2556 +-238 4063 +-5940 4085 +-4865 -8237 +-2810 -10235 +-4070 -8845 +-4899 -5 +705 8119 +1805 477 +-6399 953 +-1688 5574 +9727 -560 +10170 -3761 +9753 -2167 +3131 3906 +-7079 4555 +-1512 -1185 +3617 5355 +-3404 4982 +-6485 -7218 +-4509 -9478 +-5518 -7848 +-4834 986 +2054 7845 +1796 148 +-6212 2015 +-670 5630 +9552 -2374 +9400 -5530 +9250 -3839 +3779 3303 +-6226 5661 +-1687 -934 +4565 4590 +-2498 5442 +-7715 -6013 +-6178 -8591 +-6880 -6788 +-4615 1695 +3415 7206 +1806 -192 +-5757 3165 +418 5651 +9071 -3954 +8328 -7017 +8506 -5469 +4355 2532 +-5150 6672 +-1900 -612 +5373 3698 +-1412 5901 +-8724 -4347 +-7662 -7203 +-8043 -5386 +-4271 2522 +4690 6525 +1760 -461 +-5153 4094 +1302 5497 +8199 -5415 +7004 -8296 +7460 -6739 +4711 1835 +-3976 7443 +-1966 -286 +5954 2690 +-398 5952 +-9386 -2799 +-8867 -5707 +-8938 -3790 +-3858 3244 +5790 5505 +1663 -825 +-4361 4850 +2289 5081 +7113 -6823 +5377 -9448 +6080 -7983 +4972 871 +-2538 7945 +-2697 -248 +682 -746 +-3855 7474 +-4230 2584 +1049 -6227 +8092 -997 +6002 -6021 +-3162 -4109 +-5287 -3605 +3800 -7252 +8567 -2568 +1850 -6468 +-4484 69 +-6860 1798 +-2948 -6152 +1232 -9607 +-6879 -4279 +-6986 7914 +-4171 6316 +-6241 -2678 +-2802 -4985 +-3364 4746 +3229 7655 +3026 7184 +-6602 4123 +-5419 -1145 +-1138 7549 +6057 6614 +1091 4656 +-4581 153 +95 -9226 +-5693 -3175 +-7455 1595 +-2127 -3484 +4253 -6055 +8388 -4163 +-1329 -6606 +-5618 -4486 +2663 -3465 +5461 110 +3972 9930 +8389 4725 +9373 1670 +-1179 4295 +-1646 -2401 +4426 -9032 +-2910 -9506 +-2302 -7528 +8491 -1830 +3132 6589 +-4345 10105 +3462 8812 +2726 4453 +-3581 -2515 +5613 -4832 +10284 -3816 +3692 -9731 +3582 -4835 +4690 4172 +-2510 4175 +-4011 7289 +6739 4225 +7681 3696 +-740 5343 +-5809 840 +-7530 1627 +-307 8433 +-1311 4468 +-3280 -5317 +5614 -6067 +746 -8391 +-5125 -1013 +-6477 752 +-1868 -7774 +4853 -7509 +-2922 -6033 +-3493 2926 +-4146 5756 +-6316 -2602 +-5405 -7804 +-8404 1047 +-1063 6969 +6110 -4079 +7923 -7402 +4768 5243 +5314 6630 +1903 2438 +-4476 1984 +-332 -2440 +6312 -9016 +12645 -8559 +8224 -1460 +-2814 -3579 +-5334 -3371 +-3623 -895 +-918 7176 +-9708 10197 +-11054 -1012 +-535 1486 +1134 6265 +2732 4973 +3387 -3423 +9767 -10616 +12745 -4193 +4432 551 +-2669 3183 +-3252 -4 +-1931 -6979 +-4812 -6990 +5409 -5057 +10140 -3858 +1047 -2745 +83 -1535 +1365 1001 +5805 -1173 +5577 -6183 +-6249 -1489 +-4393 9267 +6529 10538 +-546 3242 +861 1077 +768 2286 +-14048 1594 +-9668 589 +-3852 4882 +-2184 3418 +91 -2107 +2929 4781 +1386 2428 +-6899 -1961 +-287 -110 +886 1333 +-4110 6286 +1241 6906 +5191 12739 +6 5742 +-3388 -3607 +-1081 2796 +-9156 -716 +-10573 3155 +1487 8521 +5437 -3923 +283 -8520 +-2097 -1313 +495 -454 +2493 -1525 +923 -7263 +1221 -10484 +7128 610 +8474 1264 +3134 447 +-2040 4426 +-1918 -1581 +-1331 -10798 +3784 -14639 +5386 -6527 +-4441 -701 +-6334 1109 +-3445 1753 +4039 5844 +-313 13582 +-10310 4833 +-7243 1500 +3736 6195 +7804 3080 +-3935 -897 +-8869 -2087 +-468 -5070 +-4760 -5388 +-8864 -3276 +8231 -9105 +10066 -6936 +3860 -1909 +5651 -1607 +4638 3074 +2770 -1123 +930 -8608 +-1727 -4582 +-4563 2980 +-5557 -275 +-4320 -3437 +-5827 -4665 +-9459 -10345 +4156 -4837 +10414 -2631 +636 -6153 +5913 -2158 +7618 -278 +-4801 1293 +-7895 5052 +3927 3877 +6868 -5481 +3090 -7029 +-2534 -113 +-4727 5239 +-2174 13189 +-9041 10536 +113 2897 +7336 6305 +4756 8985 +3941 3637 +-3683 -3498 +3099 -5020 +5079 -430 +242 4781 +709 282 +-4956 -4805 +-5529 -223 +-338 1012 +3808 -1874 +3410 -6528 +-661 -2723 +1314 4647 +-599 2773 +-6935 -252 +-248 -5231 +728 1372 +-599 10470 +475 9817 +-1570 5412 +10747 315 +12703 7737 +6063 4960 +3964 -2719 +-4432 -2537 +-8659 -2772 +-4058 4527 +6903 2396 +7970 -2927 +-3606 2025 +-8204 4382 +-3761 -3305 +-7282 -614 +-9042 3543 +130 -12024 +2982 -11680 +1663 -4025 +3236 -4959 +5607 -913 +1310 -2704 +-4973 -6998 +-5457 -3407 +-9932 6372 +-5924 855 +-3626 -10213 +-10980 -2234 +-2409 3358 +1703 1572 +-5459 -1273 +-7376 38 +-2072 7111 +2125 12369 +1838 7991 +-1697 2786 +-4620 4230 +-4393 2643 +-3320 -2404 +531 -4585 +4109 -5137 +3100 -3308 +5768 256 +12172 545 +9326 -2031 +607 -6284 +-2785 -6631 +570 -830 +2906 380 +-1006 -9125 +-9393 -6596 +-5515 -1741 +5446 -11054 +-912 -2579 +-349 2339 +5078 -355 +4524 2731 +9775 1824 +3501 4303 +-1611 -10278 +4490 -15393 +5477 -269 +-2764 6384 +-5033 10266 +1404 9801 +-1001 2150 +-3799 -6072 +-1064 -1327 +-1265 2983 +-2753 1487 +-1324 146 +-756 -2883 +-299 -2972 +161 -1349 +-5645 2528 +-3105 -881 +6792 -5940 +5531 -10073 +822 -8808 +3574 940 +-1421 11979 +-9281 12711 +1306 -1271 +10040 2004 +6177 3094 +3580 657 +1958 1910 +-1171 -2819 +-3466 9633 +-4012 4553 +-9417 -5212 +-9953 5438 +336 3964 +2355 7 +-5093 2581 +-5720 4830 +2992 6633 +9646 7848 +6654 4783 +516 3178 +-811 6114 +-1679 4812 +-4146 612 +-2709 -2535 +-2622 -6604 +-4168 -8821 +-1625 -8455 +255 -2161 +1294 -160 +-4925 -1619 +-5868 -1604 +3697 -327 +9196 -449 +6178 -5160 +8542 3198 +6496 6722 +-2885 1210 +-1967 10866 +-4697 4953 +-6296 -11889 +-3630 -3239 +1406 -3426 +7350 -10210 +7127 1158 +8291 103 +5736 -3371 +-2712 2790 +-6122 3998 +147 1031 +1718 121 +845 6887 +-79 10093 +-1561 7731 +-3083 299 +-7609 -2268 +1838 7541 +3766 8337 +-3739 3958 +-7401 1170 +-8505 3467 +3487 10061 +10287 5203 +7758 1793 +2108 -4011 +1125 -11786 +1757 -3654 +-3363 -42 +-4019 -3000 +2642 -3726 +5574 868 +-4811 4466 +-2326 -3808 +5880 -3464 +1770 4084 +-1048 4653 +1166 305 +9658 2399 +7661 2956 +957 -6645 +1216 -10581 +-4736 -10169 +-8514 1913 +-4916 8459 +-4748 1968 +-5600 -3837 +-5510 -8408 +-3475 4313 +-782 6631 +-5824 -2576 +-9144 -3924 +-6787 -5080 +-1194 -1733 +887 -1035 +-4909 2005 +-5679 2640 +2638 -2698 +6807 -6393 +1284 -7874 +8671 -3266 +9501 690 +-1517 2805 +5550 9378 +38 7222 +-10702 -2323 +-8057 -47 +-5920 4753 +-2793 -3650 +-6318 -6893 +-1107 2901 +4828 -3694 +-675 -9248 +374 -4076 +-1037 -646 +3152 -1027 +5453 2201 +1400 -1932 +901 -4292 +-1715 -4402 +7025 -16264 +7818 -5854 +1557 551 +2620 -4090 +-3565 -1815 +-3044 -4500 +1739 9 +2164 -1170 +6846 -3636 +5083 -5405 +-3332 -1446 +4924 4554 +8835 -182 +-1164 5178 +527 8050 +-1747 4520 +-10119 96 +-11265 -5000 +-4377 -3626 +2252 -2315 +-5975 2111 +-12054 7793 +-3104 4962 +7992 5496 +10285 9068 +5012 -3463 +3058 -9342 +2876 2530 +-81 8374 +1492 3942 +128 217 +-5842 -1294 +-884 -4957 +6337 -1164 +2087 1383 +-3358 1440 +-2740 6783 +-3474 4683 +-1689 -6726 +-947 -8796 +-10544 3793 +-12221 5719 +-1597 3217 +7380 9724 +7643 7267 +-46 -2320 +1936 -2986 +11391 -1170 +11204 1462 +2877 5417 +-4269 5831 +-2013 7329 +-4473 -922 +-9412 -1025 +1036 2917 +1486 -5814 +-6515 -6425 +-2324 -3290 +-1191 74 +1804 -2857 +5757 -1799 +-179 -2431 +-2042 -3842 +-4124 -2339 +-4426 -17039 +6234 -11848 +6847 274 +4375 7909 +9393 9035 +1913 3327 +-6900 3150 +-5110 752 +3073 -10877 +2718 -7776 +-1506 -2629 +947 -3296 +720 -5404 +-2737 -9501 +-10970 2123 +-9419 11513 +2281 9664 +11449 3908 +13287 -4262 +-979 -9218 +-6163 -5888 +-2724 -968 +-1918 -2895 +-3495 -3429 +-10462 -5596 +-701 -4277 +8647 -1278 +2898 1088 +-2109 11088 +397 12200 +-1808 4771 +-9344 8037 +-4022 8324 +-3436 -668 +-130 1673 +2178 7107 +-5455 5859 +-2929 1853 +-2848 -1696 +1250 -2709 +2971 1835 +-3498 4622 +-1239 -4881 +-2867 -2410 +-4100 -2043 +4894 -9935 +5433 97 +-3452 4358 +-4005 -2590 +2335 -4359 +3173 2674 +-8400 6105 +-6768 -2139 +1169 -5046 +-5296 -1234 +1404 -2590 +4789 411 +-2851 3526 +-2130 -2959 +1106 -2671 +5457 -4025 +7043 -600 +3704 3385 +-754 -4129 +4907 -2792 +10995 -6493 +5737 -5217 +8345 2740 +13253 251 +3683 1132 +-3193 6869 +-3452 3903 +-4916 -10084 +-3080 -7512 +-2866 -898 +-1394 -3024 +-2964 -4451 +-8429 -5195 +-7126 8764 +302 14575 +8085 5989 +9356 -771 +2630 -7490 +440 -3460 +8937 -3303 +14005 -9722 +4032 -1781 +-5591 2096 +-5135 -1704 +-911 -3124 +573 -1214 +-824 -611 +-3666 -4541 +-4502 -5619 +1035 -4207 +2125 -2123 +49 25 +9 1929 +-713 4448 +-5393 2829 +-7265 1700 +-2130 859 +104 439 +-1761 2221 +-4656 -50 +-4333 -3652 +757 -259 +5642 13064 +6046 12717 +1430 2118 +-3533 5464 +7767 2927 +9321 -1620 +-5613 110 +1617 -4405 +2757 -5055 +718 -6206 +673 -4176 +-6691 7865 +2287 12294 +6790 10150 +1905 3263 +-2182 -3955 +183 59 +-1704 6617 +-3694 7289 +4483 7151 +-3753 10207 +-14620 3237 +-9105 -9070 +3612 -8944 +-38 -6153 +-5161 -6326 +865 -2449 +-1328 3890 +183 -35 +4706 -9746 +4555 -12249 +-5373 -9029 +-3485 1254 +3843 7510 +738 4737 +4265 4449 +-3734 1662 +2079 -521 +12403 -1842 +-1542 -6249 +-2197 -2990 +4760 -8325 +4314 -16321 +1915 -3944 +-2918 5210 +-5108 2117 +-2786 -1725 +-357 -1246 +-1027 129 +-5831 -902 +-7271 -1193 +-1979 -3772 +263 -2935 +-476 -25 +-4988 718 +-10216 -495 +-5613 -2018 +4027 641 +5044 1827 +379 -5596 +2237 577 +2393 15800 +5770 5069 +-304 4258 +-5800 13825 +-2570 8919 +-4472 3534 +-638 -1731 +4726 2968 +1227 2034 +-2814 -5995 +1446 -1309 +-2724 3787 +-8511 478 +-12628 -961 +-10160 225 +675 -5399 +-10784 -7777 +-7258 -3914 +7409 1561 +1548 -2000 +-54 -6173 +554 3247 +-2245 10281 +-2736 8986 +5683 462 +4473 -571 +115 3886 +5684 2165 +1324 -449 +1998 -5878 +4622 -5956 +-3456 -1271 +-9114 -2005 +-4836 -3209 +9240 2097 +14310 4674 +8091 3680 +2530 -175 +-4567 -5469 +-1089 -3099 +5051 -5070 +2421 -3281 +6070 3317 +2699 -880 +-5856 -5511 +-8295 -9666 +-7437 -10068 +2287 454 +6688 7791 +2978 4910 +2960 -3778 +5083 -6668 +1856 -596 +-3446 -3434 +-4213 -1515 +-2040 -94 +-1208 -6792 +-5998 2614 +-8859 7650 +-5466 2308 +3431 -2297 +4978 -2134 +-3583 -4238 +2011 -1098 +12639 9608 +7843 -280 +2661 3247 +5009 13746 +4154 8096 +-1011 5533 +-1695 -827 +5500 -1018 +2240 639 +-8907 -3237 +-12371 -5421 +-12120 -2839 +-2270 -2412 +3825 -513 +-6274 -218 +-12847 -3729 +-7558 -2230 +5593 1434 +-2016 -1586 +-14490 4510 +-3376 8820 +-4490 2403 +-4290 -3559 +6652 -7221 +2201 -2521 +-5430 -6023 +697 -5817 +7401 2437 +955 6897 +8842 8380 +9891 -317 +-6245 -1269 +2496 263 +13897 -2736 +9432 -517 +-1197 182 +-1345 -2400 +10880 -1578 +13873 -2739 +8527 -1969 +-1404 957 +-5537 -3047 +-7604 6062 +-10720 7476 +-3397 -2592 +1107 -1170 +3426 -839 +4667 4208 +302 2688 +-186 -4393 +1852 -2494 +4316 -2608 +4653 -7809 +2233 -5699 +-390 1522 +31 4478 +2659 9034 +2292 5814 +1552 846 +-2710 -1714 +-7801 -4793 +-6343 -991 +-2711 266 +-2242 -3082 +-19 -708 +363 6470 +-3831 3006 +-1567 -741 +464 -2454 +8920 -2871 +13377 2095 +3478 -4086 +1756 -3191 +-4681 3792 +-12936 4233 +-11465 5848 +-3340 -255 +2598 -2828 +-5007 3964 +-12457 5614 +-7394 3269 +5344 -2528 +-2561 268 +-8460 12869 +3033 8816 +-1975 4754 +-5677 127 +478 -9537 +800 -2431 +-2902 5395 +-2162 -416 +3295 -4758 +4676 704 +-5254 -2031 +-3355 4421 +-875 -790 +-5530 -9955 +-5381 -10247 +-9864 -8523 +-11788 -2904 +1566 -786 +7498 1324 +1190 -1966 +-266 -3649 +991 488 +-1933 782 +-3566 293 +1224 4984 +338 5140 +-3006 -8278 +-7270 -12629 +-10947 -5309 +-9697 -4736 +-5012 -2922 +5086 -1045 +127 -5789 +-1549 795 +-858 6009 +-3252 -602 +10961 1874 +5900 4148 +-2345 4998 +4063 7221 +9060 -922 +5855 -3369 +-4280 393 +2733 -5368 +8916 -3648 +2342 9471 +-1268 13733 +-233 5346 +-2660 -2264 +-1448 -3496 +6070 -6001 +5569 -4043 +-117 -2910 +-7869 -4124 +-5222 1024 +1204 5093 +21 8586 +-2296 3883 +-4151 -1504 +1428 -2254 +8583 -8516 +9619 -7980 +4877 4603 +-996 9730 +-3256 -1729 +382 1140 +4428 9195 +7943 316 +5356 -5230 +3082 -1884 +10977 5722 +1206 1492 +-1042 -5689 +4190 -2570 +-5287 1984 +450 5467 +-1108 55 +-10909 -3502 +-11017 -3816 +-13285 434 +-11092 5742 +537 -1560 +6624 -3979 +-348 -2199 +-2572 -2448 +1443 -334 +2810 1951 +2876 1686 +264 -4704 +1754 398 +-34 2231 +1333 -2497 +6761 1247 +5006 6291 +3522 9781 +-1991 7679 +-7093 8120 +-2948 5100 +7771 5061 +7407 5183 +-7706 -6402 +-12158 -1521 +-7464 10842 +2192 -1927 +7914 -10776 +-943 -3909 +-8875 -5248 +-6286 -6369 +471 -9381 +2678 -9218 +5224 -4233 +4158 315 +-979 865 +780 -3373 +1842 3582 +2013 485 +3496 -3977 +-575 -4207 +-5564 -7807 +-5150 -7670 +-5396 -13700 +-4989 -222 +-516 8942 +-1228 -801 +1323 6141 +5389 11593 +-3715 2686 +-7421 -4499 +-329 -4769 +5401 -1825 +3661 3959 +245 2487 +4917 1444 +3121 149 +429 -6019 +-96 -2467 +-2722 -3278 +2744 -5105 +4911 970 +893 7072 +-3557 5610 +-6233 -7748 +-6125 -9299 +-1053 1692 +-3452 -1502 +-6922 -809 +6998 11369 +6997 9740 +2039 7793 +3794 5476 +3683 -1413 +3523 -1527 +-2995 -3443 +1483 -869 +1435 1588 +-697 -2714 +5980 -3530 +8096 1314 +9314 4768 +3581 6980 +4 10732 +1224 5672 +9448 -1646 +9307 -1301 +-10077 585 +-10625 6326 +-1017 6363 +100 -3873 +5668 3380 +4257 1836 +1196 -9710 +853 -8072 +-3624 1268 +-4080 14455 +2430 10433 +-531 -2709 +809 613 +9027 656 +7733 -10706 +5068 -8922 +759 -4659 +4208 -9907 +6070 -4856 +2739 -5686 +6054 -12275 +-1611 -9345 +-5362 -2102 +3036 874 +-4909 855 +-12967 9708 +-2114 7670 +5976 -3489 +7716 -6807 +1221 -3861 +-8387 -2067 +1558 -3076 +8187 -870 +5891 2763 +5916 9465 +-4613 8153 +-7730 4849 +35 3923 +2745 2424 +934 -1310 +23 -6238 +1213 6442 +-7444 11340 +-7771 1500 +-1311 -1446 +-3899 1551 +106 493 +-1010 -3827 +23 -1063 +1691 -2139 +-1746 -1702 +2838 -1937 +306 -1898 +1685 3053 +3070 617 +3734 1052 +6476 10107 +-2729 10410 +-629 -3799 +1800 -4794 +-3306 1983 +-2398 2805 +2860 6116 +4997 8265 +-6630 8462 +-8967 2685 +-5658 -2351 +-4350 -3898 +7031 -973 +4504 -1516 +-5480 -7958 +-4654 -6492 +-2007 3286 +6363 13357 +8821 6136 +-2134 -1584 +1040 -49 +7481 -5417 +-991 -12978 +-1937 -9891 +-2468 -3990 +-3708 -9764 +-1816 -3601 +-2728 5700 +-1188 4068 +-2955 5482 +-6261 5854 +-6838 3553 +-9279 2075 +-2989 -889 +6234 -5149 +4585 -3572 +40 626 +418 -4333 +6502 -4568 +3712 -776 +12473 -9433 +4811 -4720 +-18096 4766 +-6806 1652 +-3939 2095 +-6756 -1981 +582 -1253 +-3222 1451 +-8211 16 +-2424 -1052 +8087 -4911 +8048 -2981 +7611 -255 +7115 1723 +3601 2278 +5123 1788 +11129 -2067 +7708 -5393 +-1343 -1142 +-2676 -413 +-535 3114 +4318 1518 +502 -1015 +4298 6002 +12763 1378 +5300 -5907 +-133 -4083 +-6505 2217 +-11177 4718 +-7175 -6105 +334 -10854 +5635 53 +1299 3617 +-2484 -1875 +1491 -710 +2081 5254 +-7826 1963 +-9301 -6660 +3360 -10060 +5613 -8239 +-2660 -1033 +-6217 3267 +-3047 10620 +5078 9977 +2180 -507 +-1786 3172 +3674 2307 +621 -2721 +-1117 -1799 +-4108 3890 +-5678 11368 +627 7734 +1950 3295 +1384 5976 +-850 8425 +-2740 7142 +-5602 7685 +-2832 1308 +1337 -7880 +1109 -5581 +479 457 +-2552 -3388 +1956 -7579 +2332 -2990 +3249 -15106 +854 -7195 +-10641 10400 +-11665 2565 +-2764 6388 +1007 5683 +-774 3541 +1114 -2865 +7683 -5609 +14622 5894 +7305 981 +5185 -8210 +1809 -5727 +1039 -1409 +3892 996 +-2841 4201 +-6846 9425 +-10540 -381 +-2409 -11861 +1488 -7676 +1711 458 +2746 2700 +-2683 3680 +-164 9137 +3113 6221 +13963 639 +9165 6492 +-2646 6336 +1309 -2727 +2559 -4303 +3668 -5941 +-5540 -6831 +-4553 -3916 +5760 -3607 +1402 5712 +4748 8903 +8078 -4089 +-2765 -8484 +-3140 -2850 +1864 3526 +-317 2002 +5702 -2951 +4239 2271 +-6769 4901 +-8378 3994 +-6215 5122 +-9046 388 +-9531 632 +-2191 6183 +658 1713 +-1502 -5744 +-7616 -1870 +-10456 2881 +-7007 -2582 +-7396 -3896 +-8233 -2248 +-339 -3872 +7730 558 +1218 683 +-559 -4182 +162 812 +-3799 7894 +5057 6006 +7830 -6254 +-258 -9610 +4012 1319 +1518 4891 +-7431 6591 +2216 7084 +4660 3363 +1762 3056 +-1014 -2805 +2107 -9136 +15159 -5436 +6313 -4191 +-1574 -9368 +-2468 -5345 +-199 -1714 +3658 -1790 +582 5003 +890 11491 +-7803 7018 +-4414 -2941 +-2300 3279 +-7097 4641 +-115 -3751 +-10073 -3907 +-10985 -3204 +-482 -6694 +2791 -955 +9124 -622 +9101 -923 +-1129 4721 +-6357 -1293 +2923 -6166 +2321 -9270 +-9059 1328 +-15418 12265 +-10150 8510 +7075 4481 +9313 -1682 +1135 4153 +-4787 3260 +384 -6988 +6806 -8884 +1459 -4257 +4599 2602 +2869 8358 +-2667 13610 +3232 4113 +-2125 2033 +-7998 3250 +3994 -6481 +4312 -750 +1043 6094 +9348 1275 +9484 -4863 +6160 -3402 +386 -638 +3374 -2771 +8159 -1602 +1563 2783 +2460 2563 +3218 -5476 +-297 -3778 +-4165 5222 +-2415 4985 +2474 6486 +-1672 9086 +-4678 6271 +-6869 5063 +-8381 1044 +-4252 1147 +-3426 6088 +515 1455 +6007 -4136 +-877 -3510 +-4356 57 +-1335 -5239 +1020 -7519 +1619 -186 +278 -4473 +2157 -9764 +-1937 -9691 +-6192 -2424 +-3129 330 +4243 -7487 +2537 3274 +-2943 8336 +-2477 -2769 +-10444 3583 +-10769 4685 +-4753 -4695 +1624 -2444 +6796 -6222 +6542 -6366 +2163 4341 +-5792 3073 +-1530 -6548 +-3989 -8469 +-6372 6773 +-4569 18904 +-5524 11929 +-120 3106 +-710 4855 +-6092 9572 +-8865 11126 +-4245 7057 +-1778 1506 +-6622 7087 +-476 8054 +7270 -6630 +11811 -12277 +3839 -5533 +-4604 2280 +2587 2719 +-3543 -147 +-3562 4308 +6314 2928 +-1794 107 +-54 3362 +2204 -2505 +-8343 -12472 +-6440 -11414 +-2656 3266 +2868 11188 +3996 3347 +701 4368 +5170 12152 +4669 11591 +682 6623 +-1549 2446 +-1403 2902 +-4835 -341 +4831 -4459 +9724 1394 +-5882 3698 +-5652 -1075 +-6288 -4401 +-7714 -4676 +-950 -296 +4954 -1680 +4988 -5583 +574 -5678 +2509 -3727 +-3964 3665 +-2716 -690 +6893 -9993 +5334 -6613 +-59 -2550 +-5529 -2456 +-10211 -4844 +-3555 -3782 +7318 927 +-1233 -342 +-4833 -4510 +-4469 -3577 +-6922 -93 +-358 538 +3855 -5632 +5287 -8839 +2797 -3851 +6616 -1217 +-1584 4850 +-10543 2718 +2179 -4445 +4508 990 +1853 2229 +2610 4171 +1625 10811 +580 13917 +1483 7928 +-318 2268 +-315 9570 +5016 6145 +1136 -9601 +808 -16698 +-705 -6552 +-1886 4734 +3851 244 +-2713 2243 +83 5313 +7720 -4849 +211 -9132 +-8883 -6230 +-10054 4762 +-7768 5732 +-3954 -1588 +1580 7814 +5485 7560 +-2472 1070 +-8402 -4193 +4213 -5186 +-58 4563 +-5179 3091 +9216 -1484 +8945 1584 +3438 3898 +2700 5968 +-567 10410 +474 166 +5471 -5723 +-1467 5123 +-6576 -3704 +587 -7580 +5117 4477 +9664 3700 +1576 -2984 +745 -7308 +7726 -9423 +-3277 -9688 +-9578 -3360 +-10024 3027 +-9099 -695 +-4729 -6431 +2403 -10323 +7495 -7288 +3875 2193 +1978 5320 +6653 1101 +5632 -5649 +319 -4340 +3526 160 +6063 520 +8062 35 +-666 -4071 +-7386 2888 +205 7937 +-2898 1875 +-3518 -1041 +-1360 -3975 +4271 -3849 +2908 -6891 +-6070 -2131 +2742 6752 +5829 4590 +2610 3140 +-984 2265 +-3036 5968 +3939 6488 +6096 -475 +4473 -974 +860 7547 +-5495 10516 +-8247 2364 +-7087 -4834 +-4614 -9370 +-6058 -6601 +-10814 1027 +-4796 10017 +-2326 9154 +-4192 1289 +6260 4730 +9209 2040 +-1198 2477 +-9189 2413 +-150 -6563 +2982 3315 +-1996 5560 +6042 -7148 +7938 -4713 +5090 664 +4336 831 +5050 -2721 +648 -4173 +-2810 -2083 +4531 1124 +-7102 -1223 +-14882 -5757 +-1770 -463 +1933 2073 +6147 -875 +8118 -5600 +-3508 -234 +-9015 4953 +-1873 -1166 +5640 570 +6360 613 +5413 -3541 +1028 969 +-7709 -782 +-7150 -2034 +5674 4100 +9461 976 +1503 -2204 +-633 -908 +-8762 6835 +-12069 7584 +2856 -2267 +1666 704 +-2272 4093 +5330 2395 +3632 -4780 +5043 -8565 +1970 -5372 +-2891 -4768 +4248 1855 +3762 8444 +-2643 8608 +-2273 9571 +4416 12940 +476 8579 +-6461 7239 +-14 1322 +4936 -7002 +5881 5004 +3353 10210 +3328 5350 +775 -3044 +-3055 -1222 +2047 4239 +231 -4990 +-5068 -8362 +-3091 -9219 +6199 -1941 +4208 1057 +-9115 -1328 +-8508 -2151 +-5951 -11392 +-8579 -7730 +-8463 -2151 +-5617 -6449 +132 -7232 +2555 2694 +3391 5015 +8828 -182 +7650 1726 +2200 -4260 +-2260 -3659 +-3587 249 +4229 -2013 +-6363 3699 +-15479 5246 +-1676 757 +2894 263 +4218 -4681 +2821 -9521 +-2880 1971 +-3935 9441 +-2249 284 +4772 -3235 +5913 -3192 +7621 -2792 +12285 -718 +3351 -3302 +-5573 -762 +-5492 -4639 +375 -7716 +1172 -8496 +-722 -4015 +-426 5562 +1163 2932 +-2713 4570 +3625 6117 +7299 901 +-7450 -924 +-3921 -1178 +1875 -614 +517 -143 +4049 6891 +-1081 -1078 +-7053 -6276 +-5412 4898 +-1958 1475 +-4860 703 +31 1065 +8358 -3835 +6900 -4007 +6923 744 +3567 5326 +1507 -919 +-2378 -10737 +-7827 -12250 +572 -4493 +-1275 4872 +-9264 9036 +-4693 5022 +-2176 2848 +-3334 -2352 +-10746 -3463 +-13646 2203 +-3950 -3271 +-3185 747 +-10757 -497 +-3569 -6524 +11401 -520 +7799 -1767 +-483 -4569 +-1917 -521 +-2077 6678 +1040 3073 +2306 -3814 +-2324 -4798 +-10720 -4681 +-564 -4637 +12085 399 +4630 5309 +2854 -1755 +9456 3875 +12327 5714 +6462 -2304 +592 2679 +999 5539 +3406 10166 +1883 8598 +-1249 -2786 +9254 -7658 +11024 -8730 +26 -4595 +-4757 3106 +-7278 198 +-4865 -5804 +-4774 -6876 +-3220 -2352 +3440 4328 +2844 1373 +859 5172 +6730 1989 +6152 -4196 +-6301 4203 +-3765 1715 +819 -1679 +-3898 -1021 +-5658 -1435 +-8055 76 +-4627 -195 +-3602 -11073 +-5049 -8450 +-4750 -3666 +960 -11590 +3247 -723 +-1110 9109 +4110 5310 +-3015 2916 +-8230 3790 +624 8461 +1024 5850 +-208 895 +2089 2929 +3541 7932 +6834 1986 +7396 -2460 +-648 5967 +2818 7047 +2325 3739 +-8629 -6200 +-1844 -8994 +2077 -6377 +-3684 -12513 +445 -5398 +6932 -2727 +4828 -5540 +2899 -3887 +75 -611 +-7072 3432 +-1848 -2776 +14756 -3236 +11898 853 +-5989 3452 +-5682 2266 +389 -885 +6231 -1426 +6603 -7305 +1169 -6486 +2395 -3799 +-3470 -2540 +-4240 2641 +-2335 2877 +-1124 6397 +9016 12443 +9329 4033 +3017 -3507 +2960 -2021 +346 -4795 +-4741 138 +-5436 1679 +-8560 2045 +-5152 7273 +-272 1647 +1212 -3365 +5212 -5848 +881 5227 +-4420 17063 +-4745 3227 +3041 -7888 +2473 -2181 +-4682 2717 +-5600 2925 +-6084 5389 +-3655 2903 +-10132 -5620 +-9536 -2718 +-6104 499 +-7118 -9070 +1934 -2662 +5284 7272 +6804 1034 +-219 4114 +-3679 8268 +6211 5644 +4795 3454 +751 478 +5910 -1998 +10484 -1748 +9607 -4615 +1744 -5352 +-1944 2986 +9602 4250 +8892 2505 +2402 -1570 +-7502 -4029 +-8148 -2475 +-4844 -4048 +-9534 -2466 +-488 -3039 +3929 -4846 +1769 -5336 +1996 -814 +-1591 5527 +-1946 5401 +-889 4432 +-7072 -3142 +-8732 -2362 +-5966 -370 +-8936 -3685 +-2350 2366 +6934 3394 +10383 3891 +4572 -1207 +-3354 362 +1753 10518 +7014 8582 +5865 8380 +3478 -814 +6403 -2049 +6981 8333 +2272 162 +-6067 -4124 +-9868 1211 +-4049 3958 +-7218 7563 +-8260 5213 +4621 -3344 +7209 -376 +-3846 7527 +-353 3363 +-503 -1336 +-8855 -4494 +1613 -7327 +9169 -1513 +4921 6682 +-6874 6427 +-5891 1611 +2685 -1499 +-3350 -6641 +2425 -3505 +8011 4094 +-4287 -1673 +-9854 -8092 +-3884 -4885 +-7012 -1214 +-8908 2201 +312 3190 +6540 -6716 +7683 -3780 +3951 4318 +4846 -6726 +7442 -9362 +4592 -9436 +-2025 -5110 +386 3416 +10304 -3006 +8662 -3850 +926 -2757 +-8501 1716 +-8058 3289 +-6418 34 +-9120 4205 +-2325 -2022 +23 -6130 +-2020 -5116 +1306 -2147 +6747 2437 +11693 -2875 +6014 -9395 +-1251 -5547 +-7414 -1998 +-2412 -7025 +3761 42 +3509 10281 +6644 8716 +-911 2249 +-3461 -2064 +3928 -1240 +-2424 4175 +1653 4677 +9652 -1476 +220 -241 +3672 -4070 +2449 -7247 +-6940 361 +712 -1573 +2643 627 +-2927 3312 +-3026 -8084 +-5790 -10233 +-2435 -4223 +6204 2912 +359 8717 +307 4684 +9884 1204 +10698 -6045 +5489 -6315 +2056 2112 +2401 -2784 +-3483 -7375 +-327 -6209 +6246 2992 +1799 3508 +1151 -70 +3570 11214 +688 9039 +-7993 -875 +-10256 -4862 +-11260 1508 +-9435 3973 +-688 -4719 +2690 -3113 +-3498 2194 +-9584 9806 +-3232 7663 +1834 -2006 +4741 -1886 +978 6813 +-2706 12855 +5390 9394 +4306 8390 +-5164 3129 +-13214 -1886 +-4563 -1563 +598 -901 +-5800 -3760 +3218 -3911 +8690 3108 +4828 -1063 +-1584 -3146 +1397 -3870 +6995 -10382 +-1250 -10666 +-4622 -3238 +-7012 3258 +-6509 -3676 +2876 -2452 +9487 5147 +10889 1919 +801 2267 +-4099 870 +2074 -3433 +951 4689 +4355 2264 +6274 -7473 +-47 436 +977 2177 +551 2194 +-2661 203 +2325 2347 +3628 7891 +1200 -10 +3505 -9103 +3978 -4169 +-2197 2383 +-6644 3970 +2125 -2924 +4486 -8556 +-436 1092 +-3162 3241 +-8821 3987 +-4778 16814 +6540 11725 +4979 1836 +-942 2983 +-66 -5967 +-1464 -7876 +2875 4138 +3999 5005 +-2018 -2679 +-1192 -9048 +-68 -4771 +-4308 6025 +-5463 4052 +1672 -148 +905 2796 +-2650 5749 +3730 6275 +9893 -490 +4788 -2814 +-1868 6081 +-485 9550 +-1306 7964 +-8634 4822 +-12000 -1443 +2686 -4827 +5940 -7516 +4795 -8148 +6635 -2835 +-6598 -5274 +-2394 -11851 +121 -4217 +-449 -479 +478 -5486 +-2357 -2534 +1320 -1689 +-3069 -9537 +3295 -10953 +-1534 -1336 +-10084 -2618 +-5529 -7117 +-6688 -2881 +6453 -5219 +12755 -1979 +5155 6395 +2646 8380 +3849 6877 +-1395 523 +-9629 381 +-4789 6896 +3629 5825 +2900 2730 +-2170 1628 +3220 323 +7836 3654 +928 -764 +-3061 -9033 +494 -5575 +-139 3255 +-2618 7173 +-235 -3539 +-2052 -9180 +413 1104 +-345 4427 +-4244 8676 +6689 15889 +7649 9512 +-5014 2921 +-6052 -2473 +1727 3128 +-5714 4024 +-11162 -276 +3109 4704 +3318 2405 +-5919 -6417 +-7091 -2336 +-2647 4067 +-1188 1809 +-2701 -1929 +-485 -1675 +4358 2110 +6456 6551 +2872 5971 +-1036 591 +-1829 -2960 +652 -2091 +3880 -1130 +1470 -5657 +-5255 -7872 +-1530 -733 +5375 6052 +2123 -5844 +2489 -11152 +4924 1820 +-819 -2597 +1419 -7843 +6430 -2681 +1964 1777 +8010 -1284 +9204 -11086 +8492 -8976 +12127 -3863 +-4632 1678 +-13206 9173 +-11952 8166 +-7375 165 +1512 -517 +269 -636 +-1796 -300 +-3698 7035 +4498 269 +7275 -3031 +-1461 1060 +-1728 -215 +-254 -1930 +1465 3152 +2106 7663 +3835 -5061 +1149 -4853 +-2188 1240 +1151 678 +-2411 -2647 +-2433 -13169 +1962 -15637 +-1238 -9728 +1657 8155 +1410 14309 +-5750 11579 +1031 11057 +4168 1070 +1066 3607 +-2560 7417 +-6254 1943 +3251 1172 +-1787 6745 +-8932 7115 +5406 1512 +4145 -336 +-8915 -921 +-7142 2827 +564 4711 +263 2090 +-3420 274 +-1520 -950 +4734 -1227 +9229 709 +6204 1526 +2057 -1524 +-119 -25 +-3447 -4439 +-4846 -2067 +4747 1155 +12445 -7757 +10424 -6730 +2944 3911 +-4844 10009 +-1653 3173 +-80 -2462 +4714 -6660 +5424 -8055 +-5237 -346 +-4746 1161 +-5085 -531 +1200 5292 +8034 7707 +498 2673 +-1310 -1159 +-4356 -7876 +-1143 -7241 +9403 2644 +12749 7478 +8368 1022 +-2971 -1247 +-4975 5955 +-563 1238 +1573 48 +1395 -1921 +46 -4955 +3590 308 +8668 -3817 +3220 -8935 +-2678 -10562 +4515 -5583 +-718 -2388 +-4951 1722 +-1500 12644 +-6942 8664 +-364 919 +-890 7611 +-6270 10666 +-105 -1897 +-199 -3440 +-3943 7461 +-8443 5924 +-9514 -2036 +-1659 266 +3959 2904 +-7521 -1654 +-11641 -3811 +-591 -2799 +-6702 1173 +-12713 -5282 +-5599 -2880 +-547 5570 +5845 -559 +7133 5958 +6348 7384 +9778 -2442 +4347 -4564 +1672 1213 +3649 2862 +670 -2180 +-31 12 +-5583 -931 +-5118 1599 +4529 -2206 +4667 -13830 +3681 -11750 +4859 984 +2813 10436 +808 3370 +-1683 -1746 +-723 -8036 +-1096 -9445 +-4210 3139 +-2135 3687 +1238 2588 +1255 8594 +-9144 7696 +-8239 4255 +-7818 5117 +-1912 3699 +5961 -5747 +-4192 -6754 +-6625 2189 +-1396 -3231 +-2373 -7532 +-619 -2559 +111 9445 +5401 9345 +10311 1095 +-1454 4494 +-5869 -5281 +-7110 -7527 +-11641 3361 +-993 886 +7532 -450 +6162 206 +-99 3760 +4064 5740 +7336 -5383 +-2042 -2755 +-3380 9591 +-2098 8745 +-2885 10166 +-8228 4744 +-5720 -7486 +-486 -1859 +60 4580 +1426 576 +-3767 1331 +-1944 698 +-4139 -7137 +-4698 -6414 +-1542 2928 +-2683 2633 +5423 -2840 +9187 -3064 +9238 -1796 +4790 5772 +-55 3422 +4751 -10226 +9190 -9691 +3854 -7280 +-3746 -10748 +-695 -12714 +220 -2368 +-6008 5964 +-8106 -3823 +-6204 -7462 +-4855 -5703 +239 376 +801 5215 +3491 -1456 +9666 -4772 +2404 -980 +1127 3892 +443 621 +-3007 1490 +7 2319 +6280 -4589 +7845 3478 +-2145 11836 +-3526 8399 +-2625 8872 +934 4025 +875 -8138 +-7732 -2217 +-3788 5919 +-3200 -1473 +-6815 -3928 +-2174 -1444 +6287 7022 +10315 3475 +8754 -5757 +1896 4856 +-8090 2793 +-11210 -6199 +-7009 -3138 +-3241 705 +-3085 439 +-2615 -3623 +-6739 -7950 +-6383 2748 +802 6208 +3617 -661 +-1583 -80 +-6536 -316 +-4799 -5318 +-9114 -1810 +-2226 -1140 +492 -7057 +-3212 -3213 +7270 -4071 +3818 -7936 +2639 -4867 +4352 -1214 +-2931 812 +-790 3935 +6133 3061 +4683 -8689 +-289 -8149 +2116 -699 +3209 -1350 +4018 -3745 +6299 -9865 +7038 -3502 +-5432 4810 +-17180 4386 +-6274 7022 +1112 5852 +-3698 8632 +-7524 8142 +145 -1232 +5841 -2736 +100 -3749 +32 1258 +2835 6451 +-3466 1286 +-5442 -2212 +6073 -492 +8385 1309 +12013 -3915 +8061 3723 +-8045 12866 +-3957 7873 +-977 7020 +-1680 -1927 +1957 -6460 +4066 3220 +3452 3666 +-3012 -1916 +-4272 2563 +-2822 3193 +6165 -4258 +12028 -2237 +5611 1850 +6567 100 +9269 -12021 +6882 -10279 +-1385 5329 +-11587 4104 +-7662 2013 +-2064 2506 +-2114 2229 +-4310 -1127 +-10386 -1734 +-3291 6163 +4663 4187 +2465 -2779 +-1270 917 +-5315 3835 +-7186 -949 +-8431 4483 +-2560 542 +-4249 -5924 +-7743 -769 +-5895 -4059 +34 -11602 +810 -9163 +2433 -261 +7652 -3281 +-4168 -616 +-2759 4088 +-2802 526 +-8932 1023 +-964 3023 +4178 963 +2877 -1651 +-5927 7592 +-4359 14602 +7160 5587 +9546 -8842 +3486 -13545 +-149 12 +2316 4442 +3001 -1293 +-2781 -6169 +-4693 -5267 +1858 -1552 +-1432 -5121 +-937 5132 +3573 6307 +-4229 2502 +-9082 9556 +-8262 1885 +-5670 -294 +-122 1685 +7256 812 +5795 653 +2607 -3923 +3159 -2544 +1760 1630 +222 9701 +-3960 15793 +-3499 10965 +1364 3997 +5502 1474 +5213 3697 +-1127 5118 +-9465 -220 +-1809 -2654 +4127 5553 +-10767 2532 +-1988 -6648 +4491 13 +-9260 2535 +-4410 -4213 +5006 -4697 +6303 -1512 +3040 -2613 +-1174 -8639 +-3068 -15064 +251 -12768 +2256 -2851 +2412 2000 +2790 4276 +3751 1489 +7703 -1194 +2668 -433 +-4732 -1839 +-7841 -387 +-7625 -8242 +-5468 -7194 +1671 -1827 +3759 -7589 +-3552 2195 +631 4714 +-1765 2281 +-6136 6665 +1306 2872 +3857 -1997 +1102 -3102 +534 9411 +6480 13280 +9326 -420 +3043 -6905 +-3011 642 +-1157 5818 +5821 10120 +6430 11435 +-1473 -2807 +-5886 -4571 +-6953 -6717 +-3148 -7361 +7921 -1048 +13959 -10192 +9492 -9164 +905 -2768 +-1316 -760 +-2684 -7106 +2072 -4984 +6642 7392 +958 2956 +1404 453 +8843 -2002 +16727 -6131 +9318 -7252 +2333 1546 +4216 11436 +-192 7238 +1714 4938 +-3460 -6599 +-7757 -13156 +-4376 -3936 +-4455 1472 +-2294 1456 +-9124 -382 +-6268 462 +6637 3141 +-1232 5465 +-5696 -155 +4608 -536 +5257 6671 +2671 808 +-3628 -2205 +-9177 7473 +-4805 5777 +-3437 -2469 +2122 2273 +5530 5084 +289 713 +115 -2490 +2994 -3673 +2945 -1689 +304 458 +-4044 -2802 +-5056 -3996 +1925 2545 +-633 4923 +-7876 8534 +-551 7152 +2473 -2322 +-6224 -3659 +-3951 -4713 +2696 1243 +-3206 6196 +-5384 -4523 +-694 -144 +2070 11176 +76 4217 +-1147 2787 +2675 4833 +11082 3901 +12341 4350 +-3032 -1236 +-7669 292 +-9826 -639 +-7267 -3457 +5428 -5929 +4186 -16606 +1352 -12930 +-1114 -2588 +-1509 356 +-6635 -3448 +-2238 -5033 +5564 -1152 +-882 -4042 +-3501 3295 +-5464 4565 +5155 -8812 +3398 -7058 +-1846 7259 +6986 10714 +-3636 2746 +-6073 -4618 +798 -9170 +-2577 -7048 +-7280 -5065 +-15693 -8594 +-9384 -6088 +568 -1050 +6393 -2053 +17912 -3932 +12908 -3110 +4659 -3905 +4081 -8205 +4405 -5302 +6477 3238 +-7380 6497 +-7165 8176 +2509 -1212 +-2543 -12306 +2120 218 +2875 8538 +2885 -2000 +4817 870 +252 8374 +-3762 218 +-1675 -6299 +1656 -5581 +-1632 -2881 +1711 1797 +662 2155 +-993 -234 +-4026 -731 +-9314 -796 +-4378 3393 +-3352 6718 +-250 2258 +2727 609 +-769 3577 +-8602 8015 +-1827 9794 +12084 5035 +5334 2657 +-2058 2233 +-956 1454 +4932 3754 +5758 3513 +6991 -3205 +6612 -4092 +272 -389 +832 885 +-1183 3088 +210 -1549 +-1403 -5193 +-2616 -5324 +2839 -4121 +3394 5475 +-1465 6661 +-5360 -1211 +-116 4442 +-1124 7027 +-2056 -9825 +-2186 -7375 +3375 6440 +12537 3085 +-875 4413 +-7781 666 +-5512 -7152 +-6677 -3340 +-8924 1165 +-17745 4180 +-11302 1843 +-345 -638 +143 391 +-3848 -2223 +-3741 -6305 +4459 -2808 +-8711 -3184 +-13837 -3287 +-1024 -2640 +-3265 -528 +-3076 9486 +258 9279 +-3599 7138 +-7720 7853 +-2232 2049 +1679 1751 +1109 -2067 +-868 -3410 +-3938 669 +1219 1277 +2267 74 +6527 -5654 +9886 -4572 +7214 801 +10373 697 +3811 -4185 +-3598 -2251 +-1895 -7976 +-2442 -14919 +-2946 1413 +-4979 673 +-3141 -6717 +3533 599 +3858 1199 +3313 -6706 +5519 -8370 +9790 5439 +10781 5284 +1724 -1706 +-7326 -3223 +-6255 -1414 +5116 8060 +5065 2926 +-299 -6177 +660 -2127 +-3636 591 +-2837 3239 +-4962 12249 +-7871 7787 +-3607 -1731 +78 -7563 +6803 -13027 +4744 -5874 +-2375 -2726 +-1055 -430 +-3567 3651 +-533 2338 +10800 886 +986 -3390 +-8687 -4968 +-2109 -391 +6310 6188 +12553 5525 +455 7086 +-6755 8299 +985 2944 +3959 2119 +-3669 1587 +-7193 -2332 +1629 -4974 +-8795 3375 +-12997 6666 +-2617 -1221 +-2866 1823 +3889 8993 +6369 6604 +2024 7576 +-695 10798 +-377 2923 +2442 199 +-494 -2202 +-2552 -2166 +804 1878 +5411 5171 +7130 15311 +6429 9409 +881 319 +1136 5611 +-3496 4252 +1782 -3941 +181 -5779 +-9455 -1793 +403 2694 +-3952 -1269 +-9538 -7693 +-1943 -6389 +1846 -3181 +7018 -702 +3906 2564 +-1455 5251 +-6321 8063 +-8546 4631 +1102 -2634 +-5250 73 +-6137 5552 +4722 4638 +-2755 -1156 +-1176 -6995 +1887 -2625 +3319 -4772 +7174 -16467 +5411 -10053 +5980 138 +-434 -4073 +-5295 -5132 +-3384 -4077 +1566 -6093 +-791 2403 +-3085 4291 +2728 -6216 +6165 -4807 +9651 1726 +1492 3943 +-1504 918 +-716 -4875 +-10650 -4218 +-14094 1031 +-2558 4755 +8492 6266 +1226 1767 +148 -9141 +-961 -6367 +-10302 6612 +-8325 11196 +764 6504 +1666 160 +-3455 -2264 +3842 -7248 +7827 -4243 +6783 3065 +-615 581 +-6205 -2059 +3891 1682 +2986 5487 +-1443 6187 +1466 5767 +4359 -2504 +7944 -1325 +15572 7006 +11198 2842 +944 -358 +4526 3501 +-23 5404 +-1016 -4173 +-3457 -4524 +-8563 4530 +2131 1775 +-3810 1566 +-12429 193 +-5644 -3627 +-561 -3627 +5244 -5018 +5709 -484 +1541 4738 +-188 4372 +-4221 589 +-310 3975 +4496 11568 +-5010 8232 +-7038 4163 +-4221 1955 +-642 -1528 +7253 130 +4437 3252 +-5214 1638 +1727 -3999 +12057 -9296 +9350 -10391 +6613 -12828 +4463 -7826 +533 4762 +-3371 929 +-3308 -7857 +995 -2593 +382 4457 +-3082 6304 +-5049 7755 +-11186 1043 +-8341 -2019 +804 4489 +-2477 2128 +-5521 -559 +-2904 -3354 +1832 -137 +419 3743 +-1758 -8056 +-4577 -8918 +-9042 -708 +-2688 5199 +-2619 10756 +1449 3907 +2253 -1676 +-5938 -2857 +-2226 -11281 +-150 -9175 +-1979 -1244 +653 -1337 +10301 -2974 +-424 -431 +-7666 12446 +3017 7522 +-4428 -8872 +-3209 -4724 +3112 921 +4287 -3757 +8666 -9178 +7742 -1548 +1624 1143 +-3927 -1822 +-4116 8867 +-3234 7970 +5105 3908 +9712 162 +7719 -7472 +711 180 +-4057 1808 +3390 -2490 +4920 1912 +2666 3436 +-2874 3209 +2358 3184 +11154 5578 +1689 9276 +-2612 7616 +-1929 4178 +-1495 -719 +5665 -4739 +5617 -555 +-2970 4725 +-1433 -4034 +3010 -14698 +465 -13349 +-1488 -9173 +1548 -2626 +6080 -1543 +1682 2346 +-1057 8106 +-3838 1092 +-12951 -4349 +-11449 -2403 +-2631 -320 +3775 6026 +5046 1634 +4292 -5062 +318 -1717 +5681 -55 +12591 -997 +2083 -2649 +-10730 2750 +-9285 4610 +-651 -630 +-3752 -2341 +-5818 622 +-1309 7488 +1176 1939 +6898 -6666 +11223 -9123 +6029 -5126 +2443 6144 +2119 5238 +-5490 737 +-4589 80 +-1574 -3707 +-1491 -5428 +-278 4992 +2546 5386 +8972 -5129 +1668 1924 +-3204 -3098 +-177 -5795 +1142 1544 +5545 -5159 +13796 -2501 +16991 -2220 +2813 -6246 +-1056 -7377 +8181 -6663 +3453 1748 +-4366 4379 +-1379 368 +4433 -2457 +3388 1199 +-6956 5837 +-9306 -138 +-4926 -3489 +-8461 10462 +-7149 16166 +-7594 4846 +-2061 1005 +-572 -532 +-5791 -4415 +2701 -282 +-4957 6064 +-3186 5336 +7826 2730 +-1864 -1924 +-4527 -4559 +540 -2740 +3625 -5147 +2800 629 +4356 6638 +-2241 3212 +-12984 5103 +-10553 5587 +-2331 1425 +6808 1963 +4981 -2080 +21 -6517 +-910 -1429 +4288 -3734 +9018 -8950 +350 -3412 +-721 7801 +5113 5755 +-1789 -2937 +-8185 5346 +-6343 1443 +3514 -3163 +1265 5820 +-9707 5726 +-534 2879 +1973 -2330 +-8834 -1336 +-10943 936 +-6059 -2485 +3521 -5023 +1162 -1407 +-4468 -759 +-1416 -1500 +-834 5806 +-4725 759 +-6448 -8134 +-5752 -7818 +-3823 -55 +2789 1978 +-325 -8870 +1924 -7441 +4112 3840 +-4419 -233 +-527 -10646 +-2520 -3479 +2757 3180 +12166 1151 +5500 2075 +1927 597 +-1881 -302 +-3296 5959 +-8509 10936 +-6973 3528 +5379 -3376 +2060 -6478 +-1640 -2924 +-2898 2217 +-7264 1500 +165 2459 +7112 -345 +4105 8014 +6662 16134 +8712 7669 +3571 264 +6944 -4064 +11045 -12621 +6215 -12501 +5440 466 +4007 72 +-5453 -3245 +-5374 -1923 +-524 309 +-1434 6452 +4193 5262 +-1203 -1187 +-9351 -10271 +-2719 -9434 +-1790 -890 +803 913 +4859 -1357 +9944 -183 +8628 -440 +-3479 -704 +-2677 9262 +-3793 5197 +538 -4678 +4909 3327 +-3558 10605 +1437 2363 +-112 -2939 +-7537 4995 +-7571 8019 +-6257 2276 +-807 -6062 +-75 -1759 +-3761 2686 +-2005 -761 +610 -169 +-3801 3103 +-4744 5718 +3902 6885 +8438 -799 +10199 -6006 +7846 739 +-4429 8347 +-6748 9603 +-6256 4744 +-4239 -3359 +3130 -6262 +3945 560 +-4773 -4759 +-6974 -638 +1199 10397 +1626 -642 +4985 -2363 +3976 5096 +-6270 4247 +-10337 -133 +-10754 -729 +-704 -4669 +10427 -7070 +8210 -4477 +-827 -7906 +-6864 -2188 +-6198 2652 +-1129 -119 +-1873 -2465 +-9148 1497 +-1506 4241 +10376 -5898 +7562 -4466 +1526 -1254 +-4665 -11216 +-780 -12431 +5713 -4034 +-1770 -4315 +-168 -5559 +1960 -1566 +-564 3887 +1276 7608 +-3886 -491 +-5986 3683 +-6843 8067 +-2824 1523 +-3675 6183 +-7398 6907 +1321 4676 +-4235 399 +-7006 -741 +847 196 +4375 -2431 +6085 2014 +3294 -2931 +8840 -4318 +14112 3955 +4840 324 +403 -6032 +6373 -10259 +-484 -3976 +-4071 9199 +2745 5399 +1430 -403 +-1224 4700 +0 7387 +7494 2675 +6118 -5911 +4180 -10914 +6692 -4339 +1822 9132 +720 11523 +-1945 7570 +-5496 126 +-1451 -6774 +3519 -2103 +-6773 -567 +-6437 3773 +4006 6835 +-3985 591 +-995 -6885 +7245 -5592 +8090 2455 +7164 4129 +6093 5725 +14057 10169 +5103 343 +-8400 -5231 +-438 -2909 +-5106 -1852 +-10892 5638 +-3547 11033 +3130 8372 +2192 -1772 +-5683 2007 +-4501 11079 +4226 10644 +8486 4025 +1912 -3504 +5801 -1294 +4054 -1266 +-16389 2548 +-12786 5064 +-5869 591 +-9079 -475 +-5089 -5339 +1427 -8286 +6409 -146 +3656 753 +4196 -2711 +-2443 5354 +-7510 3582 +4608 -152 +8397 -269 +6154 1235 +1796 6695 +-2683 -1645 +3664 -5974 +7520 -4604 +1222 -1080 +-2599 4939 +-221 174 +2109 -6548 +1483 -5799 +-4618 -4268 +-2949 -9656 +4485 -7790 +-113 -3991 +-4094 -5905 +-997 -2492 +2751 3698 +1310 1695 +-6445 -3207 +-7244 -3221 +488 -4420 +1979 4174 +-4619 6131 +-7240 532 +-6446 2231 +3691 334 +8205 3960 +-3505 1364 +-4455 -1542 +-4449 3080 +-4771 -4537 +2039 -8732 +7971 -3220 +8420 -1418 +8504 553 +17781 -1013 +4426 -2913 +-10012 1183 +-2102 -2018 +-5141 1780 +-5094 11149 +4155 10564 +7751 4279 +1037 -3376 +428 -2166 +2980 -4076 +-2171 -2752 +146 -2519 +2838 -421 +4114 2975 +7126 -2565 +3875 -3745 +-6129 -1382 +842 5306 +5474 7560 +-2779 6596 +-5169 4799 +-2257 -1165 +5459 -2795 +1240 -2125 +-5086 4139 +-1749 511 +4055 -4518 +8041 -1588 +5828 3872 +4955 6662 +1984 -4915 +-3033 -2076 +-5533 6853 +-442 5155 +1405 3218 +-3273 287 +-1668 -2330 +-4630 -404 +-10857 6811 +-16182 2393 +-11253 -1431 +3117 -3251 +1906 -6593 +-4833 -713 +1820 1204 +-621 10418 +-8927 11761 +31 -3186 +-183 -1481 +-2247 5291 +7910 6068 +8093 -518 +4980 -11583 +4479 -7095 +3628 -2340 +-1331 -2403 +-2578 3470 +-1753 5993 +-8200 8707 +-2393 7996 +9049 5461 +6088 -743 +63 -4241 +-3107 3116 +2059 -8044 +10087 -12270 +4172 1723 +-1880 -1964 +-4909 -529 +-3616 6582 +-2937 -4696 +-7398 -15228 +171 -14137 +205 -7100 +-3570 -100 +-1492 -1986 +1909 -2225 +5132 -539 +3769 -6592 +472 -5292 +-5771 3062 +4067 3400 +9268 2037 +2161 6770 +-874 6975 +-2511 623 +2361 -5619 +-480 -2532 +353 4877 +4892 -3651 +1905 -13607 +5578 -4221 +2120 -665 +-7659 4245 +-2195 9787 +-608 -931 +-4250 -676 +-8000 2502 +-10123 -1546 +-665 -5334 +6001 -1148 +-787 1124 +-2235 -5772 +6030 -2005 +1105 6405 +-3684 1670 +4201 -1357 +2823 4807 +-8360 3020 +-10089 -2068 +-4440 -1965 +-2320 1922 +228 -5638 +-4907 -9513 +-3457 1111 +6752 2678 +1817 10490 +2030 9706 +4074 -2744 +73 -6063 +-1140 -6535 +-7358 -4077 +-9228 -921 +-4116 4629 +2574 2898 +-2417 4777 +-11567 7502 +-1958 1048 +4660 -6177 +3143 -11296 +5338 2612 +5870 7057 +7208 -3877 +6595 -4872 +5669 -7794 +9164 -5428 +10451 2606 +7587 535 +8059 -1970 +3651 -5796 +-284 -9445 +7793 3355 +8591 5942 +1487 -1057 +-10694 1692 +-10726 -682 +1106 -3639 +-3615 -2577 +-7463 4101 +-4977 8721 +1098 6351 +4256 4581 +3680 1577 +677 -6429 +-7581 -10968 +1408 -6747 +1228 -1793 +-3022 8181 +4882 8498 +-1093 -276 +-3705 2289 +-4341 7127 +-8731 5690 +-4139 -3415 +3865 -4876 +229 1326 +-5531 -2688 +3058 -5587 +3875 3115 +-2446 3122 +-1626 -4064 +-1438 -2891 +-4697 -904 +-3774 -336 +981 926 +-966 -5706 +3262 -4819 +7158 2427 +-4169 3923 +-6153 409 +-2904 -1264 +2129 5915 +-685 6038 +-8467 8179 +-5042 6182 +-6596 -2143 +-1348 1720 +2725 4665 +-2369 6165 +-3868 -2479 +574 -7577 +7219 -16 +1208 -379 +-1262 1501 +2401 5017 +2026 2262 +4160 67 +641 2589 +-4969 7083 +-1337 11889 +5756 4746 +5330 -4532 +-6287 -2867 +-4988 -3480 +8598 626 +857 -3701 +-5353 -10349 +837 -8856 +-1312 -12440 +-6046 -2404 +-6244 7337 +-5768 3429 +-5836 3002 +-655 1804 +-3517 -10367 +2288 -18617 +12611 -9900 +1720 16 +-411 5418 +3642 6247 +648 7556 +2980 5883 +9811 -6832 +6641 -9608 +7043 -5251 +7938 -3555 +-6480 -3788 +-206 -2718 +9345 2777 +1065 -3455 +-5857 -4643 +-8570 7821 +-2903 10244 +-631 4011 +-3703 -2188 +-3070 -1200 +-4306 2264 +-3237 2168 +1334 75 +-4492 -3657 +-604 -5684 +7153 -2725 +-741 5584 +-4592 4215 +-3177 944 +5451 3108 +3343 5071 +-1353 11410 +3570 7213 +-2060 7233 +-5807 8798 +267 -2271 +-7331 -9342 +-4009 -8180 +4324 -9046 +-237 -14100 +4115 -1315 +-680 6318 +-5126 -1502 +9657 532 +13294 5754 +2424 3725 +-1132 -4323 +-715 -6679 +-1543 -5194 +-367 1193 +-6578 9510 +-11746 8015 +-349 -1822 +6079 220 +-3099 5583 +-5551 -10122 +-5785 -11654 +-3673 -5865 +5319 -11984 +350 -5875 +3292 4476 +8334 7093 +-3496 -106 +-5234 -4426 +-2452 833 +3320 -284 +4239 -5313 +1042 -1124 +2392 1488 +-701 -1388 +958 -5505 +-2937 -7283 +-4097 4116 +462 9186 +1726 5867 +2761 5910 +-4021 4626 +-5523 4635 +-2669 9962 +4866 12574 +11381 4559 +7192 -1599 +5771 -2885 +4618 3313 +3724 5881 +6147 3574 +7117 1903 +-1246 -6217 +-7336 -3265 +-1986 -643 +27 981 +-609 2666 +-4697 -224 +-5114 3087 +1987 -4 +61 -4955 +-351 -5780 +2907 5969 +1502 10475 +-1298 -1940 +-11738 -2138 +-8498 -3432 +-2542 -9533 +-9459 -10353 +2270 -3597 +3563 5151 +-5082 2194 +7753 -5813 +14105 -4303 +4352 1203 +-3667 -2421 +-4903 -4336 +-5571 -1897 +-6419 927 +-6323 1956 +2839 -2999 +10806 -2720 +4098 593 +1379 3001 +-1394 -987 +-4352 -3271 +2967 1120 +-1075 -3715 +1545 3367 +13267 7319 +8421 764 +5056 509 +3064 1034 +2903 3888 +4423 5179 +10075 7374 +10470 2230 +-4271 -1335 +-237 2774 +-450 -562 +-5527 -1717 +3285 335 +2951 1388 +3127 7972 +696 8245 +-2461 -3899 +-1915 -7188 +-1922 -2000 +-572 5024 +-937 3791 +-2340 -5966 +-6699 -11007 +-3074 -15785 +-1181 -5072 +-6902 3830 +-1956 -4594 +3850 444 +-539 13564 +-2785 11079 +317 4575 +-3359 4959 +-2417 2332 +3324 -2348 +198 -12128 +-4727 -4933 +-5422 12636 +975 6645 +1268 -1875 +-3113 -5446 +911 -5192 +1634 -7498 +1809 -13958 +4445 -5247 +-440 5859 +-6182 -1375 +-3710 -1751 +-4393 13899 +-10012 12141 +-6257 5450 +-456 -227 +1349 -6335 +-1103 -433 +-4017 5192 +-3704 5646 +133 -4058 +6381 -9034 +3485 -2242 +3015 1265 +-1743 154 +-5527 453 +2961 -1146 +-3369 -2084 +3261 1447 +15127 -3235 +7098 -4903 +4204 -2908 +2860 -1330 +4010 -1657 +2128 -7647 +-1121 -1817 +8857 5767 +6394 4707 +2140 1984 +2925 2512 +-3364 6364 +-1773 8537 +714 5409 +-5478 2057 +-8511 560 +-4340 -6145 +-2854 -6770 +-3217 3298 +-4655 3959 +-5730 -998 +75 4528 +3486 8651 +-3978 6055 +-7225 3799 +-3381 -1876 +-4985 -5916 +-7826 -2625 +-2249 -2613 +1984 -4083 +-146 -4871 +3027 -10819 +943 -3253 +3542 6314 +3176 1200 +-5695 -3135 +3697 -4420 +1619 686 +-7485 1238 +-9181 4982 +-1588 13155 +14670 7158 +7806 2634 +974 1010 +2753 2072 +-1494 -882 +-351 -8056 +4237 -7540 +2210 -6042 +5026 -2905 +12341 5890 +-611 6038 +-8717 -9799 +896 -9897 +6429 -495 +7179 -3100 +8273 -1368 +4008 2441 +-2568 -1255 +-1099 -3216 +-2090 -3507 +-4739 -13762 +-11222 -6329 +-10031 9463 +-794 8107 +-1435 2562 +3249 -5202 +4242 2763 +86 2556 +-3921 -6765 +-1946 -740 +10703 -1313 +8108 -592 +2890 143 +3840 39 +1450 6929 +4104 7522 +3989 3592 +-3025 5059 +-6381 5881 +-7416 -1820 +-6664 -3209 +-409 4553 +-508 6112 +-597 5395 +2329 9422 +-7540 4381 +-12678 -2514 +-6761 1650 +1937 -5980 +-1675 -8279 +-3783 8340 +-2264 8791 +3693 -802 +9839 1527 +-485 -504 +-2780 -1056 +3086 1460 +641 -5105 +548 -5532 +3846 -4450 +-1265 -2044 +-1376 1091 +4009 -35 +-6459 -2055 +-6953 -3969 +-388 4162 +-3451 10910 +3956 5 +5273 -9536 +1975 1767 +10090 6360 +8765 5361 +-5452 8032 +-11717 3469 +-5583 -1749 +-1752 -8749 +-3226 -573 +-8105 -1556 +-9303 -10957 +-6492 -28 +-8957 2797 +-6290 1566 +1113 -1270 +8204 -4196 +4237 -3057 +-8797 -3215 +-8840 1878 +-5148 3924 +-3161 3112 +-1212 3030 +-195 2749 +1621 2713 +2681 2746 +5332 2537 +7366 1962 +9469 -3175 +814 -4180 +-9453 -1779 +-3900 -2540 +4577 977 +9457 383 +7714 614 +6372 -8506 +8359 -9974 +4830 1808 +1203 -6903 +3288 -6267 +10074 1223 +9910 5020 +-3246 8158 +-11478 6425 +-4202 5590 +-2407 -5720 +-6739 -5017 +2602 8651 +4101 7946 +2348 -3048 +8716 -5336 +-543 -64 +-2757 1092 +3414 -958 +-2875 -4133 +-3225 -3895 +462 -2307 +166 -110 +-3 40 +21 -10 +3 0 +4 0 +10 5 +11 3 +4 8 +1 21 +4 11 +3 -1 +2 2 +6 4 +0 3 +0 8 +2 4 +4 2 +4 4 +7 1 +-3 3 +-1 1 +10 4 +6 -1 +6 -2 +7 4 +7 6 +4 5 +4 3 +3 0 +8 2 +0 0 +6 -2 +10 1 +9 -1 +10 7 +6 9 +7 5 +8 3 +3 11 +2 7 +4 -7 +4 -6 +10 1 +8 3 +3 4 +5 6 +3 -6 +6 0 +4 1 +10 0 +11 -5 +9 -3 +5 -3 +8 -4 +7 4 +2 4 +2 6 +-1 11 +0 3 +4 -4 +8 6 +3 7 +0 6 +4 -3 +1 -9 +-2 -9 +3 -2 +0 2 +3 4 +3 5 +9 8 +9 0 +6 -10 +2 -8 +2 -2 +8 7 +-7 26 +-27 51 +-37 51 +-24 41 +-23 38 +-125 115 +-1981 1770 +-6064 5974 +-2342 6101 +6304 -1260 +2311 -3849 +649 3318 +5972 2326 +-791 -6200 +-6505 -7455 +-6080 -6992 +-1428 -6304 +6366 1592 +1860 4312 +474 -3348 +6255 -2456 +-463 6521 +-6273 8073 +-5667 7639 +-1061 6315 +6085 -2434 +1675 -4267 +1314 3206 +6437 1244 +-1905 -5901 +-7883 -6056 +-7427 -5693 +-2639 -5971 +6720 373 +2740 3953 +-203 -3362 +5743 -3653 +849 6531 +-4629 9226 +-4102 8695 +232 6478 +5551 -3569 +777 -4520 +1935 2874 +6683 -124 +-3173 -5401 +-9246 -4338 +-8613 -4126 +-3878 -5338 +6710 -969 +3509 3358 +-846 -3291 +5027 -4691 +2109 6312 +-2831 9959 +-2457 9367 +1474 6324 +4877 -4645 +-121 -4617 +2471 2450 +6660 -1405 +-4173 -4793 +-10014 -2582 +-9339 -2478 +-4874 -4586 +6523 -2209 +4159 2636 +-1441 -3109 +4110 -5529 +3231 5852 +-999 10345 +-738 9666 +2656 5902 +4035 -5521 +-939 -4489 +2938 2020 +6400 -2556 +-5045 -3964 +-10435 -765 +-9714 -817 +-5669 -3657 +6101 -3315 +4597 1894 +-2016 -2790 +3172 -6175 +4245 5159 +776 10380 +926 9670 +3606 5385 +3072 -6034 +-1713 -4234 +3198 1481 +5902 -3549 +-5595 -3070 +-10397 876 +-9807 724 +-6232 -2732 +5526 -4205 +4863 1169 +-2382 -2457 +2182 -6561 +5010 4437 +2335 10085 +2376 9339 +4394 4720 +2060 -6408 +-2367 -3947 +3441 869 +5313 -4473 +-6071 -2101 +-10240 2605 +-9610 2364 +-6608 -1676 +4835 -5081 +5047 339 +-2799 -1993 +1040 -6791 +5731 3545 +4114 9508 +4049 8748 +5263 3939 +1049 -6613 +-3012 -3447 +3527 348 +4498 -5258 +-6360 -1041 +-9750 4278 +-9169 3950 +-6865 -501 +3897 -5791 +5081 -505 +-3098 -1503 +-118 -6859 +6307 2510 +5678 8606 +5479 7960 +5873 2967 +-136 -6723 +-3589 -2882 +3592 -262 +3636 -5949 +-6500 -18 +-8965 5793 +-8444 5338 +-6914 611 +2914 -6310 +4936 -1346 +-3287 -1023 +-1216 -6686 +6581 1498 +6937 7596 +6644 6955 +6286 1942 +-1180 -6642 +-4026 -2311 +3516 -868 +2670 -6445 +-6467 1093 +-7955 7172 +-7468 6591 +-6719 1692 +1839 -6638 +4650 -2061 +-3456 -412 +-2282 -6372 +6759 384 +7385 5479 +2610 5197 +-4738 2010 +-9540 -4941 +-9600 1132 +-7807 3676 +-2096 -4753 +3275 -3618 +7825 -33 +3055 -6386 +-3816 -8403 +2404 -5160 +4869 611 +4654 6392 +7112 -2612 +4767 -5078 +1230 4461 +-6242 2124 +-8639 2970 +-654 5666 +5018 3655 +76 6297 +-8697 3824 +-4998 3875 +2117 3896 +6343 -2167 +5554 3201 +-2232 9801 +3163 6847 +8140 -2070 +5101 -8737 +6273 114 +3124 6205 +3399 -1228 +283 -6469 +-3996 -8521 +4156 -5242 +6576 728 +3418 -3434 +-2792 -8176 +-3776 -5699 +4130 -2017 +534 6111 +1699 7940 +8313 -484 +3826 1959 +-2274 5855 +-8395 2746 +-4103 5805 +5074 5944 +149 3807 +-5345 1591 +-2860 -7790 +-4954 -8651 +-9897 -3102 +-2216 -4074 +3569 -379 +-4104 7276 +-1471 9645 +3580 9081 +-5804 4043 +-6178 -4709 +4558 -9237 +1158 -9554 +-3763 -7297 +2781 493 +-1855 4724 +-10683 2572 +-6742 7064 +-3664 7760 +-4708 -2120 +206 -4743 +6168 -5081 +-1656 -6774 +-8433 -3801 +-1489 -5360 +4196 -2618 +7796 1844 +4002 -6442 +468 -6794 +3810 2532 +-3535 5604 +-4907 7750 +3141 4610 +6275 -542 +4156 4620 +-4381 8438 +-1494 6131 +4185 1565 +3584 -5716 +6468 -1212 +4596 8739 +6903 3078 +5092 -6800 +-1702 -9814 +4890 -4017 +6514 2546 +1890 -3105 +-3988 -4980 +-8766 -3691 +-293 -6594 +5576 -3751 +395 -4738 +-7536 -4178 +-6719 -1724 +1850 -4227 +4511 4125 +6591 4676 +6042 -5860 +4273 -1111 +2294 5785 +-4487 7755 +772 6964 +7860 777 +2740 2634 +-2885 4779 +-7472 -3550 +-9695 -2742 +-9545 4486 +-4482 -1461 +2377 -2763 +1865 7931 +5485 7864 +8874 4046 +-1679 6818 +-7953 805 +-2794 -9756 +-5532 -7709 +-7746 -2708 +2514 -1463 +1858 4676 +-6381 9045 +-351 9636 +2527 8028 +-5001 1660 +-3146 -3544 +1136 -7864 +-5900 -3669 +-8981 3024 +-4864 -2884 +1277 -4749 +7112 -4057 +-1415 -7314 +-4315 -5114 +4649 -800 +1235 6378 +1652 8828 +5580 1097 +4372 -4612 +6272 518 +2411 8917 +3013 5379 +4252 -1678 +-1119 -6473 +4118 -5129 +9358 3265 +7313 -2446 +-648 -8385 +-7796 -6010 +1073 -6159 +6603 -2205 +5943 2549 +8812 8594 +5609 6931 +6206 1477 +994 -701 +-4681 1197 +-891 -1510 +-3121 -1846 +-9803 180 +-9126 -4278 +5417 -3476 +13094 -8234 +-2423 -14708 +-3255 -6159 +9789 3125 +7297 6621 +2972 1963 +1542 -1036 +1511 3586 +-202 -472 +-6002 -3451 +-8905 1498 +-12298 1181 +-4217 -1090 +5793 3858 +57 6792 +-1387 464 +-4704 -4081 +-8306 -3782 +112 1833 +-4393 3538 +-11885 -2602 +-5121 -613 +-3666 228 +-4664 2116 +3142 9936 +7321 5674 +391 1839 +-4436 -359 +-1723 -8467 +2123 -8270 +6803 -2286 +6158 -171 +976 -4127 +-1446 -4543 +-5864 42 +-7273 -1619 +-2307 -7516 +1682 -8520 +4304 -923 +344 2919 +-6924 5480 +-3627 10151 +4653 2573 +4632 -1175 +4819 -480 +11354 -3824 +5851 2329 +-1036 2894 +7189 -4268 +5630 -4480 +333 121 +567 6369 +-5179 4858 +2578 -1268 +12970 -208 +9002 1131 +5659 -3187 +261 -1123 +-2706 4081 +-1718 -488 +-3639 832 +-7162 6855 +-9825 3275 +1471 -6195 +3875 -14887 +-12187 -8548 +-6772 -2045 +9350 -4707 +9899 -1607 +2085 146 +-6215 1104 +-2583 -568 +5691 -3255 +3751 -636 +-4345 9405 +-7201 12884 +58 6735 +6413 -1960 +4502 -5422 +4122 -1708 +8232 -6268 +3728 -8343 +765 1375 +1879 -810 +-7741 -6063 +-2277 -2372 +8682 1006 +-1548 6334 +-5325 6933 +-5035 15 +-8366 -6420 +-4580 -5807 +-2317 2731 +-490 8455 +-1350 6744 +-4107 -2643 +-2587 -7455 +-158 -5748 +2772 -8082 +-2232 968 +-7170 9714 +1264 7823 +9221 8396 +8312 -3642 +1903 -7759 +-3706 4559 +-4861 -2595 +-4663 -9932 +-2328 -2473 +-855 -2094 +-3009 -6717 +1220 -7342 +8032 -4427 +4754 -108 +3296 4204 +5142 5777 +1672 4159 +292 970 +-1026 -2281 +830 -251 +1404 3939 +-1142 4297 +10069 4719 +9816 10590 +-3747 14591 +-2539 7011 +-2960 -538 +-3699 -3305 +-681 -7876 +-1927 -5903 +-705 673 +-4791 -3205 +-5803 -10133 +-879 -3739 +-3838 5026 +-2470 1259 +2370 -6011 +2516 -2826 +2622 9800 +2669 14215 +4405 4936 +3724 -5600 +-52 -6912 +2031 -3958 +2310 -10011 +-2543 -8583 +1459 518 +1141 -1976 +-6904 -1574 +-90 -2464 +3780 1439 +3077 3198 +4660 -292 +5930 -2773 +-3563 -6522 +-6063 2920 +5407 6140 +11608 1951 +10554 5159 +6906 2932 +6190 3004 +5984 -1874 +2227 -9757 +182 -1074 +3059 6300 +4566 318 +-5138 -8654 +-9716 -3587 +-5369 4037 +-7838 -2147 +-6244 2064 +-3714 7752 +-3920 175 +2912 1462 +5319 5870 +2141 -54 +-2860 -4453 +-7786 691 +-4047 3978 +-1469 -447 +-5017 -4865 +-2726 -6184 +1768 -669 +1318 6159 +2561 5904 +2593 2030 +-833 -1335 +-2895 1330 +-7941 6833 +-8491 6301 +-4272 -1304 +-5811 -6375 +-4247 -6698 +1640 -14173 +2363 -12690 +-6584 3865 +-15722 6030 +-10713 2208 +1758 4205 +10072 2041 +9084 4738 +3770 6644 +237 4402 +-1815 9824 +-286 4095 +384 -7849 +2837 -1318 +-263 1343 +-7865 -3462 +1996 -686 +7798 -1831 +4102 -3431 +5569 -4841 +2177 -6762 +3435 -944 +4506 519 +3524 -3204 +2992 -5856 +-6943 -2797 +-3078 5975 +8176 1370 +10465 -5610 +11616 -2488 +7371 -1945 +6892 -1457 +3719 -5125 +-4225 -8843 +-612 -839 +5479 4455 +5393 -2695 +3652 -8949 +3248 3155 +802 154 +-91 -10262 +7125 -4957 +5514 -1004 +-5488 -1710 +-3560 2006 +1955 8752 +1240 6550 +5387 3989 +2458 2063 +-8174 -4793 +-7715 -1544 +1863 1275 +9838 -1055 +1714 -2048 +-9480 -4619 +-5902 432 +-5241 6935 +-6260 10164 +-5732 7387 +-2757 -1213 +10738 2979 +12334 6793 +3506 503 +-219 1850 +-5293 6399 +-8914 6956 +-9192 5692 +-5318 881 +-3804 -1368 +-2663 3680 +4537 6045 +-1129 -1216 +-10130 -5548 +-2078 3017 +4189 6444 +766 -3318 +-477 -3235 +-1009 -185 +1589 -3666 +11300 -2738 +11218 -8688 +8462 -10491 +10613 -3060 +1718 -2042 +-166 2689 +3021 1604 +-3432 -4630 +-1434 -3153 +-179 -4075 +-6771 -5186 +-9076 -7247 +-5360 -4259 +-650 1226 +1023 -1448 +5823 3546 +4837 6887 +-836 2187 +-4092 188 +-8206 -77 +-221 3963 +2420 -2554 +-2992 -8973 +4524 141 +780 -448 +-6947 -7415 +2051 -8353 +3548 -4401 +-5310 2403 +-1365 3834 +7389 5084 +5372 3929 +6867 -672 +3342 -122 +-9443 1938 +-7034 4131 +224 2389 +403 18 +-41 26 +16 45 +4 3 +3 -2 +6 6 +-4 8 +-5 3 +-2 0 +-7 8 +-3 5 +-2 4 +0 4 +6 6 +7 5 +4 3 +2 4 +2 6 +0 7 +1 5 +-1 1 +0 -3 +-2 1 +-1 7 +4 8 +4 -2 +10 0 +3 1 +-8 0 +-12 -1 +-4 1 +3 9 +5 7 +1 6 +-7 -3 +-1 6 +6 12 +2 -1 +1 -4 +0 -6 +0 -1 +4 1 +3 4 +0 12 +8 3 +1 2 +-4 0 +-4 1 +2 -1 +4 -4 +7 4 +2 6 +-1 -1 +1 1 +-1 -1 +2 1 +10 9 +6 7 +5 -3 +7 3 +4 5 +2 1 +1 4 +1 9 +5 4 +7 -1 +3 1 +-3 3 +0 5 +4 8 +5 7 +-6 8 +-4 9 +1 14 +-1 11 +-2 4 +-2 2 +-2 6 +1 12 +-1 10 +-4 11 +0 8 +-5 4 +-3 5 +3 6 +5 4 +6 2 +3 3 +2 3 +7 0 +3 0 +4 1 +3 4 +0 3 +4 4 +2 2 +-1 0 +1 0 +3 5 +5 2 +9 1 +3 7 +-2 5 +6 5 +-1 2 +1 -1 +6 2 +1 9 +0 7 +8 0 +4 -5 +2 -10 +1 -3 +3 3 +1 6 +0 4 +-4 1 +-2 2 +0 2 +9 8 +9 6 +0 3 +-3 11 +4 4 +3 7 +3 9 +5 4 +4 -2 +5 -2 +6 3 +1 1 +4 -4 +1 2 +-1 5 +-5 3 +1 2 +-1 2 +-1 -1 +4 7 +0 10 +-2 9 +-5 4 +1 3 +4 -3 +-5 -9 +0 -4 +8 -5 +10 -4 +6 1 +4 -1 +3 -1 +-1 5 +-1 2 +3 0 +5 0 +4 -2 +5 -8 +4 -3 +4 0 +6 3 +8 2 +5 -1 +-5 -2 +2 -4 +1 1 +-2 -7 +1 -6 +7 -5 +4 -1 +6 -21 +29 -40 +40 -45 +29 -49 +31 -39 +94 -4 +1841 1228 +7074 4444 +7376 1466 +-1846 -5997 +-5048 -1970 +2689 -988 +1770 -7001 +-5793 1378 +-6402 8674 +-5721 8323 +-6522 4228 +-698 -6513 +4314 -4192 +-2737 770 +-4855 -5391 +5879 -2337 +9968 3735 +9241 3599 +7449 -305 +-3169 -5542 +-5537 -947 +2470 -1621 +256 -7320 +-5420 2601 +-4385 9964 +-3777 9476 +-5536 5573 +-2230 -6244 +3275 -5060 +-2596 1375 +-6034 -4182 +5399 -3533 +10813 1470 +10023 1464 +7427 -1976 +-4287 -4752 +-5714 338 +2174 -2059 +-1265 -7251 +-4892 3724 +-2369 10820 +-1921 10188 +-4396 6639 +-3350 -5676 +2385 -5580 +-2316 1824 +-6845 -3085 +4749 -4519 +11088 -524 +10348 -353 +7080 -3287 +-5264 -3870 +-5745 1431 +1723 -2459 +-2693 -6968 +-4279 4553 +-335 11063 +45 10395 +-3132 7409 +-4563 -4969 +1155 -6017 +-1939 2266 +-7433 -1627 +3760 -5383 +10874 -2783 +10143 -2441 +6273 -4647 +-6023 -2820 +-5345 2461 +1277 -2823 +-4011 -6219 +-3179 5409 +2033 10856 +2223 10070 +-1517 7829 +-5485 -3955 +-41 -6111 +-1414 2631 +-7629 -102 +2644 -6001 +10229 -4854 +9613 -4361 +5399 -5723 +-6462 -1549 +-4837 3449 +719 -2932 +-5136 -5299 +-2189 5878 +3897 10270 +3960 9494 +-97 7922 +-6082 -2893 +-1095 -5955 +-995 2785 +-7663 1148 +1531 -6327 +9304 -6375 +8794 -5782 +4436 -6489 +-6673 -594 +-4364 4074 +245 -3045 +-5925 -4520 +-1362 6084 +5410 9560 +5354 8760 +1005 7822 +-6582 -2015 +-2018 -5711 +-551 2956 +-7373 2318 +631 -6485 +8275 -7771 +7769 -7156 +3324 -7150 +-6653 529 +-3547 4743 +-197 -3031 +-6618 -3425 +-329 6198 +6998 8528 +6823 7779 +2362 7553 +-6775 -850 +-2932 -5302 +-79 2929 +-6993 3449 +-485 -6527 +6954 -9007 +6640 -8282 +2225 -7532 +-6525 1624 +-2769 5307 +-716 -2883 +-7199 -2270 +612 6157 +8320 7211 +7948 6523 +3502 7009 +-6855 181 +-3740 -4725 +343 2927 +-6444 4402 +-1437 -6314 +5556 -9832 +5292 -9071 +979 -7675 +-6324 2546 +-1995 5535 +-1129 -2775 +-7422 -1171 +1600 5954 +9338 5870 +8895 5237 +4605 6385 +-6779 1263 +-4481 -4077 +902 2807 +-5568 5392 +-2481 -5912 +3259 -9668 +4266 -4988 +3905 3027 +-2203 11186 +2911 9792 +6539 6906 +-3444 4161 +-5153 -1313 +-2086 -7799 +-7004 -2090 +-7844 6883 +-6187 289 +-1698 -4980 +5227 -6730 +-3489 -6674 +-7394 -3000 +3459 -3071 +4262 4699 +5118 8304 +6297 -549 +2210 -6202 +5900 -3112 +6639 6977 +5240 4716 +3959 -3166 +-3670 -5350 +511 -6962 +10324 -1379 +6960 -4759 +-3344 -8125 +-10413 -2265 +-3011 -5915 +5499 -5654 +-1303 -3107 +-6566 1189 +-7396 7319 +-7043 -1268 +-1520 -7063 +-3892 -2824 +-7629 5170 +-4824 6708 +-3757 -2785 +4937 -3033 +8250 -4141 +-2415 -8303 +-185 -4935 +6562 -489 +5411 7171 +7041 3261 +4772 -7111 +3523 -2463 +3860 4544 +-6022 5870 +-7889 8053 +-299 11358 +-3034 4991 +-2450 -3325 +7815 1148 +10417 -1056 +8231 -7140 +6294 3257 +-1978 8589 +-10417 -765 +-10383 1358 +-6814 6891 +-1032 -2154 +5177 -828 +5800 9806 +8744 5307 +9638 749 +167 5359 +-4839 2414 +-6774 -4365 +-6761 3117 +-1378 10156 +-4501 4299 +-4610 -2540 +-392 -8407 +-7124 -2650 +-7760 2618 +667 -4535 +6405 727 +9480 2866 +4613 -4502 +-2377 -6043 +2469 -6176 +9781 817 +7228 47 +986 -4913 +-6406 -1465 +-4364 -5415 +6929 -7880 +2186 -8056 +-8032 -3619 +-9517 5308 +-6316 -2244 +393 -7744 +-3098 -1383 +-4360 5245 +-902 10342 +-6262 3802 +-5802 -4154 +-4830 468 +-2540 8784 +667 8089 +-4796 423 +1788 -5528 +3748 -8504 +-7354 -4472 +-3401 -3539 +4805 -4733 +9036 1672 +7670 -2231 +-999 -8310 +1138 -4119 +5999 766 +-802 8260 +-854 11093 +7156 8527 +817 5597 +-4153 -906 +6807 -4243 +7446 -7635 +1753 -10653 +7132 -1660 +4253 7667 +-8580 6287 +-7255 7830 +-752 9508 +-2228 -968 +3402 -3981 +10865 3466 +10288 -1773 +8088 -5702 +3702 3898 +-2131 4973 +-8157 1152 +-3386 6719 +5425 8517 +-853 6071 +-5281 1003 +-5669 -6114 +-7360 2494 +-4539 6789 +-2379 -3891 +5495 -3479 +9352 -3811 +859 -6296 +-5638 -3070 +-1914 -6283 +8320 -5512 +5851 -4519 +-2288 -4368 +-6035 2891 +-6955 -1424 +497 -10322 +-3372 -7420 +-8707 2360 +-4193 9956 +-6448 2169 +-4469 -6090 +-1853 -1721 +-5162 -1314 +-10643 2135 +-9330 6056 +4306 -5010 +14081 -11701 +3345 -4155 +-1166 3989 +-890 7053 +-8305 919 +-2242 -4323 +-3639 -2332 +-7030 686 +-1291 3860 +-7012 398 +-2251 -6414 +2371 -5084 +-94 2326 +10301 11522 +7406 9255 +-6426 -5289 +-10374 -6371 +-6874 624 +-2284 -272 +487 -898 +5108 -1249 +3966 -593 +1029 -2255 +-3142 -8121 +-8691 -647 +-5558 7436 +-6534 252 +-3289 -9 +3745 9679 +-4078 10759 +-4526 2630 +4464 -1903 +5630 -2441 +2989 -6817 +2164 -7304 +5245 -6250 +6368 -9418 +7319 -291 +9302 6261 +6076 -7221 +5201 -7397 +9611 4764 +8777 3622 +5064 3926 +5260 5517 +5488 -186 +4781 -1780 +-2160 151 +-9961 -4513 +-3796 -10661 +-1113 -1819 +-5602 5786 +-7063 -2057 +-8015 1693 +-755 9829 +3348 3309 +2527 -1539 +5473 -1305 +1941 -72 +-1743 1633 +-5194 2275 +-7036 8428 +-3475 10434 +144 -6639 +3521 -17850 +-63 -5280 +1608 3745 +3903 5780 +-5885 6006 +-4607 -1748 +-4439 645 +-5128 5055 +1476 3752 +-5359 4904 +-5644 16 +1647 3768 +1198 5002 +-727 1817 +-1734 4126 +-9827 3277 +-16534 -3024 +-7356 753 +1111 1780 +4819 1004 +3948 8871 +-2498 4366 +6806 7165 +10346 13993 +1104 1028 +6483 -1749 +7599 3598 +4188 -2175 +3297 -10149 +-1067 -15373 +782 -10476 +154 -386 +-578 5062 +2695 4840 +6527 660 +9683 458 +1641 3339 +-1169 -211 +4165 -5553 +773 840 +-5861 8382 +-9188 10534 +-3791 11735 +-2143 -2374 +-5072 -13695 +2818 -3760 +2787 2945 +-5974 1846 +-6755 1796 +-3995 2124 +-992 -1211 +-3342 -7682 +-6752 -3024 +-346 3421 +4265 -146 +1954 -2356 +3620 -3847 +-1789 -2816 +-12355 4606 +-6176 6426 +708 1560 +-4781 -4323 +-3787 -8165 +6274 -5368 +2998 -1593 +-12034 1640 +-5716 2862 +4921 -4978 +212 -9468 +2961 -5475 +1342 -558 +-2986 4666 +2466 -1205 +3277 -8052 +5366 722 +8095 5982 +3995 2887 +571 1693 +1382 4183 +-5408 8884 +-14700 8705 +-5136 5392 +2140 511 +4367 -2416 +8811 4014 +891 4885 +9992 931 +17358 3653 +1590 91 +3887 -5409 +8868 -905 +2286 1908 +-1184 -1606 +5136 -1853 +6920 987 +-1643 2934 +-6342 385 +-5964 -907 +1155 1722 +-2013 -1662 +-10898 1062 +1736 9690 +9871 7318 +9744 -2896 +4178 -8342 +-12571 -892 +-5094 269 +2880 -7370 +-7849 -2819 +-5252 1017 +-1156 -217 +2653 2439 +9657 4376 +3095 5313 +-1985 -1091 +5669 -5174 +3726 3138 +-3662 2211 +4738 -6085 +5828 -3710 +-2044 3188 +1097 5997 +-1219 7224 +-2927 11107 +4033 9663 +3486 2715 +-4334 3826 +-5817 3579 +533 1754 +-1769 9675 +-71 11645 +6188 5892 +-4226 -1525 +-3412 -12728 +10596 -15361 +13703 -7071 +9726 4793 +2205 7110 +-2119 -2162 +-5675 -3125 +-6891 -1091 +-2884 -447 +-6147 1388 +-7532 -2981 +-4939 -6548 +-6835 -10215 +-7965 -7583 +-6586 1575 +-2136 1024 +1176 1106 +4171 1144 +6944 -2149 +-1440 -2943 +-7183 -7015 +2265 -5862 +4636 -13 +-1806 -404 +2680 -4720 +6053 -3778 +704 3251 +-4610 4483 +-5198 3284 +2038 513 +-2558 188 +-7639 8002 +7724 5951 +12485 -1125 +5534 -8558 +-2325 -8749 +-10255 7710 +-4875 4162 +-345 -8115 +6482 -1175 +9290 5923 +8375 5461 +7468 1024 +-1929 -6376 +-5285 -1764 +3080 -781 +2044 -7422 +-6108 1285 +-6699 9030 +-5779 8486 +-6648 4050 +-668 -6851 +4335 -4019 +-3144 916 +-5000 -5762 +6293 -2196 +10233 4210 +9141 3962 +7490 -315 +-3005 -6040 +-5527 -944 +2976 -1300 +848 -7569 +-5836 2410 +-5099 10061 +-4219 9336 +-5848 5140 +-1881 -6570 +3597 -4664 +-2977 1566 +-6044 -4659 +5817 -3255 +10962 2297 +9883 2211 +7447 -1664 +-4087 -5261 +-5640 174 +2696 -1792 +-590 -7577 +-5382 3432 +-3217 10763 +-2428 9988 +-4808 6176 +-3122 -6099 +2666 -5268 +-2641 2044 +-6946 -3465 +5047 -4422 +11242 67 +10140 200 +6994 -3075 +-5079 -4290 +-5548 1242 +2275 -2332 +-2132 -7292 +-4626 4410 +-1026 11203 +-420 10164 +-3538 6838 +-4241 -5382 +1602 -5607 +-2218 2504 +-7420 -2047 +4259 -5142 +11138 -1887 +10056 -1596 +6447 -4282 +-5718 -3412 +-5313 2153 +1958 -2595 +-3184 -6788 +-3858 5038 +785 11145 +1221 10104 +-2402 7332 +-5071 -4555 +783 -5775 +1319 2072 +-1165 -4116 +-800 -8566 +4397 1922 +-2145 6031 +-4953 7388 +3502 5728 +5888 -2481 +5402 4679 +-3657 8182 +-1520 7098 +4600 1788 +2646 -6459 +6342 -1674 +5089 6909 +7574 4584 +4948 -8040 +-2553 -9398 +3741 -4843 +7036 1365 +2541 -1832 +-4551 -6291 +-8790 -1327 +-2229 -7054 +5625 -4192 +622 -4541 +-8093 -4366 +-7161 169 +486 -5474 +5337 3561 +6402 4180 +5870 -6033 +3981 -2481 +3137 4992 +-3145 8732 +97 6723 +8640 856 +3200 1423 +-2129 5793 +-7504 -2061 +-10105 -2849 +-9208 5714 +-5643 -403 +1956 -3555 +2080 6944 +5199 8214 +9536 3183 +-158 6569 +-8103 2686 +-3673 -8783 +-5516 -7837 +-8588 -1752 +1195 -1885 +3077 3747 +-5794 9314 +-897 9515 +4066 8451 +-4882 2210 +-3815 -2247 +290 -7778 +-5394 -3706 +-9148 4199 +-5863 -2060 +905 -4700 +6014 -5102 +-473 -6715 +-6379 -5437 +4585 -1493 +2484 5746 +1254 8676 +6597 1839 +2910 -5720 +7300 -247 +2798 8292 +3712 6067 +4850 -1774 +-2251 -6437 +3680 -5429 +8465 1563 +8854 -1792 +-1388 -7696 +-7608 -583 +1668 1699 +3522 2702 +577 4870 +6188 -9 +7866 -11478 +6248 -5787 +7529 120 +11949 779 +-561 2341 +-12388 -8570 +-4311 -3904 +285 10686 +-350 3256 +-666 -4799 +2112 -3540 +473 676 +-4996 8937 +1702 2061 +14440 -5563 +4449 1989 +-11958 -2347 +-8206 -5359 +-3440 -5236 +-1225 -13283 +-4725 -6123 +-4628 4451 +-2791 2359 +-3804 -128 +3435 4972 +3934 8522 +3492 5290 +-2217 4006 +-12371 2051 +-9591 3231 +-3859 3264 +-3609 3674 +-295 6228 +3854 193 +-835 -318 +-2903 -2207 +-4138 -4885 +-6407 -3454 +-3493 -4839 +6761 -3512 +7107 -6499 +-2345 1475 +1708 4487 +-4724 -5139 +-9999 -2100 +790 -4181 +5673 -6040 +3773 -4967 +1369 -4220 +1582 70 +-2954 541 +-553 5195 +4998 4169 +4429 1111 +8167 1716 +12469 -1327 +5778 2217 +-3159 6218 +-1932 8410 +-2514 7447 +2142 -219 +4521 -309 +3669 3128 +4805 -4182 +-1429 -13694 +1016 -8373 +5926 -4838 +9714 -7246 +1167 2150 +-15188 2013 +-5968 127 +7409 7558 +1929 2546 +-3727 -2973 +-900 -2286 +-795 1881 +79 4067 +-775 9395 +-7433 11728 +-5520 6125 +-2418 8034 +-1572 -2721 +451 -10223 +-2217 4879 +5577 5056 +6140 -5665 +-5715 -8115 +-4690 -1793 +-6317 -231 +-5217 -1075 +526 -3302 +1136 -7550 +137 -4213 +-4985 -6951 +-7207 452 +-222 8841 +6925 369 +-6040 -2525 +-7449 -2049 +8644 -753 +7939 -2144 +10931 -5055 +11530 316 +3750 2311 +4531 281 +6537 3463 +4147 4166 +1166 3964 +-1604 2403 +-2337 -10007 +789 -15374 +-485 -1255 +-4689 7288 +-7894 1015 +1186 -1599 +7275 -624 +2918 -2777 +8545 -6914 +5696 -3535 +-299 601 +-5914 -1904 +-6974 1293 +-776 -4275 +-4064 -6499 +-1194 135 +408 4416 +-2752 11323 +-1777 5558 +1513 4461 +995 4394 +-3290 -6346 +2480 -7766 +1424 -1533 +-11625 7023 +-12771 4923 +-215 -2289 +5215 -1306 +4803 1790 +6343 3964 +3195 4738 +2482 2842 +5436 7452 +1771 13486 +-314 8034 +3350 7466 +-2973 -1098 +-6358 -7989 +1408 4974 +7643 63 +1203 -8140 +-9639 -2220 +-4755 1780 +-4948 3984 +-4638 2652 +-751 -1721 +-6176 1351 +-6284 3589 +-104 -3592 +-10813 -3029 +-10442 -12352 +1294 -12345 +-641 1792 +492 4340 +6914 -3945 +5132 -7938 +-315 -1644 +2538 -4179 +6345 -10380 +196 -8031 +-4033 -2944 +-3819 2325 +-2017 7241 +4214 10593 +3770 6735 +-547 910 +2630 6386 +6581 6605 +2812 -2725 +-1597 -3295 +160 8981 +-7423 15237 +-13851 3732 +-2247 2373 +-2561 -897 +-5330 -4010 +5564 3521 +5655 -912 +4794 3719 +3406 7301 +-2540 4923 +3579 2455 +7812 -2187 +4884 3387 +1229 3588 +-5452 -5224 +-7283 -10229 +-1880 186 +4315 3788 +5967 -3297 +-1599 -3955 +-7249 -4106 +-4259 -333 +-2836 2401 +-3196 6952 +-561 5748 +5926 -481 +3674 -4249 +-2300 -1674 +-187 11155 +-861 10361 +-1094 -1866 +1265 -6845 +6196 -3208 +4206 -3648 +-4792 -3373 +-2606 -5449 +1735 -8460 +4283 -1519 +3847 -5511 +-1412 2084 +-2580 6739 +-2438 -2581 +-10369 4796 +-16238 -2438 +-7140 -9951 +649 1738 +3218 2830 +2794 -7456 +-1243 -9188 +-1373 -1042 +-732 -4803 +-1811 -11858 +-5037 -6032 +-5021 344 +-1876 2649 +-371 1156 +-3293 -3755 +-4293 -12538 +-2074 -11303 +-2908 -3652 +1768 -845 +-614 -5235 +-1166 -14101 +689 -7479 +-2610 5331 +162 650 +-1913 2828 +-4371 9934 +-2445 -2956 +-3914 -6013 +3096 3591 +6493 2901 +-7328 3996 +-6531 4254 +1499 -637 +-4079 2392 +3301 523 +14962 -2119 +8029 1061 +396 -1925 +3273 1302 +9828 2195 +14017 1389 +6203 3821 +-26 1695 +-2542 7838 +-4483 9775 +2816 1360 +3682 -5383 +1475 -6565 +-2117 -3514 +-728 -2880 +-1467 -986 +-8373 5532 +-712 12421 +-1756 11388 +-3444 -2728 +2240 -9425 +5793 1948 +5163 1743 +-1183 -5288 +1442 -4020 +1646 -4066 +3783 -3026 +-1230 -2702 +-7643 -1791 +2856 -6456 +5927 -3478 +-3756 9588 +-9912 9930 +204 9481 +3890 2950 +1571 -3283 +4342 -652 +3440 -4809 +2102 -6388 +-2491 -2303 +-3729 7777 +-3925 9907 +-1994 1170 +-4926 -963 +-11558 -6458 +-9005 -7001 +-4681 -806 +766 -1826 +-3974 -3492 +-10330 -9593 +-4428 -5895 +1540 5636 +558 408 +351 3466 +3169 10295 +-3849 -569 +-7104 -1822 +2270 1361 +-862 1838 +-9695 5717 +-12560 665 +-1463 3971 +3050 11619 +-6513 5328 +-888 -308 +5250 -5415 +3589 -2778 +7039 6543 +9307 1724 +4511 -1834 +3632 -3220 +2752 305 +-2214 2376 +1821 453 +-98 1980 +-5385 -1436 +-4038 -533 +-7486 3367 +-6388 8325 +-3324 1889 +-5612 -5218 +-1873 -64 +7419 1729 +3650 9901 +142 7365 +10774 -4356 +12144 342 +5354 760 +-4140 -6911 +-6025 -233 +871 10830 +4040 8216 +3511 1444 +-6762 4185 +-4041 3239 +2765 -8955 +-1235 -10270 +2139 -4894 +896 515 +-1194 2685 +-1574 2381 +-8244 1206 +-9364 -10268 +-4284 -6451 +-3418 -557 +-161 -4273 +-394 -5448 +-5283 -12468 +3608 -8893 +5011 -226 +1498 863 +1560 398 +-4966 48 +-7046 -5227 +-8841 -4701 +675 -212 +9570 -3800 +857 1764 +2268 7633 +8821 6806 +4760 -2043 +-2883 -8884 +-3755 2301 +-3550 10880 +-9329 8611 +1359 3933 +9951 6643 +-1582 8177 +-891 343 +594 -7450 +998 -4382 +9790 320 +8497 -4728 +2401 -4248 +769 -4721 +2368 -1557 +-265 3217 +1115 -50 +3156 2357 +-656 -5497 +-2280 -12725 +4829 -8289 +9545 -7500 +2828 -2353 +209 -3047 +4418 -1847 +-2585 1138 +-9201 -8533 +-3109 -6600 +413 3577 +4069 699 +6331 -5800 +-6342 -7802 +-12132 -3882 +-3296 6864 +2224 5926 +3375 -1978 +-3925 -327 +-12953 -1938 +-3499 -4262 +2847 3182 +-2791 3991 +-1141 1613 +-1818 10389 +-4468 10197 +-10362 3873 +-8808 2242 +3674 -1183 +3470 -6834 +8065 -8212 +9975 -5099 +-2430 -7348 +-1717 -6934 +2048 -8995 +308 -401 +2515 10596 +2397 1510 +1687 -1185 +4474 -302 +7038 3487 +357 1667 +-8371 -2245 +1080 1349 +9050 -472 +4198 871 +3495 302 +7006 2682 +4556 834 +-7295 -1998 +-3174 -451 +8468 -2032 +5227 1485 +1522 1161 +1637 152 +5762 -7059 +2365 -3958 +-2805 9367 +-2296 7050 +-5734 5416 +3937 7336 +7912 9790 +-470 8067 +2412 2870 +-3958 -3851 +-10139 -7860 +-1714 -9207 +2484 -11782 +670 -3644 +-1779 -2432 +2256 -4268 +-1232 2489 +-12821 -340 +-6859 -2876 +2688 2345 +3653 -2165 +1124 -8409 +-10143 -1807 +-13056 1350 +-3301 -4264 +-5379 3034 +-10173 2162 +1159 -6243 +3436 99 +1008 864 +3440 1456 +2186 -4840 +-3588 -10511 +-5820 953 +-1080 4461 +-425 2954 +5665 -1156 +7633 2643 +6152 7194 +2156 -7382 +1367 -9699 +6501 -2851 +-1213 -2037 +-3792 -1983 +-4569 903 +872 9425 +6384 5484 +1501 -4089 +953 -1942 +743 -1605 +4970 1418 +-4792 6529 +-10109 1716 +2611 5661 +2533 6819 +-902 -1008 +-700 3029 +-1638 9295 +-933 12500 +4721 8902 +5244 1395 +1267 -3447 +671 -3043 +2982 3571 +7599 5755 +-3449 2768 +-14182 -3328 +-3826 -10454 +-231 -12165 +-2749 -4380 +5807 -1957 +5218 -6598 +2158 1047 +10371 4169 +11404 2757 +3086 12489 +-3268 7684 +-4463 -8095 +-3843 -5759 +1621 -11 +4296 -699 +-244 -4022 +-6606 -6777 +-12636 -1998 +-10397 783 +-4620 124 +701 517 +-3981 -1516 +-7709 -4611 +-2283 6034 +-6124 8347 +-3376 -5251 +2632 -2162 +1324 5 +3581 -1199 +-1614 -4954 +-9783 -5122 +-3769 4603 +2160 3949 +1664 2422 +3540 -4636 +7533 -3220 +9572 1057 +-1485 -6011 +-51 -2421 +5942 -2007 +-6836 -7195 +-12482 -3361 +-5080 -1130 +-4341 4320 +-4553 -2893 +466 -7184 +8832 3998 +6679 2136 +-3687 -2547 +125 -4166 +6905 3900 +9281 10727 +4527 9658 +-7888 6360 +-14246 2720 +-9865 928 +553 -4014 +5013 -629 +-3320 -1168 +-11033 -2437 +373 -4043 +7680 -3014 +1282 6542 +3400 2805 +10339 2712 +11756 517 +-491 -5845 +-4563 -2871 +5078 -713 +6500 -988 +10103 -2329 +10049 -740 +4174 -3793 +1517 -6025 +-3476 -1241 +-4920 1457 +-2571 -5487 +-876 -5025 +-2251 9407 +2721 8220 +8834 3518 +501 5298 +1810 628 +40 -1760 +-8446 -172 +5512 -827 +4523 -1633 +-4642 -24 +488 4642 +-6470 5676 +-5637 6192 +3150 10001 +1213 -1304 +1410 -8212 +4294 19 +4097 -215 +-1221 -5211 +-4725 -4657 +-8479 265 +-11599 -507 +-6639 -977 +-5264 -30 +1172 -2369 +3313 -5486 +-10055 -983 +-11992 5380 +-4757 2339 +-725 5953 +-5437 610 +-4216 -5749 +9490 -2550 +6594 -2607 +-4562 469 +-2597 -3160 +7947 -1487 +14172 2164 +9796 4340 +-147 6404 +161 -885 +769 1441 +-1778 120 +-741 -9301 +1222 -4979 +6996 5457 +-869 7453 +-4417 3508 +-1906 -3898 +3604 -30 +6933 2839 +571 -6397 +253 -451 +-1882 6719 +-683 5234 +4321 3073 +6218 278 +1935 1434 +-6055 -2391 +-2558 4472 +-94 6723 +-2991 -3581 +2794 -2534 +7718 872 +2607 8026 +-3752 2550 +-8138 -5914 +-2204 -1919 +3094 845 +-5717 -72 +-9807 -8001 +-13552 -1390 +-12624 7774 +2800 5992 +2900 6935 +-5281 7391 +3412 6203 +-1918 1402 +-11743 -1068 +-1751 -3847 +486 -6684 +-1421 -6025 +2085 -2568 +9365 1731 +11238 4464 +3464 2120 +-11 -3288 +-2846 366 +938 2884 +7427 7547 +5246 10119 +-1008 3457 +-6023 610 +-6900 1108 +-4727 1401 +-3774 -8415 +-1204 -6742 +6512 3257 +6419 -5068 +6362 -3593 +7165 3054 +3090 -10678 +-3233 -15607 +-11151 -6471 +-4472 -5629 +2100 675 +-1279 1361 +-6797 -6310 +-2411 -4450 +9034 -721 +4380 6017 +-1042 5537 +-4015 -1552 +2815 -2377 +7353 -2467 +-3777 -4947 +-86 -440 +3150 6092 +2999 4232 +3860 554 +-4183 1242 +-815 2329 +7107 -5172 +-1490 -2377 +-3579 4377 +-4022 1544 +-4041 2563 +-369 8612 +-8062 12350 +-3015 442 +3081 884 +-6524 4703 +-2730 -973 +3073 -3103 +-5615 -6872 +-9447 3755 +329 5686 +496 421 +-6552 -3764 +967 -3859 +665 1664 +-7094 -10808 +-110 -11255 +-778 -3034 +-5195 -1939 +-1708 -3494 +-2525 -947 +3473 7180 +3264 66 +-5090 -4756 +560 -2417 +-242 93 +-4101 2393 +2913 57 +2383 1451 +531 8348 +4306 13620 +6964 8391 +5479 208 +-2623 -57 +-5074 1928 +-1775 -1747 +1747 -5913 +9473 -13019 +10766 -13168 +1657 1341 +-6169 5955 +-7788 -382 +-1727 -3045 +13051 3424 +17247 6664 +5867 4148 +-761 3039 +-4141 915 +-4050 1033 +3536 -1777 +3829 -9340 +-1998 -14259 +-3518 -9495 +-387 -2371 +3366 -1289 +-2676 -361 +-3197 648 +3055 1213 +-1704 5297 +781 2044 +2152 -8531 +-2710 -783 +26 5487 +-2146 3686 +-1526 4491 +5246 6720 +1500 14354 +-2131 2121 +2979 -1380 +-2106 7582 +-2799 960 +385 -4255 +-8895 -1544 +-5524 7330 +2287 -1709 +-347 -7270 +-4009 3718 +-1594 2181 +4905 3768 +3741 12994 +-275 7126 +1919 -1424 +-5190 1436 +-1616 7180 +7276 7259 +-1305 2819 +459 -6496 +8087 -1957 +12347 -1119 +4979 -12280 +-1014 -9347 +6686 -2133 +-144 2392 +-7568 -4922 +-7027 -2931 +-3284 7105 +1595 -596 +-4255 -1508 +-12823 -1715 +-6984 -5583 +203 115 +-4002 4149 +4100 1761 +8405 -1579 +1767 964 +598 540 +-4845 -6189 +-7978 926 +-2973 8721 +-1843 4389 +-6399 3126 +-5578 -1146 +-8417 -4233 +-2175 -3692 +11488 687 +3532 3977 +-5997 -4413 +-10664 -7389 +-8109 -5080 +-1172 -4251 +3 -5926 +4465 -4632 +4851 -1636 +7539 1245 +12593 4274 +8933 1067 +-2407 829 +-9195 -6035 +1241 -4534 +9772 4642 +5734 2821 +1655 6226 +-818 5359 +-4224 5897 +1486 7842 +9332 660 +1404 -1535 +-3252 137 +-2726 -5108 +-4936 -4676 +-492 5387 +337 2594 +6312 -560 +11653 6829 +4650 5204 +501 -2415 +-3051 4489 +3576 6262 +10552 482 +906 2852 +-3976 -5121 +4939 -6743 +8915 -9034 +-1068 -11735 +832 2613 +-1034 10044 +-2445 -478 +6788 -7660 +349 -6379 +3353 -77 +10072 -3858 +-1582 -3761 +-3159 6968 +-413 2741 +-1962 2671 +-8244 2050 +-8386 -3206 +-835 -2240 +-1816 -7507 +231 -9840 +999 -2819 +5253 -3571 +9889 -2479 +3670 3476 +776 1524 +2734 5627 +3037 -502 +-7992 -4713 +-7727 2088 +881 -4825 +-6945 -6391 +-1682 -2145 +5441 7017 +-3995 8023 +-5257 -2133 +1330 -4621 +4563 -7886 +6418 -7977 +7272 -3577 +-2321 -1802 +-9120 -4415 +-3230 -3905 +-109 114 +-58 4984 +-3496 5224 +2662 2802 +13223 6626 +2626 2172 +-7024 -100 +-3590 8005 +3326 14419 +9232 12498 +9151 3296 +4946 -4501 +755 -724 +-1397 13943 +-431 8269 +5510 -1686 +5322 2587 +679 640 +-1127 -3941 +706 -9180 +1334 -5783 +-5897 3496 +-9916 2007 +-9253 -1778 +-4852 -1352 +438 -4334 +7334 -1456 +5293 8138 +-2377 1320 +412 -10049 +-3915 -4911 +2444 -2198 +5339 -9366 +-3770 -1784 +2009 7217 +1418 2336 +126 3294 +-5236 6785 +-8738 2927 +-2135 -1171 +-6235 -4505 +-4727 -8082 +5581 -6004 +6720 -4495 +3147 -7743 +-399 -4814 +1428 9466 +4445 9218 +-15 -326 +-3123 -1573 +-3143 4709 +-259 4039 +3775 -3020 +3257 1272 +-4982 4463 +-4409 -725 +-2785 -5741 +-6787 -2164 +-3207 -1369 +1288 -6719 +3424 -157 +-604 5371 +1492 -235 +4703 -5100 +-1392 -3030 +-1527 -296 +7449 663 +11505 -1522 +-1573 -400 +-7922 5763 +-2215 7155 +-915 8145 +285 1859 +1924 -928 +-176 3911 +-1548 5539 +-2700 6083 +-4102 752 +-2253 -2389 +-6970 -6064 +-5805 -1803 +-3182 1816 +-5647 3737 +2142 18928 +3789 8364 +5897 -2963 +8979 9645 +-1553 9760 +-10399 2043 +-8988 -5092 +-181 -9072 +11815 -7450 +7895 2242 +2747 -1061 +9816 -17432 +-827 -9616 +-5503 -1120 +-3218 -1816 +-10119 2627 +-4250 1609 +-2529 -1539 +-1057 -6163 +1771 -6320 +1086 -3871 +1767 -153 +862 375 +2349 -7510 +1812 -7972 +-2808 -7611 +-3548 -3164 +7440 5896 +9693 3712 +-150 -273 +-3529 921 +646 5524 +2475 3132 +890 -4666 +3323 -1191 +-893 6503 +-3893 2324 +-5986 -2397 +-6965 2897 +-5053 7613 +-7496 7578 +-5324 -1317 +-4737 -3201 +-7003 2359 +-10639 249 +-5699 -6149 +1759 3175 +3719 4692 +86 -10198 +-2301 -10446 +1111 -5684 +-4271 -738 +-2070 -144 +-5134 -1298 +-6898 4410 +293 2143 +-3556 2286 +2623 4351 +5152 7262 +4834 9804 +2647 -2705 +-2099 -6867 +-142 6270 +-7041 8654 +-8499 2328 +-2969 4123 +-2264 5139 +-9056 -290 +-8466 -2347 +2536 2750 +9303 -472 +7946 -7318 +-2690 -2116 +-1713 -811 +5751 -4998 +8650 -1146 +7082 5338 +-1773 977 +-5156 -3705 +-2064 -8992 +-70 -17263 +-4992 -6814 +-2716 338 +6777 1001 +1355 5745 +-67 -1708 +3780 -2255 +-4587 -208 +-2174 -6754 +1654 -484 +2251 7065 +15552 3530 +12065 2744 +1706 4932 +-275 2979 +-3884 -6461 +767 -9625 +6287 -4935 +2548 -189 +-364 3852 +7265 -3976 +5439 -9543 +-2796 -4609 +-500 6077 +-718 10789 +-4960 2499 +-5803 760 +-3865 6376 +-8071 7207 +-8487 -761 +3468 1218 +5980 999 +-6738 -7559 +-8814 -6213 +-2944 -4898 +-3804 2348 +-1681 1333 +-4895 2490 +-3067 8031 +-1041 2035 +-1407 -2074 +8113 -4772 +467 1670 +-1695 881 +3256 1353 +1754 1492 +7889 -8358 +6384 -3890 +5709 -1511 +-1004 -5437 +-11211 1941 +-2494 4486 +5333 -1901 +1131 1345 +-2440 2746 +-2097 -4291 +-1990 1180 +4758 9504 +6775 -115 +-2304 -7252 +-3623 -4861 +-449 -11266 +-1585 -3587 +-3786 2005 +-732 -1139 +92 3143 +-3595 -7086 +889 -4177 +2205 2816 +861 -509 +6738 -1222 +9345 -7365 +6643 -4326 +-124 2078 +-4469 1382 +-1260 -7201 +1731 -7400 +-1435 5600 +603 10804 +8465 6625 +1455 4896 +-12567 7910 +-16622 1984 +-7027 -1113 +-2814 4010 +-6181 6818 +4079 6768 +5524 4369 +4170 -3 +14872 -1067 +14374 6318 +1559 6504 +-8834 5685 +-3698 10036 +2391 7412 +-1059 -4571 +15 -9401 +3981 -219 +1507 2711 +-5760 -2210 +-8971 -7608 +-7739 -2537 +-2045 539 +-664 985 +-2249 -466 +3220 -8867 +1504 913 +-720 1610 +3472 -1231 +2411 -207 +636 -11497 +2390 -7098 +3473 -4878 +-4308 -3401 +-7405 8738 +1069 4916 +2910 -4877 +1830 309 +886 3973 +1976 -97 +840 1850 +-4393 3416 +-1514 5821 +-5999 3661 +-5600 -1414 +-6602 -8912 +-12485 -8815 +-4127 -440 +1411 2656 +-2966 4996 +-4652 4127 +3969 2342 +1023 -4249 +-8003 -8179 +-4240 -9752 +-3566 -4768 +-1274 5660 +4781 -326 +6367 -2134 +2363 2026 +429 -1596 +-1127 -12929 +-5483 -13183 +-758 -4444 +5748 -4737 +6166 826 +4737 -751 +2473 -441 +-924 3138 +3397 2623 +-1407 7578 +-13200 -575 +-2073 -2320 +3004 5051 +-6783 3520 +-10435 3133 +-7966 3513 +3206 2881 +11626 399 +7073 3211 +3931 5412 +3602 -1212 +-1131 2807 +3875 3971 +7986 -9700 +3271 -7630 +-3977 3846 +-9330 9399 +1508 5935 +5541 -2260 +-1162 2218 +4324 4289 +6458 4165 +2593 10393 +3390 5413 +1890 -7340 +646 -11164 +1079 -8119 +4376 -900 +763 1530 +-6422 -10288 +4779 -7570 +5938 3819 +1226 -380 +1817 667 +-1128 5415 +2691 5303 +-2219 6635 +-5297 2684 +-11072 -2097 +-15571 1862 +-3509 2409 +2881 984 +1088 5532 +-777 6001 +4687 -927 +-1940 -3826 +-11498 -794 +-7968 -3072 +-3160 763 +5211 3995 +6953 1593 +-2681 -5493 +-524 -5427 +1943 741 +4597 1471 +5688 3284 +1740 321 +348 700 +1357 -3560 +839 -10965 +-2282 -7525 +-3216 -4295 +-12320 -2024 +-15416 -1192 +-5231 2900 +-3547 6285 +-3559 9815 +-254 6722 +1092 -1320 +1254 238 +5238 -1321 +6190 -603 +1792 195 +-574 3239 +-4610 5947 +3606 684 +8974 -1803 +2495 -3429 +7704 2960 +3698 9467 +-4010 6844 +211 1120 +186 -4073 +585 3492 +4143 14673 +3751 2426 +1692 -7325 +-2428 6758 +-10823 11155 +-8816 2619 +-3451 -3566 +-1229 -997 +5880 -7620 +2333 -10870 +-1877 1738 +-1779 -86 +3383 5697 +10246 7676 +1235 287 +-3225 4265 +-6200 2598 +-14131 -3163 +-7940 -6370 +3510 2935 +4038 1419 +-2257 -14274 +-2027 -7720 +1185 4452 +791 438 +-4810 -4353 +-3514 -8211 +6119 -8788 +4706 1204 +6311 -235 +6445 -3483 +-5613 -2179 +-3973 -3524 +2069 -703 +4590 -1920 +6615 -1346 +1544 -943 +758 325 +-1248 -3394 +-6711 -8441 +-6876 -3885 +-5358 -914 +-10678 6879 +-12725 8737 +-6138 395 +-4265 -4896 +-1263 1166 +-29 5436 +2515 2208 +-3860 -1046 +-5311 -5519 +2231 -869 +-1740 1694 +4309 -1613 +8173 -5205 +10406 -2485 +11488 -17 +-3899 2078 +-5810 6417 +-5343 4256 +-4242 4998 +1032 -7484 +1883 -13421 +5439 -6456 +3143 -6135 +-1165 1612 +-481 -441 +4963 2200 +2501 6742 +-2208 -2080 +-4102 -1176 +-3322 1475 +4398 3847 +3261 4226 +4259 -1494 +5422 -2091 +585 -2956 +295 -11059 +-34 -12778 +2381 -4883 +2796 1511 +-4828 2334 +-9020 -904 +-2578 1449 +5768 7581 +10435 4106 +3105 -1335 +-9969 8867 +-7657 10733 +2830 -210 +-246 9897 +-8207 12126 +-2655 -2118 +-1310 7094 +-1979 16230 +505 637 +-7391 -7345 +-10907 -327 +-2002 3030 +6388 5298 +3248 6011 +-2552 60 +686 -4869 +9130 -6864 +10184 -7905 +3227 -3296 +-1578 -3449 +-1757 -5719 +-3540 -153 +-6605 -292 +-234 1613 +3500 4010 +3448 -36 +-3608 1687 +-7684 -692 +1233 -2087 +-310 2378 +2359 -4025 +3137 -9137 +6614 -8542 +9080 -7384 +-1737 4016 +-449 8351 +-2463 4058 +-4301 2527 +-4011 4303 +-1923 808 +2043 7546 +7557 12748 +4718 -1868 +-8859 -12039 +-6018 -10292 +-852 1313 +2836 3979 +1016 -614 +-3099 3829 +-1365 -1038 +1271 -7609 +5315 -3407 +-3710 -1331 +-4308 -6050 +1474 -4137 +2882 3783 +1240 742 +-3250 1815 +934 3344 +3557 -8825 +7515 -14146 +-773 -4740 +-8089 11390 +-1274 11880 +1534 124 +4611 2324 +5226 534 +9911 -1216 +7882 5818 +4775 1602 +9749 1228 +5756 -1501 +985 -6927 +1881 -1104 +3710 -4472 +2446 -2197 +151 6618 +-3385 1824 +55 1345 +6434 6963 +-4213 3384 +-14597 -2031 +-7604 -3104 +-1473 -6913 +-3825 -7226 +528 -6365 +7662 -11655 +6670 -5439 +1516 8889 +598 4683 +2900 -3176 +4661 3134 +-445 2558 +-6966 -1447 +-2581 1190 +-4204 -2346 +-5908 87 +-4409 9101 +-3789 5745 +264 7557 +-5841 9340 +-5450 3320 +-130 5511 +-894 1957 +6521 4045 +14243 4037 +2430 -4566 +-14743 -2962 +-11426 -3525 +185 1531 +4805 1020 +399 -1140 +82 4818 +-1723 103 +-4011 -6388 +1911 -5920 +-2406 2021 +-5582 5887 +-4577 2011 +5353 -1043 +11791 2890 +1323 -1230 +292 554 +2280 -243 +-4700 -2563 +-1241 -722 +-3813 -7973 +-7482 -14676 +-8555 -10147 +-9370 1840 +427 9028 +-401 3674 +199 -2978 +8650 -1976 +1644 -7815 +-11306 -7016 +-16369 -659 +-11654 -1570 +-1470 -95 +-2185 -3326 +-2007 111 +2644 2271 +-1275 -85 +4962 7858 +4264 7704 +-1499 -3393 +2979 -5036 +451 3546 +3131 1985 +4292 -4758 +-172 -7659 +540 -8310 +9040 -2578 +10422 6315 +98 4750 +-703 -2444 +-3961 3519 +-40 5898 +6689 -1426 +2088 661 +3048 12172 +-2741 9859 +-7100 -555 +-905 439 +874 -564 +-5169 -2406 +-2030 -8004 +9034 -11296 +4064 -2367 +2919 4344 +4806 -2172 +-1627 -7654 +-1667 1005 +1052 -1491 +9933 -3637 +10017 5125 +-2198 7916 +-4774 6750 +235 5603 +4218 1904 +2315 -3947 +798 3033 +-2207 4835 +3340 -4297 +11046 -5682 +217 -1721 +520 268 +1551 -1610 +-5398 1284 +-1516 284 +-8266 -3255 +-15523 -5748 +-13392 -1654 +-6045 7680 +6271 6350 +2020 3073 +-2746 809 +5545 4100 +6305 -1975 +6181 -2950 +8059 4900 +-1080 5820 +2357 11228 +4417 5620 +-3627 -1753 +-2477 -1624 +-972 -3117 +-3063 -6175 +-1727 -2465 +4934 7372 +4113 1042 +649 -6228 +3172 2518 +3340 979 +685 -9530 +-492 -711 +571 8085 +723 4029 +-437 1928 +-2525 2993 +-356 -3458 +-1888 -12699 +-5450 -5405 +2367 -6419 +7057 -5221 +6107 905 +911 -8229 +-7165 -3685 +-8592 2648 +-12807 2873 +-11450 2831 +-62 3848 +631 3706 +-3412 -274 +-3346 844 +416 -900 +2165 1898 +-3947 -7379 +-3020 -14871 +-123 2343 +-1802 6980 +-276 -1331 +-218 -9989 +4044 -13441 +13020 -3423 +11544 3456 +2172 748 +-5204 128 +-5456 -276 +10613 3044 +11644 6519 +-2259 -1193 +168 -2036 +-749 2890 +-1419 3353 +-2370 393 +-4624 -2079 +-4363 7724 +-6258 13760 +-4016 8240 +-564 6988 +8055 3368 +3444 -5660 +2603 -6338 +9462 -1837 +3077 4960 +9264 6609 +7090 1155 +-4072 1112 +-3022 427 +-2822 -1653 +-6404 -2531 +-2950 -652 +8652 2234 +3889 -1921 +-3579 -5060 +4513 -3204 +6634 -6635 +3441 -1536 +4546 -1889 +1711 -7948 +3084 -2978 +-3990 1378 +-5219 -6944 +4709 -6133 +-60 -2107 +-4256 26 +-6897 8909 +-5852 6725 +6572 4338 +8135 -4693 +-87 -10517 +5169 -6319 +2308 -8762 +-9317 -3322 +-2465 6712 +-251 11899 +671 4660 +3689 -1546 +-175 -5637 +6710 -4795 +5262 4205 +-1917 -1958 +3693 -7261 +627 -5597 +-3456 -3070 +2903 -9970 +3798 -10032 +-90 8770 +-4067 7752 +-5424 -3229 +-7885 -5334 +-7289 -1540 +745 1758 +-698 2082 +-492 -1261 +4444 -6667 +5715 914 +7632 8740 +3056 8736 +4372 7909 +5042 3276 +-4283 883 +-4313 7714 +2093 9704 +5081 2100 +-2692 1612 +-7263 7406 +-3121 8976 +-7480 8066 +-7290 3312 +-3504 -6209 +-1086 -3631 +1746 2611 +-1143 1680 +4864 -342 +9807 -4351 +5801 -3642 +3696 4431 +-395 10594 +-2844 -5058 +-2876 -13815 +1915 -3445 +2478 -4218 +-3790 -7022 +534 -4159 +-2075 3563 +-8411 -1905 +-194 -7623 +-1400 -1453 +-3309 2772 +440 11030 +-173 8725 +7973 -1063 +3427 -8727 +-6773 -7610 +2448 -5271 +9236 2019 +2208 10943 +3426 5648 +8709 1494 +-2206 3383 +-4204 6318 +168 4515 +9350 -3077 +6875 -12214 +-5660 -15037 +-2363 -5543 +-1263 -726 +-3541 -309 +-2597 4020 +-1897 1673 +1091 -1365 +4710 121 +2498 -2000 +-334 -3107 +-2639 -2663 +-8466 -11085 +-13150 -5385 +-10007 7459 +1864 3514 +7486 576 +5239 -603 +-1919 6195 +-935 8581 +8064 6099 +1835 12116 +-3292 10649 +-1439 5868 +-4535 -3464 +-2507 -1109 +483 1186 +-3803 -6675 +1203 3291 +2529 10694 +-9753 2795 +-9299 -9131 +-4826 -4946 +-1366 1761 +2028 -862 +-1115 5560 +-1471 1017 +257 -5260 +2836 1961 +3651 3622 +-3438 926 +-2842 -514 +6015 -2323 +917 1134 +-705 418 +-2299 -4555 +-10870 -5846 +-2237 -10084 +9812 -2380 +7236 3266 +-6297 -2884 +-2996 -683 +1936 -718 +-5258 -4356 +3385 -2673 +10070 -3049 +12008 -2317 +8917 6368 +6386 1719 +7730 -4656 +609 3925 +964 7356 +3101 3161 +5017 -8475 +-2972 -13314 +-14426 -6931 +-5572 -2413 +-1415 301 +-2906 2167 +728 4632 +-401 2449 +-2766 -1560 +-5763 -3687 +129 -742 +4305 4920 +2440 2795 +-2854 6835 +-9373 13107 +-1718 4315 +-741 -1809 +2632 -346 +2804 2028 +-4288 2495 +5048 381 +10335 -4960 +10014 -7887 +3419 -2449 +65 2256 +6610 9325 +7281 9579 +6549 2381 +-1638 -3019 +-40 -2475 +4829 1588 +-1823 832 +-475 -2108 +-3352 -13054 +-2658 -11117 +3437 -840 +4718 -2812 +2544 -581 +-7457 374 +-1349 -6490 +4325 -1912 +-5599 12196 +-3762 6482 +1912 3299 +2914 9520 +6295 1263 +6868 -6174 +2524 -6122 +-1565 2560 +-3337 8222 +-3178 3838 +-8003 3166 +-9370 8418 +-2849 1238 +-4577 -9776 +-1744 -4671 +1691 3242 +-1683 10686 +-1962 4222 +-9483 -5209 +-11079 -583 +-4198 -711 +-4983 -5410 +-3715 -3675 +3312 4049 +8051 3936 +6924 -1970 +253 -9166 +-1061 -6778 +-2639 -2120 +-10365 -8133 +-174 -2738 +5481 6466 +-6652 4539 +-899 -782 +6718 710 +3742 482 +2336 6903 +1420 15790 +1530 4313 +-1762 -914 +1778 -1962 +3523 -235 +-1675 4661 +4220 -3000 +4932 -10474 +2726 -12355 +1004 -3949 +-35 1752 +8299 2336 +7536 4870 +4073 2081 +8599 2436 +2958 7282 +158 304 +-2073 928 +2969 5100 +5217 -7444 +748 -6568 +3580 3537 +4161 2340 +1647 -4264 +-6616 -7475 +-8888 -1233 +-1415 7482 +5627 9417 +5897 545 +-458 -4495 +-3184 -4471 +3223 -945 +9947 -1474 +-1182 -5383 +-4857 1288 +1606 838 +-5507 -3080 +-3925 -7908 +354 -6614 +-3970 -5017 +-2892 -6901 +-6443 10997 +-9397 12370 +-4927 955 +-3192 451 +3074 -2520 +2559 -2646 +-2801 194 +-2763 3768 +-3831 468 +1806 256 +-369 6242 +-12321 2990 +-12426 -3626 +877 -5548 +4195 -3813 +1841 -3386 +9423 -10762 +5829 -15905 +1089 -5682 +1762 -2636 +2927 -5284 +9026 5413 +6955 12342 +-3523 6372 +-3889 -1448 +2607 1702 +-802 4982 +9 2492 +1872 -1527 +244 -5207 +1313 1764 +1676 5268 +-1503 10932 +-6331 15378 +4469 606 +9261 -1858 +4525 -1153 +8288 -3820 +7104 3402 +328 83 +-981 2047 +5687 1778 +-833 -8895 +-3725 -5266 +5141 217 +4823 -1065 +-1499 -4253 +-10053 -1161 +-7799 4802 +2515 4442 +6379 -4761 +8890 -10109 +7815 -3412 +-9023 4024 +-11450 2831 +3027 667 +3141 3876 +-5022 3605 +1626 4580 +9972 1475 +4357 -495 +-798 6496 +324 3155 +-1471 -5514 +-3554 -9234 +2222 -9814 +3002 -6369 +-585 834 +463 7189 +-2231 1946 +-9041 86 +-4954 5227 +5205 3360 +475 3689 +-4593 2210 +7370 324 +12748 2597 +-4306 -961 +-11409 -7978 +-5382 -8412 +-1598 -1251 +1037 370 +-365 1408 +2723 5495 +2146 -380 +2307 -4305 +-4904 1865 +-10825 5842 +-6072 8737 +-13049 9607 +-5721 1104 +-4431 -4059 +-10300 -2374 +-139 -276 +249 5352 +1579 5454 +565 -6498 +-5153 -7589 +229 4313 +5578 4575 +357 414 +3314 -7171 +3993 -8950 +1130 -2568 +14458 -4417 +13010 278 +9247 -1613 +8031 -4453 +-3631 -305 +-3362 -308 +1629 5439 +2199 2568 +-440 -549 +95 -750 +-1123 -8393 +-114 -13318 +3636 -7694 +-4006 8919 +-6675 9658 +2639 -1634 +4969 592 +-1363 6021 +4303 2101 +8507 -5840 +2949 -3346 +3822 5224 +2391 2024 +-4868 -2992 +-8949 -4112 +-5372 -4647 +-5245 2274 +-2489 -6905 +3084 -7093 +1188 -820 +2362 -1078 +-2089 4202 +-6820 -1992 +-4247 -4671 +-3076 772 +-7253 9936 +-4723 12945 +3674 5548 +11654 -3072 +10609 -4918 +4808 4927 +6781 4350 +700 8010 +-1156 13510 +5712 3774 +10092 -6740 +9049 -11212 +773 -4964 +-4648 -1652 +-5699 -4819 +2105 -4857 +1930 1711 +-1987 2484 +-2858 2901 +-8879 2844 +3632 -7023 +12216 -3178 +2502 -4103 +-1092 -4841 +6637 6615 +8544 4326 +-6665 1777 +-7408 4093 +-1473 4401 +-6125 5322 +92 3225 +489 406 +-8231 3770 +-6692 2876 +-3736 -5382 +-1285 -8926 +-1119 -3771 +-2277 2221 +-709 -1866 +-2346 117 +-5278 6888 +-6621 3991 +-4358 -3873 +-4249 -8436 +-1690 -2317 +3131 -453 +-1702 -7422 +-818 -6069 +-1064 -5174 +-6045 -6825 +5388 -1 +12047 -1254 +-477 877 +-3625 6274 +7537 5967 +3633 9526 +-6873 -3544 +-2259 -7364 +439 -1342 +1173 -2270 +1079 4470 +-6639 2819 +-6349 -833 +-1915 2592 +746 12141 +4644 12702 +6433 1715 +7083 -9901 +5091 -10496 +6942 570 +7104 -1333 +-26 1507 +-1544 -357 +-915 -6902 +-7909 -6231 +-7897 -7384 +1365 1007 +49 -531 +1118 -4118 +3714 1858 +892 -2887 +-1439 -2053 +-862 6836 +2482 2466 +-5223 4940 +-10400 11216 +-12554 -2211 +-10557 -4584 +899 -1237 +-1715 -5969 +-2659 -302 +2595 4005 +2031 -2700 +3032 -162 +729 3481 +61 -2720 +-1643 7174 +-5285 11715 +567 6037 +1316 2936 +-3184 -2137 +2439 -676 +5765 -4659 +1793 185 +-4357 6431 +-9441 2749 +-6046 -869 +3039 -2206 +11330 2850 +7354 -6378 +-3547 -16392 +231 -9853 +4073 1909 +-32 -857 +-838 -11092 +-6149 -5932 +-6477 -4552 +458 -5733 +4800 213 +4254 6454 +1573 8514 +8892 4659 +4805 1081 +-2408 -3479 +7188 -489 +14119 8117 +11068 -417 +1479 -12744 +1546 -5056 +607 5171 +-5534 8039 +-7042 3732 +-2721 -2185 +6537 -2947 +2869 -3520 +-16 2532 +-1475 673 +-5411 -4411 +-10203 812 +-10990 -25 +1719 -168 +-265 -386 +-1985 -3693 +4057 -1177 +-1269 -2747 +-2478 -607 +4017 5373 +3585 67 +-530 7033 +23 14888 +-7987 5171 +-7447 -246 +672 4206 +2020 5820 +-8531 -3233 +72 -5114 +7449 4007 +-2950 434 +-9514 -1373 +-980 11006 +3135 9628 +-1298 1862 +1108 -931 +-786 -5403 +-3009 -4562 +-2450 2887 +-1310 4982 +3932 -322 +5941 -3112 +313 -2305 +-1322 1768 +-5747 4222 +-10154 7405 +3978 7233 +6290 2191 +-7140 -844 +-1607 -4457 +9808 1873 +-1743 4135 +-7106 1655 +1042 -92 +-733 -6073 +1331 -1784 +-645 -2896 +2290 560 +6639 7725 +-3012 5356 +-7296 12637 +-7889 12476 +-25 2327 +6453 -5737 +1999 -7448 +1144 -3025 +2108 -5762 +-2257 -10209 +-2191 -13794 +1884 -10614 +-266 1680 +-61 3924 +-701 -8002 +1342 -14813 +4125 -10796 +-758 -6749 +-2172 -3074 +-727 -5711 +-4520 -7220 +-3090 823 +6430 10472 +6458 14845 +3460 6719 +-4928 5456 +-6082 3628 +2313 -4225 +-1383 -1536 +947 -4972 +121 -2644 +3147 2976 +5508 3027 +-8639 3140 +-3297 -3824 +8509 -1917 +-2027 2232 +-8541 5197 +6226 8881 +8641 5115 +155 2208 +212 -1466 +-4171 -3601 +-5327 -1451 +-58 3749 +395 3826 +-2661 -2662 +1235 -62 +5344 2863 +7817 2241 +183 1218 +-4113 -5118 +-186 -6222 +1002 1740 +2803 3246 +1517 -2928 +3685 -372 +9318 -4238 +6195 -15797 +7032 -11033 +6031 -6238 +-7043 -645 +-12896 3292 +-15839 -2693 +-11861 3413 +-2014 5970 +-2534 -683 +-700 2850 +2028 3211 +-3676 -2469 +-4370 -5710 +1182 -1729 +-1411 7551 +-1869 7111 +-51 2259 +-1931 -5747 +-4467 -9015 +-7497 -3473 +438 -2043 +10571 926 +10410 -3762 +-635 -5905 +795 1046 +9393 5945 +-511 3789 +-1657 -3163 +5836 3831 +7136 -112 +5441 -80 +-68 12884 +-5950 4080 +-3523 -2889 +2804 110 +1256 2642 +-2209 -1031 +-190 -6437 +11551 -3429 +6033 3951 +-2914 7625 +5481 5442 +-997 1277 +-68 -2618 +7906 6283 +2731 5177 +-4617 -1991 +-4902 8505 +2364 11770 +-677 3233 +-4707 -6267 +-8078 -4864 +-8248 -589 +1115 -673 +6076 -1452 +7578 -3430 +946 830 +-6547 -1077 +-4221 -4420 +1912 683 +4310 622 +-747 -3106 +2535 -2643 +4512 -9193 +-5405 -15761 +-1673 -12669 +630 -8548 +-7390 2247 +-5360 5397 +5052 9389 +-14 15119 +-3166 3498 +120 88 +-7193 1924 +-7213 1105 +1460 2232 +4793 41 +3429 -3074 +-1569 -5416 +-1898 -4475 +474 -6142 +735 -5486 +12757 885 +16582 2773 +857 4313 +-3671 6622 +-2044 4196 +-4098 3974 +771 4494 +4451 2013 +2941 -1024 +-3944 -3132 +-7995 -3703 +-768 -1877 +-31 -1475 +1734 -12636 +9001 -10752 +-2103 -2863 +-9151 -1012 +255 2434 +-3961 -8781 +-9697 -7106 +-2488 402 +-612 -151 +-3805 -3164 +-3054 -8471 +1444 1260 +5411 7792 +3436 10639 +-848 3257 +2533 -7657 +8794 -5553 +2203 -7801 +-6248 -6383 +437 -1897 +3708 918 +-3319 6734 +1267 8603 +10010 2315 +6792 4173 +-858 1333 +-1622 -11115 +2663 -9785 +1607 -3771 +-175 7448 +-1917 7352 +-1863 343 +-920 966 +-5941 6678 +-3218 13018 +1008 6 +-5856 719 +-4923 6495 +10061 3934 +10096 11157 +-181 4681 +159 26 +-4304 6077 +-4932 5489 +2589 689 +3717 -3068 +598 -4422 +-4719 -2888 +-4359 -2028 +-3653 -4802 +-3013 -4459 +10462 -7574 +14921 -9956 +4134 -4896 +-1793 -6065 +-3642 -6493 +-341 4370 +7824 3159 +8318 -5629 +7186 -2435 +-1019 7920 +-4674 13623 +100 10550 +1011 4221 +2835 3344 +-3861 5765 +2981 -835 +4122 1922 +-4011 -127 +2106 -6049 +-4244 3066 +-5315 -1196 +-2483 -3072 +-8998 -715 +-13279 686 +-10071 2167 +1411 -6073 +7243 -7413 +1376 -7787 +-5495 -2990 +3154 4794 +8081 3324 +5093 -989 +7269 -2081 +2746 -1214 +-3332 -6759 +-5337 -6459 +-7625 -832 +-9013 -2736 +-65 -7285 +5104 -3679 +-2092 7561 +-1049 7478 +-3175 -8300 +-7458 -12102 +2570 -2677 +1473 240 +-6978 3837 +23 5455 +437 7445 +-6294 6525 +-5480 1400 +-733 6953 +1045 2548 +-412 -5160 +10687 -1082 +13269 -1302 +-3375 1745 +-7341 7149 +-257 -2697 +5431 -6771 +7984 4413 +5376 7197 +6822 2080 +8928 -1349 +1558 -2097 +-3010 -6433 +-1664 -6064 +-5115 -3813 +-7167 -2470 +2487 3424 +8178 -2716 +2890 -9540 +4030 -6439 +4304 6603 +5102 13093 +6867 7751 +3537 2542 +4372 726 +759 6792 +1708 -2563 +4492 -1084 +-3049 5336 +-6072 2704 +-7430 1045 +-1316 -7066 +5619 1514 +4064 6618 +869 4753 +-1395 9091 +-5021 2361 +-2440 -4636 +819 -1073 +-2381 -1892 +-2423 -3593 +7027 616 +8250 -4704 +-350 -858 +-25 2841 +-4989 -5240 +-9162 -84 +502 -1360 +3953 -4059 +-210 -304 +852 -3605 +5179 -989 +2750 8674 +-941 6858 +-2898 4526 +-5460 4894 +-841 -6091 +7068 -2762 +5559 5331 +-409 1785 +-3216 -2292 +-9750 -1328 +-6028 3055 +1999 633 +-4416 1288 +-7222 -367 +-10234 -5276 +-14719 -5378 +-15097 3141 +-7532 7844 +8916 -2176 +6732 -2055 +976 3952 +2052 3655 +5097 -4438 +10850 -2451 +-4991 7079 +-10516 1371 +551 2022 +1745 4854 +-2671 2476 +-9374 3900 +5368 1496 +15938 -6563 +8314 -13755 +4891 -12628 +3923 -5996 +5598 -2243 +118 518 +3165 187 +8645 -7002 +1851 -5543 +526 496 +169 5809 +-5191 5695 +-5652 -4600 +5402 -2338 +7391 2409 +3701 3021 +4748 7811 +-2446 5019 +-4939 -1923 +-58 -1283 +-3062 144 +-4204 -1123 +5960 -4062 +3538 -8760 +-679 -286 +4981 1411 +4537 -6084 +4899 -3111 +12389 -7915 +1397 -15383 +-3783 -1551 +5908 7460 +8890 1657 +10553 1157 +3126 -964 +1439 -6121 +1410 -5097 +-638 2232 +-784 3736 +-4293 -3504 +-3138 -5926 +-4836 -1740 +-5854 -2788 +1715 -2457 +3759 -639 +-3283 903 +-6080 3918 +-1825 2395 +3754 8306 +3726 9488 +6449 4525 +2721 -747 +-13466 1029 +-8433 14539 +228 8582 +-4767 5457 +-5710 6214 +2212 -2658 +8953 1740 +2256 2180 +-6553 -3910 +-6437 -8514 +3801 -7336 +6325 -1870 +-846 641 +-4648 2924 +-9587 4627 +-6630 4026 +717 -1242 +-1072 -2282 +3254 -4894 +12410 -1798 +2603 4352 +482 -2054 +9747 4810 +2218 9040 +-3371 583 +-754 1031 +-1424 -4442 +-4870 -10281 +-2716 -5993 +-206 -1432 +2271 3521 +1190 7904 +-9081 4700 +-9201 -530 +368 -651 +8841 1908 +2821 4174 +-2150 -5201 +245 -10490 +2251 -5534 +4524 -13936 +-8840 -12379 +-3982 1293 +9509 1687 +8101 -4560 +9089 -5986 +1864 -2745 +-2839 -5479 +-2170 -4696 +938 1999 +1810 3279 +-5594 185 +-6205 -2357 +-4132 2231 +-5506 212 +-634 -8992 +3384 1554 +-7530 14406 +-1625 7723 +6695 -1851 +6869 -6456 +6098 -4173 +-4730 -1092 +-1648 -1525 +7093 6139 +9838 8792 +3831 3741 +-2907 8697 +-3622 9567 +-6370 -4207 +-6929 -9062 +-467 -4486 +5773 -10060 +3820 -11274 +960 -61 +-4017 4595 +3594 1015 +10511 1784 +5966 104 +-268 -5710 +-13085 -7805 +-3099 -5547 +5928 6509 +-3837 4878 +-8133 -2674 +-5196 2603 +156 -3308 +-2661 -7214 +-654 -4282 +-5264 -3288 +-9572 1343 +-2831 -1003 +-6987 -4016 +-5153 -547 +1999 -2265 +-66 -2661 +-1789 4535 +3506 5533 +7283 2233 +3576 -4148 +6437 -10954 +12995 -4396 +7271 84 +461 -6576 +-2296 -6986 +-5469 -1136 +31 1596 +4104 -117 +-615 2187 +-936 8734 +1197 4371 +-4085 5287 +-1771 8608 +4587 1899 +4300 4304 +4868 3119 +-3253 1631 +-3141 7585 +-2751 4455 +-6218 -6276 +3609 -983 +3588 15470 +3846 6631 +4086 -5736 +1156 -9242 +2034 -6994 +-4370 2248 +-2331 -112 +9416 -30 +13363 202 +5387 306 +3107 8393 +3173 9575 +-5936 2711 +-7771 2199 +-2713 -85 +7684 -4251 +5341 -5553 +-6716 2628 +-2971 3717 +-2547 -4556 +-2974 -9121 +5669 -3719 +1842 492 +-1070 -5392 +5778 -13 +4145 4364 +252 9918 +3265 9346 +-6155 1446 +-11377 5264 +-5011 1470 +-4913 -4392 +3225 -3699 +2150 -769 +-2886 -5005 +7218 -5380 +8016 230 +-611 2027 +-254 7473 +2701 -3018 +-1122 -10913 +724 -345 +-703 6176 +-4367 -1235 +-758 -6136 +-1900 3283 +-3051 1756 +-9022 -3818 +-11264 203 +-6672 3243 +-6371 -1329 +1303 -2643 +-1910 1920 +-12361 1065 +-9999 5358 +-6487 9059 +4581 1447 +10201 -9262 +7288 -9420 +6506 -782 +-4470 -69 +-7170 -8215 +-5986 -11693 +-7041 -2341 +3178 8962 +8253 8777 +11268 2582 +5717 2090 +-2821 -1194 +5192 -2222 +7124 3456 +8548 2968 +11501 -1492 +3811 -486 +794 4716 +1509 -3068 +3793 -4034 +1623 5688 +-2367 2105 +2898 -8347 +380 -7559 +-3445 6490 +191 4755 +-5027 -1609 +-8437 -4725 +1838 -6490 +1719 -855 +-4479 -3248 +4338 -3822 +6132 427 +6798 6880 +8682 4431 +-4507 6032 +-11324 16370 +-6433 9622 +-5295 1606 +-14194 1123 +-8873 4449 +5866 2622 +8950 -171 +2218 -2891 +-3466 -7353 +-3697 -5781 +3545 -2864 +8510 1656 +-1818 -1910 +-2660 -4055 +3467 -1908 +-2522 600 +-3124 -1444 +39 -5247 +-2912 5812 +-5303 4524 +-2515 -5879 +-2797 -7512 +-4034 -2155 +-4615 6301 +-3590 6948 +6414 -1040 +10941 -11044 +6099 -5884 +7829 890 +18673 1271 +10726 4040 +-2437 5005 +3420 -1990 +-346 -9825 +-4954 -3720 +-781 -509 +2115 4234 +2832 3370 +2450 -2785 +6339 5633 +4523 2702 +-1606 -2967 +-3175 -6442 +-43 -9229 +-3348 3964 +-8906 4747 +-2233 -2401 +-952 -965 +802 -7282 +6049 -6507 +-6236 812 +-9784 -1671 +-2295 -2319 +365 2926 +6588 6760 +-124 3451 +-475 1976 +6197 2483 +-402 -174 +-3005 -3423 +-8548 -2733 +-5446 4324 +4776 -13 +5502 4793 +3537 19211 +986 11456 +-3028 4474 +-10341 9879 +-4062 8964 +6290 -1804 +6930 -5908 +-127 -3599 +-7522 -3173 +-6696 -1807 +732 -4351 +7637 -4256 +-2656 -173 +-4769 -1196 +1500 -3665 +664 2736 +4021 9011 +-4910 5753 +-7380 2882 +2596 4789 +3556 713 +-6862 3122 +-14143 4692 +-7469 5426 +-5196 -860 +-7734 -5841 +-1251 5992 +3061 7787 +3673 4181 +5904 5878 +4839 3160 +4710 -281 +8019 -2351 +6465 -2575 +4902 -3747 +4202 -6550 +-7309 -369 +-8778 967 +-2173 -7156 +-8763 -9750 +-7119 -7613 +2121 98 +5247 -1028 +-1602 -5962 +-5258 -6683 +7929 -4995 +4056 -522 +-3490 -6733 +7333 -9032 +4140 757 +-644 10123 +-50 4925 +-5757 -2758 +-1062 -1647 +5152 -170 +2130 541 +1355 -3006 +3164 -1768 +-1220 -6269 +-3041 -1304 +-771 12727 +-3433 13905 +1283 9746 +1950 -7084 +-6437 -13372 +-4924 -11599 +-2172 -2667 +-829 8808 +3066 1766 +2996 1609 +1351 660 +4079 -1742 +1968 1174 +-5311 3713 +-2414 -1237 +-628 -10171 +650 -4043 +9473 5510 +2138 8743 +-880 1648 +9875 328 +178 7473 +-3989 6986 +5147 1821 +3274 -1818 +-3289 6630 +-8009 12484 +-2352 8791 +-4614 2685 +-9905 663 +2779 5351 +7392 3831 +5525 758 +8394 564 +5776 -707 +1921 -860 +61 5644 +929 5001 +-821 -9120 +-1305 -2133 +1920 4924 +-215 -4465 +-4067 -4827 +-982 -4121 +1512 -3466 +2665 -2195 +3978 -4074 +7101 -2517 +7172 1286 +-206 -702 +-4279 -2222 +-46 3517 +1685 2681 +278 -5980 +3364 -7589 +5479 -3080 +3520 -1763 +2954 -761 +4448 1532 +3123 4445 +4316 3258 +-916 -2626 +-2519 -426 +8751 3994 +164 -825 +-9625 -1495 +-2925 -902 +7416 -8088 +12413 4032 +3683 10593 +174 -1126 +-2709 820 +-12019 221 +-6902 2429 +3681 5617 +1768 3080 +-4 -4755 +-4604 -10773 +-12964 660 +-11408 108 +-9355 -5683 +-8024 -4517 +-7636 2016 +-1214 8860 +7521 5621 +9027 5756 +7027 8420 +3483 12279 +10304 3351 +7739 -4368 +-2940 426 +-6083 -500 +-2317 4534 +6287 10762 +1438 5639 +-137 -1725 +-6416 1167 +-13356 -4279 +1782 -11947 +4524 -1037 +3112 7642 +3918 2907 +-6655 -6388 +-2478 -699 +4734 2304 +-3049 -3184 +-6331 -913 +-3476 -2372 +-1126 -3501 +584 -3336 +411 -5613 +3917 -6458 +6517 -3616 +-571 -351 +-4862 1180 +1161 4852 +-1776 10119 +-10043 8679 +-9126 3579 +-3246 46 +-2239 6600 +-8207 5992 +-2850 -5371 +674 -5097 +381 -5239 +2434 -5570 +-183 3521 +1604 10948 +582 5956 +916 -10482 +3759 -15834 +-1296 -14211 +-1654 -12729 +349 2183 +-2941 11652 +-666 5761 +-778 -4202 +-973 -6997 +1065 -3962 +1574 -5504 +7484 2569 +3883 9108 +1521 1199 +7719 2101 +9537 8428 +4424 12310 +321 8820 +4697 -3900 +1614 -4425 +792 2319 +833 -1494 +-5215 -6860 +-1394 -3891 +6823 -3665 +217 -2533 +-4954 3614 +8347 2748 +7220 1807 +2115 -5031 +1743 -2883 +1177 6864 +4839 -5001 +501 -8058 +-3346 -4058 +-7683 -3341 +295 5418 +1150 1952 +-6825 -3844 +-2989 3552 +-5777 8541 +2710 1928 +3176 -3012 +-8132 402 +-3293 -1407 +1187 -8556 +-1070 -4009 +-587 3287 +-1097 -1063 +-6569 -1664 +1598 5725 +6095 11979 +-1897 12877 +-4729 8490 +-2501 2217 +2634 6426 +-2301 9810 +-5661 -2059 +-2786 -4201 +-3125 -4120 +-1772 -5669 +2106 2697 +8397 6988 +4385 3976 +-6104 -8235 +-7390 -13935 +-8411 -8414 +-7279 -4730 +-10192 -482 +-4383 2233 +6290 3707 +4341 705 +2725 -3929 +2246 3375 +-2984 -1484 +-8265 -7743 +4771 -5702 +10277 -7994 +-706 -378 +1424 3952 +3520 398 +-110 35 +32 -154 +727 1918 +3002 2967 +2036 -1199 +-5928 7031 +-5852 10195 +-6644 -5450 +-5718 -5498 +4400 1606 +-912 3184 +-1673 3665 +5119 4848 +2358 -711 +-1218 -10996 +-3510 -5979 +-1497 -7113 +4100 -8882 +8380 3023 +5115 2989 +10671 -4518 +13984 -7296 +2569 -8023 +-6368 -1658 +-9994 1362 +-483 -6231 +-1156 -9130 +-6472 -6357 +738 1091 +1479 5157 +635 2574 +1318 -3484 +8935 -4103 +7874 7352 +-1729 5989 +1162 -1423 +4078 -3179 +2893 -1403 +-6637 1268 +-9723 3739 +-3832 6568 +-6263 -4575 +-6047 -6051 +-4049 6067 +3597 13067 +7836 12144 +4360 2586 +8502 755 +873 7893 +-7598 7322 +-5676 1107 +-8125 6105 +-2000 4554 +7339 -1357 +3788 -2364 +-468 -4706 +3949 1079 +-3303 813 +-11547 -452 +45 -7366 +2882 -12627 +-818 144 +3680 2026 +3043 -1968 +-93 94 +-2025 -579 +-5542 -4951 +-2054 -7335 +-3603 4969 +-3707 9662 +6642 -1945 +8295 -4591 +3597 -202 +-991 9300 +-1374 7081 +3364 1125 +9413 1519 +8687 -1533 +2849 2356 +4732 -4475 +-4012 -8608 +-5973 7019 +6759 6665 +3231 -4275 +5562 -2396 +9897 -4002 +3595 -4262 +-2095 -3754 +-4963 -9192 +1715 -3199 +8061 1336 +8369 -99 +-2891 -5499 +-8444 -6098 +169 5568 +-4350 8500 +-10879 -602 +-7002 -4595 +-3777 1407 +-941 -3217 +11811 -1870 +16171 7255 +6039 1644 +-118 -326 +-3618 554 +3032 151 +1592 775 +-5617 2456 +-3308 7282 +-4791 6662 +-3764 3992 +-4227 5162 +-1358 2352 +-336 -881 +-6705 1352 +-5804 1147 +-6904 1575 +-8551 -407 +-5452 2014 +-1236 1356 +1818 -4578 +-1176 1825 +-1562 1171 +1950 -4764 +76 -14825 +4057 -14785 +5286 1307 +-3163 3754 +-1066 6084 +-2135 10375 +-9356 3441 +-6574 -4222 +601 6165 +3521 9561 +3943 -5833 +3473 -8761 +2671 -2418 +5311 7631 +3549 6169 +3368 -1250 +8376 -4775 +5887 -6679 +3775 -40 +950 -6329 +-8823 -3898 +-3992 7944 +-2659 -2526 +-2746 -4733 +-1647 4378 +-6620 367 +2212 -4915 +4612 1162 +-1900 1114 +-9872 -3572 +-7636 -3277 +-5037 -1577 +-4116 797 +3732 2536 +4299 -2584 +272 -12239 +-8426 2581 +-2127 13914 +10796 -4719 +3269 -7551 +-5212 -1563 +-320 2244 +4667 4553 +5803 3012 +8598 6140 +2892 3008 +-4108 -1638 +425 -5058 +4775 2327 +-1360 6532 +1204 -469 +10377 880 +5062 -2237 +6525 -4398 +10857 -4896 +7504 2516 +3141 14655 +-4837 8066 +-5392 4012 +760 861 +1966 -7505 +2291 -4407 +129 -2415 +-9081 -6910 +-6040 -4661 +-3841 -20 +-8023 2464 +-6786 4612 +-5497 -565 +3966 -1313 +6010 5547 +5549 5410 +5572 1470 +4403 -2116 +11026 -4161 +3896 -3149 +-2686 -3308 +881 -7226 +-576 -2433 +1298 6081 +3707 7515 +-5576 12549 +-9462 5103 +-7615 -8107 +-7259 -6713 +-2748 -4031 +-8880 -1541 +-5190 -1257 +1781 4207 +-4963 4538 +-1482 -5060 +4379 -2104 +-768 2043 +-10133 3625 +-8172 2402 +-5022 2034 +-2844 3221 +4476 -467 +1724 -4641 +-7645 -9166 +-5014 7609 +5035 14702 +-2264 1521 +-1233 1966 +8565 3342 +3687 -1185 +383 -1935 +1919 -1776 +-4291 -1792 +-7705 -776 +5331 -4923 +13349 -5901 +6959 -2740 +5403 -5199 +3616 407 +-2392 2502 +-2388 -4299 +-1745 -5130 +1797 -1484 +597 3202 +-7883 1224 +-7225 1532 +-10767 5371 +-10851 2178 +2924 -4823 +4469 -5813 +-2580 -626 +-2493 255 +-2349 185 +-4381 6937 +183 4924 +6214 -3133 +2739 5001 +-2075 7474 +-235 7932 +591 13445 +5226 3298 +4110 -3876 +-7959 -1973 +-4278 -993 +337 -3780 +-6247 -5895 +-9936 -3945 +-868 6818 +4297 13592 +-8201 7758 +-6914 3751 +-2554 -8393 +-78 -6092 +-1835 1801 +-10104 -3906 +1367 -4593 +9144 -8186 +11509 1254 +9932 4065 +-1741 -9208 +-5633 -8901 +-4444 -1439 +-1407 -1220 +-561 -8316 +-3088 -123 +-468 7652 +11741 2138 +11300 634 +5403 -902 +6898 1402 +-2007 5996 +666 2622 +8922 -3412 +2124 -3285 +-1001 -1691 +313 -2529 +-4467 1613 +-6476 4513 +806 -7125 +6370 -13085 +3584 -6581 +722 -7373 +3085 -2035 +-173 3446 +-4743 -1516 +-4216 -1819 +-882 -65 +117 59 +-37 26 +-9 0 +0 -4 +-5 4 +-6 6 +-5 6 +-2 5 +-3 2 +-1 3 +5 5 +8 8 +5 3 +2 1 +0 4 +1 4 +7 -1 +6 3 +1 2 +3 -2 +6 -4 +-2 -5 +2 0 +6 8 +7 2 +0 -10 +-1 -7 +2 0 +-8 -4 +-5 -3 +-8 -1 +-7 -2 +-5 -1 +-3 5 +3 4 +-3 2 +2 6 +9 3 +8 4 +4 6 +2 5 +0 -3 +0 -1 +-3 0 +-1 4 +6 -4 +6 -9 +1 -12 +1 -3 +0 0 +-2 -1 +0 1 +-1 -4 +1 -1 +4 8 +-1 8 +-7 1 +-2 4 +2 5 +4 -2 +2 -3 +-4 -4 +-3 2 +-2 0 +1 -2 +7 0 +2 -8 +1 -7 +0 -4 +-1 -4 +-12 -4 +-11 -2 +-2 -1 +-5 -5 +-7 2 +-2 -1 +1 5 +1 8 +2 6 +-2 3 +-2 -2 +4 -3 +1 -2 +-3 -5 +8 -13 +7 -26 +-4 -36 +-14 -41 +-7 -55 +-7 -72 +1 -716 +45 -5364 +-1177 -8927 +-4045 -409 +-1134 7048 +826 350 +-6137 -160 +-1583 4316 +8965 1501 +9643 -572 +8995 993 +579 4287 +-7447 1419 +-454 -1205 +1071 5995 +-4576 2263 +-3415 -8576 +-1492 -9535 +-3011 -8373 +-4165 544 +315 7310 +857 225 +-6254 1105 +-781 4624 +9269 -293 +9555 -2462 +9202 -701 +1395 4187 +-7185 2846 +-704 -1097 +2198 5710 +-4118 3085 +-5051 -7757 +-3381 -9028 +-4678 -7593 +-4064 1335 +1786 7052 +926 7 +-5889 2354 +233 4654 +9147 -2361 +8975 -4542 +8942 -2746 +2325 3728 +-6505 4308 +-971 -948 +3439 5144 +-3411 3911 +-6620 -6599 +-5120 -8315 +-6085 -6709 +-3784 2090 +3136 6659 +945 -141 +-5519 3442 +1082 4592 +8782 -3990 +8139 -6130 +8453 -4354 +3027 3265 +-5692 5498 +-1110 -713 +4381 4431 +-2676 4433 +-7840 -5250 +-6723 -7166 +-7408 -5330 +-3369 2902 +4422 5986 +888 -335 +-4886 4433 +1946 4330 +8060 -5502 +7043 -7436 +7673 -5683 +3568 2716 +-4766 6301 +-1220 -576 +5090 3638 +-1939 4823 +-8625 -3958 +-7820 -6041 +-8180 -4146 +-2898 3302 +5296 5135 +878 -505 +-4088 5072 +2627 3880 +7051 -6634 +5729 -8405 +6609 -6918 +3961 2092 +-3646 7028 +-1332 -327 +5666 2735 +-1030 5053 +-9197 -2412 +-8727 -4597 +-8797 -2678 +-2378 3723 +6120 4231 +799 -548 +-3288 5685 +3167 3397 +5956 -7680 +4392 -9193 +5569 -7783 +4282 1529 +-2584 7471 +-1364 -123 +6010 1932 +-370 5148 +-9549 -1104 +-9376 -3228 +-9166 -1308 +-1858 4023 +6686 3240 +680 -709 +-2354 6092 +3743 2865 +4661 -8529 +2819 -9799 +4173 -8593 +4501 709 +-1244 7741 +-1406 118 +6319 790 +614 5115 +-9616 683 +-9858 -1466 +-9323 344 +-1032 4251 +7301 1888 +570 -833 +-1251 6423 +4233 2223 +3183 -9081 +1161 -10000 +2737 -9076 +4543 -38 +42 7837 +-1338 354 +6373 -212 +1401 4903 +-9405 2142 +-9985 49 +-9178 1750 +-371 4354 +7500 829 +436 -864 +-359 6502 +4492 1518 +1817 -9442 +-315 -10003 +1367 -9280 +4498 -689 +1143 7651 +-1314 486 +6254 -1141 +2133 4634 +-8682 3594 +-7616 792 +-3365 -3441 +6801 -4475 +9429 -5026 +3159 -9657 +3820 -3994 +4107 4141 +-3325 4776 +-3330 6928 +7333 4566 +6594 3146 +-986 5647 +-6179 101 +-7119 2053 +194 8930 +-2148 2565 +-2247 -4357 +5394 -7283 +110 -7512 +-5263 -694 +-6386 -19 +-1047 -7362 +4005 -8222 +-2904 -4193 +-3401 2571 +-4127 6327 +-6576 -3684 +-5746 -7343 +-7519 3052 +282 9085 +757 8237 +-7316 650 +-4381 -433 +887 5308 +7849 2733 +6755 4573 +-3238 6188 +-3695 3850 +4188 5025 +9077 955 +3073 2888 +-3518 2073 +-6134 -6245 +-6938 1839 +-4049 6212 +-4473 -2454 +333 -6793 +3031 -8586 +-6012 -3385 +-6448 559 +-415 -4919 +4887 -1939 +9550 4259 +9703 -2607 +7797 -4114 +1133 4126 +-2943 -1848 +-3431 -9477 +-9021 -4252 +-5902 -4662 +5900 -6415 +6370 4288 +3752 10039 +8850 3748 +3989 1950 +-4710 -221 +2076 -7753 +3995 -9933 +-3971 -9107 +256 -5414 +5947 266 +667 5701 +2104 7230 +8634 -1721 +7154 -2227 +3105 4718 +-4647 4213 +-4167 6250 +6086 6329 +132 3272 +-4611 -1731 +-690 -8990 +-4941 -5511 +-4490 3086 +-4867 4313 +-5752 -4538 +-2401 -8560 +-5007 -1095 +-925 4146 +1091 7389 +-7444 1768 +-9326 -1454 +-3741 7266 +6307 6465 +6198 5485 +-5097 5337 +-3696 2643 +4230 3304 +7837 -3220 +8232 -1177 +1635 6610 +-316 5214 +6548 856 +7655 -5290 +4289 146 +-1330 3855 +-8900 -583 +-4203 5877 +934 7162 +-5131 1162 +-4357 -5166 +-3429 -8287 +-6846 1531 +-4589 4653 +-3634 -3342 +2409 -4658 +10181 -3245 +5770 -8362 +3292 -8104 +3743 2285 +-3405 609 +-8976 -4595 +-9765 2919 +-7611 489 +160 -8571 +7652 -1108 +9556 4856 +9263 -3027 +4258 -1133 +-3840 2982 +-3437 -7022 +-3339 -9922 +-9108 -4186 +-3395 -4203 +4865 -3679 +4284 3822 +6411 4021 +5736 -6852 +4197 -6199 +5557 1522 +-812 6131 +872 7282 +8889 640 +2292 2338 +-4680 1821 +-6461 -6044 +-7481 -727 +-1487 5233 +-960 6367 +-7520 491 +-7630 -4664 +-4688 2531 +1969 3653 +5699 4701 +-4600 6190 +-8190 5086 +1944 7812 +9173 596 +8394 38 +-221 7227 +-3673 4324 +-9762 2215 +-12013 -144 +-7159 1365 +-3398 4020 +1854 -2333 +1805 -2910 +2490 1284 +4529 -4810 +8946 -7200 +6201 57 +-5266 11818 +4633 13666 +13800 2787 +-1564 3505 +-11264 4294 +-7302 -60 +-1025 2259 +-1749 117 +-3351 -787 +4453 -188 +6229 -4749 +5688 -9533 +7744 -7654 +-1762 1373 +-7711 -1113 +-2141 -4206 +2866 381 +8946 -2894 +4700 -2322 +-3380 -2383 +6329 -7415 +8884 -5191 +1629 -2712 +3725 -3519 +-3251 -5496 +-11541 -1515 +-5655 1758 +832 -3318 +5655 156 +7204 6612 +896 6863 +-5159 5774 +-1533 3678 +4147 3452 +3936 -719 +5272 -5622 +6941 -854 +6707 6012 +1727 6032 +-3995 1296 +199 -4830 +-1077 -10841 +-6176 -4935 +-3584 4682 +-2574 2671 +-3970 7480 +-6448 9158 +-3827 -2450 +-968 1973 +-2418 9843 +546 3371 +-3054 -1518 +-3605 -6330 +1755 -4001 +-5301 7737 +-9509 7860 +-4680 5583 +-38 5083 +-42 -2954 +-483 -3311 +2821 -676 +441 -6463 +2237 -11081 +4893 -4066 +3772 11923 +12607 6786 +12513 -7071 +1119 3590 +-5945 10488 +-8870 4860 +-8635 7832 +-4352 12706 +599 -2463 +205 -10271 +-6270 1528 +-4104 236 +-3262 -3466 +-6592 -1722 +4487 -588 +6611 2001 +2038 5492 +8075 6391 +3406 -2187 +-3146 -18 +-4033 5572 +-6780 -5416 +-791 -8733 +2559 -4874 +-972 412 +2169 4812 +6603 -2392 +7113 -4303 +3235 1745 +-365 7301 +1376 7552 +730 3196 +-30 3290 +3904 510 +2556 -2075 +-929 -4712 +2448 -9508 +3497 -6758 +-936 -5486 +-3797 -3565 +-7668 -321 +-8958 -3905 +719 -3164 +6651 1708 +-903 -328 +-1014 -8010 +7556 -2730 +3491 7843 +-3761 1389 +1039 -2940 +3480 -2538 +-1386 -4625 +4722 -1705 +12387 -3582 +5419 -3130 +2757 574 +3418 -2512 +-991 -488 +2789 5306 +3785 6202 +-3231 1732 +-10535 -2682 +-11866 2368 +375 9371 +4358 7272 +-832 4535 +-1232 3374 +-5661 -4083 +-5486 -4308 +-3615 5062 +-1606 11800 +4590 12467 +-1068 -2246 +-6381 -7810 +-3949 5151 +-3136 2847 +-4800 -391 +-6251 3043 +2986 -3372 +6413 -2901 +5220 2619 +10514 -737 +1234 -3830 +-2437 2118 +1647 6990 +-1575 2220 +606 -1709 +-718 4500 +-5490 5794 +-9191 1145 +-3319 8755 +-2302 6427 +-10323 -1743 +-8363 -1081 +-2672 -6141 +1377 -5959 +6803 1781 +2457 2198 +-670 1042 +1720 1633 +-3047 1578 +-3404 2526 +-468 6893 +1433 12410 +7798 7694 +6924 -2573 +1108 -1138 +-1506 2043 +-1548 -501 +855 -783 +988 -2963 +1694 -3299 +499 -764 +809 1769 +7836 2852 +8175 -7493 +6658 -11400 +5343 -5989 +-1030 -6646 +-3473 3184 +-5835 11407 +-8855 5091 +-7670 904 +-1091 -1387 +3680 -896 +1574 -369 +5224 2564 +793 6727 +-6937 -1174 +3486 -3688 +6581 670 +2059 -3111 +-3258 -7098 +-10797 -11586 +-4065 -11251 +-3222 -4795 +-7794 -6424 +442 -7862 +6707 -486 +4135 5482 +-10220 5547 +-13303 4160 +5738 275 +7629 -5101 +-1280 -4148 +6014 3464 +8198 6107 +-3328 -674 +-3038 -3694 +3923 -1974 +1089 -2018 +2096 4007 +-441 7818 +-6400 6800 +3055 8649 +2443 6304 +-9218 5446 +-7270 4630 +-6135 -2782 +-2963 -5286 +6375 -3159 +3389 3 +157 1158 +2411 66 +-1074 3010 +803 1313 +7242 -2370 +6824 3656 +961 2509 +43 -64 +2511 5422 +-459 6520 +-1756 6860 +2570 2462 +2340 357 +1492 4740 +1079 1010 +-3263 1119 +-2790 6809 +2909 2248 +3951 -916 +-1880 2628 +-4069 -3697 +-847 -11679 +565 -8967 +996 -3416 +1349 -125 +3538 -8672 +1278 -13506 +-6142 711 +-7865 7333 +-7256 6931 +-7396 4352 +-7780 -4645 +-4391 -5310 +-5425 -4255 +-18212 1393 +-12352 5674 +3643 -9711 +544 -14917 +-1389 232 +147 4783 +365 1066 +2935 3582 +2300 -1080 +3786 -8367 +7304 -2953 +8165 -1273 +3149 -3307 +-141 2469 +4555 7652 +634 6776 +-5188 1008 +-5028 2797 +-6091 4333 +-1595 -4941 +2147 -4408 +3776 5096 +6593 9573 +4077 7220 +3522 1129 +3033 -7726 +-975 -12362 +-2451 -1208 +-1705 -109 +2747 -4627 +2371 9199 +-1924 8326 +2907 -2738 +4863 -4560 +4088 -6615 +7608 -1788 +2486 1130 +11 -71 +5574 2286 +4094 5070 +3289 6142 +3640 41 +2090 -1245 +4386 2529 +1549 72 +-1828 3010 +2341 6776 +3708 -312 +2325 -3864 +981 -290 +-77 129 +-49 -59 +-7 -13 +-5 -4 +-2 -3 +-3 1 +-6 -2 +-7 -2 +2 3 +7 1 +1 2 +5 -1 +3 -11 +-7 -12 +-5 -9 +-3 -6 +-5 -7 +0 -1 +3 3 +-1 2 +1 5 +-4 4 +-3 -2 +-1 -6 +-6 -6 +-4 -9 +-5 -13 +0 -9 +-4 -5 +0 2 +2 -7 +5 -12 +-1 -3 +-1 -1 +0 -7 +-3 -6 +-10 -6 +-7 -3 +-7 -4 +-2 -6 +-2 -3 +-2 -9 +0 -11 +0 -9 +2 -2 +3 -4 +4 -5 +0 -5 +0 -5 +0 4 +-5 3 +-4 2 +-1 0 +0 -3 +4 -1 +-3 -1 +2 -2 +-3 -2 +-2 2 +1 0 +2 3 +-1 1 +-6 4 +-8 5 +-1 2 +2 -3 +0 -5 +-1 -10 +6 -4 +6 -1 +4 -3 +4 -2 +-4 -5 +-1 0 +-1 2 +-1 4 +-2 -1 +-3 3 +-6 3 +-1 3 +-1 -1 +2 -4 +5 -1 +6 -2 +-1 -10 +0 -2 +1 5 +0 -2 +-2 -5 +-7 -5 +-4 -4 +0 -5 +7 -4 +3 -4 +2 -1 +-4 5 +-6 4 +-3 -5 +5 -5 +-1 -3 +-6 -3 +-7 -8 +-4 -8 +1 -8 +3 -11 +0 -10 +-5 -4 +-2 -1 +-3 -9 +5 4 +4 -4 +-8 1 +0 2 +6 8 +5 9 +4 5 +3 1 +2 6 +3 6 +2 12 +-2 14 +1 9 +2 4 +1 -3 +-1 5 +-1 5 +3 2 +6 8 +2 2 +1 -4 +-3 -5 +0 4 +8 2 +4 5 +1 13 +-4 7 +-3 -2 +1 0 +5 -1 +6 -2 +1 -2 +2 4 +5 4 +5 2 +3 -2 +-3 5 +-5 4 +2 4 +6 4 +-4 -2 +-2 3 +6 -1 +-2 -4 +-3 -2 +4 -3 +6 -1 +5 -1 +-5 -1 +-1 -1 +5 3 +8 6 +9 0 +6 -1 +6 -7 +4 -2 +13 10 +21 -8 +39 11 +48 17 +50 21 +52 48 +-348 957 +-2535 6036 +-2105 9155 +4400 588 +3292 -6642 +-371 266 +6523 2062 +1910 -4294 +-8689 -4824 +-9420 -3237 +-7758 -4791 +2667 -4097 +7402 2102 +-641 483 +2282 -6684 +5060 986 +-768 10196 +-2349 9902 +-45 9115 +4589 -432 +1971 -7367 +-264 308 +7040 687 +1086 -4646 +-9739 -2988 +-10135 -1295 +-8714 -3206 +1867 -4589 +7801 594 +-563 572 +880 -7101 +5219 -2 +1340 10300 +-261 10248 +1901 8992 +4512 -1292 +408 -7558 +-263 427 +7130 -657 +172 -4786 +-10337 -1058 +-10408 691 +-9345 -1463 +939 -4812 +7870 -800 +-439 748 +-386 -7139 +5249 -928 +3209 9917 +1518 10202 +3412 8632 +4262 -2070 +-946 -7593 +-208 403 +7027 -1967 +-683 -4791 +-10499 859 +-10238 2641 +-9635 284 +0 -5019 +7723 -2384 +-285 713 +-1758 -7018 +5008 -1915 +5075 9124 +3463 9735 +5055 7800 +3922 -2971 +-2302 -7333 +-80 446 +6652 -3218 +-1607 -4530 +-10315 2796 +-9690 4440 +-9523 2020 +-956 -4881 +7249 -3679 +-156 831 +-3057 -6459 +4575 -2765 +6709 8035 +5261 8941 +6463 6710 +3271 -3547 +-3658 -6676 +-22 473 +5973 -4340 +-2431 -4159 +-9758 4461 +-8873 5947 +-9071 3572 +-1684 -4655 +6594 -4770 +-48 811 +-4068 -5992 +4156 -3505 +7968 6880 +6650 7932 +7535 5540 +2702 -4055 +-4744 -6048 +56 385 +5233 -5355 +-3074 -3731 +-8971 6042 +-7826 7339 +-8465 4949 +-2477 -4359 +5766 -5799 +121 815 +-5019 -5150 +3521 -4036 +9017 5496 +7889 6738 +8374 4275 +2131 -4373 +-5655 -5178 +63 464 +4370 -5942 +-3571 -3187 +-7960 7263 +-6640 8446 +-7591 6236 +-3105 -3824 +4799 -6523 +263 801 +-5817 -4291 +2854 -4561 +9829 3909 +8886 5375 +8982 2909 +1391 -4598 +-6406 -4140 +154 414 +3368 -6555 +-4059 -2576 +-6647 8387 +-5184 9313 +-6540 7301 +-3727 -3268 +3709 -7203 +403 698 +-6365 -3362 +2106 -4862 +10297 2466 +9612 4026 +9339 1545 +725 -4862 +-6977 -3292 +253 373 +2530 -6972 +-4397 -1913 +-5446 9327 +-3822 9995 +-5446 8197 +-4238 -2679 +2574 -7690 +505 684 +-6910 -2247 +1279 -5151 +10227 440 +7174 2451 +863 4815 +-9539 1119 +-10916 2034 +-6660 8630 +-5243 1130 +-1770 -5865 +5916 -3363 +4924 -6200 +-6528 -7180 +-4349 -5718 +3629 -4845 +6431 2915 +7510 -691 +2675 -9211 +3341 -453 +-595 5222 +-8390 5540 +-1849 7349 +5434 2115 +5855 3134 +-3147 7910 +-6674 6451 +1976 5026 +4353 -2154 +6817 -3922 +4358 7485 +3278 8923 +8629 -1328 +2442 -9775 +3224 -7541 +7256 3054 +3692 1162 +529 -6029 +-7355 -5747 +-3543 -7262 +6241 -4246 +4507 -2779 +-3157 -6915 +-8562 -4223 +-619 -4287 +4226 531 +3667 8870 +7738 -479 +6004 -3897 +2938 5013 +-4121 6584 +-5748 7498 +5572 5159 +5681 2095 +-2371 5218 +-5884 -1275 +-7936 -7931 +-10907 -199 +-8538 366 +1433 -4525 +1540 4345 +-24 10848 +7659 7406 +2335 6993 +-8937 3120 +-3401 -7900 +-151 -11256 +-7680 -6724 +-1765 -3003 +4120 2901 +-6545 7123 +-7185 8643 +529 10671 +-3301 4255 +-5240 -3146 +2438 -6343 +-153 -7765 +-9732 -1007 +-7137 -1354 +-340 -5891 +6981 -1968 +5551 -5384 +-3804 -8558 +2277 -2460 +2925 4315 +-3031 9595 +3290 6683 +5625 -1946 +6634 -1480 +2740 7870 +-1042 9100 +4773 2402 +1952 -4486 +2666 -7354 +8237 2677 +8342 4426 +5786 -6675 +-4569 -8887 +-2550 -7709 +7644 -2472 +3685 -1563 +-3499 -4790 +-9495 595 +-7688 -2998 +1943 -7183 +1703 -4965 +-6967 -2953 +-9413 2518 +-3368 -2734 +3614 -2347 +8804 4079 +5685 -5520 +2000 -6818 +5674 1646 +1396 7537 +680 9294 +7686 -45 +5732 -2266 +1775 5399 +-5335 3066 +-11515 -322 +-8504 7175 +-6278 5852 +-2021 -4322 +4116 2080 +7389 7778 +10915 161 +6536 3527 +-4615 8337 +-7949 -3303 +-7829 -7971 +-10421 377 +-3359 -999 +5142 -721 +-165 9542 +258 11070 +7571 7378 +337 5304 +-6220 1258 +-2500 -6219 +-5419 -5510 +-8198 5823 +-6439 3734 +-4310 -4061 +3969 -6097 +638 -7635 +-8650 -3662 +125 -3327 +5174 1169 +4103 9004 +7050 2672 +3066 -5149 +4135 -5441 +7397 3946 +5293 7323 +5285 -1430 +-1459 -4537 +-2860 -7125 +8100 -3473 +9382 -2299 +96 -8748 +-9310 -3551 +-7006 -4038 +4241 -6840 +3109 -2700 +397 -3685 +-1244 -9022 +-7679 -9685 +164 -1170 +13245 10648 +8394 6355 +-1837 -1333 +-6853 1904 +-4684 -5881 +2749 -5793 +3082 -2006 +-888 -7686 +-3420 -1527 +-3366 -4163 +3741 -6574 +6825 1123 +475 -710 +-7403 8699 +-9879 13759 +123 -2659 +5945 -11978 +-657 -8567 +-1167 -3215 +846 -888 +1705 3396 +2032 4655 +1092 1442 +6585 -2721 +3245 -8740 +-7733 -5159 +-4139 -4665 +792 -6163 +-6025 4312 +-11852 2008 +-6540 -4981 +1634 1578 +2994 5436 +5724 2580 +8155 70 +6448 2681 +9854 4230 +5873 5361 +-5000 10394 +3417 6806 +10900 2003 +-225 8366 +-3148 10721 +-1742 6414 +-4878 6177 +-865 5838 +2985 4597 +361 1019 +566 -8966 +8469 -8713 +6102 -1929 +-5994 -3157 +-1705 -6242 +339 -8470 +-9103 -1878 +-5718 4558 +1196 2009 +2158 4364 +1209 3498 +-1404 -648 +-2288 -3347 +-6749 -5818 +-12226 -2084 +-618 -1005 +17172 -899 +10664 -878 +-2351 216 +-4042 5813 +-7395 -1328 +-1659 -6034 +1106 -3521 +-5651 -5185 +-3699 1081 +-5349 -1005 +-2806 -6902 +-1496 257 +-5425 3273 +-2407 199 +-3068 -325 +-5640 -5000 +-1175 -15813 +-479 -11456 +-2846 37 +781 3508 +-5813 6908 +-6982 239 +-3531 3166 +-11620 14799 +-5533 4527 +3874 2841 +-1321 9141 +314 4627 +8161 2198 +14085 -3392 +13034 -3436 +3576 131 +-3953 -154 +-5185 2910 +-1094 5282 +1903 9295 +-1770 5507 +-2203 -1491 +5128 1756 +2864 2081 +-7394 -2026 +-11150 -6318 +-13226 -2950 +-4117 -267 +11207 -8091 +7927 -2338 +-1225 5082 +-2808 -2926 +-2915 -6713 +-2881 -4402 +-987 -1536 +5701 -3078 +4725 -7679 +-2971 -2587 +-857 4114 +2415 2062 +3562 2116 +4221 667 +-3559 -9467 +-8189 -8228 +-3383 824 +1915 -3032 +6585 -7160 +7255 1645 +3525 5876 +-1661 -7829 +-4893 -9405 +2368 3105 +9402 -288 +7179 -367 +2875 2702 +-3914 -1961 +-2059 1081 +7939 1769 +3751 3216 +-4208 9074 +-2933 6570 +-1486 1478 +-2590 1867 +-7764 129 +-11699 -10659 +-8157 -7906 +-2120 1951 +2955 1980 +171 8800 +-5205 4753 +-722 4740 +767 18573 +-1319 6943 +4780 -320 +4397 8108 +-1060 5074 +123 -1136 +2764 1841 +1137 7790 +-2413 1796 +-2501 -4959 +279 -6954 +-1441 -1043 +378 1135 +-318 -10022 +-7966 -2138 +-7887 11063 +846 9547 +9142 6162 +2701 -8911 +-3417 -9736 +6087 1991 +4597 -5782 +-2048 -7232 +-124 -1643 +-1308 692 +-2368 8946 +-4292 7558 +-2212 -1551 +5891 1691 +866 6188 +-4789 -1599 +4169 93 +6837 6219 +-1259 -200 +-5527 890 +-6393 2063 +-10232 -1579 +-10934 4234 +-3768 5847 +-2923 -1124 +-5476 -5671 +-1470 -976 +-6617 1136 +-12637 81 +-7101 7134 +-1542 289 +8350 -7959 +16575 3662 +12046 11764 +223 11477 +-7719 6090 +-985 -1005 +3496 -4908 +380 -7592 +622 -3959 +-1880 -4086 +148 -8126 +4933 -6352 +8102 -7552 +8891 -9687 +-107 -7462 +-2477 -3385 +-366 534 +-1451 3151 +2365 6487 +2817 1777 +4407 -8160 +7055 -2970 +2409 5296 +-602 -255 +3324 -531 +6024 4983 +-662 2908 +-5210 -1953 +-4381 -5254 +-1819 221 +1013 606 +-6738 -7151 +-7630 3604 +931 13294 +6779 6462 +11098 -1344 +-3653 -8276 +-9516 -5513 +5691 -2650 +5366 2848 +-3362 10195 +-4667 9412 +-2028 9105 +4868 744 +3535 -6832 +-682 525 +6768 2801 +2410 -4465 +-8792 -5694 +-9535 -3858 +-7472 -5389 +3232 -4089 +7330 2583 +-1026 261 +2711 -7020 +5169 1385 +-1442 10707 +-3038 9995 +-414 9190 +5025 -281 +2306 -7360 +-601 649 +7147 1505 +1456 -4761 +-9834 -3903 +-10167 -1945 +-8386 -3773 +2403 -4543 +7706 1198 +-1016 436 +1363 -7409 +5372 416 +458 10751 +-1270 10370 +1163 9137 +4850 -1064 +975 -7552 +-511 790 +7362 246 +617 -4981 +-10384 -2207 +-10405 -166 +-9029 -2212 +1542 -4871 +7867 -123 +-886 601 +141 -7490 +5399 -497 +2264 10439 +469 10337 +2652 8772 +4692 -1837 +-146 -7615 +-339 766 +7371 -954 +-88 -4962 +-10671 -534 +-10359 1414 +-9245 -900 +839 -5107 +7762 -1392 +-829 695 +-1152 -7370 +5232 -1313 +3935 9873 +2166 10044 +4111 8160 +4378 -2527 +-1437 -7405 +-241 852 +7099 -2172 +-948 -4831 +-10709 1314 +-10145 3188 +-9426 696 +-65 -5102 +7475 -2565 +-179 2228 +632 -635 +8773 -2890 +2303 2726 +-5835 2184 +-6758 -5197 +-7733 2262 +1373 5305 +-788 6538 +-8379 599 +-7531 -3153 +-4402 4674 +5004 2181 +4881 4524 +-4063 6415 +-6700 7110 +4789 6796 +10187 -2861 +6493 -74 +1160 6994 +-588 4526 +6416 -2900 +1594 -8643 +4549 -5983 +6583 3240 +3236 3157 +5616 -6758 +-828 -8514 +4148 -2659 +979 4260 +-6178 7052 +4187 5844 +5231 3597 +-2517 4180 +-8057 165 +-8172 -1722 +-2114 7566 +436 5757 +-5416 -55 +-1833 -5276 +3966 -10558 +-4643 -8795 +-3630 -7110 +4521 -738 +-3079 3086 +-9202 4605 +-3097 9947 +-4716 4937 +-6344 -6165 +5135 -6219 +9472 -5136 +2212 -9385 +1640 -2784 +-617 4708 +-8675 -2225 +-9794 -2770 +-9031 5718 +-4552 -1254 +469 -5824 +6067 -689 +6606 -3984 +-3643 -8285 +-1125 -7411 +4694 -2072 +4919 4448 +6706 2211 +5590 -7327 +3325 428 +-3031 5460 +-8707 763 +-4587 6846 +4515 3086 +3713 5358 +-6071 5882 +-7920 2674 +-418 6464 +5352 -1523 +6778 286 +958 7429 +-653 9627 +7787 3445 +6494 -2982 +6798 -7574 +952 -11098 +2278 -8470 +6957 -2930 +1401 2280 +142 4552 +-1944 4645 +-4163 3753 +-3136 2360 +-5389 4487 +-5630 814 +613 -2892 +3020 4296 +3790 -183 +4555 252 +3407 1316 +3780 -3439 +-1142 2498 +-5433 -2736 +-3350 -6440 +-1934 -3056 +-2286 -4841 +78 -5012 +2272 -3763 +2302 -809 +4895 5791 +-973 9377 +-3017 10280 +4101 6391 +-693 -10183 +-2869 -10717 +270 3535 +3268 7640 +566 -2781 +-7945 -14651 +-1652 -11702 +915 -9275 +-4450 -3597 +-3127 -1423 +4997 -6116 +6742 730 +-459 113 +3359 -10581 +916 -7053 +-4277 -787 +-4406 -6347 +-6173 1784 +-1285 8415 +-2455 2505 +3813 5921 +7621 7398 +-442 -799 +5694 -3749 +6618 3803 +-2879 3387 +-2251 5434 +4861 9179 +3357 11580 +-1046 15679 +1617 362 +-8 -9192 +-1153 -1470 +2275 11418 +3242 8288 +362 -9359 +-7047 -8768 +-4129 -7475 +3156 -6801 +2538 662 +3157 3134 +1663 4638 +-646 5494 +-803 3827 +-1065 6825 +-3687 4322 +-1443 -2501 +5211 1114 +2823 -2603 +2843 -2465 +2317 2547 +3340 -1662 +-5391 -5384 +-11302 -5862 +-2624 -5468 +1409 -6048 +-2410 -3434 +-3353 7973 +-2667 10746 +-6222 3408 +-3622 -6739 +757 -2826 +5688 1476 +10081 -5625 +9557 -2114 +3708 -3999 +2176 -10117 +5872 -9321 +-1023 -4884 +2662 577 +6105 4418 +-5395 5872 +-11221 1887 +-6814 2578 +4577 2368 +6622 -878 +5017 3731 +5118 11307 +4387 4779 +1295 -2855 +-2633 8998 +-1525 9892 +-4271 2300 +-1742 2727 +1759 -5667 +-971 -2870 +-152 9308 +5636 3551 +6636 -3219 +2729 -10105 +3923 -7328 +-2143 5290 +-4165 -531 +5737 232 +10336 5846 +5706 4899 +320 896 +2023 -4919 +-1953 242 +-8496 -1219 +-10478 -4450 +-3023 -3165 +3550 2946 +-2536 4090 +3190 -8771 +5916 -8954 +-3183 -5733 +-6761 -1143 +-6431 5840 +3364 -1399 +3705 -4856 +-2052 3846 +-6 5612 +-6987 6884 +-5747 7017 +1199 -3049 +-7470 -471 +-12577 3224 +-5622 -2270 +-2876 -5346 +-4139 -922 +2697 8069 +5097 9582 +-2544 6487 +-7311 -2561 +-1329 -2550 +5385 -2755 +3978 -10823 +5898 -7861 +155 -4222 +-6767 411 +1407 -1751 +9274 -10690 +4209 -1089 +5201 -3609 +2561 -10208 +-1193 -115 +-3674 -555 +-7570 -3051 +-6438 -280 +-6912 -3406 +840 -5453 +3226 -816 +-2393 -93 +-95 4075 +996 11235 +543 3272 +1819 -1595 +-3227 -535 +-1234 -5398 +7180 -4918 +6349 -1588 +6399 -4330 +2979 -1695 +-84 -636 +-4515 -9195 +-6464 -2447 +-4589 289 +-10373 -8078 +-974 -112 +5908 4950 +-907 3699 +-1906 1657 +7356 -4220 +5904 -4494 +-12990 -4467 +-10363 1104 +1003 7100 +4697 2203 +519 88 +-5129 -1513 +1292 -3967 +6285 -2510 +12506 1124 +10592 7437 +2478 9952 +2346 4910 +1091 1892 +2520 5650 +5769 8968 +-1376 13059 +-7399 10268 +-5263 2224 +-3106 -2020 +1976 -4769 +11 1276 +-797 5477 +5616 3232 +6064 -9008 +1999 -13097 +-7751 3518 +-7292 4129 +3294 -711 +3566 2169 +-184 6836 +83 -1938 +-249 -13994 +2505 -3577 +1565 -6080 +-4919 -9076 +-1065 698 +-3202 2080 +-7894 2877 +-5198 4097 +-7632 2180 +-3116 -4474 +1928 -2709 +-1854 1556 +2644 3087 +7556 6980 +-3576 -395 +-7002 -6161 +3416 -547 +2597 4639 +2133 4525 +2004 7321 +-2131 7037 +1733 7171 +4406 965 +-1137 1317 +-4594 4572 +-6310 -4964 +-1084 -3919 +5591 -2757 +1717 1506 +3710 2814 +2025 934 +-1321 6007 +-4144 81 +-6958 -4272 +2656 -5398 +1547 -1043 +2821 3573 +7938 -2070 +5390 -5029 +7344 -1049 +4985 497 +4341 315 +-178 2941 +-9717 -3670 +-9378 -4869 +1749 -4356 +6918 -6610 +-5480 -2598 +-10039 -6547 +-5329 -6731 +-3321 -4426 +-2197 -4961 +-7043 -2681 +-651 3619 +13592 6950 +11411 -1105 +5653 -1627 +560 481 +-4344 -9045 +867 -5108 +3635 9834 +4203 692 +6628 -7475 +-2263 5956 +-7933 7034 +1864 -433 +6146 3641 +10198 4857 +14412 -2336 +-571 -3533 +-8826 610 +-4001 4279 +-5231 3184 +-8394 3916 +-11430 2628 +-4946 788 +3948 8779 +-1395 4556 +-11338 186 +-9613 -65 +2509 -2660 +5112 1679 +4688 1934 +6472 4018 +3087 6580 +6158 4124 +4080 -2200 +-7 1818 +-432 6477 +-8076 687 +-3411 -2069 +2438 -5717 +2298 -42 +4776 -218 +1475 -3431 +-2975 -5808 +-4723 -10437 +7247 -8936 +9274 3621 +-1264 5675 +-823 298 +-2224 -1077 +-7696 344 +-7041 3061 +-2218 -1479 +1922 1690 +2556 6166 +-1923 5918 +-815 4295 +3477 -1557 +-3512 -3386 +-5205 -3560 +-944 -5984 +397 -1841 +-1542 3408 +-7643 2211 +-5930 4419 +-705 2173 +-694 -728 +-3327 -4063 +6020 -7759 +11265 4026 +1502 11930 +343 5207 +-602 -1757 +-574 -3110 +-7676 -4364 +-13890 4528 +-8510 13361 +-7602 941 +4580 -7647 +4109 -4496 +-3343 -705 +4661 1058 +3704 -1248 +294 -3482 +-260 2622 +5480 3911 +10164 -5850 +5017 -2547 +7740 -610 +10861 -9226 +2089 -7652 +3034 -4124 +7315 -8394 +-3114 -5651 +-2683 546 +4006 115 +1704 -3340 +-140 -4267 +762 3369 +5605 -2495 +7334 -2623 +-3991 8760 +-14695 6946 +-5556 12543 +4225 8111 +2856 37 +467 826 +-5768 -2191 +-10545 -4806 +-108 -11205 +9533 -3115 +2618 5074 +-499 747 +-2440 613 +-5842 5186 +-3582 6732 +-2731 308 +2561 65 +6020 3021 +2416 5654 +2213 3570 +1958 -4253 +-2094 -5000 +2716 -3424 +7331 -5269 +4170 -3799 +-902 3230 +3280 -6392 +2162 -9428 +-7888 -2853 +-985 -7572 +1708 2603 +-3143 8549 +926 6997 +6414 6360 +4209 -2366 +-2289 -5816 +1735 -4046 +5354 -2642 +-2573 -3883 +-9539 1558 +-5122 5597 +-2489 7513 +-2064 473 +6815 -5760 +8113 2168 +3661 -8275 +1102 -7129 +-1710 3342 +-745 -6042 +-1601 -8778 +993 -4610 +6531 -6413 +866 -9522 +-3310 -1498 +-3762 3310 +-4097 4343 +3121 2628 +3921 -5645 +55 -2132 +-1867 7207 +2031 10990 +7777 8239 +-2239 6888 +-4506 4155 +1952 2378 +-1668 8866 +-7366 5579 +-11504 -5847 +821 -10162 +12656 -5055 +6559 6237 +890 8899 +-1452 2100 +4235 3998 +1812 7530 +-8180 8848 +-1697 10690 +2422 5703 +-554 -3024 +-3728 -5089 +-2608 3552 +4747 4468 +3985 1894 +2421 -2652 +-1390 -9911 +-6598 -5981 +-579 -4581 +2148 -8623 +647 -5571 +1440 2881 +-1765 -6892 +-4635 -8223 +-7919 3357 +-5877 -4746 +3131 768 +3449 8271 +5431 4458 +9212 302 +1689 -4503 +-5586 -2674 +-1745 -4939 +1116 -10229 +-1414 -2412 +-64 10904 +-757 10398 +-3328 10747 +-551 12118 +-2915 3588 +-2394 4729 +2707 7990 +-2611 -5051 +3638 -665 +3971 9209 +-4696 4604 +-1458 -4783 +-268 -4431 +919 2102 +-3549 -2617 +-2886 -3417 +6909 -960 +5745 7419 +-2720 2900 +-2617 -5109 +6557 5972 +5866 218 +4852 -1471 +11410 5395 +11284 1864 +8969 3576 +8160 4242 +-3418 -3572 +-13291 -5285 +-7842 1823 +-4180 -1146 +-4224 -3499 +-4191 -178 +1533 2494 +6262 928 +1309 -6307 +-6418 -2746 +-10480 160 +-1095 1505 +2309 6756 +-3528 3315 +-6360 974 +-9181 -3076 +-5204 -7316 +2322 2841 +8287 6424 +2269 195 +-9206 -3949 +-5132 -8218 +588 -6153 +2889 -3595 +6982 23 +600 -2054 +-3668 -10203 +-4200 -4449 +-5057 3976 +3230 5286 +2917 -12 +-2143 -4550 +-4469 -3223 +-2518 -4253 +-813 -8468 +-8742 -10416 +-3575 -939 +7569 7922 +6494 7973 +4763 9974 +7832 9086 +230 4561 +1282 5056 +7510 3998 +-5367 -1961 +2311 -2920 +9209 4088 +-661 6519 +-4299 -2604 +-3027 -3128 +3294 135 +3491 -5159 +2569 694 +-4004 10177 +-5661 5372 +-1584 7679 +-1726 9079 +-4025 -539 +-26 -6314 +4625 -4469 +-49 -3069 +-1484 1985 +-876 6222 +40 -530 +-5004 -6688 +-9167 -5150 +-5100 -37 +-9788 3686 +-6141 4892 +926 -348 +747 -6474 +-788 -1566 +-720 3912 +3129 5388 +-1881 5206 +-4145 -1696 +872 -9940 +206 -8185 +-4215 -6207 +-932 -9886 +3874 1423 +2286 9350 +-4990 -1111 +-10844 -6909 +-2479 -227 +3641 6940 +3533 -1370 +-1669 273 +-337 3732 +12050 -3012 +10787 3820 +3572 -1429 +-62 -2010 +768 8012 +1087 5565 +3827 11131 +8779 11583 +2151 3118 +-3653 146 +559 -5380 +2289 -15076 +-2262 -11670 +-1838 -4430 +-2301 -6591 +1127 1581 +4997 -642 +7932 -9372 +11105 -3067 +-2493 -2440 +-2048 95 +4284 -790 +-547 -7356 +-2606 2636 +-6425 11322 +-3619 1752 +-1216 -9724 +2818 -2080 +3721 10274 +-739 7662 +3890 6682 +4825 7824 +-3362 2244 +-4212 -4630 +610 -6298 +-2063 -2220 +99 2374 +3439 5045 +-266 -529 +-8209 -1746 +-10402 2008 +-3130 1591 +-636 -1519 +-2742 -1479 +-4846 1747 +2574 -2845 +3585 -748 +173 -293 +2217 10618 +7981 12163 +8741 2618 +-766 2409 +-2092 -7842 +2630 -6609 +2744 2548 +6504 5583 +7578 6732 +288 -1558 +-7316 -8478 +-5089 -6451 +-1058 -2234 +6814 -1754 +7475 2009 +-3841 -35 +-3901 -9251 +-11919 -7292 +-10548 -1198 +1673 -199 +1040 -3451 +2509 -2055 +-1661 5004 +2359 2038 +6312 -677 +-4620 4671 +-13301 5572 +-9374 6614 +-1465 5012 +-7629 822 +-3425 -678 +5967 -2013 +-1128 -1191 +-1587 -7008 +4575 -12705 +-2237 -5663 +-4811 2730 +-3454 -1818 +-8883 -7489 +-159 -2863 +3782 2513 +-2536 4448 +-3761 -1378 +3108 -2037 +11503 2164 +3216 1462 +549 4210 +6331 -2969 +6845 -11882 +5685 -3115 +899 601 +-2172 -5288 +2780 2578 +4095 7200 +-3028 4799 +1013 11768 +4324 12929 +-1469 4831 +-3995 -5414 +-3145 -422 +-2439 4773 +-29 -3785 +2160 -2990 +-112 -384 +8659 6205 +14105 3542 +8383 -3837 +934 2165 +-6786 -4475 +-2442 -6582 +3780 108 +8749 -96 +10316 10 +-994 -1638 +-15465 -4088 +-18550 -4129 +-5314 1664 +-562 -764 +-7328 1005 +-3998 1260 +493 -4855 +-1653 -1443 +-11295 -4864 +-6706 -10819 +2799 -2638 +-3850 8097 +1365 6583 +4812 3508 +-261 2493 +-195 -310 +248 2754 +-3733 5102 +-343 6699 +5108 6069 +-2632 -3575 +4736 -12671 +10111 -7116 +344 -998 +-1217 -4323 +4374 345 +7141 -62 +842 -1567 +6117 -449 +17126 -9870 +11766 -6977 +-1099 1783 +-3701 7856 +-2420 5974 +-4283 -7564 +-4602 -3190 +-4361 4783 +-2465 5589 +858 5129 +9368 -2362 +7511 1955 +1623 5104 +-614 -467 +-6873 1233 +-858 -54 +994 -6439 +-1844 -5608 +-681 -867 +-3452 -4716 +-5036 -3375 +825 -416 +5547 -4431 +-199 -91 +1709 5489 +-3204 7339 +-7482 5766 +4447 3444 +6319 1061 +5193 -1439 +52 -5720 +-2870 -7479 +6796 2464 +7835 2531 +-375 466 +-13398 6664 +-17241 9015 +-3019 4664 +-906 -257 +-4954 5517 +-2158 3516 +-2732 -3908 +-2183 69 +-11885 3833 +-12294 -3571 +428 -3845 +2353 8290 +5306 3839 +5970 -578 +1456 1936 +-372 -713 +4005 -4793 +5626 -8539 +-1038 -2602 +929 4084 +4469 8921 +5789 3017 +37 -3741 +-533 -912 +5189 -3079 +2302 -9024 +7169 -5788 +4082 2306 +-1332 -3783 +5216 -16452 +6016 -14357 +-1921 672 +-11725 12493 +-12347 10960 +-2762 40 +3678 3044 +-3414 9525 +-7853 5183 +-808 5285 +-636 75 +-2859 1260 +5759 4564 +10099 389 +2912 -335 +-3786 779 +-6165 8540 +-2162 6213 +524 6546 +-3475 5831 +-1677 584 +-413 5369 +-6556 -2870 +-4124 -4205 +2883 -2205 +-1033 -8321 +-6568 -517 +278 8167 +4021 5218 +-3412 -190 +1117 -6079 +3692 -9049 +-1270 -4807 +6355 -5003 +9595 2499 +2220 8131 +-3914 3920 +-1418 9174 +-1447 5409 +-2501 -2516 +6189 -3442 +3615 -6481 +-6770 -1482 +-5013 7633 +1340 3253 +-5682 906 +-7302 -400 +2783 -5166 +-883 -1488 +-364 -6508 +3942 -6212 +582 -5999 +-1540 -9844 +-2444 -1189 +3353 2436 +9351 3637 +6570 -1596 +-2334 -2796 +-971 -348 +2050 -5701 +-4056 -8235 +1857 -8981 +4709 -978 +-3485 -1971 +-6540 -15523 +-4529 -14515 +-974 677 +-767 7637 +-3052 -291 +-2046 -5364 +2607 -2378 +7450 -3147 +3508 -7058 +-8542 1297 +-11333 -6137 +-5587 -12218 +-567 -711 +-6716 -1985 +-5637 2579 +-453 8270 +-2042 -1331 +715 -2444 +1449 41 +1508 1516 +-1523 1891 +-5048 -6240 +-5866 1246 +-4071 6754 +454 1760 +1591 13472 +-5918 11488 +-6944 2415 +7695 3551 +8325 -1381 +2418 828 +513 5741 +-2476 1639 +-3661 -5410 +-3632 -4863 +-1208 -863 +-3417 -2527 +2242 -3264 +3226 -5553 +-3348 -1862 +-4528 4001 +-5551 -7426 +-649 -12623 +2289 -1610 +5783 3408 +7429 195 +11180 987 +13600 3555 +1511 -4400 +-8441 -3021 +-8942 7906 +1713 8119 +5041 -2143 +-582 -14809 +1610 -10894 +1651 -6216 +-1247 -5857 +3060 -552 +11865 4548 +4916 7443 +-5427 3048 +1592 3040 +6921 -1356 +3434 -1338 +1220 4651 +910 1765 +3846 4909 +3842 4978 +-2842 1175 +-5179 -2563 +388 -3489 +3697 -7271 +-1931 -7621 +-5755 6468 +-12864 2927 +-12528 -5246 +-1000 -134 +-6581 2966 +-2730 5653 +5244 6392 +-2398 376 +-1089 -2206 +1203 -910 +-877 -3404 +-7904 -5681 +-4772 1381 +6163 7194 +6446 11489 +-2364 8797 +496 2444 +3417 341 +-2939 -2373 +-5789 -2803 +-2908 -4460 +-3654 498 +-12625 4143 +-12169 -4994 +-6518 -7026 +978 26 +10891 246 +12263 4710 +5716 11086 +2151 2169 +5689 -1957 +6015 1868 +3071 101 +-3087 -1725 +-2921 2123 +-5185 8080 +-13100 3883 +-8078 738 +3919 4063 +9185 903 +-4028 -3391 +-6010 -229 +1420 4444 +-3214 2601 +-2030 8688 +-1815 9563 +1091 -6100 +5200 -7797 +-1264 -6630 +-1395 -2512 +8069 4827 +3337 -3141 +-574 -5506 +3273 -3960 +-2760 -59 +-908 -51 +946 -10924 +409 -14412 +290 -9595 +662 709 +2658 127 +-2974 -4869 +249 -4969 +-3004 -2540 +-8398 4581 +1983 -2856 +2016 -7718 +-4720 -6989 +-272 -4584 +1873 10476 +1231 9041 +3216 1788 +-1053 3784 +5559 -472 +3989 -3216 +-9559 965 +-2907 4144 +9490 1324 +12484 4326 +3929 8028 +1986 1469 +2905 -1995 +-3830 157 +-6355 1639 +-5198 -1471 +-2560 2680 +-7242 11064 +-12694 3906 +-9578 -1143 +610 118 +6852 -258 +6537 4117 +3313 3509 +-1096 5954 +444 4310 +-3713 -2977 +-7503 -8486 +-5216 -4623 +-3871 5852 +-6686 7439 +-8202 7541 +-1941 6389 +4202 -383 +3331 -2117 +-2224 8547 +6022 11138 +8204 1977 +-4108 1268 +-2015 3264 +7636 1391 +11283 -2958 +9317 -1878 +5859 -608 +-1406 -7829 +-2761 -10998 +591 -3816 +3529 3676 +5455 2939 +452 4845 +-488 7923 +-1894 4193 +4741 1116 +9176 2824 +-6875 1372 +-13932 -3596 +-2216 1265 +-1271 5393 +-9878 162 +-3373 -4697 +-4289 -8878 +-417 -5660 +7252 463 +-3362 490 +529 5908 +2937 5191 +-770 -2860 +-4528 -6520 +-11576 -9628 +-6416 -3007 +-1416 534 +5778 1647 +6057 -20 +-548 -3312 +329 6784 +-6159 266 +-9652 -4552 +-4051 -2829 +33 -9642 +4987 -2335 +565 -2658 +-5557 -12897 +1031 -5513 +4428 9464 +1968 4094 +4318 -3469 +7986 -1006 +4868 373 +2960 5142 +3108 2865 +-4856 120 +-11501 -1569 +-7211 -133 +743 6843 +-397 9824 +-1612 10878 +2562 5994 +3055 -3065 +1286 -3716 +3830 7812 +12001 4782 +9851 -5446 +2283 -8611 +666 -3750 +2057 3549 +-953 7004 +-6496 6907 +-5970 -2834 +2606 -4411 +-1586 -1618 +-6206 -2251 +285 858 +-3690 -1302 +-11045 -7537 +-7785 -755 +-306 10086 +4788 296 +2592 -10075 +-8630 -1344 +-13053 3792 +-3274 -366 +937 -955 +-6510 199 +-2400 1246 +771 4455 +-6722 -455 +-2677 -9413 +2676 -6558 +2991 -1273 +-1001 4478 +-933 8374 +4755 4886 +1889 5035 +-4967 -2447 +-2711 -11279 +5718 -6713 +3041 -256 +4661 5148 +7261 796 +9769 -3731 +11766 2543 +3407 4742 +4984 2024 +-433 -1759 +1051 -2228 +6901 -5200 +-6629 -5832 +-11769 6109 +-11135 2274 +-11724 -1889 +-3370 8095 +8494 2726 +1818 747 +-686 1532 +4947 -4405 +2495 -5777 +10532 -5897 +10377 29 +5579 -3140 +2981 -6546 +2731 482 +6840 5488 +-102 11002 +-4265 2856 +676 -6822 +2949 -6415 +-3298 -7268 +-2083 -3315 +3894 1350 +3879 5863 +-478 9335 +-6458 1781 +-888 -4992 +-2290 -167 +-6246 2396 +843 437 +-3668 1506 +-13599 1749 +-6555 4574 +6462 7484 +4863 -1902 +1917 -5675 +1506 -92 +-1443 6641 +-5952 5156 +-1535 1600 +10745 4062 +6043 2094 +1233 3032 +3927 3263 +5083 9145 +-72 15081 +-941 6532 +1877 1213 +3552 -4963 +4367 -4202 +-1179 1151 +4756 -3634 +5463 -2730 +-2597 -1747 +-7686 -676 +-14842 -2749 +-7675 -6808 +-1575 -4287 +-2822 -2348 +-1020 -6533 +-2180 -10553 +-2515 2041 +-6143 5539 +-6381 -585 +-2 -2550 +-2408 -4448 +-1104 1577 +3010 -1000 +3690 -2160 +7080 1366 +3251 -3845 +2547 -8060 +-2812 -1985 +-11307 3658 +-362 3133 +4052 9204 +-8382 7246 +-10348 4952 +2164 119 +4350 -14784 +-452 -9897 +1185 2882 +-1433 2757 +-2227 -718 +8906 -5880 +9844 -11321 +-2263 -346 +-109 12292 +-2189 9951 +-10301 1181 +-1800 2071 +3852 10930 +3405 474 +5156 -7610 +1064 -4530 +-5143 -5200 +-134 -3856 +33 -2536 +-844 1737 +5559 123 +2337 -918 +2763 5839 +-1287 7728 +-97 2185 +11135 -3860 +6178 -2316 +2968 1491 +5219 -47 +9872 3619 +9750 11277 +3605 5513 +2290 -325 +-521 -5970 +578 -5822 +164 2115 +2748 212 +5262 10259 +-602 12741 +-8481 7018 +-10204 -1841 +1282 -6958 +8267 -1188 +-2180 -5025 +-5508 -2502 +-521 3412 +-3490 8556 +-1051 3067 +-484 -5835 +-3942 3134 +1957 3684 +188 -2229 +-2152 3467 +3913 2841 +767 -5639 +594 3448 +3674 8828 +724 2080 +4688 -3298 +1498 -5382 +-8424 -843 +-315 -6246 +11009 -1673 +7584 8328 +-740 12408 +-6027 10109 +-6176 -460 +-3632 6504 +-1168 4967 +-908 -1122 +-8787 1617 +-7214 1752 +4984 5456 +5588 -387 +6432 -5888 +6863 -5866 +-576 -9670 +156 -11673 +2072 -5877 +-5565 662 +-7559 1496 +754 1570 +2412 -5666 +-4471 -11136 +-5254 -1877 +1349 2155 +6609 -4265 +3560 -3688 +-6289 -3300 +-8097 -8608 +-5743 -7146 +-8938 850 +-8372 860 +-3589 3402 +704 7020 +6762 -4258 +7431 -5140 +-106 -378 +3858 2835 +7537 2432 +4562 -4359 +10769 4264 +7523 10032 +-2280 10670 +-9300 5029 +-3514 -6095 +5741 -6144 +-4975 -2395 +-6019 1666 +1694 3024 +2607 8704 +1097 2979 +-4124 -4060 +-1105 4858 +3835 1446 +-1527 -2453 +-4245 2096 +-8387 9990 +-8210 12226 +2700 7470 +-783 14642 +-10811 11850 +-4856 5640 +-3279 -2462 +-1660 -7196 +7335 2125 +1560 5590 +-115 5556 +-372 -3091 +-9978 -6086 +-4086 1466 +3548 2456 +-320 -4617 +-8262 -8114 +-2665 781 +6624 -340 +5278 269 +2454 6684 +-7600 -448 +-2909 -3862 +6102 -6294 +9226 -12817 +14111 -4248 +7766 689 +8711 -11449 +6226 -10092 +-3941 870 +-8247 1851 +-5994 -116 +4040 7018 +3316 8673 +-2782 -3417 +-4160 -7908 +-6085 -3837 +-3236 337 +3023 -3102 +-480 -2778 +-544 1858 +2885 429 +-3944 3421 +453 4223 +4898 -191 +1893 -2589 +2430 1092 +136 3469 +3505 2252 +3148 -3188 +-1183 -5027 +2319 1784 +793 -984 +-2416 1760 +84 4391 +-2870 -2191 +-7919 -92 +-7656 4047 +-2918 742 +8318 -5382 +6909 -3422 +-6101 -3122 +-5779 -5501 +-1925 -1148 +-119 12370 +1844 14420 +7030 3710 +8964 11304 +-694 15741 +-183 7344 +-4198 293 +-6067 -4225 +7002 -3181 +4832 3088 +3485 4131 +-2270 -2105 +-11669 1985 +-2200 3729 +4474 266 +15 3369 +-2629 12704 +-4288 10720 +-3497 -3315 +5232 -2245 +4064 -4287 +-580 -9236 +-3752 -2879 +-3270 4236 +1770 5042 +2293 1776 +3443 2082 +-3948 794 +1086 3824 +11379 6980 +5516 -1893 +6310 -11536 +-660 -3623 +-4378 1678 +2877 -3780 +1259 -2894 +-925 -4785 +-4304 -1562 +-1821 5341 +3938 8335 +5430 2108 +4845 -897 +-3012 3773 +-8919 -8221 +-7410 -10940 +-4759 -4295 +-3339 794 +-3772 5150 +-8363 -2678 +-8858 -10357 +-1672 -14011 +-1617 -7138 +831 2565 +2322 834 +-3215 722 +6600 9976 +5350 6654 +-6252 -4448 +269 -79 +3877 2508 +1793 -3185 +1556 -7647 +5026 -2965 +7482 1925 +2967 -831 +-1080 -4019 +135 171 +1217 6827 +-5073 -7454 +-7180 -9957 +-1529 3545 +613 -1968 +-1014 -1694 +6706 5454 +12693 7488 +5132 11882 +-1763 8272 +-6042 1009 +-1301 3220 +3417 7084 +6988 11044 +4085 10529 +-4916 52 +2324 -5165 +138 -5795 +-6770 -6139 +-4798 524 +468 5209 +4740 2311 +2841 -312 +3946 -838 +-2447 3310 +3291 1936 +13184 -2808 +3407 -3695 +2060 -2772 +4235 6037 +988 8732 +4135 10481 +-5680 472 +-11179 3166 +-8431 9088 +-5754 2846 +3591 3950 +944 1103 +-1960 -4235 +7246 -7918 +2994 -5836 +-6609 428 +-1581 2818 +-619 2213 +-946 2778 +4101 4212 +2975 -6496 +1725 -10502 +4057 -408 +-1564 711 +-5611 353 +1828 7075 +-781 12296 +3753 9865 +3018 4098 +-11841 3524 +-7460 4632 +-6899 885 +-763 -1052 +5789 3281 +-2851 467 +-6281 -4511 +-937 838 +13145 5808 +9231 3752 +-2087 -3270 +-982 -5045 +297 -5807 +3362 -9147 +5529 -5481 +-2696 -2930 +-7703 -675 +-1190 -724 +-7513 -3328 +-12161 -4342 +1502 -5890 +13486 -2710 +7923 -1979 +892 -690 +7389 162 +2919 -3323 +-5016 -6504 +-1368 -9348 +1398 -5593 +1662 -4156 +1369 -2395 +-10065 3656 +-13427 4577 +2354 555 +7451 -3606 +1864 913 +-5954 2671 +1330 -917 +8154 2158 +6193 5770 +9975 5096 +-4072 4060 +-6674 9527 +-728 12094 +-2717 5756 +5399 541 +1524 179 +-4321 -1825 +517 -10510 +-1404 -6253 +-4867 4607 +631 3081 +1086 1670 +1736 -337 +4190 -2182 +625 -1527 +3391 -5478 +-4853 -3772 +-3652 77 +4696 288 +7909 -4737 +8363 -9054 +1212 -3366 +-689 3929 +4506 4949 +9109 2276 +3263 2733 +-552 1866 +348 354 +1521 1242 +37 4810 +-3746 8748 +1310 6028 +3003 1173 +-4354 -1183 +-12374 1428 +-7912 3626 +-1340 3138 +2075 -3261 +11 -6412 +-6734 -134 +-1586 80 +-3618 1587 +-722 -1161 +716 -1241 +-6297 1314 +1553 -7034 +2099 -2334 +1463 4394 +-1105 3645 +-7128 2927 +-978 1856 +-2593 7635 +-10249 3019 +-7873 -13654 +-4632 -11377 +-3359 5963 +3782 8626 +2724 8995 +-3182 15777 +7556 6217 +16129 -5104 +8832 2020 +7409 3374 +4239 -4357 +-12524 -4497 +-13360 -6811 +4210 -9938 +8303 -2802 +1363 -895 +2719 -7160 +3951 -1082 +3567 2259 +-2487 1495 +-7159 1474 +1447 -6282 +-594 260 +-1542 -125 +1848 -4515 +-473 -1532 +-849 -6300 +-6222 273 +-2869 2360 +3850 -2807 +3096 -8638 +621 -12061 +-1234 -3250 +2031 3316 +6789 752 +8684 -4238 +4359 -115 +772 1714 +-812 178 +-6362 686 +-4041 12 +2887 6265 +3697 16079 +650 7256 +3516 582 +3916 -397 +-6479 -2919 +-1960 6463 +-132 3020 +-3665 -826 +1964 6248 +8988 5481 +4263 4652 +-1029 3926 +3659 356 +1689 -4253 +6158 -2814 +10390 -1256 +3706 -4899 +-3857 -1268 +711 -2712 +1599 -6557 +-7042 290 +3512 2160 +4713 -420 +3709 -7159 +10446 -13196 +4269 -4071 +-5326 -1451 +-8077 -3855 +6943 6874 +9656 11881 +-3152 6658 +-9798 7363 +-9734 8839 +-771 2631 +313 -2873 +-1489 -5889 +2995 450 +2196 7374 +-2649 -277 +-2880 -1629 +-2776 -2240 +-1315 -6961 +-1128 -2102 +-6770 -1248 +-8370 1121 +-5631 3593 +-263 2374 +324 6766 +-2586 2887 +-2496 1233 +-2143 380 +897 -7729 +2911 -832 +-296 6636 +-4 1907 +-2200 -3291 +-12100 -6309 +-10765 -5145 +-2856 -6428 +6425 -14027 +4294 -7744 +-7955 7074 +-4022 3526 +6558 2472 +13573 9371 +5352 4927 +3066 -1877 +2815 -2859 +-7102 2029 +2617 6103 +1930 2333 +-3494 1749 +5489 3439 +10614 -1640 +6391 758 +1760 3584 +3704 -2355 +1536 -6285 +2701 -4324 +3802 2532 +-503 3733 +416 2821 +5842 258 +5422 -2849 +5411 -9 +1513 -2944 +3151 -5078 +3105 201 +-8474 -794 +-9990 5101 +-6956 6615 +-3297 -2355 +3027 -2253 +710 4443 +-8597 5744 +-7407 7840 +-426 7640 +1239 -3655 +5556 -2480 +3226 -595 +1007 -5840 +3888 -4611 +743 -5272 +-3139 -1221 +-3553 -347 +-770 -4424 +5003 -1769 +-719 -175 +-19439 -1363 +-12672 -4642 +873 -6522 +897 -4132 +6702 -6402 +5659 -9570 +2798 -2763 +-1979 1497 +1267 -3516 +34 -1215 +-9544 6942 +-480 3434 +-1786 -307 +-3392 3275 +7570 8400 +9138 7543 +143 -2122 +-11896 -4698 +-10724 -2001 +-699 4474 +1270 2316 +-3018 1738 +-1836 10974 +1462 -745 +2483 -3696 +-301 2398 +1326 -4552 +6432 -9461 +2895 -8577 +3278 -1980 +6460 -1947 +10557 8775 +10412 15318 +68 -1062 +-875 -6047 +4559 -499 +2052 3090 +2182 1736 +4772 -3627 +2393 -5633 +4210 -3513 +-720 -3151 +-839 -5755 +2645 -1833 +-7032 4939 +-4438 10348 +-1098 9445 +-4146 353 +277 -3274 +-28 2975 +-4974 3981 +-3131 2414 +1184 2444 +3460 -1518 +-3957 -6078 +1314 -6592 +8473 -4363 +2247 2018 +4978 3088 +-1554 -7017 +-775 -6083 +4858 2822 +-5763 1830 +-3663 4659 +153 10404 +2940 4469 +5325 1088 +-5107 1854 +536 -6179 +2537 -6651 +-6282 3384 +-2914 1803 +-7134 -5506 +-851 -6773 +4909 -5303 +-3704 -951 +-1294 2947 +3337 2912 +4984 4631 +4965 9322 +5199 8225 +-5032 238 +-11046 -7137 +-6168 -5481 +-5587 -2076 +-582 -4373 +-1671 3911 +-4702 11305 +-7098 -840 +-7184 -7548 +-6204 4603 +-5708 6777 +-1158 -3493 +-6217 -2677 +-10485 3046 +-8630 7117 +2686 6001 +11916 -721 +9138 -4831 +2766 -5897 +-1026 -2873 +8381 1689 +7558 -1034 +893 -10432 +5426 -4518 +9992 6725 +3260 1595 +-2694 -4754 +3388 -5842 +2168 -8542 +4064 -11729 +4225 -1447 +61 11223 +1236 10560 +-1260 6224 +-770 3702 +2498 1098 +1678 -3341 +-7060 -1960 +-3310 -5808 +3905 -8777 +3072 16 +5798 -929 +-5757 -4226 +-4590 -4060 +5726 -1028 +-3266 5054 +144 5814 +5649 8059 +-2695 6726 +-1268 3026 +-2949 -974 +-5354 -327 +5283 2385 +1246 3929 +-863 316 +-3183 -2022 +-4565 -6008 +7553 3972 +12603 13958 +2153 6076 +-9192 6638 +-7469 6479 +-3026 6470 +4416 3273 +6622 -5217 +5033 -8482 +6130 -8861 +7001 2339 +15467 9695 +4422 5388 +-7967 -4297 +-1971 -3115 +931 217 +3998 641 +2686 4491 +-1361 -5231 +-1156 -3469 +2880 -1825 +-668 -9699 +-5812 -2737 +2227 738 +3595 2207 +-6469 2361 +-7475 -1150 +3022 5325 +3796 10359 +-2011 6011 +3629 5030 +6303 4601 +-1602 -2444 +-5195 -7951 +-6710 -1630 +-8247 3086 +-7105 -270 +-7669 5046 +-3559 -1399 +-2034 -9535 +-5807 -4109 +-4511 -6633 +-6278 -2650 +-8788 3384 +-1618 821 +8576 164 +6516 -1802 +2575 -5952 +9358 -5139 +5125 -1946 +-7080 -3757 +-3014 -5375 +4636 881 +1351 1723 +-6618 -1213 +-7082 6923 +889 3799 +-2777 1095 +-4482 3244 +5598 -1585 +3512 2152 +-443 840 +-3781 626 +-7481 -1483 +8437 -1929 +18973 2249 +5769 3206 +-2717 10963 +-1526 9636 +1874 6708 +5685 -118 +1858 -4161 +-7498 7304 +-14868 8395 +-5513 -3898 +4337 -6110 +-5663 -711 +-12793 4831 +-4361 7315 +1146 8252 +2511 -1347 +5099 -4526 +-569 -6350 +-2154 -9888 +5958 -532 +-1728 -8819 +-8437 1721 +2650 10537 +6466 -1770 +1271 9808 +1689 6684 +6243 840 +2359 -104 +-2898 -8404 +-7080 -4627 +-12856 1252 +-4263 3545 +5931 4309 +-5007 5248 +-17315 -1635 +-8634 -3953 +642 3247 +-2865 5038 +-3715 2624 +572 -1971 +3638 -5237 +4983 -4501 +8278 2210 +9167 5226 +2818 4651 +-4646 2970 +-1933 -1675 +4352 -5448 +671 -8409 +-1865 -7305 +3681 -2994 +6731 489 +3462 -1199 +2332 -1726 +2825 -2640 +2942 -5884 +4711 -1107 +5821 5539 +7534 3290 +6208 -1391 +-196 2371 +-5082 2242 +-2478 -5771 +630 -10845 +2605 -7841 +6582 -1517 +5918 -265 +1071 624 +-4578 1917 +-5555 358 +-2147 -848 +-401 10246 +-5797 15984 +-6839 845 +-808 -7295 +-4768 3250 +-6493 11916 +1556 8182 +6402 5236 +1091 -2684 +939 -6703 +-4591 -4295 +-8246 -5837 +4257 -4302 +-7120 -5242 +-7208 6893 +3505 9321 +6235 -2763 +5683 -6346 +1357 1518 +4106 1451 +1246 2138 +1386 5484 +3103 -1859 +6140 -7464 +11991 -3999 +8684 1197 +3733 1965 +1896 5046 +-1107 5201 +3514 -3444 +5589 -9925 +306 -4029 +-4315 4382 +-5512 1026 +-3632 -3042 +-5212 -6923 +-2630 -12013 +3101 -8427 +-310 -2455 +-5772 2061 +-2585 350 +-2806 -3051 +-1943 -854 +2370 -7851 +63 -5370 +1966 4721 +1338 -65 +4939 5917 +10707 9429 +4626 899 +-6314 1344 +-7291 7179 +2915 6372 +2158 -6472 +4231 -5054 +3315 3544 +621 -1839 +4861 -4520 +-540 -5161 +-157 1526 +-7050 12831 +-14407 10112 +137 5524 +12696 12031 +3800 12812 +-1225 108 +2128 -5880 +-3562 -4825 +-623 -1569 +-3504 2372 +-3225 -5714 +463 -6287 +-4019 6516 +5183 8667 +5392 2364 +-5990 126 +-12916 6943 +-6069 5138 +-232 -914 +-3200 3254 +1580 -5179 +595 -8607 +1995 212 +4152 -1693 +2373 653 +4687 3008 +1095 -3465 +-324 -9547 +6443 -10911 +7422 -4956 +4157 -1074 +4853 2382 +2650 4451 +362 -4943 +-2391 -10149 +-2848 -2038 +-3004 709 +-6706 4146 +1059 -290 +8882 -3328 +4282 5418 +-3466 6662 +-2493 -3626 +1776 -6706 +5225 -125 +5122 1155 +-1482 3198 +3771 1383 +7976 1997 +-1633 1027 +-3434 -7259 +1886 0 +-3383 8074 +-1775 3610 +3641 877 +-2984 4531 +-4104 3442 +-5115 -3625 +-366 -3914 +7159 2621 +408 7087 +-8136 4034 +-488 -119 +8280 -2468 +3212 -1310 +8594 5651 +7715 5150 +958 -2747 +2105 -1515 +-387 2156 +-640 4202 +1415 6906 +11399 1697 +4223 -3924 +-10834 -8357 +-11655 -4703 +-12187 2544 +-7156 -6494 +-9686 -7953 +-7032 318 +4034 -4202 +5747 -2107 +5548 6616 +-2493 5280 +-4992 2452 +147 -7470 +-7362 -10822 +-6503 -7289 +2870 -12389 +-4676 -11430 +-8541 -8947 +-2672 4964 +2552 11915 +7277 477 +4085 -1120 +1395 -139 +-1382 2222 +-2205 1808 +5650 7463 +5774 9027 +-1785 2738 +-2530 7244 +731 -917 +4626 -8235 +6894 1096 +1802 7014 +-4349 -1090 +-3082 -6052 +3947 -3570 +4784 -2645 +994 3222 +3805 -1576 +7483 -3846 +-592 1745 +-8213 -3057 +-3343 -2585 +-4760 4928 +-9657 4935 +-8517 -480 +-3340 -1650 +9905 -4859 +9619 -5922 +-10236 -8663 +-8705 -1810 +620 1081 +-1094 -3103 +4975 1177 +3765 -5983 +2159 -3145 +11431 4033 +13163 2974 +10921 1786 +187 3552 +-552 6861 +5397 899 +-2813 1398 +-523 -430 +-8031 -1579 +-12774 4797 +6563 9378 +6568 7894 +-5093 -1409 +-7867 -4535 +-5481 -8617 +-1900 -6714 +-2507 -604 +-693 7877 +132 15085 +-4398 4614 +-5311 -104 +404 7363 +630 9161 +-2216 -287 +3768 -1605 +2762 4152 +-731 -1015 +5383 -3924 +6215 -1293 +6392 1901 +4545 2856 +-5328 -916 +-6485 -4191 +-2156 408 +-5410 5358 +-4641 1278 +4797 -4209 +6672 -3028 +5749 574 +5415 2534 +-605 773 +1701 -4585 +3903 362 +-2407 319 +-1124 -9458 +-749 -7069 +-5520 883 +-5065 -4291 +-2276 -15005 +-1846 -6759 +-2478 2926 +-1219 7290 +-4426 9643 +-7022 4967 +-3664 800 +4620 -9941 +3717 -10547 +-13704 -15 +-8172 4123 +1075 377 +-2996 -1687 +4546 -2278 +-890 -6919 +-370 -3719 +11584 -4046 +11452 -5322 +5666 -1551 +629 3296 +-3101 -868 +1066 -4163 +6731 9303 +2198 11269 +277 -1537 +-5645 -6800 +-8217 -6724 +-5151 -2003 +1739 -3376 +6026 4473 +7221 13757 +6802 1041 +2927 -7156 +4362 -2962 +6818 -599 +379 -7256 +-10700 -11495 +-2107 -6217 +4797 791 +-33 6417 +3393 10006 +3831 6901 +254 -1201 +-9784 -6453 +-6325 -8739 +4878 820 +-413 3660 +-3897 -1982 +-2352 -2335 +-5349 -5893 +-10132 858 +-7477 260 +-7604 -905 +-5957 3528 +-1233 -6062 +-6240 -1777 +-7061 3811 +-1499 -6191 +7055 -7823 +6687 985 +2330 4170 +7504 3192 +7007 1871 +3943 -6013 +-572 -1799 +-5576 8160 +1928 -571 +4273 -6907 +-4908 -5580 +-6134 -5596 +-4233 -1118 +-2400 -5765 +5220 -8875 +6284 452 +-1174 7987 +3349 5134 +6388 -861 +-1696 6723 +2918 7633 +3040 6421 +2208 9330 +7541 2575 +2556 998 +1936 2682 +-2970 1130 +-1838 -3793 +11173 2917 +8928 7184 +-692 -1268 +-8803 -1539 +-10822 12 +-5403 1595 +-762 -3709 +7631 -348 +14535 5888 +6140 -3517 +-2196 -7243 +293 -4872 +-1263 -2354 +544 2069 +-1130 2590 +-6893 -5495 +628 -1856 +4705 4737 +11002 -98 +8489 457 +288 -2745 +3153 1113 +3144 6303 +-2053 -2721 +1402 -7620 +6591 -8034 +105 -6546 +-33 -4132 +1141 -6610 +-4832 -8968 +-123 -6759 +2436 -1446 +-4670 5792 +-3819 691 +-286 -3454 +-8849 -245 +-11148 -1317 +-4357 3559 +606 -1671 +7707 -4576 +687 3062 +-462 1983 +8815 -107 +3061 3770 +-3743 5423 +-11494 -2636 +-3917 -2112 +11079 -2811 +4828 -7141 +-771 -2156 +-1559 1405 +-5551 744 +-7151 -3111 +5507 5087 +16842 8356 +10428 -169 +6905 -204 +5834 1174 +3030 3150 +1456 6412 +-1569 4367 +-4976 3903 +-6630 4477 +-8702 6495 +-8594 16278 +-731 6836 +5535 -7873 +4973 -2247 +1335 586 +-1629 -2217 +-7800 -3404 +-10454 2823 +3106 -3567 +9351 -8554 +4511 3737 +-1650 5450 +-7584 4187 +899 1599 +1053 2549 +-9008 465 +-784 -1721 +6740 556 +8521 -7097 +6946 -5062 +-1533 -2227 +3201 -1147 +6669 2727 +-3267 -777 +-3782 -6617 +42 -10193 +-4132 -4913 +-3308 -2963 +-4648 -8117 +-4550 -13228 +-178 -13546 +-3202 -10491 +-4530 2440 +4157 10306 +9458 -1747 +7912 -7515 +2062 1913 +-8575 -1462 +-4918 -8161 +5021 -5861 +3718 -1336 +-4711 -923 +-3691 -2034 +2016 1734 +-2064 -3384 +-1478 -4254 +-1680 4254 +-6380 4403 +-7692 -5374 +-1363 -8221 +1916 3323 +-6993 8910 +-1279 8818 +10409 3420 +1258 -4993 +-12234 -3291 +-13934 -305 +-12122 -5456 +-3196 -9086 +941 322 +1764 3864 +9950 -1426 +401 3648 +1451 4215 +9155 -1260 +6515 -1225 +12078 -1845 +5412 3344 +1105 5540 +2496 -3060 +66 -8044 +76 -3742 +391 711 +388 -1036 +-472 3869 +4487 2096 +2701 -3302 +-1143 1264 +363 529 +-2951 2922 +-5685 5702 +64 3318 +5771 -2459 +6242 1733 +6766 10676 +3614 5377 +4779 6022 +4381 -1163 +2900 -2578 +5727 8429 +3554 -857 +-505 -252 +-9674 3840 +-12732 -5752 +-9119 -9739 +-9422 -5295 +-1799 4836 +10155 4739 +6174 -7527 +1035 -10701 +2890 42 +-7483 4608 +-9272 -2670 +-128 -7553 +1950 -3416 +-4206 2444 +-4137 998 +4100 -265 +6487 -1342 +8762 1975 +2778 5775 +4229 -1099 +8198 1433 +2220 -221 +-2890 1903 +-1383 11954 +-1765 6478 +-9963 -1412 +-8495 -922 +490 83 +3497 -619 +3110 -188 +-4940 -3484 +-10755 1534 +-3266 5142 +3948 -979 +3197 -424 +-598 511 +-8379 3328 +-9477 3498 +-2838 -6265 +-3667 -10927 +-2768 -655 +3060 379 +7640 -4227 +4554 -377 +1330 -6099 +9335 -4919 +11713 -1969 +7714 -3922 +948 -2919 +-96 -8122 +-4202 -5413 +-16564 306 +-6393 1084 +4059 4826 +-889 -683 +-724 -6885 +5548 -599 +-502 1498 +-12145 5309 +-5974 3528 +-2301 -8591 +2815 -6183 +5075 317 +-2217 1218 +2776 -3829 +6931 932 +4612 7523 +-6546 3247 +-10807 6869 +-265 -63 +4273 -4616 +4911 4347 +-177 1181 +-2822 -5343 +-3864 5901 +-7569 15461 +2887 6315 +7771 3314 +2902 228 +7498 -5409 +8322 -8499 +8489 -5036 +5890 2418 +2429 -3717 +7118 -4533 +1567 -1666 +-879 3326 +7104 9493 +3084 5771 +-8463 5655 +-7097 5057 +374 -297 +2201 -2838 +2276 -2188 +-6043 862 +-7311 7998 +2322 1979 +5121 -11749 +-4779 -73 +-5560 9835 +-2694 -3497 +1815 -7472 +10319 -1985 +8974 2140 +6308 3028 +3515 -2256 +6107 -1571 +9413 -5096 +9134 -4356 +6712 6674 +-1574 5907 +-2490 3134 +-4278 3586 +-8973 -3311 +-525 -10464 +561 -10351 +-2225 -5411 +-8 -3694 +-6002 -4215 +-6221 -6589 +-2113 -9594 +5629 -4302 +4566 1983 +-5715 9027 +2404 3539 +7842 -10214 +-4009 1141 +-10071 6970 +1718 -1147 +4306 -148 +1912 -772 +4103 2192 +1291 9114 +9226 8974 +6932 2395 +445 -3119 +-560 -5953 +-3878 -5996 +-4793 229 +-8332 4331 +928 3622 +6370 6458 +378 7492 +-1385 4901 +-3648 6515 +-7853 4132 +-1671 2892 +7150 6542 +4586 1191 +5575 -5090 +2658 -5328 +-650 -2501 +-7545 1089 +-12318 5839 +-4062 7833 +-4046 287 +-1850 -2138 +-3110 -834 +-787 -896 +7547 8774 +2830 2135 +-4386 -11792 +-3610 3141 +2302 10824 +-4377 -780 +-3661 -6601 +6570 -8211 +8322 -4292 +6916 -1884 +1257 -3920 +3681 -5120 +3992 -9909 +4208 -9189 +9638 456 +2723 5292 +633 2807 +2429 -4746 +-2522 -13074 +3293 -9981 +4113 13 +-471 9309 +-1576 826 +-319 -9585 +2166 -698 +-2519 5597 +-1248 9474 +1381 13758 +-292 7397 +-6789 12068 +-7126 12376 +4244 -6031 +-2850 -9311 +-6305 -468 +14312 3570 +13887 3791 +4223 5365 +8037 10749 +7135 5875 +4511 1036 +-2388 439 +-5691 -7016 +2216 -311 +6105 7161 +-3587 1603 +-9786 -5893 +-7052 -11232 +-7950 117 +-1726 7769 +-1523 5402 +-195 1365 +3321 -5350 +-2493 -4874 +2684 -6083 +3630 -4318 +-734 -2034 +-3988 -5860 +-4361 -4584 +-2394 896 +-747 429 +5959 383 +2088 3983 +-1818 -3532 +2118 -5035 +1685 2256 +-5082 1565 +-3314 -1920 +4222 2362 +54 5910 +45 -1292 +941 -3123 +-4308 -4662 +-5435 -4465 +-2035 -160 +-4466 2914 +-6710 1899 +-4013 -3255 +-4877 2178 +2100 381 +6485 -1837 +6866 -1195 +509 -8642 +-10605 -8871 +-3886 -9405 +3301 -2634 +5722 7216 +-624 1609 +-6462 -6950 +1291 -1916 +1710 5811 +5279 7850 +10277 9246 +4777 5617 +2786 13257 +2691 13631 +-748 -7194 +-7786 -5898 +-4587 564 +3420 -334 +-560 -1760 +-1315 -10410 +-1672 -7844 +-4612 407 +-7254 1526 +-5380 576 +200 3368 +37 7265 +4351 7378 +-792 8056 +-436 5802 +2308 -6578 +-6342 -7499 +-5706 -1008 +-8800 2626 +-1963 5900 +4616 -5381 +4311 -8029 +8105 -3196 +3949 -5912 +1141 -2843 +-4316 -3389 +-5523 -5196 +-3586 -1880 +-6122 5906 +-6795 7887 +-1098 581 +2759 2886 +-2877 7917 +-9532 5146 +-14787 1505 +32 -1827 +13126 -7538 +2061 -8386 +-1770 -1078 +6204 2060 +1668 3269 +-8667 785 +1172 -3179 +5724 1820 +2125 -2431 +4493 -5706 +-1182 1836 +3116 -160 +13094 -1908 +13097 -744 +11976 -7 +2769 -25 +-1834 -1386 +5205 4816 +2840 2280 +4444 -2942 +1370 2797 +-8465 264 +1572 -4010 +6505 -2289 +-1226 1988 +2923 8035 +12854 5102 +-813 1027 +-16061 371 +-9131 -4159 +-2295 -7382 +2271 -3224 +-1544 -539 +-7763 -6931 +-6358 -4751 +-3326 3305 +-4680 5864 +-3894 3920 +2304 2352 +4795 5324 +8275 2593 +4772 6436 +3499 4519 +-2536 -6360 +-9840 -1381 +-4399 1452 +-4214 -5727 +-7069 -9799 +-6880 2888 +-1253 2871 +4509 -5186 +1129 -5324 +-1790 -6570 +5843 -8464 +5101 -3778 +6348 -2092 +1895 1396 +-176 3330 +7622 -456 +4640 754 +-732 3629 +-3811 2249 +-3225 -1643 +1256 -4901 +3241 -6684 +-2934 -1308 +-708 -1987 +5407 -5458 +5618 -2705 +9770 -1501 +5434 4025 +4422 1107 +5726 -2499 +-3302 842 +-5083 5646 +7111 9740 +2872 3417 +-9432 -1782 +6142 -4492 +6361 -1735 +-8024 1003 +-7568 -335 +-3273 3500 +3815 5066 +903 3592 +-56 -2287 +-2700 -7132 +-12005 -6797 +-7751 -4311 +-3817 -5315 +235 -7606 +1987 1021 +-9920 11133 +-12062 16959 +-6071 11900 +-650 -2610 +7679 -2894 +6717 3102 +6311 6029 +10153 8574 +7089 66 +1117 -1609 +-4498 707 +-5902 -1845 +-1932 4667 +2801 7077 +1363 6218 +-468 -6427 +2367 -7610 +3684 8492 +-4894 -1031 +-11911 -3881 +-3371 6914 +922 2962 +101 -6716 +-2560 -4587 +-5693 -3717 +-984 -10126 +1483 -6119 +3554 -5636 +2425 -232 +2060 2506 +5654 -5304 +4112 -2481 +1779 3154 +-1381 3573 +-2104 -1301 +-837 -2704 +2823 -7988 +11007 -7007 +5583 -3742 +-4812 -7725 +-4035 937 +274 4595 +-1851 6049 +1558 8696 +516 8322 +-2623 2826 +2969 -9217 +3040 -5909 +4589 2614 +-303 1792 +-5775 704 +-1403 -6243 +-614 -8996 +1356 4276 +-2260 9031 +-3894 7789 +697 6231 +-698 3427 +3481 1000 +5891 -7258 +-5287 -5409 +-9496 -8172 +-261 -8474 +2400 -2120 +6409 -3393 +9911 2700 +2917 1121 +6065 756 +3711 6006 +-4246 2077 +-1113 -2209 +6942 1315 +16593 4908 +7050 -584 +-3392 916 +6560 7357 +9659 3186 +-725 -7181 +-2447 -9498 +1461 -5276 +-3708 -87 +293 2418 +3322 -2506 +-4085 -4805 +-2661 -8948 +-2519 -5573 +-6789 8452 +-2405 9745 +3010 -680 +-6446 3434 +-12086 13810 +-4439 4363 +901 -3064 +4259 307 +-790 7160 +-5795 4251 +-2449 1891 +-7343 7271 +-7660 -131 +-2444 -2090 +-2921 -7666 +3993 -12335 +1926 -6441 +-8832 -2699 +-2599 3244 +3248 3203 +2475 5715 +6826 5488 +5777 5833 +-262 3723 +-3617 -8800 +-1448 -6337 +5233 -1080 +1014 355 +-1958 -2212 +3837 -7474 +6987 -4312 +6129 2072 +-1518 2834 +562 1893 +2730 -2467 +-3875 -9832 +-2671 -1308 +1922 3484 +1779 6282 +-4522 4246 +-7264 -4559 +-6252 1170 +-5263 3211 +-1548 -2193 +3063 -5648 +1306 -6465 +-4200 -6846 +4433 -3734 +6765 2483 +1969 1826 +-1844 -2797 +-9702 -3997 +-2446 2757 +2790 1375 +2462 -1165 +1074 6743 +-5414 6833 +-8697 2831 +-6674 -3072 +2407 -5370 +2443 -4802 +-2306 -11103 +841 -2898 +1924 1859 +-3435 -9544 +-1940 -869 +981 6714 +-2673 -155 +-5549 1712 +-5955 6404 +6326 7397 +11958 7127 +8714 1098 +6558 -11756 +7893 -5927 +14267 7245 +152 10024 +-5569 12233 +7665 5853 +13537 3356 +9219 -311 +329 -8973 +-2207 -5298 +-1335 -1487 +5196 -2889 +-1768 -668 +-10172 3582 +2410 866 +-1285 2434 +-10824 5747 +-2416 4594 +-2382 4343 +-6839 -3828 +-2417 -8943 +2629 -7617 +6088 -2583 +769 3035 +1672 992 +464 -3619 +-9731 -4638 +-3054 812 +3805 1277 +5538 3440 +-708 6036 +-8744 1244 +-4186 4912 +-2044 5759 +-2195 295 +3132 1006 +1865 392 +-10676 -5829 +-1597 253 +5747 5909 +7708 1776 +10976 1655 +-2231 -2610 +-7694 1000 +-2919 11835 +-1816 11094 +-1519 4856 +1491 3069 +6206 6685 +9978 -1240 +12549 -1488 +9446 -151 +4543 -7942 +480 -3675 +-2473 -4632 +-3371 -10713 +-4469 -10162 +-6884 781 +-1150 3530 +11323 -4235 +7602 -3514 +3119 -5743 +-1646 518 +-9452 8339 +1972 -1473 +3268 -4737 +1435 3277 +6655 1779 +-351 -3162 +-36 -901 +4085 6475 +3066 9699 +-3113 6524 +-10463 4603 +-5367 -334 +4926 1312 +-814 2763 +-10623 315 +-4751 878 +-5191 -486 +-7632 -500 +2051 -7544 +11125 -5039 +6375 4456 +-5458 6325 +-3749 4662 +-3339 2982 +-6903 7366 +-2236 -1049 +1984 -4900 +-3583 4902 +-10644 4971 +-6715 -2622 +-4374 -8855 +-1997 -6594 +1372 982 +2014 2286 +3084 -6224 +-900 -3315 +4559 1483 +2243 -411 +-12319 2653 +-1171 1280 +8239 544 +6957 -3727 +9445 -5984 +-3495 -391 +-5234 5828 +5649 10502 +5978 9239 +2100 4529 +3178 1274 +9204 877 +6916 -7404 +8075 -9588 +2776 -5621 +-8871 -3159 +-1541 -4590 +5062 -1896 +-1181 5359 +-2946 3528 +3041 15805 +7160 12119 +10311 -7935 +6980 -7630 +4611 1793 +2789 6366 +-4521 -1463 +3039 -2021 +8336 1136 +2079 -3844 +-4188 -6840 +334 -3029 +3166 3837 +-7071 -286 +-3076 -4694 +4589 -9231 +1821 -11763 +-14126 149 +-16005 5762 +-872 3683 +-3420 2499 +57 -4775 +5998 -440 +6879 7395 +8086 1294 +2939 5032 +-6115 6590 +-13829 1259 +-5920 1693 +-2664 -2380 +-6635 -68 +-2820 -308 +-3634 -5437 +-6412 -2700 +42 -939 +1812 1881 +-5218 2669 +-2885 -2710 +905 -5406 +4028 -1984 +8585 -692 +679 -4203 +-7899 -4270 +-2346 -3249 +2708 -4674 +3751 -916 +3303 4670 +-2180 1051 +781 -1441 +7445 76 +5952 -3074 +2617 622 +5758 2989 +4447 -1016 +4541 4004 +11691 7026 +2067 7552 +-6337 3330 +-7819 -2415 +-9194 3377 +-4149 -2244 +2488 -4741 +2747 4583 +62 4444 +12930 9092 +13661 3627 +2406 -12545 +36 -10004 +4592 -1786 +6385 2709 +-4494 2017 +874 -3473 +7128 -4752 +-104 -4421 +-3040 -4361 +72 -5447 +3081 1944 +-1235 4335 +-2529 -6489 +3395 -3001 +6408 5078 +794 4520 +10 -962 +-1173 -5036 +2205 -2229 +6796 -2058 +442 1210 +3225 3817 +-2361 -4057 +-4051 -12312 +571 -4241 +-887 5959 +134 1383 +-6553 1414 +-3225 -493 +-692 -5015 +-691 -122 +1827 4755 +-2777 7116 +-4161 -809 +-1039 -6888 +3416 2873 +-1409 3562 +-4214 -4554 +-5152 -477 +-4499 6517 +-2071 7486 +-5946 1802 +2084 1000 +4327 4777 +-6113 3942 +-4524 12040 +5016 9983 +8504 -1456 +8748 -3639 +2857 -5285 +-7588 248 +-2688 4879 +-4544 4325 +-18851 1371 +-12531 -3834 +-1781 -4043 +-683 -13289 +4690 -18275 +5473 -3291 +4497 -602 +1643 -7082 +-6373 2094 +-5243 8734 +-1747 7553 +8987 6075 +13315 -1893 +4650 -5008 +2935 5133 +889 2524 +3579 -6056 +8075 -414 +6796 -2569 +663 -5737 +-3164 -4641 +3687 -288 +1906 4007 +-6094 -3302 +691 -4416 +8291 -275 +3568 2940 +-577 -734 +-4161 -2960 +214 -3004 +3965 -5881 +1081 699 +4994 810 +-4496 -1454 +-11066 -7044 +-6 -9412 +7750 -4126 +563 -4090 +-3845 724 +5893 3748 +5465 -713 +-3582 328 +-10726 -4614 +-10092 -4990 +-2082 -8516 +-3633 -9871 +-9348 -2875 +533 1485 +3780 13008 +-3321 13078 +-475 -1251 +6972 -12453 +10992 -7542 +353 187 +-9945 -3238 +-9661 4526 +-7646 5116 +-10383 -3802 +-10659 -3599 +-1079 -2051 +4159 3755 +6323 1277 +-2028 -3097 +-3046 3943 +3096 8615 +565 8448 +6154 4948 +-2489 -8 +-8182 -4949 +4074 -1618 +2637 1649 +-2586 -2840 +3093 -159 +5040 -4426 +1090 -10370 +1092 -2254 +230 355 +-1307 -5694 +-638 -6268 +9397 -2815 +9794 -4798 +359 -5401 +1479 340 +1092 5609 +9193 4849 +9428 2770 +-1931 6012 +-1947 5367 +491 -296 +1248 2323 +845 10162 +4539 4280 +3140 -890 +-2585 2627 +2268 -1397 +3736 1225 +-8128 5067 +-2921 -787 +7322 -6004 +585 -8842 +2255 -8213 +-2306 -3321 +-2392 3077 +6924 -1355 +3627 -4255 +-2468 2544 +-11222 3755 +-10986 3045 +-7250 -4848 +-9335 -4861 +-9146 3922 +1385 690 +11446 7096 +5899 11809 +-1540 666 +-7168 -1894 +-4830 3624 +2821 -6067 +-1990 -8781 +-5764 -3516 +-9313 428 +-19918 1782 +-12234 -6723 +6926 -4477 +10719 5037 +8259 373 +10279 -2058 +5807 649 +-4118 722 +2911 4152 +7924 -4285 +-2327 -5443 +-2929 3101 +3233 -5490 +6972 -8875 +4022 -7396 +1141 -11025 +6589 -2051 +-37 15634 +-11028 17541 +-4548 7389 +1636 5936 +6016 5926 +6239 749 +-6680 1972 +-5143 6712 +3934 3865 +2221 1609 +-3921 -1229 +-5307 -2010 +161 793 +3654 1544 +3575 934 +3661 1951 +6404 6588 +2001 -1194 +1876 -1749 +5612 -824 +2430 -7471 +70 4083 +-2725 6406 +3133 1002 +2205 -2491 +-2675 -2930 +-5388 3673 +-3936 234 +7277 -4133 +399 -5586 +16 -2328 +1092 -1927 +-7911 -5306 +-2673 -3165 +-1108 -3076 +-2249 -2917 +-491 460 +2775 4833 +1705 3128 +-2874 -2139 +-4783 -2451 +-5606 6053 +-1010 6569 +-2116 -6467 +-7429 -4878 +-6687 1357 +-6683 6504 +-13923 14581 +-14014 3288 +2119 -7931 +11539 -3637 +6526 -5300 +6358 -8349 +4857 -3379 +-2597 3286 +4962 906 +4191 -8426 +-1872 -4476 +-150 307 +33 25 +-19 -18 +0 8 +-7 3 +-9 -1 +-4 -2 +2 0 +2 -1 +-4 -1 +-11 -2 +-8 -1 +-7 0 +-5 5 +1 3 +5 1 +0 1 +1 -3 +5 -2 +8 1 +6 -9 +0 -3 +-1 4 +2 -5 +1 -3 +-6 -1 +-2 -6 +-1 0 +-6 1 +-1 3 +-1 -2 +-2 -1 +0 2 +1 0 +-2 0 +-1 -4 +3 -2 +4 0 +-2 1 +2 -9 +10 -6 +26 -4 +55 -5 +57 2 +59 15 +43 21 +83 31 +1454 615 +6680 2617 +7906 769 +-1333 -4911 +-5690 -1681 +1590 -481 +1281 -6740 +-4684 693 +-4535 8972 +-3551 8822 +-5112 6219 +-2714 -4497 +3012 -5690 +-1140 1234 +-6029 -3214 +3481 -3973 +9975 1145 +9255 1736 +7769 -1063 +-2432 -4559 +-6138 -476 +1472 -870 +-114 -6981 +-4526 1620 +-2663 9815 +-1739 9489 +-3887 7208 +-3663 -3959 +1846 -6275 +-886 1428 +-6649 -1993 +2699 -4550 +10164 -782 +9567 -77 +7599 -2529 +-3226 -4009 +-6144 733 +1340 -1126 +-1511 -6808 +-4222 2499 +-701 10243 +185 9720 +-2400 7852 +-4409 -3128 +616 -6486 +-575 1595 +-7012 -655 +1837 -5048 +10003 -2787 +9582 -1974 +7100 -4008 +-4107 -3249 +-6025 2023 +1066 -1407 +-3006 -6411 +-3703 3347 +1537 10165 +2278 9436 +-689 8210 +-5009 -2114 +-785 -6493 +-293 1670 +-7095 750 +807 -5275 +9382 -4673 +9128 -3761 +6228 -5292 +-4728 -2506 +-5637 3012 +874 -1564 +-3973 -5826 +-3151 3918 +3052 9841 +3636 9025 +488 8223 +-5348 -1398 +-1748 -6308 +18 1750 +-6935 1888 +-92 -5308 +8618 -6076 +8548 -5160 +5433 -6186 +-5059 -1694 +-5110 3921 +604 -1640 +-4942 -5035 +-2445 4410 +4743 9113 +5264 8160 +2092 7939 +-5530 -388 +-2925 -5868 +333 1705 +-6578 3153 +-1158 -5248 +7379 -7657 +7384 -6703 +4071 -7101 +-5287 -618 +-4295 4805 +203 -1759 +-5861 -3949 +-1567 4742 +6412 7978 +6752 7024 +3475 7411 +-5569 605 +-3945 -5206 +600 1567 +-5909 4116 +-1934 -4918 +6091 -8583 +6279 -7673 +3023 -7609 +-5348 90 +-3567 5354 +14 -1726 +-6373 -2978 +-819 4867 +7667 6853 +7830 5835 +4667 6690 +-5389 1485 +-4742 -4514 +874 1440 +-5185 5051 +-2730 -4524 +4537 -9413 +4940 -8562 +1765 -7935 +-5272 1025 +-2624 5830 +-275 -1700 +-6876 -1891 +-103 4982 +8688 5528 +8650 4481 +5683 5868 +-5086 2345 +-5492 -3633 +1046 1294 +-4352 5755 +-3417 -4042 +3059 -10017 +3570 -9225 +450 -8088 +-5148 1878 +-1728 6155 +-556 -1673 +-7144 -803 +720 4877 +9524 4018 +9288 3009 +6549 4849 +-4667 3075 +-5961 -2770 +1316 1117 +-3401 6328 +-4049 -3409 +1101 -9675 +2803 -5991 +4523 709 +239 10025 +3234 9144 +7825 5398 +-1124 4581 +-5361 600 +-2989 -6421 +-6409 -2446 +-6441 7237 +-5594 2413 +-3344 -3944 +3738 -6617 +-2583 -6326 +-8094 -1868 +1649 -3374 +4802 2316 +5468 7414 +6381 -223 +1473 -5709 +4268 -4640 +7379 4403 +5666 4696 +3988 -3057 +-3386 -4233 +-1958 -6512 +8715 -3336 +7049 -4471 +-2967 -7600 +-9789 -972 +-5144 -4146 +4089 -6515 +-426 -3059 +-5931 866 +-5726 7582 +-6929 1313 +-2852 -6228 +-3224 -3064 +-6566 4585 +-3800 7421 +-4044 -1127 +2736 -3717 +7974 -4379 +-2264 -7389 +-2089 -4891 +5689 -2146 +6080 4932 +7065 3296 +4027 -6783 +2507 -3952 +4753 2924 +-3312 5921 +-6892 8194 +934 10286 +-1034 6240 +-3620 -2232 +6184 -911 +9910 -1830 +6574 -8114 +6614 -85 +1156 8386 +-8973 2073 +-10004 2013 +-5602 7709 +-2045 -426 +3879 -2869 +6806 7263 +8518 4675 +9612 -1145 +2197 4081 +-3687 3908 +-6529 -2804 +-6601 2374 +-166 9475 +-2636 5436 +-5187 -707 +-1547 -7398 +-6199 -2950 +-7465 4002 +-1029 -3541 +5206 -1484 +9217 1764 +4769 -4416 +-2726 -5108 +68 -6211 +8546 -1704 +7514 -349 +1065 -4859 +-5454 -805 +-6006 -3400 +4300 -8238 +2325 -7946 +-7416 -3432 +-8125 5932 +-6784 565 +-1412 -7382 +-2425 -1892 +-3922 4692 +901 9362 +-4260 5733 +-6415 -2425 +-4503 51 +-1882 7796 +2175 7909 +-3844 2002 +-552 -4519 +3107 -8605 +-6767 -3788 +-4946 -2136 +2865 -5426 +7980 -586 +7730 -2381 +-1432 -7598 +-751 -4534 +5624 -1096 +1486 6520 +181 10629 +7617 6898 +3394 5274 +-4335 858 +4095 -4708 +6417 -7894 +-350 -10259 +5071 -4374 +6570 5340 +-5426 7408 +-6375 8072 +900 9210 +-1811 936 +933 -4750 +9994 579 +9582 -2447 +6470 -7341 +4423 1442 +-124 5334 +-6869 2454 +-3455 6219 +6272 6996 +1636 5722 +-4421 2987 +-6057 -4276 +-6708 2014 +-3081 7821 +-3162 -1941 +2937 -4517 +8250 -4816 +775 -6432 +-5467 -2036 +-4029 -4687 +5459 -6872 +5617 -5236 +-2392 -4311 +-4689 2926 +-6834 1339 +-2110 -8885 +-3425 -7370 +-8190 2410 +-2519 9770 +-4943 4824 +-5916 -4253 +-1326 -5386 +2912 -11576 +4411 -9604 +-295 -6670 +-1993 -2347 +2791 3452 +369 1854 +-1480 2816 +4242 7303 +1756 10975 +-4115 911 +-11573 -9111 +-13510 6017 +-5370 9582 +-2260 -7574 +1656 -10996 +1677 -4909 +-2093 -931 +1915 -2567 +755 -1355 +-1220 6167 +3957 7138 +6899 9702 +2241 7206 +-156 -5492 +5608 -4981 +2723 418 +-1899 4407 +-149 9943 +859 1391 +4639 -451 +3528 11125 +1380 7089 +1881 2447 +2751 4369 +7462 -4996 +3642 -10261 +786 -3138 +2600 3283 +-5050 5546 +-8879 3398 +-5728 -3501 +-2983 -6314 +-3535 -362 +-4363 3314 +1212 4557 +2662 7154 +-4109 6057 +-8617 3460 +-4285 -2179 +1612 -3093 +6188 3325 +11217 334 +3254 -5222 +-3480 -4114 +-1577 -3534 +-7461 -7507 +-3057 -7772 +4125 -986 +-4788 -3283 +-7557 -4346 +-1433 31 +4284 -3380 +7325 950 +54 791 +-5820 -10424 +-2855 -10016 +-4539 -4683 +-3081 -415 +4385 702 +1501 1104 +679 3056 +8010 2613 +8548 6896 +-2469 3409 +-14871 1017 +-6465 13269 +2166 10395 +-6734 -4093 +-6056 -7915 +1522 -2589 +9727 -2737 +12605 -4063 +9852 -5148 +5817 -3921 +584 -1195 +-1344 -1277 +2253 -3355 +9889 -13490 +2735 -8572 +-12835 9411 +-6545 6439 +3155 2032 +597 4410 +2462 3626 +5294 2109 +1604 -6632 +-2867 -5969 +-2749 2058 +-5648 642 +-11330 3811 +-7702 8099 +-5665 8641 +-8363 6552 +-2987 -2892 +-63 -6796 +-2138 -5215 +5196 -3240 +9544 1422 +970 3863 +-4934 6281 +-3918 3760 +123 -4163 +2136 -1785 +1293 6544 +1565 8019 +-5126 5428 +-6524 3402 +283 2456 +-2649 2059 +-581 -244 +1074 -2355 +-4848 -828 +-1638 -2431 +-5441 -2563 +-6560 3039 +5940 -2403 +4781 -8017 +828 252 +2216 1889 +-2374 374 +368 4660 +6227 3715 +299 925 +-462 -4108 +8764 -4688 +3319 4408 +-7335 6236 +-3410 5674 +31 3342 +1648 -8052 +5810 -11801 +3396 -5313 +130 3231 +1743 2276 +5411 -8506 +6866 -11440 +4101 -10265 +1898 -6707 +-267 -1262 +-1838 -12 +-479 -4000 +-1361 -16437 +-3583 -7911 +-3745 15430 +-862 9012 +3835 -645 +3418 2839 +4345 1099 +6748 97 +5403 3174 +5437 4261 +1813 1823 +-1015 -3475 +-1039 -2662 +-4829 337 +-5253 -7115 +-329 -9138 +-2689 2522 +-506 3368 +5393 -6132 +-959 -1622 +-3725 404 +-5881 -1028 +-13241 6357 +-8425 3207 +-3290 -2801 +-4228 -3959 +-2638 -2617 +-4993 1004 +-3656 -714 +5178 3635 +7131 4678 +1379 -7591 +-2803 -17148 +-3215 -12773 +-1379 929 +618 1411 +431 1139 +4791 1746 +7396 -4903 +2587 6049 +8065 7876 +9752 -300 +-4000 6646 +-5253 609 +3531 -5090 +2253 1266 +-5454 3284 +-3531 6152 +7879 5268 +4435 5202 +-3832 6759 +-764 419 +-1074 -5357 +2195 -4416 +7095 -3209 +3595 -3704 +2558 156 +2903 2064 +6538 3266 +9821 2602 +3823 -4550 +-4108 3869 +-9149 12878 +-4889 4247 +-1787 2430 +-4949 3661 +1789 2258 +319 1737 +-5474 -5018 +2392 -12547 +4571 -11435 +4691 -1789 +8578 1891 +7370 -619 +2468 27 +-3116 -1810 +-2555 -1168 +-3380 3525 +-8703 -1567 +-6380 -6368 +-438 3614 +1854 2710 +60 -8151 +-1805 -552 +-2604 2820 +-5194 3180 +-5746 13358 +-2614 6885 +1501 -5717 +-3126 -5264 +-7457 1316 +-8008 4664 +-5133 4035 +-1687 4049 +-5406 5514 +-3706 -692 +-1122 -3439 +-4099 1754 +456 3842 +-626 3792 +-4039 -1257 +-346 1766 +-2682 12059 +-3775 6617 +-3402 524 +403 1920 +6404 -1754 +1680 -1873 +3617 5483 +9012 1032 +6044 -13196 +7234 -9029 +8847 -2147 +4753 -3010 +-8279 4858 +-15002 969 +-1521 -4238 +9463 -41 +9182 -5539 +5940 -3498 +1561 -589 +-4442 -396 +-7792 7042 +-4982 1693 +-5201 -4603 +-2062 -507 +7009 4659 +6152 6312 +-2341 -1512 +-4286 -3328 +4177 407 +2691 423 +-9354 2233 +-6583 -4338 +3224 -8877 +5722 1225 +1606 2930 +-405 -7364 +1793 -10777 +-2550 -9855 +-3776 -8134 +-2501 760 +-1869 5291 +3920 2423 +516 3610 +679 3387 +9515 849 +2681 2783 +-7159 5705 +-4816 5268 +3729 -199 +8951 -9107 +1821 -10777 +-5988 -1751 +-5070 5858 +-3233 8618 +-1397 6279 +1290 4125 +-687 7626 +-3395 1910 +-3161 -1789 +-2072 3964 +2811 2505 +1956 3194 +-4025 1704 +866 1524 +5910 10617 +2156 7045 +-222 190 +34 -181 +55 7 +8 -8 +-2 -5 +-4 -3 +6 -4 +1 -5 +1 -1 +4 8 +-2 8 +-1 0 +0 -3 +6 0 +6 -2 +3 -5 +5 -3 +1 6 +4 2 +5 1 +3 -1 +2 -4 +5 -1 +2 6 +0 9 +3 3 +-3 -1 +-7 8 +-2 9 +-1 0 +-2 -1 +-4 -4 +-4 -6 +3 2 +1 1 +0 2 +3 -2 +3 0 +2 0 +8 -6 +6 -7 +7 -3 +1 -1 +4 0 +1 -7 +-1 0 +-3 -3 +2 -6 +4 -3 +-1 4 +-8 6 +-8 5 +0 3 +-3 4 +-4 -3 +-5 -4 +0 0 +-1 2 +1 3 +7 -1 +3 2 +-2 -7 +0 -11 +-2 -9 +-7 -5 +-6 -1 +-3 1 +2 6 +0 -3 +-3 -7 +-4 1 +2 -5 +-1 -8 +-2 -3 +-4 1 +-3 -2 +6 -2 +1 1 +-1 -6 +0 -5 +-7 3 +-3 -3 +2 -5 +-5 -7 +-4 -3 +-4 0 +-5 -1 +-2 -1 +-6 0 +-6 1 +5 7 +4 3 +1 4 +-3 7 +0 0 +3 -5 +3 -3 +-2 -5 +-2 -6 +-1 -2 +2 1 +2 -4 +1 -3 +3 3 +-2 5 +-2 7 +-2 5 +6 3 +8 3 +8 0 +3 6 +3 6 +10 5 +9 -1 +3 6 +1 2 +-1 2 +1 5 +-2 8 +-6 5 +1 6 +3 6 +2 7 +4 6 +1 -3 +-1 -3 +-3 -3 +-6 4 +-2 -7 +6 -4 +8 -1 +-1 -2 +-1 5 +1 3 +-2 2 +-9 16 +-20 33 +-33 45 +-17 48 +-18 34 +-263 -130 +-3321 -2102 +-8351 -4304 +-5131 1363 +4613 5857 +3004 -18 +-3837 3460 +942 5904 +6587 -5041 +5467 -8955 +5828 -8107 +5486 -1148 +-1542 7705 +-3100 1519 +5075 706 +2204 5894 +-8392 -85 +-9551 -3967 +-9333 -2774 +-4733 2473 +5822 5034 +3101 -461 +-3129 4224 +2092 5713 +5578 -6266 +3734 -9947 +4292 -9115 +5322 -2119 +5 7984 +-2782 2082 +5243 -397 +3407 5317 +-8465 1610 +-10446 -1960 +-9993 -809 +-4365 3413 +6761 3747 +2962 -1153 +-2345 4750 +3194 5175 +4267 -7360 +1666 -10648 +2427 -9926 +4850 -3189 +1563 7822 +-2408 2583 +5126 -1402 +4466 4611 +-8133 3244 +-10775 55 +-10125 1018 +-3678 4112 +7502 2331 +2778 -1695 +-1361 5163 +4302 4511 +2947 -8048 +-340 -10775 +569 -10228 +4240 -4068 +3109 7432 +-1829 3009 +4870 -2303 +5336 3725 +-7395 4735 +-10678 2109 +-9807 2934 +-2752 4812 +7938 955 +2432 -2156 +-522 5346 +4965 3655 +1496 -8458 +-2156 -10532 +-1137 -10114 +3549 -4673 +4333 6846 +-1370 3254 +4377 -3165 +5921 2711 +-6568 5898 +-10322 3909 +-9319 4613 +-1989 5151 +8002 -506 +1956 -2592 +457 5296 +5627 2671 +-4 -8582 +-4036 -9960 +-3067 -9767 +2577 -5255 +5515 5926 +-743 3465 +3804 -3835 +6403 1675 +-5441 6983 +-9496 5599 +-8407 6081 +-1093 5423 +7988 -1749 +1668 -2817 +1369 5175 +6070 1787 +-1381 -8460 +-5633 -9235 +-4570 -9140 +1807 -5563 +6504 4917 +-133 3492 +3121 -4429 +6575 570 +-4272 7811 +-8451 7165 +-7233 7472 +-169 5586 +7540 -3094 +1086 -3074 +2197 4799 +6302 648 +-2880 -7990 +-7239 -7996 +-6162 -8133 +722 -5767 +7210 3659 +406 3447 +2331 -4828 +6601 -492 +-2962 8320 +-7289 8297 +-6128 8398 +625 5467 +6978 -4161 +630 -3168 +2909 4453 +6434 -216 +-3857 -7497 +-8232 -6888 +-7177 -7221 +-19 -5781 +7675 2779 +883 3356 +1774 -5080 +6567 -1300 +-1903 8651 +-6213 9234 +-4964 9157 +1500 5266 +6471 -5119 +183 -3204 +3531 3991 +6302 -1205 +-5123 -6786 +-9300 -5514 +-8273 -6044 +-960 -5792 +8114 1416 +1441 3146 +818 -5273 +6211 -2417 +-324 8595 +-3786 8384 +-4962 3018 +-1795 -6306 +2444 -11574 +-5359 -8848 +-3966 -6307 +5656 -2746 +3467 3770 +2876 7857 +8103 -1592 +7254 -6027 +5518 2443 +-1284 5293 +-4448 7192 +6625 5552 +4154 3101 +-5106 1467 +-3266 -7580 +-5956 -6443 +-5005 2770 +-2882 6231 +-7012 -378 +-5312 -8490 +-5384 -1966 +-1951 3891 +3958 6494 +-4500 5474 +-10666 381 +-4290 7005 +6110 6429 +9583 2864 +-1190 6846 +-4818 4260 +3748 2859 +6966 -3540 +8151 -5657 +5199 4681 +628 6410 +5768 990 +7311 -6772 +4713 -3757 +1849 4267 +-7975 1832 +-5659 5672 +3273 7985 +-2991 3590 +-6409 -2126 +-5239 -8155 +-7022 433 +-3927 7067 +-4311 -513 +-1414 -5341 +8722 -5882 +5668 -9159 +336 -10732 +4288 -1261 +-643 2891 +-9699 -2406 +-9709 3656 +-8050 5232 +-4289 -7062 +5410 -6000 +11154 2425 +9448 -3935 +5547 -4849 +-1401 3977 +-5655 -3302 +-5614 -10321 +-9921 -3111 +-7253 -2169 +2642 -5713 +5196 568 +7353 3975 +5340 -6388 +1769 -9109 +5897 -1730 +2399 4839 +1120 8327 +8844 -84 +5353 -346 +-2986 4411 +-7517 -3507 +-8907 -896 +-2088 5310 +1924 6488 +-5571 4369 +-9708 -2701 +-5468 2096 +1074 4103 +7382 2173 +263 6989 +-7961 7292 +1270 7911 +9020 632 +9337 -4223 +3665 5810 +-885 6268 +4751 -432 +2961 -7188 +2437 -9563 +7063 -115 +4738 4211 +5036 -3103 +1088 -9691 +1130 -5838 +4346 1896 +-4884 6768 +-537 7865 +7861 3479 +145 4604 +-6296 2774 +-9537 -2451 +-5194 4924 +1610 7712 +-3695 2436 +-4615 -2985 +2967 -9990 +-1498 -10465 +-6680 -8164 +2602 -3705 +1466 2569 +-9159 4591 +-5185 9117 +-2820 9062 +-8048 -2485 +121 -7968 +10274 -5504 +4830 -9145 +1108 -7181 +1625 3922 +-6450 1377 +-11137 -3824 +-9753 4252 +-7078 3200 +-1890 -5915 +4293 -3046 +8339 -2018 +-160 -8243 +-4777 -7800 +3344 -5188 +5054 1859 +6437 5216 +6745 -5930 +3858 -3710 +639 5236 +-8097 2384 +-7526 5216 +1862 5280 +5729 3556 +-1455 6938 +-9383 4368 +-2954 5162 +3533 2324 +7176 -3184 +4746 5041 +-1559 10568 +6165 5031 +7526 -5412 +4528 -9167 +6705 1974 +2921 5484 +2289 934 +6945 1429 +11496 -4102 +6383 -3834 +-9250 8630 +-12499 10054 +28 1330 +140 -5698 +3627 -5906 +7744 1635 +607 4181 +6120 1504 +4898 -1774 +2225 -3829 +7501 2057 +-840 6966 +-855 3248 +-2332 -5322 +-12040 -12733 +-2831 -4970 +8849 7747 +9889 3801 +5225 -1028 +1541 805 +-1886 823 +-5607 1307 +-2746 302 +-418 4373 +5251 7659 +8318 -3129 +5120 -6094 +6905 1686 +196 -2840 +-2382 -11465 +6264 -8626 +1638 -389 +-5737 1919 +-4867 3391 +-2364 7743 +-2930 6376 +-6113 7316 +-6452 8182 +-8133 -3918 +-8694 -3360 +-5009 10021 +-6410 3135 +-10042 -5688 +-7332 -2721 +-4696 -5065 +-5426 -4138 +-5395 1461 +-3616 983 +5534 436 +9201 7249 +1482 9665 +2573 -2248 +6159 -3987 +7599 3099 +6638 -5248 +-1635 -8905 +-2740 -887 +-3459 1613 +-5135 1086 +-358 -623 +2548 -1678 +6382 -3438 +6092 -10571 +2355 -6879 +-1445 12500 +-3023 15567 +877 899 +-3686 -4308 +-1245 -6701 +7081 -3908 +3340 2630 +5781 -2976 +2663 -4549 +-767 -4276 +7245 -3850 +3018 46 +-2310 -5338 +-431 -3731 +824 -2030 +3204 -4891 +13147 -1162 +15087 3010 +3743 -2390 +-2307 -463 +-5636 -3471 +-1478 -8847 +1506 -3083 +-10247 -10764 +-7099 -11374 +-1307 2240 +-8258 -530 +-5984 -2698 +-4145 4729 +-1780 12303 +1200 15089 +-1279 7240 +680 -1869 +-390 -5587 +-3634 -3608 +-8158 222 +-8224 -1331 +814 -3158 +-651 2502 +-4026 4959 +1240 -3942 +7308 -9228 +7976 -11730 +1719 -9264 +3895 7747 +3888 11887 +-4635 493 +-29 -2733 +7037 -1871 +5930 -2076 +2894 -1879 +972 3443 +5186 7555 +5709 -29 +-2146 -2940 +-3650 1419 +-1991 2651 +-3403 4335 +5774 718 +12230 -6296 +2630 -5246 +311 222 +6037 5987 +902 7948 +-7657 4001 +2030 747 +12967 -2800 +756 -1266 +-3975 7511 +129 8637 +-3859 4084 +886 -1371 +1674 -4394 +-3328 4642 +-3115 6631 +-6844 -3526 +-7486 -5094 +-2472 -2101 +-740 -1987 +-859 -5675 +9092 -9748 +13415 -8087 +1208 -4196 +-2089 1286 +-6535 1268 +-7076 -5399 +-949 -3225 +-15217 -894 +-13290 -3443 +453 2465 +-6817 4919 +-7350 -592 +-342 -1145 +106 2323 +-7088 1059 +-4627 -1787 +3772 -2707 +6815 695 +4229 -83 +-2265 -1214 +6029 2248 +8990 -4035 +-6043 -10411 +-9605 -4541 +-9702 5701 +1226 7028 +13430 -1177 +52 2424 +9 7324 +8800 679 +3114 -487 +808 -341 +-5301 -3220 +-9052 -4969 +-42 -4290 +184 3685 +-7038 3133 +-486 -4695 +2434 762 +-7331 6553 +-2908 1401 +1963 -4532 +-1517 -6252 +3054 -8206 +1256 -11792 +-4948 -7481 +-1215 -2152 +6233 -4449 +3978 -2171 +-813 -3573 +3314 -11626 +-2498 -10252 +-4145 -4271 +6456 5211 +-1600 14916 +-13316 13084 +-13002 2894 +-7321 -7548 +-146 -4604 +2795 3857 +6773 2291 +5524 1341 +3037 -402 +7487 -368 +6378 4660 +4924 7706 +7171 11028 +7687 4742 +5581 -2262 +751 -337 +-1760 -1780 +-5584 -77 +-5595 2291 +4643 3987 +5061 7813 +-4909 3751 +-2401 -748 +1614 1684 +-4961 5261 +-4869 1546 +1237 -4421 +5828 -3756 +3287 -2717 +-2611 495 +6113 -2154 +4571 -8679 +-11398 -4003 +-10558 2654 +-4057 10491 +5458 4440 +10105 -9603 +2928 1107 +-1531 7628 +-8302 -2334 +-8586 -6398 +-8477 -4979 +-5401 1540 +4482 6421 +3087 -170 +-4538 3277 +527 6454 +7333 -4849 +6213 -9137 +6347 -7980 +5680 -609 +-2023 7966 +-3107 1083 +5520 847 +2006 6293 +-8889 -571 +-9665 -4720 +-9252 -3361 +-4986 2568 +5565 5609 +2984 -659 +-3964 3959 +1606 6119 +6470 -6082 +4671 -9997 +5019 -8839 +5563 -1487 +-734 8166 +-2893 1527 +5592 -79 +2943 5797 +-8904 754 +-10336 -3185 +-9730 -1920 +-4573 3170 +6363 4556 +2863 -1163 +-3284 4490 +2577 5711 +5469 -6991 +3028 -10501 +3482 -9499 +5227 -2373 +623 8126 +-2639 1995 +5579 -1004 +4038 5230 +-8645 2291 +-10754 -1334 +-9937 -171 +-4019 3955 +7146 3424 +2687 -1578 +-2464 4996 +3523 5268 +4217 -7750 +1216 -10859 +1861 -9889 +4819 -3129 +2027 7895 +-2240 2374 +5299 -1935 +4796 4440 +-8184 3754 +-10876 603 +-9868 1618 +-3303 4570 +7595 2010 +2306 -2042 +-1611 5309 +4419 4462 +2773 -8375 +-755 -10916 +76 -10089 +4174 -3992 +3403 7339 +-2266 2801 +-237 -1816 +1001 7144 +-1265 6136 +-3615 -4870 +5373 -5429 +2987 -8046 +-4541 -2988 +-6429 1171 +-2501 -7335 +5689 -7122 +-875 -6686 +-4053 1079 +-4141 5946 +-6392 -1980 +-4174 -8449 +-8098 -1586 +-2756 9762 +922 8173 +-6205 2443 +-5858 -2689 +-1072 4551 +6121 5250 +7579 3190 +-1792 7478 +-5787 2282 +1970 6188 +8707 2780 +4854 2069 +-3014 4130 +-5191 -6780 +-6399 -1043 +-5633 5893 +-3924 -388 +-1027 -6755 +4760 -8344 +-3234 -5246 +-8063 -569 +-706 -3456 +3926 -4185 +8519 5169 +9684 671 +9185 -4588 +2747 3383 +-2860 589 +-1114 -9276 +-6795 -6885 +-7550 -4388 +4662 -6594 +7204 1842 +1812 10485 +6840 6216 +6236 2164 +-3889 725 +366 -6239 +7081 -8828 +-1262 -9779 +-1167 -6990 +6244 37 +1240 4012 +67 8355 +7253 882 +9029 -2432 +3560 4291 +-3426 4179 +-6055 5272 +3308 6875 +3266 4943 +-6032 -1235 +535 -7489 +-2989 -7908 +-5558 689 +-4307 5007 +-6800 -3842 +-306 -8990 +-5132 -4354 +-2551 3408 +647 7080 +-6208 2673 +-8740 -3491 +-7474 3890 +4979 6482 +13651 967 +4931 -4793 +-9400 -4768 +-3494 6087 +3253 5154 +-4482 -263 +1381 3348 +5557 8530 +4501 74 +12329 -8905 +7830 -6588 +5717 1003 +5477 3452 +2726 -1385 +2885 -4511 +-513 -4743 +-2930 -1460 +-7250 -1400 +-3011 -5353 +-1673 -12522 +-3844 -11374 +-5267 1839 +-9405 2998 +724 1834 +1831 2703 +-6553 2973 +3479 6472 +9162 -1861 +-6262 -8581 +-5891 -10102 +5017 -7335 +422 2569 +349 3067 +5253 1251 +8642 -1375 +6502 1680 +-365 8194 +-3247 4615 +750 5508 +4967 4188 +4207 -1494 +259 -1940 +-2653 3017 +251 9862 +-9082 6442 +-9142 -1563 +639 -4813 +2013 -3959 +11652 183 +6025 8227 +-449 8035 +2680 -378 +-2350 -2123 +-4781 980 +-3316 6312 +1851 4984 +3230 5214 +-2085 7141 +-8268 -133 +-7436 95 +-2951 2490 +832 3072 +-1828 -711 +-2948 -10187 +8258 -10406 +859 -6485 +-10383 3145 +1622 6629 +6054 1258 +-3441 2892 +3431 1368 +10188 2117 +3400 -3082 +2925 -14769 +1234 -10044 +4889 -3297 +6466 -1379 +1113 -2829 +-882 -5290 +-2414 -4615 +346 3 +-4244 1178 +-1650 -1649 +1558 4011 +-72 -1375 +1268 -5219 +190 -403 +3090 -3417 +-5814 -6719 +-9726 -4893 +-1002 -3863 +997 -5564 +1630 -4868 +1409 -1703 +929 1052 +-377 1080 +-3481 130 +-5592 -1042 +-4602 -1936 +-1989 -5214 +-1106 -11914 +-4848 -3878 +-2991 2718 +-933 -831 +-5199 -900 +1495 358 +2420 2293 +-670 -4221 +2551 -2149 +-4249 -1663 +74 -6596 +15263 -219 +7708 1842 +-2126 7778 +1209 9105 +-4790 6543 +-5015 5175 +5064 5476 +10242 12250 +7940 3403 +-111 -6631 +-270 -9389 +-826 -6629 +-6146 1917 +123 -1030 +9487 -8196 +3376 -4046 +-5369 8773 +-3880 8783 +333 -4128 +-1939 -4985 +-8884 3309 +-8119 2041 +-2074 3134 +11009 6758 +13749 4271 +3787 -317 +3842 -8399 +6345 -4421 +9483 -1449 +5083 -1729 +4302 11127 +1743 11290 +-7757 -2597 +-922 -2133 +-2386 3818 +-2477 -119 +3821 1871 +-982 -1050 +-2482 -4704 +-113 -478 +109 -4499 +-8853 -1030 +-10725 2837 +-3406 -2146 +-2974 -4679 +-2014 -4578 +-87 -2156 +1464 246 +1141 2037 +-1031 -2797 +-2835 -10907 +2302 1527 +6138 668 +1963 -5049 +5586 5057 +10763 4087 +4252 3420 +-2634 -250 +590 512 +323 4329 +-1241 2687 +2999 6824 +-1438 4033 +-7429 -879 +-5620 -1123 +2251 -5969 +3307 -5614 +-1552 -2483 +1625 -3740 +-555 682 +-812 -1450 +8327 -3344 +10872 -3475 +3544 -2096 +1672 5909 +6389 -2339 +-648 2647 +-4538 10116 +1308 -2401 +5903 -3810 +5202 1879 +-2996 7568 +-2459 2757 +2880 -8442 +-427 -9108 +-3965 2552 +946 9243 +5581 5721 +3812 1761 +4947 -4738 +-3392 -2114 +-14052 -3216 +-10352 -6477 +-10275 -2046 +-9409 -6320 +-927 -4237 +737 8604 +-6713 10742 +-6867 8007 +-5516 12233 +-8257 8235 +3036 1376 +6267 -1045 +590 -6120 +-344 -8645 +-5634 -5409 +-1462 4402 +7059 7914 +3992 2425 +-6812 -3238 +-3615 -4998 +6265 -3497 +5742 927 +-2091 -723 +-9740 -5897 +2765 -415 +5151 -3643 +-1990 -4915 +7661 -52 +11009 -4305 +5581 -415 +-2067 1576 +835 -68 +3198 2869 +912 2753 +6905 2943 +1682 3877 +-6037 4256 +-3476 2552 +-283 -2297 +2990 1370 +2730 1341 +-3463 -5992 +-1226 -1708 +-6012 3904 +-5443 2760 +4941 3767 +9013 44 +5489 -3962 +-741 -271 +292 6563 +-1167 4976 +1822 -234 +3014 -513 +-889 -3620 +-1331 -129 +-6541 1761 +-4480 134 +2480 -1333 +2180 -8185 +-3267 -7559 +-4125 -447 +-1683 7964 +-2099 4166 +4387 413 +4538 1520 +-3706 -3870 +-107 -1776 +2997 -969 +484 -1706 +2234 -1448 +3189 6456 +75 11395 +-4167 -1855 +-8507 -10412 +-15977 -9353 +-9228 -5826 +-161 -8627 +-9212 -2870 +-8067 8745 +-5106 -187 +-288 -6766 +9373 2332 +163 4587 +-2738 -1867 +1439 -2004 +710 -1821 +2093 1960 +-6490 1250 +-5131 -6084 +7838 -1910 +1759 7033 +2115 10760 +10081 -1244 +6636 -5663 +14613 3336 +17978 857 +9477 -2294 +-1233 4201 +-10122 7805 +-4255 -512 +801 -2957 +396 -1666 +-1421 -2489 +3267 -708 +3083 -725 +-6552 -2313 +-2000 -564 +-2165 6643 +-2493 5427 +6318 -389 +7137 -5741 +1874 -6486 +-738 250 +4494 4742 +2359 4450 +1242 -1374 +1853 -2069 +-7629 1312 +-11186 4970 +-1686 189 +-1861 -1135 +5059 -1105 +4064 -2645 +-10601 2140 +-2764 -320 +4972 -1037 +-516 2894 +-661 381 +7994 1794 +2782 -1122 +-2040 -9033 +1470 -12480 +-2257 -18747 +-4660 -16197 +-3992 -9098 +-175 -6267 +-5180 3312 +-5510 3441 +2536 64 +-776 2717 +-4092 -625 +6716 -1419 +7360 -1494 +-8540 -593 +-2166 333 +2573 -1860 +4997 696 +13618 3370 +1790 6171 +-5754 9166 +-5058 4365 +89 -2169 +857 -1052 +-6028 1405 +-5923 4579 +2479 2057 +10963 -4986 +-821 -1045 +-8052 -38 +-307 -2363 +677 1212 +2348 2333 +7272 998 +11350 -1833 +5504 354 +-6841 7415 +-6753 8584 +-1117 5311 +1194 1993 +-246 100 +-671 -3529 +4490 -6020 +-4617 2686 +-8461 6842 +4814 636 +6841 -4084 +2150 -3252 +-2599 815 +952 -1949 +9090 -811 +9133 2182 +-168 8599 +-5373 12530 +-1333 1101 +-2185 255 +3265 -4078 +1542 -4649 +-7042 8233 +-2405 1463 +3297 -3953 +1467 2490 +-334 680 +7366 -3712 +1407 -2562 +-7480 -5467 +-6955 -10242 +-13669 -12395 +-10367 -8351 +-6924 1750 +-8343 4986 +-216 -2096 +-396 5367 +-2736 9194 +1453 -1783 +5973 -1862 +6621 -1755 +6543 1371 +331 733 +-4915 -1650 +830 1239 +119 2478 +-4586 -563 +-8310 -5533 +-7126 -3944 +164 -2823 +2748 -8 +-344 -822 +-3536 -3044 +3253 2475 +3690 6411 +934 6433 +599 4567 +868 -1272 +8023 -7345 +1450 -533 +-2339 -1857 +580 -10621 +1207 770 +1496 8042 +-4047 2597 +-223 7117 +-4365 10159 +-9993 8485 +1306 12239 +5895 1970 +-4042 -5579 +-13630 -653 +-5072 2252 +2260 7844 +-2268 6877 +-1948 6727 +-1798 587 +555 -9799 +7538 -5514 +9114 876 +7602 4334 +1744 9086 +-4303 6363 +-18 -5252 +724 -7606 +1485 -7041 +3202 -5308 +-8904 -2888 +-12154 -1429 +-703 4571 +8227 -4754 +1603 -13008 +-6930 -10710 +2656 -9508 +908 -4770 +-1701 -4059 +5344 -5655 +-1141 4434 +-3793 9412 +-1445 -1387 +3206 4248 +3935 8485 +-31 -2239 +3390 -5284 +3958 -5618 +5924 -3215 +708 333 +-4962 1931 +1454 340 +1726 1763 +-3967 2560 +-10049 1208 +-8645 168 +-4507 -5741 +1795 -3020 +596 -1259 +-9302 612 +-13561 -2833 +-3647 -12627 +5453 1261 +2630 8639 +3492 87 +3678 1141 +-751 -4683 +684 -9061 +4722 -7944 +3412 -10398 +3991 -5187 +7879 4704 +9472 5269 +7710 3087 +4823 6647 +1447 972 +2292 -4458 +941 -3452 +-2883 -8388 +5911 1688 +1544 14817 +-10770 8692 +-3988 3599 +3511 -249 +2557 3594 +803 5288 +1960 -5967 +-269 -4470 +-996 -4400 +2501 -3840 +6879 -1525 +3125 -6662 +-3560 -705 +-734 3845 +-2080 -737 +-7735 -4525 +-13373 3634 +-5443 8899 +2070 1166 +-2074 -782 +-2166 -2962 +-8949 -6921 +-5890 -2174 +4531 9990 +5082 7784 +1222 965 +-253 1238 +-3348 -1276 +-9959 8419 +-1538 12834 +3833 5509 +-1534 360 +-3381 869 +3364 2794 +7137 -4711 +1860 -5685 +5071 316 +3861 2023 +5106 56 +3106 -1520 +-6926 -2046 +-834 -3170 +-282 -1383 +-6728 6581 +-12207 6952 +-11153 -6640 +5095 -2570 +7844 4556 +2719 -2241 +3619 -1560 +-3642 -2874 +-5528 -7053 +-1684 -8901 +-4311 -9761 +-529 -6194 +7077 2288 +1160 9399 +-7381 -56 +810 -3834 +334 2254 +-1597 -7412 +4239 -11945 +2991 -5673 +-4361 -3217 +-11989 -2053 +-4407 -612 +2748 -1966 +-5007 6314 +-6173 12428 +-2480 -208 +-1584 -1122 +3339 781 +580 5215 +-2461 14376 +962 2854 +305 -3541 +7411 4367 +10563 3831 +3024 -3303 +1382 -8896 +5367 -10994 +4142 -1131 +-2414 1177 +4247 -2354 +2648 7205 +-7325 7497 +3605 2908 +5558 -2753 +-2476 -4869 +-2201 -1743 +1018 -5686 +3122 -9112 +943 -7161 +7499 685 +9091 2284 +4753 1994 +4278 4022 +-3201 2187 +-4208 -1300 +1865 1940 +10555 8401 +12912 2155 +3016 -1794 +-7798 -26 +-13526 6389 +-6639 8321 +1531 -738 +4978 -988 +490 3390 +-5855 4241 +-5556 1940 +-9520 2693 +-4727 -1844 +-436 -9071 +-3089 -7870 +544 -3814 +2624 -2180 +270 -5088 +-6700 371 +658 5029 +6677 7733 +-5688 4308 +-1926 -3347 +1674 1467 +-6064 -4621 +-4297 -11726 +-1244 -6209 +-5485 382 +-10750 6035 +-3905 2331 +934 -3151 +181 8068 +3160 13324 +-2040 1420 +-2022 397 +2339 282 +457 1382 +1570 3721 +-3141 -424 +-11824 -1567 +-1997 -761 +3720 -6363 +3508 -5845 +4336 -2766 +3592 -848 +6622 -1113 +7865 169 +7451 3762 +-6626 313 +-12450 498 +-43 4296 +5839 -2687 +5083 -12184 +1550 151 +-1288 10492 +-4043 5934 +-3287 4531 +-2631 2132 +-5420 2354 +-7096 -208 +-5053 -54 +2849 -5687 +3262 -10749 +862 960 +2951 3064 +3509 -662 +6791 -3095 +5707 -3200 +7213 -6598 +12042 -5665 +-1107 5050 +-5560 543 +3864 -2552 +6801 -2974 +7816 -9103 +-3519 -8779 +-3403 -7016 +3045 -4704 +-8480 2800 +-7751 5833 +4034 -745 +7708 241 +6706 4903 +5420 5639 +859 6435 +1079 -672 +3040 -11683 +-1793 -4536 +-5694 5248 +-8913 -3050 +-8625 2965 +-4328 11100 +284 5289 +-1640 1182 +959 -6768 +3944 3318 +-4105 14679 +-3402 6358 +-880 6363 +-506 6071 +1507 2285 +3763 1346 +-2728 1802 +-10152 6686 +-1992 706 +-1344 -7127 +-1244 -6619 +1418 -4900 +2164 -3016 +4327 -5237 +6082 -5147 +8192 -2248 +-4872 4687 +-9200 8689 +3254 3707 +8533 2162 +5282 4554 +-165 1623 +3061 1167 +-3791 2813 +-655 8059 +1443 258 +-4686 -9931 +-829 -2715 +-3064 3742 +-7862 4358 +-5007 5365 +6017 9606 +2148 12445 +-8739 4910 +-317 -490 +-2347 -1688 +-5268 -9548 +8445 -11942 +4308 -7055 +-6909 -5697 +-5657 -5024 +-799 -1917 +-3001 5604 +-5697 6981 +3790 -4548 +-599 -7772 +-1688 -1501 +3741 -602 +1567 -3150 +12231 -8436 +8398 -5951 +-2095 4184 +-760 5909 +2592 829 +6030 -6174 +4647 -6863 +2077 1475 +-311 1049 +-3883 -4328 +-13934 -991 +-8086 -2607 +2893 -5901 +1253 -4302 +2566 -3408 +-843 -3061 +-4366 -7314 +-5672 -2743 +-2082 8528 +294 7011 +1882 1349 +4144 1964 +3119 3542 +2530 4538 +-10015 7695 +-8993 7752 +1031 3291 +-434 -2683 +3389 -716 +8573 4258 +6178 236 +-1124 -3637 +-4183 -4601 +350 -1082 +14566 426 +11414 393 +539 1136 +3045 -1171 +-874 4529 +5021 6129 +1426 -794 +-10204 -3942 +-2501 -1381 +191 4743 +-3156 8389 +-333 7218 +11047 3038 +10028 7716 +-3400 9247 +-339 -323 +571 -325 +-2280 -649 +-2788 -8494 +-5525 -10851 +-8406 -3108 +-2634 1140 +8455 -3455 +8966 -1429 +2014 1246 +4282 -1980 +2017 5555 +846 3128 +1927 -7275 +-9162 -4168 +-6497 5736 +-7166 12020 +-11546 4971 +-1343 7430 +6373 6252 +4742 -3952 +-6375 -615 +-3214 -3467 +2585 -3880 +-1562 -3698 +2898 -10127 +2353 -4644 +-785 6503 +6422 8090 +11497 3825 +5472 1844 +-65 2491 +1614 4065 +3735 -588 +5516 1239 +670 5111 +-922 -2391 +11523 -11242 +11198 -7618 +3948 4355 +1331 2801 +-7860 4871 +-6637 3450 +1087 -4335 +2837 -321 +-2831 -2125 +-6934 -705 +390 6425 +-192 7528 +-7028 3900 +-1834 3977 +6710 3330 +987 -1116 +-1317 -2977 +3305 -761 +1060 10110 +179 6208 +-5166 -1059 +-5279 -3753 +-1140 -16564 +880 -11229 +540 3132 +-6181 1241 +-3475 -4434 +-2433 -1391 +-4193 2338 +-2436 183 +-7447 -4662 +-11298 -4527 +-8583 3022 +-1391 2533 +4365 -7929 +6070 -6731 +2364 -315 +2018 -4178 +5205 2862 +2679 1844 +-3384 -6485 +-9843 2966 +-1201 8437 +2594 13596 +-7498 12581 +-6084 7529 +-3868 1560 +-8618 -5457 +-11017 532 +-4525 7214 +-3103 -1224 +-6229 4658 +-2968 7530 +-3259 22 +-3395 -4547 +-1465 -10430 +-143 -790 +1761 2923 +2075 -2935 +868 1227 +-1713 456 +-2480 -1552 +-502 3493 +-28 -4988 +116 -10563 +3349 -2345 +3380 1889 +5258 7220 +6207 183 +2663 -449 +7986 5101 +10494 -5818 +5597 -5555 +4399 4015 +8423 6086 +5726 376 +-2103 -1338 +1852 2377 +-3752 4434 +-9402 -5140 +2859 -17422 +1552 -9381 +-8144 297 +-8448 3905 +-2291 4674 +5105 3941 +9632 114 +9532 -813 +-2122 5457 +-3629 2252 +-142 -313 +-3785 -4376 +40 -4386 +688 641 +5252 -1114 +1896 4265 +-8619 8376 +-3229 7485 +2396 4433 +6720 -1758 +7177 -6078 +465 -6092 +-13147 -4319 +-11488 -8844 +6661 -7882 +4041 2974 +-459 1657 +-819 -1281 +-2992 8460 +467 9969 +-1927 3792 +-10234 1570 +-8140 7605 +1296 8290 +-3134 1175 +-1773 7589 +2717 7539 +-2512 2099 +-5615 -1133 +-7972 -6696 +-588 -419 +3365 1049 +-325 -3535 +1625 319 +9 1963 +-3757 -807 +4504 -4512 +7957 -1872 +4512 -4839 +9240 -8572 +5137 -9135 +-4635 -8581 +-4394 -1654 +7619 -2623 +9986 -857 +-1946 7042 +-10812 9199 +-4963 8136 +452 5414 +-6359 -2183 +-1480 -4704 +6998 -3007 +4279 -10916 +3825 -11528 +5392 1283 +2188 11301 +-832 3781 +-6242 -4060 +-9862 3476 +-4059 11219 +-3136 10762 +-788 5094 +1423 8041 +-3075 2812 +-1889 897 +-3386 12403 +-1606 3312 +271 -6167 +-2237 -946 +3399 2265 +-2170 2783 +-5863 5525 +1918 -1453 +3180 -6634 +5901 -1089 +2032 -5056 +1271 -6224 +1016 -1705 +-10631 2411 +-7078 366 +4285 -1256 +10170 2377 +8156 1707 +-963 1557 +-8709 -5093 +-6297 -3739 +1691 5052 +-2080 6580 +1856 4601 +4341 3974 +-983 6663 +540 -1991 +-3276 -7620 +1500 -1204 +2833 10 +-3855 1725 +-1483 4275 +806 -8509 +1617 -10622 +-2359 520 +618 -5800 +5102 -6428 +509 -6390 +1919 -12180 +-1654 -10019 +-9131 -3450 +-4571 1564 +4415 -6756 +7448 -6932 +2962 6548 +-2818 13796 +1142 9269 +3722 3798 +-6528 2332 +-5295 -2756 +-296 -3557 +-992 -950 +1014 -1963 +-7308 -1023 +-11170 5891 +306 2127 +5838 -4224 +-7 -2997 +-2107 -4084 +559 -7069 +9860 -7452 +5093 7136 +-3502 13472 +6852 2434 +908 367 +1592 -2899 +790 -7458 +-10552 -8813 +6795 -5713 +11432 3761 +1410 5910 +363 3725 +3431 1355 +5856 -668 +-6134 -1238 +-12329 3756 +-1455 4631 +2534 321 +-369 2360 +3644 4982 +2543 8720 +-4531 4128 +-2153 -1412 +3777 3775 +1789 10240 +3175 9792 +1640 5320 +-7148 7463 +-2190 1571 +4971 -2382 +5750 394 +4051 -5787 +-372 -10927 +-8140 -8740 +-7903 -2053 +2976 -2814 +3621 -3170 +4062 -2249 +2311 1042 +1278 10851 +8899 7918 +9886 114 +4112 -4366 +575 -5498 +2716 -1961 +-5608 3917 +-8859 3282 +-4805 -5424 +-9815 -5226 +-7257 -3976 +-298 -2467 +-244 4152 +-7284 820 +-6629 -6231 +-2542 -3059 +-1387 161 +-533 -2133 +-6241 4196 +-4534 11795 +1661 1385 +1596 -6927 +-2005 -2159 +-4364 -1543 +-4373 -5363 +2368 -11812 +8577 1939 +6362 12136 +6844 -2755 +945 145 +1762 1722 +8010 1394 +-1318 6547 +-1352 13657 +-2000 9449 +-2065 -4428 +6362 -6909 +5564 2840 +11612 5792 +11793 1247 +7961 2355 +3346 634 +-2819 -7579 +-2210 -5933 +-9289 1920 +-6617 3877 +5633 8399 +5553 4288 +6485 -5033 +4686 -2666 +-6586 -2124 +-8350 -4786 +-10678 -6699 +-10934 -2090 +-2585 -3599 +2746 -8438 +2565 3283 +-8763 10335 +-7235 9506 +-3871 1795 +-7044 -2982 +1162 3843 +-1083 3800 +-7513 -1666 +-5439 -5758 +1891 -2792 +5149 -3460 +-171 -7597 +-1730 -6646 +5440 -4629 +5092 3668 +-1850 4030 +4396 -3011 +-1324 -2497 +-9488 4500 +2722 5882 +6708 397 +711 3452 +-3117 2479 +-6680 1080 +1066 6635 +9346 2169 +-2209 -4037 +-3111 -988 +751 5520 +-6821 521 +-2297 -6948 +3244 -6898 +-1200 -6627 +-4877 -2316 +1597 -3707 +7732 -4778 +5976 940 +-1310 4679 +1080 1754 +8417 -2660 +3201 5599 +7841 10874 +4725 8157 +-4565 -1894 +227 -9248 +6148 -1637 +12844 -3517 +9952 -6948 +7739 -3539 +3076 -1709 +-7289 -3699 +-5705 -2907 +-5780 7492 +-3030 7012 +3820 -82 +1787 -4426 +8194 -4786 +1672 -2330 +1 2454 +4880 6105 +-461 543 +-4127 876 +-8260 -1281 +-5155 -5356 +-5201 222 +-11834 -175 +-11066 -798 +-11546 1789 +-6403 3495 +1740 4207 +4276 1076 +7158 -7231 +6721 -10274 +4543 -10315 +5368 -8807 +736 -3927 +-1152 -8438 +4388 -6079 +1866 -1350 +1062 2550 +2651 7130 +536 -860 +-5362 1733 +-7976 2989 +-1724 -7237 +-1561 -4767 +-2798 570 +-3670 3245 +8447 3662 +15168 -2434 +-4246 3277 +-12034 9970 +-7959 887 +2060 -1498 +6688 5102 +3708 2377 +3120 -2014 +-4661 4114 +-2491 1240 +5107 -2840 +4158 5620 +1798 4265 +5888 678 +4171 4137 +-2917 3461 +2582 -5322 +2289 -2858 +-1010 5101 +5150 3265 +4738 5072 +-2488 -2061 +2258 -11182 +7562 -11721 +875 2897 +3086 16350 +5696 1282 +876 -5697 +-1782 -1809 +-6694 -207 +-5241 -2758 +3448 -9253 +-304 -2797 +1569 1838 +7864 1202 +117 739 +-2518 3373 +-7170 4547 +-7568 -431 +-3816 3687 +-9143 7776 +-9006 6783 +-7681 8998 +-2600 6797 +4169 1869 +4118 -1065 +1577 -2897 +1525 -6388 +3622 -7424 +8137 -3496 +8212 5943 +6388 1391 +-187 -11453 +-5644 -5971 +1755 590 +-505 5187 +-7145 5768 +-5897 411 +860 -5825 +7888 1450 +7282 4970 +8839 -3887 +4892 3541 +-4291 3822 +-5613 -5378 +-2864 -7837 +2625 -2756 +-942 2011 +-8018 6600 +309 9001 +9417 -3398 +9120 -954 +4252 7824 +-324 7795 +-385 5104 +250 5244 +-3735 6261 +-10906 -4834 +-9910 353 +-6138 6241 +-649 -1182 +5809 -456 +-4047 1060 +-7466 2985 +-2220 -46 +-6023 -1850 +-100 7856 +6495 8427 +8473 -2043 +11772 -1443 +3413 2660 +-1748 3433 +2835 1140 +623 -3641 +-2465 -2564 +5914 -4457 +12002 -3206 +5602 -4036 +-159 -11275 +-4823 -2431 +-4196 5489 +-3122 -312 +-8215 962 +-274 -259 +5354 -1861 +-3946 1094 +-9641 -2036 +-8420 7591 +-6893 5884 +-4425 -7130 +-1352 -4459 +-2988 -5373 +-2254 -7773 +3860 -7879 +10239 -1101 +5823 -3190 +-7914 -7972 +-8383 -469 +1717 -729 +3115 4126 +-1528 8953 +-4240 4215 +-3346 -4826 +6929 -4263 +8803 -917 +1875 -5182 +4011 270 +3413 2755 +-3318 5764 +971 -314 +2403 225 +-1106 9786 +-3103 9835 +4907 2829 +9195 2487 +5566 5294 +4832 3405 +3526 2460 +2897 4674 +-835 3865 +2527 -3337 +1438 -1309 +-5747 -280 +-3688 -4240 +-2163 -4170 +-3870 -5761 +-4574 -6864 +-1481 -8792 +-5065 -1784 +-11417 2897 +-6935 -926 +2006 -2512 +-1914 419 +-6212 1718 +-1332 -5171 +-2644 -2758 +72 -5383 +-5037 -6563 +-1606 5401 +16674 4077 +8719 -3793 +-1697 -6476 +-3136 -2688 +-1800 -965 +-202 7394 +-10853 13450 +-11310 152 +-2924 -5391 +-1471 -3225 +4990 -1966 +9210 2209 +3490 558 +1200 -3822 +1103 -2972 +-5043 -3385 +-6249 -6514 +1582 -3396 +4857 302 +6592 1804 +3919 13245 +-6519 14316 +-3306 732 +4032 547 +4653 -514 +4056 -4746 +-7677 -10048 +-13077 -5311 +2271 6597 +9239 -2680 +2874 -2188 +4177 403 +4267 -297 +1115 6405 +571 -869 +2047 -1356 +5547 7940 +3988 9211 +5605 -1111 +8708 -4088 +7794 273 +6016 -669 +4365 -517 +5349 1583 +1935 3367 +-224 -4494 +1334 -6928 +358 -7969 +854 -6197 +3185 -1957 +-1387 256 +-1444 2606 +11459 -2104 +7101 -7511 +1126 -4937 +331 1296 +-8559 -190 +-11943 -128 +-17975 3531 +-9091 153 +7516 242 +3261 -3168 +-6500 -1200 +-3145 1126 +15178 -2519 +17121 -540 +9224 -2746 +5355 -407 +-2733 1 +-3220 -6280 +-10391 -4378 +-8324 1964 +4285 1963 +-709 -1000 +-3766 -2949 +-1223 -7738 +-1820 -8498 +-1653 672 +-471 7022 +-4966 -4486 +-3431 -5513 +1391 4222 +-3349 -425 +4235 -3995 +8093 -2163 +2560 631 +1836 1321 +-3328 9219 +-2504 9967 +2419 -2923 +5881 -1929 +7697 3060 +2498 6003 +1328 7844 +4983 5812 +256 6426 +-4505 7067 +-5092 1189 +205 904 +11390 5464 +5472 2722 +-2447 2254 +-2870 2930 +-7868 4189 +-2966 -1536 +3271 -1368 +671 2521 +-1999 -8931 +2059 -2999 +5030 4910 +-1323 -4104 +-5886 -7911 +-3139 -5182 +1331 -3478 +-886 1113 +516 2938 +7605 -8846 +698 -10089 +-2389 -4355 +1069 811 +-6857 5508 +-9486 7689 +-11750 14413 +-7047 6096 +5972 -4734 +794 -4821 +-776 -2160 +6700 -8917 +574 -7898 +-939 625 +1738 -1470 +-5491 -529 +-8078 -7583 +-2579 -3410 +-6708 10814 +-4414 9084 +3684 4559 +-2230 -566 +-5189 -101 +-4055 -5216 +389 -8360 +10964 5089 +2979 7941 +-10780 -220 +-3772 -3683 +-899 -4334 +886 -109 +7271 329 +7478 -9756 +4948 -7363 +-8712 -682 +-8032 -6226 +-350 -2421 +-686 2831 +-1662 -612 +-9068 6449 +-6459 12214 +-4469 3904 +-366 422 +-1169 1788 +-8177 -3207 +-161 -8049 +2361 -8161 +2559 -5624 +3641 1077 +3225 7393 +6242 3777 +6021 -6252 +-177 -3375 +-1744 6711 +2270 1016 +-191 -901 +5851 4884 +14802 4416 +12983 2303 +3714 -1831 +-1265 1224 +3327 -1834 +916 -5075 +-1760 6487 +4091 6578 +8249 -2905 +5505 -3414 +1658 3914 +-2616 7332 +-3348 7921 +-6234 3940 +-6859 -3321 +2087 -1422 +-1159 -1914 +-725 -8676 +399 -13877 +-4501 -5997 +-347 1038 +510 -2213 +-4639 3165 +-11270 -553 +-4222 -837 +1692 12529 +2358 9642 +5922 1080 +-2076 1029 +-4156 3289 +-6563 -1185 +-5155 -6370 +12036 -3839 +8783 -4026 +-8309 -14312 +-13628 -7881 +-7094 -3631 +470 -8745 +6670 -105 +1004 4246 +-3524 1336 +9276 3890 +3050 6125 +-7313 4312 +-3085 11 +1611 -8251 +5991 -398 +2945 4495 +5195 -2368 +4265 -1269 +971 -5763 +6439 1231 +2330 6600 +-5554 -353 +-6979 -2062 +3698 -8685 +4419 -5399 +-5153 1097 +2049 -5128 +6515 620 +1486 9670 +-7076 8334 +-4724 16684 +3744 14938 +2512 662 +1581 1260 +1137 6306 +5648 4253 +-621 494 +-11232 -168 +-7872 -5822 +-3611 -1501 +3154 7626 +8088 1141 +5568 -1674 +-268 -383 +-1490 -827 +329 -1428 +2290 1778 +6558 4635 +5739 -831 +499 -7095 +-2553 -6464 +267 -678 +1459 945 +65 1553 +1159 -1556 +3641 -6399 +-844 -7743 +-5744 -1988 +2631 7567 +1065 10386 +-4183 7964 +-237 -3765 +-3388 -6463 +-4773 -2053 +458 -1970 +-3725 -3983 +-17218 -6297 +-15566 3198 +-7857 2080 +-5427 -6678 +5107 -4455 +3676 2446 +-1841 3299 +9717 -3290 +6510 2492 +-2715 8017 +-2352 2078 +-4298 -7077 +4307 -4212 +5296 1305 +2387 -5308 +2991 -4782 +-1127 -4302 +-1498 2510 +-10546 1049 +-11769 -10656 +888 -2170 +-486 1299 +-2438 -3815 +-3022 -2788 +-4682 3933 +4004 3620 +3217 -3705 +-831 448 +313 -1557 +1466 -1071 +110 4614 +-3757 1249 +1485 -2480 +671 -356 +367 -166 +-739 -4739 +-5081 2475 +2650 5512 +4628 -1263 +3515 -158 +-1241 734 +6232 -2240 +16446 3130 +1446 7623 +-13 -92 +7375 -1554 +11742 2595 +15369 2827 +773 -2041 +-7145 364 +-99 4938 +689 -1142 +1813 -2774 +2833 -1311 +-9049 98 +-14707 -1578 +-10186 -96 +-3496 3478 +7061 -845 +7459 -4135 +7347 -726 +10956 4321 +3888 -235 +-8226 555 +-11399 2919 +-5818 -3178 +-6888 -4093 +-3679 850 +7330 3732 +12542 -745 +13979 -230 +4268 136 +-4382 -2336 +-312 -2482 +-335 981 +1407 4960 +6471 -928 +-6602 -1219 +-17073 2550 +-9026 484 +-4385 -2463 +746 2782 +-7626 7647 +-16224 -356 +-682 -2123 +548 1303 +-4362 -1307 +-4205 -121 +-1120 5925 +5590 24 +132 -4816 +-328 888 +-802 -1332 +422 -1738 +3090 3272 +-2865 2647 +-112 -6287 +8936 -4779 +8657 5498 +2263 -3858 +-635 -15082 +-2146 -10926 +-2183 -2711 +4130 -3672 +4516 -5690 +-5261 -3380 +-6721 -2102 +1016 496 +8746 -3624 +5763 -1247 +1046 4854 +1557 3657 +-7164 4127 +-6058 3839 +2041 -1995 +2037 -8121 +-2009 -4004 +-8145 1681 +-3364 -299 +-1962 -5809 +-9686 -9271 +-10082 -6936 +-1787 4536 +-2821 10356 +-9575 290 +-1484 -5112 +7134 -1057 +9101 1777 +3265 -1221 +7306 -1086 +10132 -203 +-1359 1671 +2005 8783 +-6080 2714 +-8775 -1060 +7233 4218 +8372 -2229 +8650 -9204 +6354 -4756 +625 -697 +-497 534 +752 3976 +3455 4252 +4866 1723 +2835 -482 +-626 -247 +-1722 2600 +-5822 8877 +-6925 9269 +2083 7541 +4063 2116 +-2657 -11202 +5304 -2741 +7993 1557 +-332 980 +855 11725 +-762 4865 +233 4326 +2368 8986 +-2322 4108 +-4585 -4989 +3613 -9272 +10319 -1883 +-820 -4305 +-10602 -10401 +-9032 -6450 +-3529 -506 +742 -5382 +-309 -7057 +-6325 1053 +-6663 2888 +1109 -345 +4406 -8468 +3640 -4665 +4095 3056 +4934 2916 +-1332 2503 +-6379 -7718 +-3874 -1268 +-2638 8522 +5580 4696 +3065 6215 +-1545 5736 +-1319 -975 +-7462 -5047 +-4286 -1138 +2836 2276 +3404 -11019 +2075 -13785 +386 -3288 +-7500 -1577 +-5796 313 +-389 6842 +-3765 14787 +689 6836 +2220 -3239 +-7488 472 +-7412 1440 +900 -1707 +2623 -6904 +6954 -3235 +5336 -3682 +-1328 -7860 +-6443 3148 +-7337 2715 +3425 -4718 +-645 4354 +-6881 9631 +-7106 2878 +-3319 -1309 +6436 -6920 +527 -4701 +-5638 7311 +-7371 5093 +-2750 537 +1584 -782 +-2887 -2241 +4876 -2074 +15245 -5913 +10729 -2405 +4859 -1253 +6282 -1969 +2105 555 +3160 -1631 +7205 94 +6196 -1015 +13603 -1055 +13855 390 +743 909 +-2216 2842 +1341 -661 +-5659 -128 +-8829 -4046 +-4390 -2781 +5349 6467 +5771 3366 +-6703 1054 +-8338 -2892 +-7467 -7161 +1689 -1000 +1957 3852 +-10146 -1492 +-4002 1702 +3688 7924 +7439 -431 +6551 2395 +2682 5150 +-1669 178 +-9078 1253 +-4087 1946 +3685 -302 +-4775 -10312 +-7721 -11375 +-1962 -2567 +-7044 4090 +-7517 7016 +-7274 10061 +-4963 9507 +609 10734 +4808 4851 +9543 -309 +6614 -2190 +7686 -10438 +7196 478 +-1500 9907 +209 2888 +120 -3378 +-3822 -2058 +-5407 5692 +-1665 5814 +6775 -3660 +1376 -4911 +-8219 2910 +-4021 4494 +3256 3027 +1527 -821 +-5937 -843 +-8239 -2384 +6415 -8338 +10669 -5401 +580 -7510 +336 -8727 +-7369 -3857 +-11232 -416 +-10507 4985 +-9650 5029 +-1688 -297 +-3418 -4130 +-6777 -5116 +-2722 -8225 +3448 -5751 +2382 3021 +2928 1763 +2790 1134 +-1950 -1731 +911 -9466 +6821 3817 +8657 11076 +-3936 4286 +-3212 6068 +6297 -58 +-598 -4598 +-1263 -1945 +3560 119 +2503 -3335 +-5129 -2926 +-3055 8143 +-4963 2899 +-14659 -2868 +-549 -4422 +8836 -4002 +-658 1387 +-3319 -2768 +-3224 -1117 +-1923 -2009 +6512 -5463 +8994 773 +6739 3616 +3499 538 +90 4099 +309 12706 +2148 10306 +7306 7575 +6896 583 +7379 -6273 +3895 -5850 +-725 -12765 +5883 -4313 +5267 8251 +2085 1919 +-2039 -2589 +-4263 943 +-475 7679 +2490 5267 +2919 -7333 +-1681 -8146 +-3495 -3550 +-2276 -627 +-6877 -350 +-1807 -1171 +7821 -1381 +7309 -1095 +2270 6367 +-6373 7964 +-4418 104 +-6101 8220 +-6832 9185 +504 -4523 +1163 -5992 +3238 93 +1921 10122 +-4006 7172 +-2421 -3576 +-203 -6187 +1688 -270 +7993 11359 +4880 4847 +4651 816 +3576 9327 +-5840 3617 +-7934 -2282 +-5184 -1025 +5391 -1065 +5997 -459 +1472 -1864 +3024 -3889 +3460 2104 +6618 2157 +5417 -6512 +-491 798 +-9912 8973 +-3857 143 +4447 -3030 +1611 1304 +1851 -3351 +-3840 -6243 +-8322 -3089 +-12526 -4611 +-10170 -928 +-7403 4559 +-9129 6829 +-141 3043 +-1391 -11260 +-5288 -5471 +6544 3612 +11905 -2387 +7073 -3188 +6531 -10311 +5433 -11217 +2328 -6811 +-2877 -5506 +-2918 1016 +-858 2160 +-3991 -518 +7783 -2725 +11071 654 +-4540 -345 +-8375 759 +-1852 7050 +-2032 1729 +-4945 -1396 +-2308 979 +-5614 4249 +-2222 295 +5255 -6021 +5013 -5445 +5959 3391 +319 10112 +-3313 3001 +575 10026 +628 11200 +-2585 -3652 +-3059 -5197 +2523 -1943 +8246 6404 +4027 9910 +3431 6098 +-687 2180 +-11720 -1036 +-7755 379 +-96 -776 +3504 -12611 +-390 -6642 +-5429 2273 +6639 -2258 +4273 1192 +-4494 -3262 +-1555 -11617 +-2414 -2089 +-2007 2816 +-10200 -8378 +-7197 -6192 +3452 -1060 +-6528 -1283 +-11171 73 +-424 -2687 +1880 6774 +-1473 3510 +-196 -6219 +-9877 4290 +-9340 4743 +1392 -3149 +-2081 -9882 +-1849 -8638 +4497 2357 +7103 3082 +5602 2534 +10207 3435 +10145 2440 +1198 3660 +-386 1444 +3597 -2317 +6604 -7959 +3248 -2147 +-2238 8401 +-9878 3946 +-9860 1741 +-4046 3085 +3294 4365 +9789 4038 +4739 -671 +2379 -4211 +-2283 -3346 +-3394 3841 +1102 4713 +5938 2780 +10080 1284 +1975 -3269 +-3803 -6000 +-7329 -8019 +-2202 -8649 +4631 -4160 +-135 7939 +202 9233 +1408 1184 +1434 -2231 +5610 -166 +10799 5544 +8968 2446 +5506 1401 +7034 1716 +980 2238 +-9776 6972 +-5738 5363 +-569 -469 +-5778 -11359 +-4822 -4429 +-2663 5337 +3596 -6013 +4140 -1889 +-5594 687 +-8926 -7388 +-3256 58 +285 3378 +-13552 765 +-11966 1779 +-2222 -3927 +3688 -18159 +7861 -14154 +5176 4439 +1611 3149 +-1904 -462 +4972 2653 +7095 831 +3929 -2441 +-1207 -2296 +-9766 -456 +-11114 -728 +-9069 3218 +-1760 -1768 +3158 -4145 +3358 4401 +-4665 1674 +-10892 2303 +-9161 6241 +-6016 3805 +2971 1635 +5412 -1009 +5698 -4729 +1371 -2965 +-1787 1195 +1838 -5361 +6457 -2127 +14715 13224 +6799 12027 +-1849 1323 +3707 4095 +6545 6717 +2492 -4204 +-986 -4750 +-605 -1403 +395 -6217 +-1403 901 +-4798 6984 +-2015 8724 +-1440 4055 +-109 -6648 +784 4279 +2191 8565 +7181 2579 +5555 4011 +-69 -1757 +-9677 2937 +-3658 6901 +2229 -2908 +-1485 -8313 +-517 -6857 +-7543 -4391 +241 -270 +4460 3291 +-7713 -1876 +-8669 -887 +-6180 1925 +2163 1275 +5606 2356 +640 1146 +4901 2415 +2509 -3563 +-4459 -9150 +-1455 -2459 +-3881 -1166 +-9479 -15383 +-3421 -15377 +6846 -110 +3339 1205 +-1760 881 +5644 -1323 +6068 -3969 +1414 -4331 +-2432 -879 +-7817 6048 +-9033 6786 +-4867 8326 +-2487 -109 +-365 -5199 +5876 -1623 +2401 -4826 +-5670 -10484 +-8776 -5041 +-2546 12147 +-683 3715 +-3351 2951 +1311 9809 +-255 -1244 +-2927 -7366 +3611 -5136 +5679 -3159 +6875 -8346 +10776 -4001 +6965 152 +4198 -7966 +2055 -7338 +-5365 -6482 +-215 -11802 +3230 -8620 +-536 -5436 +4520 -4567 +6977 -523 +-3056 980 +-8528 5837 +1303 3701 +-4803 4482 +-3926 9003 +13928 461 +9252 -3690 +645 -4852 +-6379 100 +-5578 6264 +1243 7188 +-4402 2810 +-5350 -2554 +-1454 5657 +-437 6618 +-728 -1575 +673 -3666 +121 -2393 +-967 -4729 +432 -6504 +5491 -654 +10364 8191 +-1519 11840 +-6215 3938 +386 -2318 +1578 -359 +4523 8393 +-5691 11235 +-11691 2589 +-1606 -4304 +2355 -6612 +1784 -3212 +93 -2358 +618 -3099 +675 1312 +-1229 7819 +2027 3194 +6523 -1282 +1138 5838 +-2232 7055 +6162 5565 +4471 -1923 +-2878 -5752 +-11146 -4114 +-10195 2000 +5859 10485 +1865 3100 +-709 4327 +7522 6234 +-944 -788 +-7182 -3419 +-697 -6119 +2238 -6080 +-328 -10680 +5595 -10073 +5483 -4517 +-1835 -8875 +1266 -10711 +5205 -9934 +4393 -2660 +3435 3564 +-1642 -3946 +-696 -9946 +1015 -9575 +672 -3127 +2529 -993 +1476 -5787 +1255 -2803 +4706 1826 +4899 6764 +-4007 9368 +-6329 7710 +1651 8144 +7188 4591 +10557 99 +6889 5542 +-1064 7833 +-2513 2218 +-3534 -2559 +-4772 -1993 +-1930 1271 +-4163 -3780 +-7999 -6796 +-4760 -6530 +-245 -668 +-1048 5566 +-7801 -777 +-12014 -2262 +-3125 685 +2383 1250 +501 233 +7397 1865 +3114 1210 +-152 -1340 +-5151 2207 +-19577 2953 +-3592 5127 +6064 7995 +-2856 5351 +-588 -2831 +549 -6598 +-125 -1671 +2516 1089 +12741 -2661 +6127 -3775 +-6157 10412 +-1129 10505 +954 -406 +-3550 -466 +-6320 10 +693 -1294 +7611 -881 +7053 5445 +3264 -10459 +2017 -18142 +1020 -146 +-1317 740 +1801 5129 +921 5979 +383 -643 +1140 1358 +330 -6985 +-1525 -12473 +1775 -4744 +5021 575 +-3811 -2018 +-6788 -7081 +-5199 -7862 +-1415 -2726 +1435 -2291 +-2523 -5277 +-823 -2900 +4848 -1572 +8137 2027 +2722 9606 +-198 9812 +6429 5298 +5916 1843 +-6581 -2152 +-8538 -8461 +256 -7780 +-1983 -6017 +-8794 -1956 +-7118 4045 +3017 2714 +3559 -2568 +2699 1114 +1875 -1324 +2558 -7311 +10546 -425 +7186 -5811 +1829 -7424 +3549 952 +2065 2794 +-2466 8856 +5804 7635 +6592 5634 +-3597 6246 +2581 402 +2548 1158 +1943 3146 +2261 -3613 +-6632 -6892 +-8318 228 +-4070 5810 +-6073 5859 +-11895 470 +-2074 -1387 +877 4651 +-8767 703 +-1720 807 +8891 3472 +5622 -1286 +-1374 5369 +-2544 10511 +2796 8971 +4817 5207 +-1696 1790 +-1590 1091 +-4397 -7568 +-489 -12995 +6734 -5467 +-1012 8561 +1438 11408 +3566 2147 +2596 -6891 +-989 -12069 +233 -3729 +7164 9081 +-2375 12785 +-3514 4102 +-1313 -2828 +-251 -2802 +4730 -7964 +-416 -9938 +-4003 -8857 +660 -2559 +7652 -330 +5749 -5139 +-5840 1067 +-7465 -812 +1750 -4104 +-5449 3110 +-12256 -453 +-5058 -5828 +-5507 -3021 +-8058 4425 +-2815 7669 +4113 -82 +1018 -4275 +2755 -982 +518 -2255 +-2995 -7018 +7819 -7280 +1751 -9023 +-3514 -6608 +3307 -1598 +2600 -748 +-897 1010 +-5022 1451 +-7947 7339 +-2185 5075 +7056 597 +5657 -974 +-195 -3814 +4028 4987 +7256 10317 +-1138 4553 +-1022 5692 +5484 2432 +-3094 3262 +-11221 6952 +-2830 745 +-3498 4344 +-10392 7447 +-1515 -1821 +-1617 -6254 +-6540 290 +-5001 -3291 +-6683 -10901 +-431 -2264 +4281 702 +2045 -7026 +-304 -4904 +-8142 2974 +-8246 5377 +-1105 2939 +-84 571 +5598 4110 +3781 3769 +-3853 4220 +-3107 7565 +719 1704 +9848 -4098 +5981 -10717 +5483 -7165 +12068 2543 +2718 1890 +-5155 -3716 +-6887 -4073 +-2670 5654 +2009 4561 +3120 1342 +-1333 -5208 +4021 -15735 +13000 -6081 +722 157 +-4176 -2247 +-5344 1571 +-1039 5466 +7921 4138 +2329 1505 +-5435 -5154 +-4329 -11040 +8164 -7272 +5576 -10258 +-1867 -7486 +-3354 3637 +-5990 6068 +-2321 2893 +-5991 -3996 +-4364 -2383 +-22 1705 +-2783 4310 +-1231 10543 +1725 5071 +5848 -4359 +3801 -4559 +-2651 -2685 +6396 928 +12487 2718 +2157 4151 +2977 4842 +5874 -1912 +-168 4421 +-3998 12495 +-1825 2188 +-2563 1336 +-9033 568 +-1298 73 +-997 2764 +-6133 1578 +1627 -5880 +7544 -14603 +7857 2010 +3430 9213 +642 1938 +-733 -3449 +-1518 -5707 +3756 915 +2083 -1001 +850 -959 +1759 -211 +-2669 -464 +-663 -1334 +-2989 -628 +-2527 -764 +3137 -6112 +-107 -895 +-2364 4428 +-6566 8055 +-8240 -4992 +-4465 -15152 +1577 -1213 +5687 3445 +-680 2250 +3995 -417 +9834 1539 +2438 -2780 +3420 -16841 +-4266 -12595 +-12492 -723 +28 -287 +4565 -2468 +3108 1160 +5648 1785 +1290 8322 +-3392 13494 +-394 5703 +-780 2164 +-5120 4862 +-1715 8326 +-920 3823 +-2241 -1161 +925 3704 +1282 2083 +1652 -2154 +3975 4556 +6642 4644 +5498 57 +1227 2535 +5768 6219 +9540 9356 +-199 6171 +-4508 3872 +-2177 1790 +-4565 37 +3214 -2733 +7503 -11041 +-9602 -12225 +-11538 -7367 +-2604 3836 +-7432 6863 +-891 820 +1179 2676 +-3678 5176 +-2633 -5389 +-3917 -15611 +7422 -3682 +8851 4490 +1769 970 +-2796 -1982 +-4929 -3076 +3511 -1827 +1011 -2107 +-31 -1314 +1172 -1592 +-2167 -1144 +4622 -3101 +12028 2939 +9390 1843 +3301 -6390 +-1364 -7921 +-4808 -225 +-191 10159 +2371 8512 +-1663 -2788 +-6050 -5319 +-4267 4027 +-2336 -1773 +-2245 -5067 +6909 3557 +752 -1982 +-981 3329 +3000 4885 +-6786 -3500 +522 -2441 +1586 -5316 +-7013 -4042 +1246 195 +11083 1104 +6692 -2887 +-5139 -3798 +-8356 2075 +-2857 6290 +5095 10493 +2111 9893 +-4652 366 +4694 -7530 +11364 -2793 +2269 224 +-5065 4774 +-7019 8936 +-7498 3571 +-11193 2827 +-8977 1495 +-132 6684 +-6808 9143 +-5867 2596 +1982 1123 +-2678 -2721 +-3977 -1302 +2730 1892 +10386 -231 +7242 617 +5700 6057 +6419 5599 +483 -1471 +-5219 -1118 +-2016 613 +2204 -1155 +-2930 -8465 +3192 -10358 +1823 -4022 +-7989 -8255 +-5342 -9052 +-1610 -8002 +1378 -10493 +-431 -3188 +3631 5024 +5067 10579 +-3769 4902 +1 -6205 +11370 -5584 +8526 -4679 +-1680 -6822 +-6231 -4881 +-3893 2941 +6543 7516 +7470 4660 +-3086 -941 +-8215 59 +-784 5728 +-3050 191 +-5186 -2265 +7525 -1852 +-1278 -1828 +-4206 4519 +-25 3563 +-4117 -2163 +-2572 -4255 +-69 -370 +-12378 7305 +-4144 7010 +2147 3225 +-2008 1204 +1306 3303 +-3088 8780 +-8645 681 +-5369 -8022 +3668 -1266 +2211 64 +-1156 -1505 +175 2200 +3032 -1286 +2237 2853 +-5378 9361 +-8426 -1830 +-3247 -7545 +669 -1589 +-2424 -729 +-29 -4724 +-9914 -3649 +-11240 -81 +2883 2292 +-2469 5372 +-3324 1373 +-4354 -4475 +-2817 -6325 +1238 -4970 +2020 -6555 +9191 -5207 +419 9918 +-1614 16264 +10715 7050 +12664 -1794 +7103 -1811 +3098 -57 +2979 -296 +2325 3262 +-1923 -534 +-5001 -5331 +1701 -5312 +1144 -8700 +-6354 -1269 +2192 7759 +9230 1358 +3768 2396 +1200 6824 +-1219 -775 +-812 -4096 +2427 -2172 +2307 -3642 +6248 -7308 +5295 -11785 +-6423 -11124 +-15530 -3748 +-1865 -4875 +11395 -3681 +5152 2822 +4689 2217 +3195 6844 +312 4799 +-4538 1118 +-4803 -1464 +-363 -184 +-4655 13641 +1438 7971 +3716 989 +-771 2225 +3206 1579 +3589 8409 +-6098 6194 +-9577 -2272 +1882 -3387 +1747 -1385 +-1800 61 +2270 6406 +18 4613 +-6462 0 +-184 24 +8083 -7638 +1724 -4852 +3882 207 +3970 3134 +-3337 -1455 +-890 2065 +-1152 7415 +-2643 1966 +6632 3273 +6759 2431 +-1979 2464 +-213 -109 +-103 941 +-2403 1557 +-6826 -5468 +-4541 -1269 +-281 5034 +-5801 4246 +1294 1132 +1515 1230 +-5533 -2946 +66 -3352 +3932 -5933 +1356 -9000 +478 4123 +1899 5274 +-12251 5197 +-17409 4001 +-309 -5100 +4587 -4654 +-1862 -5860 +-5704 -4348 +728 -5577 +9119 -11085 +3158 -7870 +-5935 -1975 +-4541 696 +2289 5189 +-499 2839 +-2635 -5175 +-37 -2891 +-8560 3754 +-6999 10028 +-235 12222 +-1980 11486 +6442 9460 +5860 2175 +585 -4695 +3852 -2427 +-2174 4728 +-1754 3566 +6432 370 +4554 -3172 +-3327 -10961 +-4288 -11405 +4070 -3147 +6773 -3457 +1106 -5746 +-2659 -5218 +7178 -1602 +17697 9217 +5593 5721 +-5317 3929 +-30 114 +1343 -10824 +-1824 -4595 +3126 -2282 +5137 -161 +-3564 1083 +534 2118 +3829 6252 +-860 3191 +7318 -1870 +6910 -2594 +67 3051 +-237 -289 +873 -4526 +1369 -9376 +-2339 -2153 +-3275 4849 +-8076 4925 +-6849 9137 +-1624 3671 +4236 -4636 +8602 637 +1614 -4294 +-3973 -7995 +-8964 -438 +-8283 1750 +-2975 -1018 +-2551 -8344 +-166 -3010 +-3084 -3503 +-2810 -7343 +3936 -2480 +7465 -6437 +2910 -7433 +-5029 -6899 +-4270 2224 +-5801 6335 +-3256 -1454 +7780 1781 +11786 -251 +7337 -2508 +3584 472 +-3119 -5157 +-6407 -6134 +11261 -3395 +11428 -1316 +-7242 4378 +-6754 4637 +-928 5117 +-5429 2701 +-12717 -897 +-12064 2977 +-3441 541 +8335 -206 +4587 2198 +1399 735 +6641 1226 +-1255 5237 +1489 4664 +6819 572 +3494 2510 +1577 4591 +1150 6187 +5730 -298 +4046 792 +75 6890 +1160 894 +1673 6628 +-805 5283 +1969 -8162 +3037 -13425 +-169 -10677 +4523 -2720 +5300 -1711 +4830 -7814 +3133 -2016 +-2591 15044 +-3898 5514 +-6025 -9075 +-3174 -97 +729 5751 +-2902 8886 +806 11190 +1186 3762 +160 -6214 +7020 -5213 +-1498 -4165 +-8235 -3223 +-7188 5451 +-5266 6661 +-2934 1157 +-7334 -4503 +-1745 -2156 +-765 -928 +-2408 487 +-3368 9289 +-3148 10110 +1647 2591 +5116 -5369 +267 -8237 +-1174 -1994 +12095 1180 +16091 -1965 +8189 -52 +2420 -91 +-77 -1074 +-35 -10318 +-4624 -5521 +102 4127 +530 -3723 +-8470 5846 +-3480 7324 +1130 -659 +4010 2356 +9362 5906 +13367 9058 +7081 2545 +-5215 2102 +-2713 8077 +2921 4321 +2969 -5592 +2544 -11412 +1240 -6667 +-887 4786 +1192 9217 +85 2925 +-3 -1498 +7732 1164 +-1368 2080 +-9621 -3946 +-7366 -4871 +-7601 -6246 +-866 -285 +-4046 6779 +-7936 -3139 +485 -3576 +853 -5000 +-4690 -11452 +-683 -7407 +4477 1206 +-2380 2783 +-1019 -3506 +4519 971 +-1626 10295 +-2409 10854 +2222 2486 +-1500 3602 +-8505 1864 +-3063 -6306 +-1854 4266 +-7837 8216 +-6711 5635 +-7590 3545 +2402 -3545 +6864 -1485 +-1721 922 +-131 -5656 +-600 -9037 +-749 -378 +3355 9300 +4195 9436 +3048 807 +478 -7252 +-5183 -6168 +-2212 -642 +10204 -7084 +11213 -12087 +6375 -5464 +1913 -1685 +-691 -767 +-6724 -7651 +-7207 -1111 +2997 2993 +510 -4619 +-212 -2055 +3764 1099 +5378 7521 +-1454 224 +574 -16762 +4498 -14160 +1270 -1376 +5734 1791 +9075 3470 +159 -1995 +-6844 -10991 +-3699 -9829 +-4945 -4242 +-2502 657 +3417 -445 +276 -2472 +-567 4567 +5027 6526 +9270 7097 +6514 7630 +-183 -4429 +-692 -10369 +838 -3644 +5477 107 +17541 17 +11253 3728 +-5294 -2140 +-4249 -8026 +1577 -1912 +-1528 -407 +-6751 1501 +-4135 3069 +5184 3728 +9043 707 +-6158 -1370 +-5459 2273 +6716 -2067 +-3073 360 +-5136 6334 +-7286 3136 +-10717 1451 +-9713 2798 +-8510 -1010 +-1504 -262 +-2256 1429 +3764 -4259 +5263 -3328 +245 -6555 +2262 -2386 +-850 3644 +2281 2467 +3902 9298 +775 10013 +2405 9786 +-1823 6773 +-3290 6083 +1598 -512 +-914 -5385 +1115 8493 +2110 782 +-6132 -8869 +-4229 217 +82 6694 +1585 5134 +944 -831 +3308 -1923 +9175 1919 +-893 1043 +-10672 -12644 +-5898 -13322 +74 -1827 +5617 -2333 +9272 -3272 +-1158 -1623 +-12436 -3754 +-9245 -4932 +-6641 -37 +-1615 2013 +2480 -2655 +-1085 -4875 +874 -4445 +6009 4809 +1785 12861 +-3463 6054 +-22 4227 +-679 7717 +-8769 5901 +-10156 -2622 +-3443 -9178 +-4299 -693 +1564 -1574 +502 4173 +-7179 14105 +-1713 -1517 +1130 -4784 +-102 -3960 +3722 3507 +5347 14283 +-2312 -488 +482 -1943 +5445 -2451 +6082 -8500 +10792 2210 +4887 8120 +-1901 6981 +343 4063 +1640 8583 +-5622 11532 +-5332 -12 +-27 -5265 +1559 941 +3820 -285 +-2841 -6975 +-2222 49 +6471 3625 +7224 -6432 +-2361 -10807 +-9539 -10619 +-8938 -4652 +-6381 4994 +-1440 5100 +-3862 -40 +-2839 1832 +-290 4478 +-2628 -2551 +-3624 -5270 +-2434 -2865 +1000 -4604 +-122 -6125 +1432 -1111 +3024 3810 +3361 -1796 +3237 -1071 +5230 3359 +7703 -3274 +2783 -12350 +-384 -13843 +-6803 -6470 +-8626 2874 +-679 6034 +1668 -4894 +-2933 -5614 +-2944 4012 +-677 -323 +-1505 -4982 +7680 -233 +9935 8495 +1301 6809 +2747 3168 +4591 6182 +-2886 10135 +-9667 4701 +-8733 -4475 +-3866 2450 +169 -2069 +3137 2933 +3565 15185 +-2314 -73 +-2162 -4174 +-3553 -2344 +-5266 -1089 +3256 -1163 +8396 -6592 +2150 -423 +-2263 1858 +5230 -2227 +1088 -2421 +-4951 -787 +6720 550 +5097 2167 +2432 5987 +6938 6282 +4366 10803 +3478 6334 +-4220 -6505 +-4054 -1040 +10774 3998 +10407 3889 +5882 5747 +5139 1465 +1488 6152 +270 3782 +-2096 -5435 +-2215 4147 +-907 3777 +1772 -2582 +-2179 5148 +-5430 8635 +137 -22 +-2952 -4366 +-4910 -1184 +1974 -9748 +9692 -11370 +3667 -6005 +-6294 -9074 +-10108 -7524 +-6586 339 +7896 11226 +2589 10062 +-7102 1372 +-7820 -66 +-5367 -446 +-41 1228 +-3101 1147 +695 -1296 +1910 3225 +-820 3110 +2562 -3835 +2897 342 +-1586 623 +-981 -3163 +-218 -908 +-5788 -4178 +-5216 -5240 +-580 -5853 +12750 -5690 +8926 -3553 +-10583 -3634 +-13101 1197 +-7955 4580 +786 9758 +-2179 14516 +-5851 4154 +-4391 -3221 +-3983 1973 +1719 -2886 +2175 -10417 +1410 -1684 +-565 2852 +2475 -5019 +-783 -2397 +-4402 2790 +5453 -3922 +5309 -1699 +5784 2804 +9509 23 +10561 5081 +6837 2348 +-7813 -2072 +-8202 1740 +-7882 -913 +-5536 -2599 +7537 -38 +840 4802 +3677 2729 +6974 -6355 +-429 -8375 +1248 -6368 +3969 -4925 +11586 1741 +9194 3519 +3366 1582 +2671 6027 +-4586 3395 +-5743 -488 +-5151 2307 +-3681 -3896 +2560 -6417 +4059 -2724 +9160 -7250 +9176 -2844 +3728 5630 +2829 6329 +3596 7218 +8447 363 +138 -5254 +960 -4014 +5541 -115 +-7836 6033 +-5687 5308 +-3051 7547 +-3920 7579 +2819 -228 +8053 -821 +5276 175 +-3591 -3693 +-6251 -2096 +-3333 81 +2260 -8637 +-4977 -12585 +-7523 -2414 +-1416 9612 +-6128 1876 +-6123 -11051 +792 -3779 +-74 1634 +-10533 5068 +-6949 5473 +1483 -1708 +5137 4279 +3913 10871 +-8024 1001 +-6941 -1482 +2681 11168 +10240 8427 +5401 944 +-3502 4175 +61 6673 +2219 1903 +3105 -7047 +4026 -5400 +-1792 -907 +-10307 155 +-7061 -205 +-7466 3618 +-5921 1846 +5793 -5042 +3834 2953 +4755 -481 +1389 -9352 +-5727 -6010 +-3103 -5526 +-3 -6193 +10247 -5989 +9524 -3184 +3705 -978 +5941 2872 +-1418 5393 +-4662 2934 +511 1622 +3001 -932 +1292 2902 +-1852 -943 +557 -4395 +-7171 -8643 +-10605 -1183 +-4962 18127 +-6076 11349 +-2557 -2674 +-6602 -1067 +-7332 -4488 +-5633 -7948 +-2237 -984 +2675 1948 +-2618 5030 +-2920 3157 +-1553 -1723 +5280 2131 +10620 1193 +6140 5035 +3282 4039 +1072 2966 +-9489 14538 +-8098 12832 +12237 5090 +9353 -1067 +1732 -2286 +94 1701 +-3213 -1223 +787 -6994 +-4436 -6059 +-4832 -768 +220 -6411 +275 -4104 +-2706 5487 +-5628 482 +-2811 1181 +1094 5736 +1428 2998 +-3411 -2307 +-3802 -8541 +-437 -8900 +2041 -8155 +7255 -2171 +8282 4167 +-4406 -2325 +-5421 -3611 +8947 -1004 +306 -205 +-10949 138 +-2841 -6670 +3199 -8784 +4916 -7736 +6677 -4902 +1710 995 +-3697 3537 +-1645 4785 +4177 1280 +4427 3275 +-216 4022 +2248 -6652 +3029 -4398 +5918 724 +5470 -6107 +1451 -5284 +2870 1676 +-1999 501 +-2488 -3635 +-11283 -1871 +-9247 5939 +7754 16848 +2606 12416 +-3717 -355 +-5885 3447 +-8695 1336 +-9656 -2315 +-2480 712 +3335 -189 +1228 5760 +1800 8352 +-1659 13416 +-9339 15887 +-42 4224 +5250 1382 +-4243 5032 +-5453 -2495 +-4316 -1024 +-4326 2798 +-2922 -2588 +-639 -1140 +-4485 694 +3327 -7981 +5984 -12998 +-4088 -3579 +582 9501 +5435 3681 +-3660 -8080 +-13787 -4494 +-2913 -3099 +4827 -1931 +-968 -1956 +2127 -3772 +5346 -936 +1993 -4944 +3580 -4017 +5018 3094 +-3286 4688 +8468 2596 +18233 5841 +7138 9047 +-1441 2249 +-8167 -3147 +-528 -3514 +3683 3074 +4048 1062 +7330 -5730 +4272 -1116 +4065 -2100 +-689 -6455 +1473 -6632 +212 -7103 +-10032 -4468 +-6481 1427 +-3416 409 +1222 -1416 +10686 1675 +5894 2042 +110 8208 +175 8765 +-1308 -2401 +1617 -4673 +742 -7884 +-5412 -8479 +-7539 2035 +-6236 61 +-5193 -130 +-1426 4974 +-2652 4629 +-5647 6474 +2748 3277 +985 2747 +-3954 -4242 +-172 -7520 +4779 3222 +8350 12278 +3029 17887 +2641 3211 +4964 -2300 +-55 6558 +-5897 1743 +-4066 2098 +-1573 4899 +-3973 -55 +-1206 -419 +-3025 3377 +-2502 -8195 +-3662 -13546 +-5498 54 +6633 7007 +7547 2689 +316 -150 +-160 -47 +41 5 +6 -5 +6 -2 +4 -3 +2 -3 +-1 -3 +2 -2 +3 -2 +4 4 +-3 2 +-2 4 +0 3 +0 -6 +-1 -3 +5 3 +3 -3 +-1 -6 +-2 -6 +4 2 +2 0 +4 -4 +3 -8 +0 -3 +-3 0 +2 -1 +-2 -3 +0 -9 +3 -10 +4 -8 +8 -8 +11 -4 +9 -4 +-2 -3 +-3 2 +1 9 +-2 3 +-3 9 +-2 1 +-3 -3 +3 3 +3 2 +3 0 +0 5 +-2 4 +-1 4 +4 6 +7 3 +7 2 +6 2 +2 2 +1 5 +4 -2 +1 1 +0 5 +-3 2 +2 4 +2 9 +7 1 +6 -1 +1 1 +-2 2 +1 4 +0 5 +-3 1 +7 8 +2 23 +-3 48 +-15 54 +-12 49 +-14 54 +-4 153 +-153 2469 +-163 8221 +2524 6021 +3701 -5130 +-1015 -4465 +2612 1725 +6098 -2616 +-4660 -3585 +-9967 -204 +-9371 -123 +-5944 -3030 +5375 -3723 +4796 1374 +-2090 -2305 +2361 -6342 +4610 4231 +1726 9832 +1690 9118 +3909 5091 +2559 -5861 +-2004 -4203 +3045 1094 +5617 -3937 +-5401 -2589 +-10061 1870 +-9422 1815 +-6542 -1832 +4685 -4771 +5117 444 +-2557 -1855 +1120 -6717 +5441 3345 +3666 9424 +3537 8688 +4986 4286 +1442 -6334 +-2865 -3786 +3217 578 +4846 -4920 +-5909 -1474 +-9698 3833 +-9073 3614 +-6922 -515 +3705 -5562 +5185 -511 +-2871 -1345 +-129 -6826 +6026 2323 +5314 8625 +5021 8034 +5696 3380 +299 -6519 +-3530 -3206 +3309 -33 +3965 -5733 +-6148 -529 +-9027 5349 +-8438 5045 +-7004 643 +2756 -6112 +5107 -1428 +-3114 -867 +-1365 -6727 +6423 1185 +6899 7550 +6537 6938 +6365 2192 +-909 -6482 +-4104 -2494 +3271 -647 +2857 -6336 +-6160 749 +-7880 7041 +-7359 6592 +-6822 1964 +1518 -6514 +4726 -2333 +-3239 -209 +-2604 -6277 +6524 -33 +8266 6088 +7794 5581 +6681 1015 +-2110 -6100 +-4551 -1636 +3088 -1249 +1575 -6710 +-6052 1945 +-6549 8339 +-6110 7818 +-6379 3168 +339 -6695 +4294 -3142 +-3243 306 +-3754 -5778 +6444 -1221 +9231 4518 +8637 4117 +6792 -155 +-3142 -5691 +-4837 -949 +2912 -1784 +567 -6916 +-5664 2805 +-5190 9236 +-4858 8677 +-5877 4085 +-714 -6713 +3782 -3796 +-3180 819 +-4626 -5108 +6299 -2186 +9959 3000 +9270 2670 +6767 -1209 +-3965 -5034 +-4911 -81 +2618 -2141 +-538 -6833 +-5170 3684 +-3643 9958 +-3393 9329 +-5130 4974 +-1765 -6404 +3174 -4277 +-2966 1306 +-5341 -4250 +5870 -3050 +10253 1506 +9581 1329 +6557 -2169 +-4727 -4409 +-4911 611 +2238 -2548 +-1595 -6698 +-4604 4332 +-2118 10275 +-1968 9600 +-4327 5651 +-2739 -6028 +2422 -4729 +-2801 1695 +-5971 -3416 +5358 -3921 +10425 -104 +9736 -176 +6151 -3169 +-5385 -3626 +-4794 1335 +1855 -2873 +-2599 -6329 +-3883 5056 +-483 10455 +-420 9750 +-3430 6248 +-3668 -5512 +1704 -4970 +-2474 2171 +-6421 -2429 +4685 -4660 +9250 -1719 +5706 1474 +-1615 4700 +-10331 3448 +-6305 7455 +-2928 8244 +-4902 -1426 +-534 -4782 +5572 -5586 +-2055 -6592 +-8882 -2945 +-2289 -5081 +3730 -3280 +7897 970 +3464 -6653 +-401 -7015 +4083 1891 +-2635 5816 +-4196 8133 +3424 4413 +6077 -1176 +4702 3909 +-3322 8760 +-1078 6278 +4294 1290 +2905 -5850 +6189 -2096 +5506 8058 +7052 2612 +4574 -7072 +-2712 -9503 +4212 -4681 +6846 1822 +1668 -3096 +-4285 -4590 +-9151 -2648 +-1308 -6467 +5115 -4429 +52 -4683 +-7868 -3357 +-7126 -795 +1091 -4398 +4880 3211 +7101 4036 +5424 -6428 +4038 -1912 +3040 5333 +-3575 8034 +1216 6956 +7947 74 +3207 2098 +-2216 5143 +-7712 -2465 +-9949 -1838 +-9089 5500 +-4869 -621 +1987 -3145 +2712 7435 +6041 7378 +9359 3063 +-564 6824 +-7802 1836 +-3788 -9297 +-6101 -7348 +-8237 -2063 +2028 -1751 +2466 4275 +-5537 9530 +232 9615 +3359 7864 +-4713 2305 +-3672 -3040 +388 -7787 +-6026 -3260 +-8661 3990 +-5219 -2016 +572 -4823 +6709 -4648 +-1811 -7114 +-5083 -4730 +4360 -1375 +1980 6027 +2363 8757 +5650 825 +3803 -4996 +6247 -434 +3491 8507 +3469 5225 +4153 -1987 +-1830 -6211 +3190 -5810 +9694 1967 +7174 -2964 +-1357 -8207 +-8584 -5009 +-55 -6241 +6439 -3329 +-799 -3369 +-6372 -428 +-8756 4246 +-5379 -3748 +937 -6587 +-3030 -3392 +-8246 2780 +-5966 4079 +-2099 -3970 +5901 -865 +8190 -1718 +-71 -8240 +1891 -4047 +5968 1877 +2710 8274 +5634 4250 +6142 -5248 +3872 -565 +1767 5235 +-7575 3277 +-8996 5195 +-3446 9959 +-4244 2662 +-641 -3622 +7013 3705 +9658 1415 +9339 -3926 +4159 5453 +-4727 6615 +-9078 -4137 +-9562 -1225 +-7609 4003 +390 -2637 +4744 1376 +2112 10512 +6587 6811 +7879 3438 +-2069 4749 +-4876 167 +-4822 -6043 +-6906 1496 +-4256 8548 +-5490 1788 +-2784 -3971 +2194 -7755 +-6014 -4068 +-7057 -239 +2474 -3879 +5447 3142 +7531 4903 +4978 -3108 +-250 -6185 +4639 -4379 +8280 4093 +6146 1652 +2015 -4204 +-5352 -3422 +-1224 -6311 +8856 -4705 +3728 -6820 +-6387 -5275 +-10004 1705 +-4087 -4656 +3001 -6356 +5897 -2628 +12591 -686 +9261 637 +5619 -3426 +411 -1370 +-2446 4242 +-1729 -179 +-3475 899 +-6508 7303 +-9664 4192 +662 -5965 +3181 -15000 +-12414 -7854 +-7407 -1414 +8760 -5357 +10129 -1392 +3716 -888 +245 -1877 +3680 1192 +-208 -117 +-6723 2105 +-5140 7441 +-7677 9896 +-4144 2724 +6718 -1705 +5184 4394 +-513 1450 +-6134 510 +-8888 3454 +1130 1190 +-240 5428 +-10436 6822 +-4419 3319 +-2359 2756 +-2109 4684 +9066 4630 +9574 -1254 +1807 823 +-3329 3040 +-7273 -4308 +-4618 -7095 +3081 -6396 +4444 -4558 +-2087 -3458 +-4357 -2133 +-4126 4101 +-6308 4310 +-7065 -3093 +-5140 -6807 +2165 -3818 +2528 1543 +-982 8511 +4648 9550 +5273 -1387 +2434 -4248 +3027 -3672 +5191 -10664 +5942 -3000 +1462 2865 +2045 -7791 +998 -7302 +211 -261 +4976 3868 +-106 7095 +724 -2307 +9212 -9276 +7570 -5608 +2062 -6157 +-602 -1222 +877 4703 +-1494 970 +-2193 2984 +-357 9687 +-4861 9380 +-3383 -4840 +-7352 -13149 +-15035 2332 +-6815 3768 +3370 -9581 +8301 -5446 +14002 4001 +17138 3967 +8169 5805 +-586 8392 +-3416 2442 +4777 109 +9352 4542 +1103 705 +1214 -1033 +-798 -4829 +-7193 -13670 +-4148 -5786 +-2218 3133 +1587 1592 +5934 4742 +4953 6633 +5960 3142 +10714 2524 +12576 1472 +-169 -3903 +-10900 -2446 +-6273 2582 +-1707 230 +2931 -4204 +3085 -2699 +-1684 4937 +-2469 4843 +-4409 3797 +-1660 7165 +-2606 -922 +-13753 -2463 +-11087 3511 +-252 47 +746 -2578 +2328 -315 +3361 5415 +-2864 2320 +-5736 -5548 +-5890 -3432 +-3472 422 +-285 2439 +-6257 -6429 +-1322 -10895 +8457 1069 +-619 704 +-3448 -3847 +-528 -1678 +-4114 1751 +-2064 6820 +2623 178 +4678 -8996 +-3522 -8068 +-8638 312 +-1055 3934 +-3149 486 +-6239 5803 +-752 3386 +807 -1711 +-251 4313 +-3156 1752 +-4356 4282 +-623 5654 +2407 -5311 +6029 -4209 +13908 -3784 +15839 -8292 +10088 -1058 +5001 6503 +-1076 3975 +3608 -3052 +10107 -2792 +1347 -216 +210 -1561 +-3779 -2993 +-14535 -5255 +-7042 -1468 +366 3775 +2256 133 +7639 -461 +7320 2904 +5009 3150 +4569 -2188 +-1808 125 +-2114 8454 +621 5964 +1504 -1341 +7322 -5408 +4479 -4498 +1306 1067 +3858 3923 +-3558 367 +-2449 -197 +4557 1974 +4383 520 +7571 -2355 +3393 -4925 +-1654 742 +1683 4528 +4265 -2347 +1847 -4 +-8397 3868 +-6199 2441 +341 5409 +-5836 3610 +-1893 1305 +4786 -2232 +6620 -8020 +11296 -1803 +10337 3300 +4667 -754 +-4587 -174 +-7659 3487 +-4871 1079 +-6096 -4388 +-666 -6514 +5807 -9252 +5540 -6197 +-2219 7516 +-14368 10472 +-9100 -1524 +4007 -6151 +-384 2249 +-8741 9015 +-7928 3835 +-6854 -3816 +-8577 -1759 +-6495 3129 +-453 536 +4198 -2781 +-2645 -2834 +-8396 -4621 +2370 -1254 +5050 4727 +-1617 3035 +-1704 2123 +-5630 3281 +-7815 2321 +-661 6021 +5806 5021 +6431 -4752 +6414 -3678 +8252 602 +3377 -4962 +-143 -2543 +4485 405 +2302 -4619 +-1308 1286 +4083 7470 +4430 3806 +148 -1965 +1750 -8813 +280 -6211 +1708 -92 +5656 182 +-2363 2691 +-1958 1504 +4818 -1715 +3634 -2624 +4099 -6890 +-619 -7309 +-663 -3876 +384 -2882 +-8208 -1713 +-11899 951 +-8220 1423 +-3395 5753 +-2312 2139 +1351 -7652 +7087 -3297 +6797 4814 +-761 8416 +-6819 9670 +1162 3547 +2703 -1930 +-2949 -2377 +2646 -2571 +-933 1259 +-1097 6125 +10629 6197 +6271 7182 +-67 8843 +3080 7983 +1692 6102 +-923 -897 +1126 -6183 +-1182 -637 +-5879 2198 +-1617 -1644 +69 -4328 +-1273 -2560 +3341 4724 +3248 381 +-3391 -8322 +-8261 -444 +-7754 982 +-5667 -5019 +-1898 -2184 +-139 -1381 +-3567 -385 +1252 -2043 +3859 -7849 +1860 -7292 +7177 -1877 +5434 6431 +-608 6586 +-4872 -2989 +-2658 -9056 +2035 -7017 +-6545 707 +-2878 282 +8244 -3598 +2026 -5491 +-1196 -9311 +-294 -4099 +70 -3766 +4337 -5530 +8199 5401 +9133 7757 +4356 9044 +1839 9692 +3996 -3892 +3516 -6319 +-5599 1499 +-13127 220 +-4864 -3514 +-1312 -2502 +-7665 4062 +-8773 8323 +-5519 6347 +1025 6481 +-456 3024 +-3946 -6648 +3449 -6997 +8461 -686 +4801 6840 +734 11642 +3122 1877 +909 -3211 +-3842 162 +84 -2831 +729 -1079 +-55 188 +10 4 +3 -2 +2 -3 +0 1 +1 6 +-7 5 +-1 -1 +1 -10 +0 -8 +2 -3 +6 4 +2 4 +5 8 +-1 12 +0 11 +3 9 +7 9 +5 8 +2 4 +-6 2 +-4 3 +-4 5 +-5 6 +1 7 +5 4 +-2 6 +-5 10 +-2 5 +2 2 +0 -1 +-1 -1 +-7 1 +-5 5 +-5 6 +1 4 +2 1 +-4 2 +2 7 +0 6 +0 -3 +-2 0 +-6 4 +-4 6 +0 7 +1 9 +0 0 +-3 -3 +-4 4 +-3 3 +-6 5 +-4 1 +-4 -1 +-5 5 +-5 9 +-3 7 +-3 5 +1 6 +-1 6 +-3 -2 +2 -2 +4 1 +2 3 +-3 4 +-5 6 +-1 1 +2 5 +4 1 +-1 1 +-3 -2 +-4 -5 +3 -6 +4 -6 +4 1 +2 1 +-1 -5 +-1 -1 +-2 2 +-1 -1 +1 -1 +-4 -3 +-4 0 +5 6 +0 -3 +0 -2 +0 3 +1 -2 +2 -6 +3 -5 +7 1 +1 3 +-2 3 +1 7 +-1 1 +-2 -3 +-6 3 +1 3 +3 4 +4 4 +8 4 +4 0 +-4 2 +-4 3 +0 3 +-3 2 +1 -2 +-2 -3 +-2 1 +-1 7 +-7 9 +-5 5 +-3 -1 +2 5 +1 3 +-4 -3 +-1 -1 +-4 -3 +-7 3 +-5 7 +-8 1 +-6 7 +-6 4 +-1 0 +2 -4 +-1 -2 +-2 4 +-6 6 +-1 12 +-1 12 +-2 5 +0 8 +0 4 +-3 -3 +4 -7 +0 -1 +-4 -1 +1 8 +-6 7 +-2 4 +-2 -1 +0 -1 +-7 1 +-8 2 +-3 8 +0 6 +3 3 +-6 -1 +-7 -3 +-2 7 +-2 8 +0 4 +0 4 +-2 6 +2 3 +-3 3 +-1 1 +3 2 +-2 1 +3 9 +4 8 +-2 3 +-2 4 +0 3 +-7 9 +-6 7 +0 5 +8 3 +3 0 +-3 -1 +2 -2 +-2 4 +-3 0 +1 0 +4 6 +7 6 +1 3 +-4 5 +-5 6 +-3 2 +-2 2 +-7 6 +-2 2 +1 -1 +4 -3 +0 -6 +0 -7 +5 2 +8 -1 +8 -5 +7 3 +0 3 +-5 3 +-3 8 +-3 -1 +4 -3 +4 -3 +4 -1 +-1 8 +-2 7 +-1 1 +-3 0 +1 5 +4 6 +1 0 +1 -8 +1 -2 +0 -4 +-3 -2 +-5 2 +-9 -2 +-6 -3 +0 -2 +-4 -1 +-5 4 +14 9 +25 8 +26 4 +41 19 +31 19 +25 114 +-449 2549 +-1242 8745 +1847 6821 +4582 -5008 +-454 -4984 +2490 2148 +6818 -2023 +-4378 -4572 +-10498 -1665 +-9888 -1449 +-5916 -4082 +6201 -3310 +4998 2073 +-1870 -2752 +3377 -6532 +4278 5085 +362 10735 +382 9987 +3289 6065 +3614 -5915 +-1408 -4928 +2951 1630 +6520 -3305 +-5206 -3645 +-10864 387 +-10180 471 +-6668 -2936 +5571 -4472 +5325 1123 +-2421 -2374 +2112 -7073 +5175 4296 +2402 10591 +2374 9808 +4570 5378 +2520 -6516 +-2397 -4574 +3230 1078 +5832 -4509 +-5939 -2511 +-10797 2605 +-10085 2528 +-7267 -1495 +4597 -5472 +5580 66 +-2852 -1844 +665 -7423 +6087 3149 +4587 9908 +4339 9221 +5635 4388 +1085 -6923 +-3424 -3939 +3449 339 +4890 -5727 +-6441 -1349 +-10211 4736 +-9534 4475 +-7564 -98 +3548 -6303 +5595 -1024 +-3226 -1290 +-783 -7455 +6626 1927 +6442 8871 +6070 8193 +6424 3106 +-212 -7097 +-4075 -3261 +3506 -303 +3754 -6465 +-6672 5 +-9302 6578 +-8668 6155 +-7548 1291 +2322 -6916 +5366 -2068 +-3427 -592 +-2209 -7108 +6906 618 +8123 7455 +7620 6865 +6967 1880 +-1521 -6826 +-4678 -2395 +3381 -915 +2552 -7014 +-6650 1152 +-8005 8000 +-7429 7550 +-7218 2624 +1111 -7137 +4958 -2927 +-3509 -98 +-3366 -6688 +6955 -522 +9213 6012 +8634 5521 +7234 750 +-2611 -6519 +-5034 -1688 +3218 -1543 +1408 -7397 +-6379 2212 +-6667 9231 +-6202 8617 +-6761 3644 +-36 -7245 +4430 -3634 +-3492 503 +-4367 -5982 +6865 -1573 +10162 4457 +9485 4021 +7377 -440 +-3596 -5985 +-5294 -825 +2986 -1933 +280 -7385 +-5954 3130 +-5168 10054 +-4775 9448 +-6085 4705 +-1164 -6985 +3827 -4213 +-3313 986 +-5229 -5240 +6542 -2576 +10657 2889 +9966 2664 +7213 -1407 +-4454 -5320 +-5416 -53 +2625 -2383 +-841 -7379 +-5499 3901 +-3597 10615 +-3336 9972 +-5365 5528 +-2257 -6749 +3155 -4812 +-3130 1486 +-6051 -4277 +6027 -3579 +11064 1037 +10292 865 +6853 -2699 +-5351 -4485 +-5329 899 +2231 -2815 +-2129 -6986 +-4648 4934 +-1528 11077 +-1365 10328 +-4229 6381 +-3536 -6178 +2157 -5264 +-2800 2092 +-6774 -3047 +5234 -4669 +9982 -1219 +6011 1889 +-1950 4866 +-11336 3012 +-7398 7521 +-3819 8640 +-5174 -1890 +-237 -5160 +6412 -5452 +-1518 -7290 +-9239 -4092 +-2009 -5641 +4260 -3119 +8209 1955 +4462 -6633 +350 -7569 +4091 2472 +-3547 5926 +-5599 8258 +3088 5209 +6692 -495 +4564 4715 +-4682 8930 +-2039 6584 +4369 1935 +3767 -5863 +6841 -1580 +4915 9236 +7171 3659 +5733 -7015 +-1749 -10501 +5007 -4585 +7045 2783 +2162 -3024 +-3950 -5467 +-9417 -3963 +-577 -6996 +6045 -4036 +767 -4980 +-7831 -4739 +-7477 -1855 +1754 -4515 +4650 4069 +6901 5368 +6703 -6043 +4542 -1519 +2462 6092 +-4965 8101 +224 7613 +8420 1113 +3110 2622 +-3127 5216 +-7850 -3682 +-10324 -3415 +-10613 4650 +-5243 -1269 +2514 -3170 +1791 8312 +5301 8819 +9512 4513 +-1508 7219 +-8607 1055 +-2836 -10330 +-5503 -8562 +-8589 -3150 +2235 -1593 +2025 4865 +-7238 9493 +-1215 10340 +2447 8921 +-5286 1858 +-3468 -3748 +1634 -8237 +-5839 -4476 +-9852 2911 +-5248 -2918 +1330 -5080 +7824 -3857 +-658 -7870 +-4615 -5880 +4775 -775 +1015 6699 +845 9718 +5787 1894 +4895 -4610 +6615 601 +2114 9643 +2612 6236 +4675 -1332 +-853 -6809 +4272 -5587 +9753 3790 +8000 -1822 +47 -8912 +-8112 -6726 +926 -6584 +7300 -2399 +-234 -3636 +-6567 -1545 +-9986 3062 +-5086 -4827 +2154 -6875 +-2544 -4218 +-9202 1485 +-7114 3383 +-1572 -4547 +6283 117 +8943 -269 +1472 -8741 +2631 -3999 +5844 3055 +1181 9302 +4934 5674 +7429 -4348 +4162 79 +833 5903 +-8566 2157 +-10394 3934 +-5401 10031 +-4939 2176 +-67 -3990 +6718 5108 +9846 3208 +10346 -2591 +3385 6494 +-6120 6342 +-8857 -5914 +-9904 -2951 +-8834 3075 +761 -2746 +4820 2233 +510 11705 +5755 8465 +7793 5072 +-2916 4869 +-5230 -473 +-4114 -7156 +-7463 472 +-5837 8481 +-6025 1146 +-2321 -4617 +3546 -7926 +-5629 -5350 +-7416 -1332 +3217 -3742 +5276 4222 +7147 6578 +5771 -2416 +759 -6612 +5562 -3891 +8077 5732 +6206 2835 +2815 -4114 +-5106 -4512 +-361 -6939 +10073 -3535 +5091 -6600 +-5781 -6718 +-10766 243 +-3548 -5573 +4275 -6362 +739 -2383 +-639 -5726 +-6131 -9142 +-8444 -5876 +7330 2572 +15603 8142 +4218 832 +-4360 898 +-6948 1608 +-3370 -7570 +3428 -3499 +467 -4810 +-3251 -5771 +-4126 440 +-2617 -6736 +5554 -4246 +5166 -120 +-2528 1480 +-6868 15138 +-5465 9749 +2809 -8965 +1608 -12046 +-3151 -5696 +-421 -2115 +1081 293 +3154 4253 +1836 3149 +2801 -307 +6234 -6817 +-3367 -7936 +-8742 -1840 +-2224 -6275 +-1419 -2248 +-8163 7572 +-11411 68 +-3408 -2792 +3597 3606 +4404 3961 +7521 -354 +7412 -903 +7979 2326 +11006 1916 +2250 7097 +-2003 10969 +9504 1923 +8191 2075 +-1039 11142 +53 9255 +-1960 6291 +-2880 7158 +2531 4835 +3238 3530 +-1161 -2621 +1020 -11313 +8651 -7524 +378 -1696 +-7289 -2937 +-356 -7662 +-5022 -6251 +-9130 3975 +-1388 4187 +2490 1796 +3173 4512 +514 1492 +-2227 -1057 +-4254 -4014 +-10714 -2561 +-10354 1269 +7413 -2969 +17582 -4342 +3895 -2170 +-2798 3567 +-4238 5730 +-7579 -3322 +350 -4819 +-2773 -3913 +-6353 -2161 +-2969 3009 +-6642 -3259 +-1811 -4727 +-3117 3507 +-4037 3067 +-1974 94 +-4733 76 +-6705 -8390 +-3327 -16384 +-3555 -5495 +-905 1996 +609 5219 +-7044 7103 +-4651 -524 +-4303 10400 +-8902 14936 +161 1001 +3935 5708 +-436 8168 +4079 2631 +10938 -1910 +13759 -7840 +9411 -3484 +-144 -43 +-4978 1861 +-3207 4650 +2143 6493 +2942 8947 +-2711 2375 +336 -1028 +6726 1561 +-1226 936 +-10286 -2042 +-13357 -3746 +-11859 1855 +2270 -3776 +10688 -10487 +4072 1679 +-1878 3333 +-3973 -5028 +-4095 -5297 +-3328 -2564 +1166 -1515 +5874 -6707 +-145 -7171 +-3097 1641 +1907 3704 +2909 735 +5024 1677 +1272 -3468 +-9250 -9411 +-7762 -2310 +-932 1099 +2434 -6722 +6384 -6532 +7262 3967 +2057 1248 +-6486 -10626 +-4160 -3075 +6969 2033 +8646 -4134 +6062 659 +528 720 +-5445 -470 +2803 1690 +8585 -583 +1012 5800 +-2276 9967 +-1062 4559 +-1381 1300 +-3571 3255 +-10827 -1508 +-13718 -9427 +-6414 -1522 +755 2007 +4037 3320 +-532 9813 +-3927 2766 +3722 10499 +3340 16756 +764 662 +6763 1676 +3960 7565 +-929 2497 +1219 -1770 +3753 4319 +1474 6452 +-3547 -637 +-2695 -5833 +-1567 -5484 +-968 2132 +498 -3425 +-5791 -8954 +-7908 7105 +-2208 12079 +7169 7234 +8709 -966 +-4920 -11952 +-1365 -3628 +7840 -1199 +-1121 -8495 +-2752 -3895 +-294 -1097 +-1112 4080 +-306 10871 +-3838 4556 +847 -2273 +6850 3377 +-2130 4406 +-2951 -2538 +7956 2057 +5083 3303 +-4049 -342 +-5022 3937 +-7452 1837 +-11451 2646 +-6871 8115 +-1124 3926 +-5551 -2620 +-5170 -3598 +-1581 1518 +-10274 2496 +-10447 5441 +-2936 7930 +98 -5398 +11311 -8077 +18206 3744 +11034 9728 +-1466 10917 +-5567 4555 +1522 -3179 +468 -6736 +-1475 -6597 +-837 -2714 +-3656 -5398 +330 -8206 +4342 -7485 +6897 -11007 +3436 -10431 +-4194 -5031 +-1499 -1295 +-586 1746 +1001 4464 +4808 5040 +1841 -3426 +3669 -9638 +6222 5 +1583 3894 +-251 -1985 +5792 556 +5529 3647 +-3109 1945 +-5991 -2342 +-4916 -2840 +693 2239 +-1847 -2797 +-10419 -2675 +-1546 10372 +6177 10335 +10313 657 +6192 -6360 +-11756 -5608 +-4696 -2566 +7502 -3767 +3241 6097 +-1873 11154 +-1581 10164 +2325 6819 +4918 -5303 +-735 -5150 +2485 2635 +7353 -1931 +-4471 -4996 +-11037 -1920 +-10096 -1556 +-5728 -4364 +6625 -3306 +4772 2309 +-2186 -3096 +3705 -6859 +4347 5529 +47 11241 +112 10161 +3498 6130 +4059 -6104 +-1525 -4876 +2937 2193 +6917 -3100 +-5344 -4024 +-11246 121 +-10261 311 +-6476 -3247 +5947 -4452 +5145 1400 +-2744 -2597 +2395 -7304 +5229 4666 +2050 10996 +1934 9943 +4512 5425 +2862 -6588 +-2411 -4385 +3271 1622 +6259 -4312 +-6060 -3058 +-11140 2004 +-10107 2103 +-6994 -1991 +5027 -5348 +5342 483 +-3117 -2054 +1044 -7593 +6066 3525 +4123 10261 +3835 9209 +5487 4335 +1529 -6981 +-3217 -3851 +3542 883 +5390 -5425 +-6488 -1782 +-10574 4121 +-9534 3921 +-7230 -731 +4063 -6197 +5367 -512 +-3486 -1491 +-322 -7586 +6569 2541 +5792 9453 +5355 8456 +6252 3461 +504 -7086 +-3834 -3304 +3651 409 +4521 -6092 +-6712 -737 +-9866 5727 +-8883 5438 +-7333 532 +2978 -6664 +5351 -1219 +-1564 2000 +3892 -3089 +7402 -3953 +-736 5159 +-6575 -126 +-8693 -1023 +-3492 5743 +4429 4578 +-3222 6820 +-8997 -515 +-6848 2067 +470 4761 +7131 -12 +3281 5624 +-5036 7587 +-985 8849 +9473 587 +7754 -6008 +5705 2213 +768 7123 +2943 1141 +4050 -7055 +-1497 -8665 +6378 -4218 +5497 3886 +4571 -1891 +1003 -9370 +-2079 -6335 +5488 -1136 +-2225 6759 +-1210 7443 +7755 2987 +3152 2950 +-4132 4750 +-9377 159 +-5701 3261 +2290 8336 +-804 3204 +-6136 -13 +-190 -8322 +-1178 -10263 +-8541 -6227 +-778 -5162 +3693 830 +-6398 4782 +-5523 8374 +58 10029 +-6726 1675 +-4505 -6752 +7639 -6763 +5111 -8445 +-1125 -8392 +2341 1030 +-3224 4062 +-10891 -2041 +-9055 3487 +-6546 6709 +-3552 -4274 +1282 -4139 +7590 -2934 +978 -6663 +-6837 -6995 +624 -6096 +4882 -476 +6936 3683 +5909 -3737 +3062 -7637 +2671 4354 +-5217 4152 +-7541 4913 +877 6584 +6425 692 +1877 7190 +-7413 5392 +-4099 6020 +3373 3371 +5569 -4489 +6167 2106 +926 8874 +4983 7336 +7772 -3519 +164 1098 +-3264 8021 +-4222 -147 +-2503 -3725 +-2532 -6033 +-4510 -1953 +-9222 9881 +-8248 11627 +-407 3207 +-3440 -2825 +-5959 -513 +-989 6681 +-1593 2737 +1400 -220 +7286 3179 +6599 -4405 +1789 -2167 +-2526 -518 +-2520 -6398 +-4898 774 +-4147 4213 +-824 -2728 +-9198 -8674 +-12282 -7439 +-4361 2171 +-992 7278 +-1664 3757 +-3844 1232 +-4516 -5927 +-1560 -4084 +2939 3673 +4214 -1864 +-788 -3911 +225 -4090 +8088 -4611 +9622 -1209 +7610 3298 +415 5824 +-1622 10952 +1443 9202 +-2763 785 +2474 4048 +8283 -7993 +9294 -11917 +1570 2075 +-10083 251 +935 -4176 +5759 -161 +-4620 8605 +-2291 -436 +13245 -4236 +13446 -1426 +-1896 -5627 +-3627 1487 +-5557 -4343 +-10151 -6620 +-5089 -2606 +1747 -8096 +6149 -7554 +8272 -4187 +4351 1523 +1557 3136 +4099 -1788 +-524 -4723 +710 2415 +2914 7836 +-3396 2604 +-4373 -1106 +-5921 -2760 +-4829 1534 +-633 13326 +1254 13934 +1758 2621 +-4536 191 +-5076 3479 +3497 5541 +546 3008 +882 -1109 +7657 -2408 +595 -7663 +-5992 -4898 +-4772 -472 +2353 -3654 +5452 -3493 +-3917 -1815 +-8550 2991 +-6962 1406 +2001 -3081 +955 3011 +-9842 6028 +-4852 1758 +-1539 929 +-4973 3979 +-4303 -743 +807 -8300 +7035 -5015 +3557 3105 +-1916 6457 +-460 2961 +428 3616 +-917 10919 +-3244 2800 +899 -4427 +2847 5806 +312 9277 +3362 3063 +1110 -5658 +1783 -4918 +3109 3827 +4807 5883 +-1485 8349 +-13364 7507 +-1847 -745 +1808 -2151 +-2688 -3481 +-1466 -6058 +62 911 +5741 -5352 +4368 -14455 +730 -8340 +-9820 -9743 +-12677 -4262 +-1617 3469 +5254 -2727 +3358 -602 +2407 5561 +4037 3288 +-4283 1124 +-5561 3762 +-2592 -95 +-3279 -4972 +-85 2417 +11433 1269 +14583 -7337 +2396 -8092 +563 -13519 +-2318 -9296 +-1673 1543 +3943 -4052 +3624 -3863 +404 -1063 +367 -996 +9292 9932 +247 9693 +-8140 2851 +-4184 2386 +-537 -4221 +1891 -6116 +-4279 1278 +-4642 7814 +-4479 5588 +-509 -3638 +2779 1620 +-3594 10994 +-2622 4543 +-607 1749 +-1151 6214 +-3751 2261 +-4916 -6562 +1497 -6943 +4643 -3787 +-380 -5940 +-3783 1156 +385 3045 +-529 1863 +2817 1464 +-752 778 +-4562 -7412 +-248 -14859 +-1533 -4679 +-5054 3429 +-4691 3581 +-225 -303 +-4165 -5420 +-5017 1160 +4271 -141 +1775 -7545 +-961 -1204 +5341 808 +5875 -1235 +-691 -3915 +-8744 -11064 +-2837 -9310 +2502 -258 +-1782 3685 +1352 1275 +1462 1862 +2844 -317 +-1876 -5149 +-2955 1173 +6862 4505 +6965 -6865 +9307 -6754 +407 3851 +-9772 -1339 +-5278 -454 +170 2043 +3032 -1835 +-923 5961 +-1191 7575 +3158 6428 +3081 4668 +-7163 2046 +-7906 7686 +-2600 12563 +-10536 11657 +-8798 3402 +4193 -7263 +13163 -9870 +12963 -1374 +8853 -4330 +3650 -8010 +3195 3160 +6233 5238 +6722 348 +6749 -230 +-1739 2468 +56 670 +2113 -4728 +-1106 -9215 +3494 -872 +-6132 9450 +-9712 5587 +2160 7083 +3080 -389 +-4376 -4560 +-2238 3326 +2271 2003 +730 1731 +3109 -673 +-94 1152 +-8428 -2500 +-9863 -10749 +-4146 -2377 +-1512 5816 +-1159 5704 +-370 -72 +-6753 -1049 +-2956 4331 +3947 -1667 +2891 -7501 +5709 -10645 +-1870 -7324 +-4473 1658 +6264 -4902 +6863 -6371 +-374 -3049 +2629 -4963 +2592 -295 +-1839 -705 +1182 -432 +-6044 -181 +-15547 4791 +-8635 3789 +1648 -3518 +-462 2687 +-4719 -5308 +-8490 -13876 +-6534 -4182 +1178 1658 +-1460 275 +790 962 +292 5837 +-2890 3325 +1288 6849 +1739 9375 +-1602 -2743 +-6380 2365 +-2662 12531 +-365 5044 +4684 -6535 +7323 -7145 +2126 -213 +2396 -3862 +-6855 -8585 +-6951 -5514 +3226 1531 +-183 3697 +-3192 -2045 +-2469 -1504 +-4674 -2269 +4514 -6100 +14395 -2950 +12111 1960 +8195 1781 +-3005 -1084 +-1793 -1018 +523 951 +-3710 1572 +7387 -1949 +12531 -3229 +13470 1127 +6488 5553 +-5086 3586 +-3193 1006 +-2179 2448 +-1361 -2501 +2928 -2423 +-4685 5838 +-8494 9304 +2421 4878 +3213 -201 +5982 5326 +6222 6640 +-1152 -3842 +-2629 -11916 +-6233 -4115 +-2382 4062 +1636 -7753 +1144 -9183 +-2308 -2056 +-1259 -5353 +1876 -1925 +-1865 654 +615 -1114 +-4716 3879 +-8738 13784 +-4098 8417 +-1057 -3630 +2981 2571 +3022 1465 +-2190 -9549 +3564 -6049 +13423 5123 +1656 3924 +-1356 3339 +2458 -3325 +-2675 -7095 +-4849 -4955 +-5254 -3473 +-5023 136 +-859 -3397 +5002 -250 +602 527 +-4968 -3225 +246 4923 +802 1452 +1312 -5341 +3449 1856 +-1853 5055 +34 6178 +3118 6547 +4201 5319 +4674 221 +-2951 -2048 +-3610 -1632 +764 -13034 +6129 -4046 +8162 7779 +626 -1586 +-10162 -3214 +-15125 -5160 +-10693 -3141 +-9148 4451 +-2858 1886 +189 -5914 +-2291 -6158 +1287 -3112 +634 -6842 +2999 -12403 +1691 -7578 +-5195 8717 +-3436 5642 +3517 -3793 +-795 1186 +-4930 2841 +1335 663 +-358 -1205 +-1349 4362 +-758 3127 +1660 -4318 +-2069 3130 +-7380 8335 +5433 -2480 +11911 -8000 +7039 -2644 +2705 -1979 +5493 -9 +6396 -722 +-371 1795 +-1813 10284 +5186 9643 +10438 11558 +5741 9602 +1807 -738 +-7929 -5774 +-1393 -6784 +13751 -5203 +3882 1778 +1132 3318 +-385 -4042 +-6850 -3327 +-7038 -321 +-6373 1072 +-3767 3494 +-2934 -1935 +3694 -3522 +819 -31 +-6096 1021 +3581 4791 +6752 7391 +-531 5887 +-4568 4489 +-449 4667 +-1695 2800 +4797 -8647 +-533 -6050 +-9915 -898 +45 -7829 +115 -1571 +4482 37 +6852 -3624 +323 772 +5074 -2397 +5534 -16209 +-1512 -18248 +-5242 -4546 +-1149 1796 +-3987 -3979 +-5772 -2801 +1073 65 +1938 -7650 +8720 -1272 +4388 -2667 +-4054 -10515 +1037 2045 +-82 5852 +2256 4806 +444 6069 +-6318 6591 +-1690 4745 +4365 920 +2317 5368 +-2770 8766 +-964 5173 +-2694 -488 +-2254 -5521 +4733 -10079 +4897 -7296 +1021 -3602 +-2255 3080 +3306 8411 +6114 4014 +-5746 3203 +-11951 -1611 +-1610 -613 +5585 9368 +702 11183 +345 181 +8325 -8570 +8032 -1000 +-2611 6571 +-564 8898 +4205 2377 +-2317 -3471 +-7358 -3535 +-8911 -6022 +-1714 -5855 +3286 -2277 +2831 3804 +6297 6101 +1966 5910 +-3309 3264 +2649 2842 +8873 2867 +3751 4547 +-562 6287 +2723 3678 +553 3149 +-1938 -9325 +-4389 -3853 +-8303 5973 +-5202 -5739 +-999 -1143 +3441 -2835 +2857 -7057 +713 378 +2265 -5175 +-6520 -15441 +-12320 -11989 +-7818 1753 +-2965 10116 +-3198 5367 +-10073 -5412 +-3086 380 +1685 -221 +7412 -12077 +16768 -8021 +4764 -5936 +-6141 -5374 +-6025 2764 +-3261 4712 +-109 2261 +2819 -1168 +6358 400 +1589 6219 +-1645 3479 +2154 -635 +4348 -3179 +2992 -6102 +-4610 -5377 +-7048 3125 +-5496 16817 +-10988 10163 +-2631 -18 +2618 -2104 +-5398 -9831 +3427 -4808 +10873 -1967 +4555 -5197 +2644 -923 +6764 -1256 +5913 -3134 +6853 -3380 +3837 -6813 +-3910 -7389 +-1147 -2398 +803 -844 +3963 -4813 +-656 -5183 +-1682 3933 +1584 2392 +-6745 -9751 +194 -8386 +6645 2148 +4549 6858 +-442 894 +-848 -1538 +7887 -764 +6284 2176 +-4628 6237 +-12671 1768 +395 -410 +4484 -3110 +-4953 1180 +-1709 6914 +-89 1412 +-2135 490 +-7587 1995 +-4174 6503 +2602 7975 +1666 5677 +2922 5969 +2004 2840 +-1058 5517 +3835 9302 +1169 6021 +-11325 2638 +-2027 2366 +1314 -1222 +-2265 -13693 +7585 -16908 +-237 -7453 +-8306 123 +-2848 5942 +614 5548 +1399 1693 +1453 -2727 +5296 -3909 +6147 2248 +3782 294 +1247 4152 +-2093 13247 +-4294 4333 +-8434 -7809 +-5130 38 +1781 10679 +4627 8987 +-213 3688 +-3688 -5114 +4643 -3162 +2904 1443 +-1205 -7363 +-1984 -7738 +-6485 -259 +-6685 2042 +-1200 6704 +1036 8278 +1557 -983 +5301 -80 +-1530 6179 +-2464 -2802 +3210 -10093 +215 -10333 +-4663 -760 +-7440 9649 +-5073 -1187 +-4131 -12958 +-232 -6176 +4538 380 +4205 -5708 +1435 -2903 +1739 1857 +1368 -4274 +261 -6602 +9456 -2974 +8362 150 +3268 -5243 +7828 -3599 +929 118 +-10752 2467 +-9211 6402 +-4402 -2428 +-2197 -4120 +-2878 -9182 +-6846 -7351 +-4177 5021 +-5254 1026 +42 7864 +6943 9797 +1613 3202 +-741 5334 +-9159 3468 +-9533 6346 +293 1304 +7143 -5558 +7302 -143 +4102 -4969 +7878 -7372 +5743 2890 +3780 2724 +657 -2818 +1585 -404 +7488 780 +3400 -3288 +3625 2232 +7056 11256 +-522 6010 +-11756 -320 +-4111 3326 +8390 6675 +9578 3628 +2271 2894 +-6344 -1339 +1394 -5390 +3170 -881 +-5816 -4664 +-6660 -4395 +-5144 3970 +-2556 5110 +3135 3117 +1567 -2153 +1169 -9972 +3180 -8611 +-6182 -148 +-6493 4276 +5116 5811 +-3802 3517 +-2426 166 +8247 -64 +2572 -3002 +8862 -2205 +13642 -6182 +2826 -2693 +-5991 13465 +-10122 12270 +-464 -2684 +2022 -14369 +-3028 -10864 +1662 -2601 +-2248 -6374 +-1014 -243 +-272 6740 +-7499 -1662 +-2333 4846 +3214 7551 +6073 -5883 +8706 -6280 +2285 -2070 +-4150 -5206 +1601 -11033 +7381 -5623 +4202 161 +2069 1086 +1898 -1903 +-969 -5579 +-1758 6018 +1905 8488 +2273 5311 +-1092 3404 +-5207 776 +-6305 1623 +-5815 -526 +-5424 3550 +-4561 7481 +-5622 8684 +-1035 -2893 +2685 -4805 +1054 10058 +689 9442 +2739 5364 +5603 1959 +4781 3310 +3994 3545 +-99 2850 +-4755 4495 +-5382 5492 +-2184 6831 +3500 -3635 +27 -4160 +-3203 582 +-3270 -1825 +-4221 -6850 +2413 -11178 +6331 -4159 +-272 -2052 +-5847 -8049 +-3356 -8322 +-4833 4096 +-2206 7499 +7781 904 +-557 5107 +-1836 1731 +6314 -5509 +38 -3900 +5442 -7464 +6607 -13446 +405 -3682 +4049 13884 +-2404 15580 +-7362 3742 +3176 1898 +9053 2316 +10503 3758 +6382 2135 +5950 -8001 +5715 -8526 +-5612 3325 +-4430 2566 +-380 -5540 +-3584 -1503 +-726 -2481 +6445 -4851 +1971 -3146 +-5767 -6547 +-244 -8999 +-1761 -2384 +-8078 990 +-2308 -2652 +2876 -3120 +-1806 -4625 +2398 -1320 +7493 -64 +-7636 -3097 +-11719 -2629 +-3749 4609 +-5897 10399 +-6158 7064 +-1746 4302 +7240 -3407 +7118 -1014 +-6309 3068 +-2726 886 +6812 828 +3706 -7129 +-222 -8870 +-6049 -9690 +-1348 -3532 +9584 -289 +6311 -4469 +6189 6441 +8152 10088 +-1424 8234 +-3917 4046 +177 -3142 +1926 -1253 +5727 4543 +3499 7026 +-1385 2030 +-3036 -995 +3540 -2014 +9263 -265 +7064 9311 +5379 9407 +-4723 4065 +-4662 8386 +-1894 385 +-8474 -9171 +-8377 -2289 +-7875 1983 +-1338 6086 +1889 41 +3401 -6544 +-610 5342 +-6149 7823 +3879 -497 +8527 -4261 +10538 -4086 +6344 -2575 +-670 -9736 +-1231 -9912 +-2236 6207 +-1796 4778 +-3969 -3828 +-3797 1181 +-2178 -1418 +1868 -7761 +-540 -3650 +-8825 -1299 +-6900 -6288 +-1411 -3873 +6309 723 +2163 3729 +-6863 -179 +-6249 -4098 +-937 -5734 +-490 -7949 +6394 -6496 +13654 -8013 +12029 -4715 +10007 -2410 +-1704 -8954 +-3268 -7726 +309 -62 +-4572 1805 +-2954 -3862 +-13 -835 +-6041 4247 +-9022 4984 +4324 8853 +6946 11095 +3133 14667 +1053 10196 +-6217 4697 +-5422 3724 +-6354 -869 +-5698 -3947 +3197 -1992 +5717 3844 +-2222 527 +-3223 -1339 +-1474 2651 +-3200 3714 +6468 4053 +9703 -647 +436 -1553 +-2362 523 +-4804 3326 +-6074 3429 +1769 -1095 +-1043 831 +-5889 4549 +-1464 2991 +8485 -7342 +12188 -8854 +-7214 2961 +-5641 4035 +-1494 -2780 +-8599 -3421 +9872 6635 +10909 8311 +-1637 -2510 +-5129 -5044 +-2415 -1261 +2392 1357 +-4776 -2644 +-5791 -4051 +-1486 -273 +128 1239 +8010 1362 +8393 -3417 +-2698 -4080 +-2640 -2280 +-1887 531 +-2977 706 +5120 -3669 +4245 1285 +-5423 4263 +-7542 980 +-4439 -3624 +-5503 -5526 +741 -8901 +5427 -14741 +6343 -11238 +6336 -8233 +-7218 -5465 +-7717 -3568 +188 -220 +-2410 4425 +-5697 1771 +-1800 6476 +812 8890 +-2804 4081 +42 -4041 +6788 158 +11209 7650 +5030 3779 +4377 -476 +-767 -210 +-4845 -755 +1219 -4711 +-2197 -6491 +2776 922 +781 7463 +5 -101 +1882 -1394 +-5921 -2593 +-148 -4409 +4479 5320 +4625 2620 +-646 2936 +-1675 2405 +3165 -4513 +-5 -5636 +-592 -12645 +2669 -7654 +3960 2354 +-4115 863 +-7695 -4487 +-9112 -3223 +-10159 5044 +-5043 2396 +-4705 -2203 +2387 2009 +4760 2732 +-642 -1721 +2130 -4949 +-3453 -2297 +-11539 8868 +-5950 10515 +-5492 493 +-4299 -6106 +7610 -8192 +1832 -4357 +-9119 -3361 +1638 -4053 +12214 -6884 +5817 -12582 +2701 -4395 +5427 7897 +4121 155 +6243 -9907 +6798 1416 +1127 7392 +-8026 6679 +-7594 12631 +2792 5092 +5088 -4126 +1874 -270 +-2750 -2484 +-3193 -3182 +2574 4630 +204 5698 +-3611 10053 +3928 9758 +6675 5723 +632 4735 +-2659 -2956 +5222 -4506 +13643 -2226 +6358 -769 +2924 -3298 +-778 347 +-4236 2669 +-2178 -4250 +-5968 -3313 +2709 -1161 +5528 4868 +-18 -167 +1820 -2193 +2147 6680 +2954 7420 +-2314 6596 +-3393 6069 +-1247 2276 +5470 2209 +5393 3508 +-4853 -330 +6073 4542 +13326 616 +3765 -9329 +176 -2151 +-2338 5312 +-11779 7225 +-11148 3860 +7906 5397 +10774 10413 +603 5760 +-717 -1508 +217 -9228 +-10161 -4776 +-10468 3542 +4831 1603 +-3562 1379 +-8032 904 +759 2084 +967 6542 +-15 7463 +-4802 5715 +-4034 5329 +4022 -362 +5459 -3767 +-1788 4179 +-2635 1298 +2062 -2218 +668 -3582 +-2183 -12388 +89 -11039 +4008 -9401 +10258 -5240 +10551 2847 +-514 799 +-1717 -3506 +-4440 -490 +-1230 4550 +4805 580 +-2248 -4378 +131 -4919 +-2893 1269 +406 4634 +5584 -1881 +-322 -3908 +447 -217 +-7099 6435 +-12094 1200 +-7255 -7976 +-3811 -9463 +-2378 -12287 +-1658 -4381 +-2174 349 +1575 -768 +4061 3263 +-4969 -406 +-5008 128 +5019 3998 +7459 3295 +2691 6418 +1476 6660 +499 2464 +5505 -2058 +6432 -1040 +-3998 3039 +7621 -789 +10582 -8512 +-3377 -9312 +-1295 -1693 +1829 5454 +-4123 13090 +-6104 10272 +6869 565 +5473 4504 +-4906 694 +-1841 965 +2664 6339 +3642 -1813 +2853 -4070 +151 3500 +2927 13871 +-700 8442 +-4227 -4977 +2445 -14324 +-5592 -14656 +-8014 -2035 +-2946 -81 +-9276 -7309 +-7760 -10383 +-901 -4005 +-953 -5599 +-12981 -7411 +-15618 2302 +-4596 -2623 +7331 -2482 +14363 4077 +4792 562 +-4094 1808 +-2885 3686 +5321 2703 +3303 -793 +-598 -4978 +6359 1056 +3162 4153 +886 2655 +-4575 3748 +-7074 -1515 +1051 -204 +-1583 3399 +-2255 1922 +9408 -1799 +11002 -4426 +-1168 -378 +1538 -4085 +6736 -1188 +542 4969 +-3376 2851 +-4741 8705 +-798 9084 +-697 550 +-754 -1871 +6233 -5169 +5447 -9747 +88 -4710 +3215 -2079 +4074 3961 +-2007 5950 +-1463 -1153 +3002 8780 +4750 10087 +1020 -2440 +496 -4517 +1561 366 +-5090 -3058 +-1225 -7028 +3829 -966 +4170 119 +5690 3415 +-3109 3499 +-793 1847 +6276 2731 +1592 -3755 +-535 -4869 +2394 2440 +11378 8297 +5027 6573 +-6479 -967 +-7266 -12128 +-13710 -7271 +-7602 3651 +-2398 1805 +-11943 430 +-12046 -3816 +-4606 -4674 +-3530 3299 +-4709 7991 +-4622 5514 +2820 6969 +-1813 1709 +-10967 -3234 +-7195 -1253 +-419 -1282 +-1540 4564 +-2532 -3556 +2776 -15211 +-1663 -4936 +-4919 4681 +-7843 3369 +-9100 -1745 +-4595 -3661 +-2005 3573 +4314 13824 +-464 6314 +-3285 -5459 +-244 544 +-6019 2855 +-10646 3537 +-3860 36 +3029 -8011 +-4256 -5294 +-6366 -6133 +-3756 -3818 +-1119 4654 +5437 3131 +10361 -3160 +11373 3537 +6904 9721 +4027 -1119 +-1353 -4727 +-5856 -2648 +5793 -4591 +11445 -6072 +1224 -3006 +4391 4916 +3017 4989 +-1471 -2316 +3716 -7162 +-3755 -1676 +-4675 -228 +-5780 -290 +-5437 4841 +-1369 3753 +-2464 3856 +6827 2095 +2736 1728 +-2964 -3673 +291 -8334 +2287 501 +10872 -2706 +9030 -3194 +-1639 6126 +545 4432 +4667 -2177 +-1748 -10998 +3036 -12269 +9709 -5777 +2444 -5558 +-4354 -3011 +-1051 5069 +1557 9082 +-67 7101 +6778 3392 +-175 2497 +-10680 4783 +-6506 3751 +-1200 -652 +1865 4468 +-4198 -884 +-7742 -13062 +-4521 -2564 +-845 6722 +-3941 7687 +-6487 6654 +352 7478 +-1793 6715 +-2206 -764 +-1534 -4568 +-8823 -11240 +-3244 -7043 +1392 3231 +-1848 -2186 +-1143 -6419 +-6258 538 +-3596 4556 +-3156 -573 +-10017 1139 +-4428 -1047 +-4761 830 +-1142 6294 +3473 3167 +875 9024 +1763 4868 +-2698 2427 +-6 6959 +6241 -238 +3782 -50 +-2865 762 +5148 -227 +14914 4231 +5531 1113 +465 347 +-4957 4418 +-10480 1511 +-7967 5839 +-723 4094 +376 -7757 +-7698 -7704 +-5147 -2695 +-3567 -3166 +1124 -3423 +6365 6373 +-2406 3947 +-59 -8777 +11014 -11880 +7214 -7594 +-3533 2026 +-3587 951 +-4211 -4748 +-9250 -4389 +-5483 -621 +4211 2282 +10320 1321 +6988 -1311 +2134 987 +1361 3917 +-1239 -8279 +-3458 -15971 +3078 -8436 +1888 3638 +-8915 3975 +-1240 -3710 +6356 -1457 +5069 149 +10035 -1905 +5488 -6252 +-1778 1563 +2154 10117 +7909 6335 +2795 6033 +-2313 787 +-4157 -2435 +-14285 -2782 +-7308 -3193 +3091 1541 +-2858 -426 +-5034 -4021 +-4617 4487 +46 5804 +-2859 1706 +-7161 7287 +-4139 2143 +-3252 3949 +2922 8136 +3953 2810 +303 -6917 +-1342 -5662 +-4696 -3453 +368 -10409 +4739 -6206 +-2342 -3992 +-890 662 +-5478 10158 +-5855 6647 +5036 5511 +10688 4750 +3035 1283 +-2133 6619 +4518 11801 +1345 12678 +3206 6266 +-220 1859 +-7941 8264 +-2536 8731 +6708 2706 +10360 5046 +1160 1941 +-900 -5679 +-5262 -3279 +-6871 -7800 +2312 -7233 +-280 -3870 +-3916 -6367 +-758 4200 +-1276 6059 +-6074 880 +392 -3999 +7358 -5993 +7908 5168 +3182 2044 +-8091 -7134 +-6141 -207 +1738 6737 +278 364 +-6120 -5771 +-3887 -2513 +-1041 -8149 +-2627 -12036 +1317 -1333 +1030 4708 +2891 7474 +6301 6282 +3803 1682 +-1227 1245 +-9700 -5343 +-9030 -5148 +-1103 -3077 +-2363 -7478 +-963 -1068 +5366 4185 +2737 -3937 +-3553 -10421 +-1090 1763 +2953 10512 +-6604 4951 +-5956 -326 +3482 -5178 +5278 -530 +4949 2030 +-4129 -5218 +-4802 -3336 +-5845 563 +-6607 -7917 +-449 -7690 +-4444 -1518 +-248 1016 +2451 10939 +-181 8587 +7493 681 +11393 -3608 +3215 -1065 +2706 6234 +11237 5533 +8241 8458 +3970 1659 +4731 -2163 +-2609 13801 +-8596 11166 +-1077 -2169 +2138 -1640 +4894 2536 +4002 4171 +533 -2234 +205 -4090 +-5675 -1047 +-2496 1916 +-5512 4527 +-13185 4401 +-2596 3864 +3017 -3040 +-4646 -12340 +-1883 -8640 +1165 -2055 +-4605 -3403 +-3223 1779 +-1196 3193 +4711 -281 +6247 2020 +137 2099 +-1964 800 +398 -11136 +7499 -14103 +3126 3572 +1120 3407 +6695 -4250 +8887 511 +9394 898 +3741 -3555 +-66 -420 +-3151 2366 +-3165 -3115 +-936 -4497 +811 -9738 +-3765 -9816 +-11156 1694 +-7123 4731 +977 6085 +-1671 -1684 +-7127 -6608 +6283 -2454 +11109 -3221 +8520 3536 +7610 3989 +-6726 4469 +-4457 3199 +2613 -6147 +-5278 -5011 +-10959 -2205 +-6016 7853 +-123 11614 +-1240 4060 +-3346 2917 +-3169 -1650 +178 -839 +3066 4007 +8982 830 +9605 -655 +6014 4056 +2497 -563 +2400 -4678 +7159 11791 +803 13685 +-2260 -935 +533 -2596 +5438 -1415 +5912 330 +-1086 -1978 +-2569 -3064 +-5012 3088 +-609 3077 +-1069 7003 +-6997 12106 +815 4479 +3076 -4887 +3167 -7638 +5671 -4099 +-2288 -1583 +3185 -323 +9393 1968 +-1421 653 +-5264 3062 +1238 -197 +7805 -96 +1417 3987 +-9094 1403 +-10842 6889 +-12693 5816 +-6685 -2051 +-1838 478 +-2253 1994 +-1720 5653 +-10358 8658 +-12939 4926 +-5940 6371 +-2514 -1305 +3449 -7651 +2388 -1006 +-5175 2211 +-2309 1699 +3539 -7578 +3070 -5019 +64 3876 +5394 -1581 +9285 620 +4375 9169 +5014 4722 +8203 -7996 +-334 -7489 +-12105 -1622 +-7558 -3752 +-6367 -5111 +-559 -2682 +10340 -744 +65 4365 +484 5133 +6572 -3460 +4133 541 +-484 3022 +-3903 2069 +2521 2590 +7981 -7812 +7554 -9578 +-2097 -2692 +-3093 3859 +-1483 1760 +-2496 -3942 +2864 -6200 +-3772 -1915 +-5689 2353 +-157 -8923 +2632 -2815 +5891 7636 +5470 5941 +3649 10888 +6334 1628 +7457 -9865 +-3789 -5723 +-10336 -2404 +-3175 -7785 +1978 -6875 +-2878 421 +2249 -2395 +8587 -4733 +-654 1423 +-2056 5641 +882 -1061 +5965 -5226 +3733 1973 +-6097 6998 +-3810 12180 +-5912 12573 +-6511 2884 +-1085 1614 +419 3493 +5009 -1179 +1821 -8132 +5066 -7443 +10119 -6465 +1450 1110 +-2768 8231 +-8561 5489 +-1118 10937 +8876 12880 +5526 856 +-1255 220 +-6562 2458 +96 -2551 +3600 2 +2191 -7726 +1528 -10334 +-2684 -498 +-244 -1934 +5347 2958 +1399 2881 +-4920 -1736 +-11801 8226 +-5843 12111 +6852 7970 +391 7627 +-2604 1951 +-5331 -9322 +-4030 -9430 +2494 -7303 +-5368 -2291 +-7571 5070 +-2992 -2976 +3121 -8384 +-1142 -4525 +-4401 1046 +775 -461 +-5492 -6138 +-7056 -668 +-2710 -1358 +-1567 -7650 +1256 -4879 +4648 6786 +-1613 13525 +-4446 5042 +1772 3663 +-1675 5467 +-3837 -2901 +1882 -4997 +4300 3534 +84 5791 +4127 2612 +7234 10419 +-1412 9559 +-3309 -3493 +-585 -8246 +1967 -3594 +5908 -1913 +4605 -7712 +-1010 -1813 +2923 801 +1602 -4975 +-5688 -6166 +929 -4971 +8206 1765 +5866 -2776 +-4030 -7220 +-1001 -8796 +3683 -11276 +1895 -69 +3290 7854 +-2999 9674 +6356 8849 +15468 3691 +4865 -3002 +-893 928 +-3567 6009 +-1660 -1983 +2757 -2400 +-3362 -7215 +-5304 -9025 +-127 1492 +172 4128 +-1201 3114 +-1924 2894 +-4196 8096 +-4524 8648 +96 -7149 +3529 -4553 +2178 6862 +4829 735 +1941 -157 +-487 -3716 +-1200 -4545 +-1782 -2599 +2963 -4871 +-4193 1753 +-3981 -761 +-2595 -2804 +-4782 -345 +412 1068 +-249 5097 +5210 3320 +-1463 2421 +-8921 -487 +7473 -3403 +10798 -3103 +2951 -1736 +2998 -633 +3685 283 +2147 1500 +-3181 4161 +-2704 5361 +18 1934 +-10061 10216 +-15845 11231 +-6099 -206 +-624 -3117 +-3316 -1267 +20 3491 +-1425 5470 +513 4349 +8030 -3012 +-6476 -1058 +-16058 5204 +-5207 1811 +5457 4764 +10022 6242 +10284 4890 +7610 1716 +-797 -4649 +-4787 -14479 +-4552 -8337 +505 8543 +4882 326 +3470 -5980 +3346 -1617 +-2343 -2127 +-3708 -224 +-3019 -3796 +-1393 -17549 +2669 -16828 +1503 -1439 +2881 -3070 +2323 -6005 +2011 680 +2390 3029 +1102 3076 +394 3409 +1958 8787 +2040 9394 +-4511 -5478 +-171 -5675 +6161 3799 +4307 -2595 +1455 -1409 +-2759 -2511 +-3870 -2622 +-3089 -813 +-727 -5607 +-658 2911 +-361 4385 +-4838 2606 +-6366 3737 +-8037 6695 +-13996 -4187 +3379 -9265 +9072 -8257 +-2999 -6330 +3842 143 +3547 -9230 +-3082 -9717 +-751 793 +4649 5436 +5809 5632 +3365 225 +3170 -810 +5295 -5217 +4964 -6282 +-189 -704 +-2858 7959 +1947 10801 +5512 8 +-2124 4393 +5496 8783 +7281 7363 +-12846 7450 +-10547 -4992 +-4883 -4950 +-5668 -1447 +1447 -623 +4450 4978 +2494 3077 +2269 322 +1729 779 +-4691 3919 +-7321 2856 +1664 314 +9842 -338 +-1634 1688 +-11642 5833 +-6706 4846 +395 8540 +4466 8375 +2514 -1290 +5369 -2429 +6495 2111 +8204 -882 +7903 -5242 +3092 -5877 +5065 -3129 +3041 -363 +-2021 -7974 +-5609 -8109 +-7658 846 +-11393 3282 +-4588 647 +7594 -6127 +2385 -3002 +-6996 3223 +-7010 746 +250 -1916 +2044 -2324 +-68 -3540 +-446 -6562 +1271 -1783 +-2000 5427 +-2683 6920 +-1977 10081 +-13724 5817 +-3598 -9074 +1542 -11902 +-6525 -2648 +3027 -2397 +-3352 -9057 +-8820 -5080 +-126 1038 +7216 892 +8230 243 +2776 -2006 +1509 -52 +-3469 1396 +-7658 1468 +-4996 1087 +-549 -6646 +1222 -358 +1664 1120 +4112 -860 +-675 3533 +-5279 -8443 +-4671 -9550 +-3933 -1068 +1100 -4085 +6129 -8404 +16 -10090 +-5483 -3355 +3075 4395 +10437 -1008 +6975 -8159 +3830 -3365 +2403 3530 +8249 2586 +11313 1793 +-2379 2132 +-2562 -3595 +366 -2548 +-1529 -196 +6394 -1463 +1684 4305 +-1025 7740 +-2788 5174 +-12133 563 +-3035 565 +7045 -4069 +2439 -5566 +1548 -588 +5077 -4479 +2209 -2736 +-2118 -964 +2325 -1604 +3028 153 +-12786 5541 +-18704 2998 +-7594 -1366 +-833 9649 +-435 8648 +571 4476 +4370 -3826 +-247 -7437 +-4220 3530 +-3657 7000 +-4941 9009 +5458 3709 +14666 8446 +9431 12487 +-1821 -649 +-2526 -3708 +2542 -4 +54 -2193 +-1497 -6898 +-1409 -2477 +1032 -4056 +-1599 -7850 +-156 1013 +9548 7462 +1267 6244 +-5432 5726 +-3248 3931 +-4643 -4664 +682 -959 +2011 -166 +2766 -3153 +1730 3220 +-9461 -3049 +-9674 -4280 +-3757 1654 +-1671 -3793 +-410 -10234 +-6325 -7583 +-6543 987 +3890 2084 +6964 -2005 +4405 -6588 +2657 -2167 +7560 9734 +4113 -1673 +-2399 -8237 +3125 -2264 +2127 -15 +68 -566 +-2929 -2814 +-5242 8011 +-1634 14353 +-812 10758 +-5455 11909 +-7352 2799 +6057 -2181 +10907 8357 +4776 10329 +5745 11092 +7852 7082 +2510 -4027 +-1359 -1411 +-1269 1086 +-4134 -671 +-1304 -6474 +-3245 -2815 +-4362 12050 +-2624 2097 +-6199 -6147 +-4773 -1454 +3527 -7130 +7920 -7273 +1119 -5508 +391 -7390 +-4126 -2872 +-3910 6553 +8339 8585 +6340 540 +2044 -1343 +-4729 1443 +-11142 -207 +-6189 -6742 +3619 -5861 +2254 3247 +-3746 -1268 +335 -3503 +-80 340 +-140 -361 +-2262 -444 +-1218 -3833 +2500 1936 +-5630 2126 +-4616 -8160 +4662 -10293 +4314 -4496 +-1781 2159 +-2733 5042 +2701 10961 +7329 2016 +993 -6664 +-7380 -2553 +-5936 -1858 +-8533 3169 +-9268 657 +143 -4848 +-299 -7796 +604 -3296 +12063 2587 +2128 -3874 +-7226 -4719 +984 -3629 +1716 -1277 +-263 -424 +-4128 -256 +761 9344 +7752 11790 +6272 8510 +3370 12304 +-4145 6694 +-479 -5278 +475 -945 +-2408 4191 +-1030 5058 +1714 7677 +1664 -344 +-4614 -7370 +-8943 -4869 +-4214 3592 +3448 3424 +4046 -6158 +4536 -6698 +5944 -9281 +-4329 -1923 +-9369 -8348 +-2938 -17382 +-12867 6541 +-14602 3302 +-3352 -5324 +-4202 9913 +-2846 8981 +-2736 5883 +3108 2065 +2429 -5274 +-8838 -4778 +-9255 -216 +-750 2152 +7823 -2201 +4505 -3952 +1495 -3551 +-117 -3168 +516 412 +3260 1266 +2320 -463 +4534 -2816 +6355 -2290 +7626 252 +3262 4379 +2659 7393 +2661 4367 +-3183 5070 +-5308 7338 +-3545 501 +-379 -11222 +-154 -8954 +6104 4304 +5872 6969 +-3116 2140 +-3113 -2537 +6016 -5056 +8601 -3145 +-1837 7064 +-4276 11869 +-2954 248 +-3043 -8545 +-1645 -6413 +2899 -3182 +5666 -5701 +4024 -4254 +7177 1709 +6000 3722 +3489 4031 +2186 1292 +2928 -348 +1522 -160 +-1550 2593 +634 4373 +2632 4262 +6350 4492 +1082 -1424 +-8414 -2540 +-10251 2126 +-1055 5393 +4946 279 +-813 -7259 +-820 -7938 +-1383 -10778 +-4676 1459 +-12776 -67 +-13627 -10941 +-4757 10913 +-2805 8886 +-211 343 +-2562 2959 +-6912 1783 +-5163 5098 +-1164 8966 +9551 1534 +16829 -2020 +7752 11687 +4191 9600 +5908 4540 +-990 2650 +-201 728 +-2737 2005 +-9722 -1195 +-6292 7015 +-3454 3026 +-508 -2218 +-1954 -139 +-405 -7352 +-1193 -9783 +-4314 -13180 +10615 -12143 +8717 -8038 +-7127 -5531 +-7884 -280 +-5627 3937 +-4117 954 +-1100 -1401 +-3357 507 +-8879 -4750 +2175 -3261 +11212 2325 +2021 2366 +-5599 -640 +-3984 -195 +2528 3441 +2292 342 +1009 -3560 +2932 -3051 +2787 1561 +-3182 -6445 +-10880 -9529 +-2491 3800 +6287 4123 +3556 5260 +2654 5817 +-4161 -2956 +-6789 -4020 +-2494 -5196 +-3850 245 +7275 10875 +9453 4814 +-1617 -3265 +1957 -2959 +4179 -1165 +239 -1385 +-3126 -2746 +-770 3170 +1021 5834 +-2098 -1017 +-1809 -10160 +2471 -4493 +5304 9266 +-39 5137 +307 455 +107 3939 +-4159 5814 +-602 7091 +5039 7257 +8316 -5236 +11531 -12593 +13707 3315 +9620 4028 +7617 -706 +1022 2533 +270 663 +-761 3255 +-8414 5455 +-2848 7448 +-7315 80 +-5883 156 +2232 3415 +89 -4847 +-3978 -1271 +-2577 1386 +2319 -3596 +4018 -2986 +102 -2856 +1981 -5951 +-4880 -4413 +-1915 544 +11869 -1057 +6248 7349 +-1630 20171 +-8190 18893 +-9258 5651 +-8538 -7231 +-887 -680 +7927 871 +2103 -6632 +1114 -3212 +-880 -3373 +-628 -4605 +2082 1336 +3156 2328 +3826 -3916 +-2756 266 +2427 4174 +12238 2888 +7760 2571 +4729 -7263 +4461 -6022 +4187 2162 +8260 -1116 +85 -5193 +-966 -5888 +5069 -2485 +-2962 2567 +-3705 4182 +1528 -964 +8735 86 +2490 1032 +-9504 -6414 +-4983 998 +-2958 10113 +-2255 7364 +2670 6262 +4907 8614 +4444 2355 +7901 -8376 +219 -730 +-9562 3553 +-2256 -1207 +3789 2863 +4121 2512 +-4126 -1534 +-2296 -5505 +1331 -5509 +-8081 -321 +-5219 2794 +-3770 -3276 +-6368 -7473 +-6406 3007 +-10972 6120 +-4135 3895 +4111 984 +-3077 -3567 +-3845 1699 +-1031 2676 +-513 -4136 +1214 -4780 +-1816 -2180 +-2328 -5735 +-6649 -171 +-1175 1542 +8465 -8487 +9589 1433 +12040 15775 +7415 16689 +11371 2815 +14771 -5051 +845 -2025 +-300 -6760 +-3616 -6722 +-6480 -1119 +4365 -10638 +12630 -8870 +9042 1434 +-4184 -2336 +-1035 -2467 +5548 -370 +5985 879 +3010 4900 +-2418 4319 +3757 -761 +4800 3247 +-1044 6577 +-2412 4307 +-1823 -25 +-1135 -5488 +-1626 6811 +-10155 10954 +-13421 1048 +-102 -5803 +-2248 -6906 +-8205 -1645 +-1872 -39 +-6764 11265 +-6193 12152 +1571 -1230 +-378 -5154 +87 -1828 +-51 4847 +3576 5458 +7981 5645 +1057 3089 +993 5512 +3951 5718 +1990 -8705 +-7432 -9337 +-11087 -4253 +-267 -7012 +-38 -2234 +-589 4932 +3531 3092 +3946 1471 +3221 2765 +3508 2176 +4588 -1465 +-1223 -981 +-6941 2994 +-8289 -6391 +-10296 -5792 +-8413 5355 +5412 2330 +8070 -1256 +2431 -715 +7944 4118 +7101 2663 +4173 -2640 +-752 603 +-4987 1184 +-1085 1361 +7207 -7937 +8669 -13281 +-666 -2047 +-4640 -4804 +-7204 -2569 +-5847 3363 +-3644 -10785 +3913 -14939 +8003 -4879 +-4779 1079 +-2494 -1128 +3955 -3927 +5077 -3308 +5549 1509 +1005 4738 +149 -2116 +1331 -4407 +3808 -3349 +-3349 917 +-5489 -302 +-1667 -1150 +-4580 5165 +-6905 7525 +-5353 -1990 +-3735 4016 +-5514 7990 +-1137 -1973 +4403 -2336 +655 -7643 +6016 -836 +19186 8008 +20609 -6697 +7655 -3077 +-1717 9003 +3194 2654 +246 1217 +-5310 -6188 +-3279 -7276 +-5226 2225 +-6020 -6258 +-4203 -7436 +-2044 822 +-4286 674 +-4504 -1505 +2946 2649 +-634 1183 +-6145 -8857 +-2073 -8105 +1625 -3027 +1967 26 +277 -230 +2192 -1807 +-3515 -6316 +-10770 -5171 +-4438 -1524 +3477 -2702 +9821 6629 +6354 6385 +-834 -4218 +-1305 -11823 +533 -6751 +2148 582 +-3649 -1776 +-3212 3446 +1858 -243 +3652 2667 +5678 10687 +2268 4200 +1056 -7317 +3713 -12775 +4312 -844 +-1487 4746 +-6385 9035 +-670 9033 +3477 -156 +657 -378 +1069 -875 +3090 1217 +2655 6865 +310 10717 +-1775 1685 +619 -5321 +-1973 2893 +-4451 3397 +-2044 236 +-208 6768 +-500 9974 +-5480 1908 +-368 5350 +812 9439 +-2282 -719 +1836 -4557 +-4524 -6043 +4055 -4630 +20020 -6807 +11456 -16237 +-1035 -2791 +-3288 5467 +354 -3240 +-3362 6499 +-4143 7352 +-6210 -3440 +-2962 -3062 +2183 -5921 +-1661 -12136 +3272 -9803 +6042 8297 +2939 7514 +702 -4189 +-9919 -2445 +-9318 -2740 +3891 6429 +3998 11226 +2975 -452 +-4810 -3364 +-10846 -3653 +7240 -5405 +14015 104 +6265 -1763 +2556 764 +3496 6622 +-4253 4472 +-8804 4504 +2878 -106 +-2359 1925 +-4971 948 +-307 -10203 +-2571 -6754 +-1672 1882 +-5998 -2094 +-8713 -8944 +-8836 -6807 +-5978 -4529 +-6957 -1619 +-9624 270 +-3327 1385 +5963 5106 +9795 3068 +-236 -363 +-1568 -2461 +2295 -4137 +-4006 -3533 +-4888 2724 +915 5549 +5717 447 +1914 -4388 +1255 -2220 +1307 865 +-8408 -3510 +-9147 -747 +681 3386 +7224 5620 +6815 4303 +7138 -298 +10816 644 +12390 -919 +7294 1415 +-234 2570 +4788 -2281 +8361 -7280 +2768 1054 +578 5775 +-1919 -2628 +1703 7044 +1712 8060 +-7013 1520 +-4337 -330 +-2254 -5808 +-9373 -7668 +-4076 -9286 +10185 1881 +7343 3375 +-2267 -3514 +-9117 4872 +-9095 4192 +2587 1117 +-6355 5348 +-12497 2909 +-7124 -3789 +-7863 -3326 +-7059 -1958 +-6976 2537 +-927 7018 +2600 5552 +-7310 2653 +-6908 2110 +4241 5840 +-1971 3764 +-1216 -4351 +2998 -7515 +-4692 -7096 +1165 -8259 +-2797 -6194 +-3194 -3837 +4507 1418 +-1640 7538 +4505 982 +9271 -1322 +226 5598 +1309 6619 +7715 372 +5912 -4937 +6006 -5912 +5748 -6352 +10407 -2695 +13560 47 +3253 2494 +-5106 2945 +-9700 248 +-1955 5330 +4157 2023 +4172 -9021 +3089 -6298 +-4471 1096 +-7357 -1910 +-11555 -5382 +-2340 3033 +8443 1606 +4670 -4317 +54 4176 +-1465 388 +7375 -5237 +9274 2836 +-901 9230 +-8255 5675 +-1475 -1651 +4788 1806 +-4600 2605 +-4675 6196 +3556 2324 +1848 -12991 +457 -8840 +2961 -2908 +-5085 -438 +-10704 -39 +-1594 2777 +5863 2798 +5108 -8181 +4161 -4235 +1371 4169 +-6050 11175 +-7827 10013 +-7899 1848 +-8295 2730 +-6739 3143 +-3762 6426 +3909 5703 +5715 2293 +-3831 6665 +-3868 5959 +7152 1424 +1025 4074 +-3843 -2361 +-2672 -7454 +-8280 -2134 +-3166 -8382 +867 -12879 +371 -11882 +-204 -10486 +-5843 -4312 +-5390 5015 +-1520 3665 +4444 4350 +3011 -1415 +-3631 -6783 +-218 -207 +-763 -4865 +723 -7846 +-2606 -9681 +-5631 -9054 +8488 3383 +10375 6199 +-9155 884 +-12444 2576 +-5025 5368 +-5590 2476 +-367 123 +-5733 420 +-2680 2989 +7504 1922 +2232 -2930 +1040 -6422 +-5725 -3551 +-10063 5381 +-9541 7204 +-9822 6445 +-3997 57 +7010 -7280 +7525 -1813 +-4930 3713 +655 1373 +6852 -6134 +4574 -3373 +6236 -1107 +8345 -8631 +7178 -784 +2271 5837 +8666 -393 +6837 953 +-3371 1947 +-3102 -3424 +-3028 -7115 +649 -4130 +680 3803 +-5320 6428 +-4095 3189 +-2318 -1959 +5003 -755 +9680 3285 +3909 -3411 +5948 -155 +5961 10891 +5132 4654 +4179 2432 +3315 7760 +2888 662 +-3634 -5046 +4427 2130 +6258 8847 +-3728 788 +-7504 -9115 +-7630 -9056 +-7144 -7394 +-7322 763 +-764 7181 +1309 3661 +6380 210 +1421 -3046 +-7365 -2556 +-320 -47 +-3820 -2987 +-4711 -6133 +-8531 -5268 +-10431 -2836 +8941 -3219 +14517 -3916 +7254 -2723 +4799 -6700 +1542 -9646 +7526 85 +7406 14033 +7013 4569 +8287 -5415 +2812 -5457 +3239 -1349 +5823 8944 +2255 -4983 +-2341 -9550 +-1261 1110 +-5869 -4463 +-12208 -1752 +-8150 9679 +-450 9649 +-511 451 +3322 5424 +7036 4700 +-2165 -10094 +1682 -3915 +6578 2816 +8009 -2720 +3913 -7515 +-6349 -13652 +3213 -7818 +9087 5115 +7995 2323 +7289 -2838 +6018 3009 +1637 6760 +-7143 2963 +-7043 -3404 +-6609 -5484 +-2192 -822 +3104 297 +3514 2551 +1103 6401 +-2781 -2522 +-1982 -3498 +-3691 1093 +-4863 2483 +-496 10645 +-775 7791 +-7273 1795 +-2226 -1462 +2490 561 +-2094 7532 +-6607 2267 +-10983 -503 +-3538 4572 +339 4534 +1895 1740 +3946 2514 +-5503 -447 +-4145 -3676 +804 -2699 +515 1337 +4752 7167 +4070 6902 +-2628 6869 +-6516 -360 +-3718 -6821 +2483 -6848 +-267 -8215 +-5169 -8090 +5858 -4791 +14861 5667 +8460 -1064 +2970 -9316 +-1345 -5819 +1578 -3154 +10384 2722 +-1381 -5141 +-8015 -5388 +-191 1702 +-7476 549 +-10813 6645 +-3304 10152 +571 275 +8833 -6788 +1687 -5721 +-9939 -8997 +-5004 -11347 +1520 -4962 +5947 -7160 +859 -7567 +-5567 5256 +365 8165 +3731 6137 +-2477 8321 +-9523 -724 +-8761 -1565 +-2439 5638 +-310 6112 +6720 3468 +10017 2562 +-1018 8189 +-6699 5248 +-4596 4844 +1106 7918 +4038 -4924 +-582 -10984 +630 -4896 +9150 -6747 +13463 -4929 +3795 -3982 +-5187 -10126 +3209 -3493 +5318 557 +-4115 2399 +-1579 8040 +8076 5364 +9966 1736 +3522 2874 +393 -2550 +-503 -9882 +-3512 -1057 +4359 -1427 +7765 781 +-1580 7660 +-2469 -695 +1763 -3619 +3832 -5102 +2294 5029 +-862 8772 +1384 6455 +-564 6945 +-4520 -4061 +-2271 -3753 +1563 -1112 +3002 5606 +-5654 4506 +-6733 -2416 +3291 -886 +621 -8815 +-1902 -4594 +-2200 4276 +-8542 3889 +-7890 5983 +1315 7845 +5299 2550 +-3392 -69 +-5455 -1237 +3028 -10546 +-2159 -5491 +-13518 -612 +-11064 -5472 +-1868 -4693 +203 -9188 +-4136 -6297 +-1162 7474 +5420 5852 +6875 2264 +3369 7847 +-8026 5360 +-8021 4237 +1538 5754 +1627 3842 +-2050 -1658 +3603 -1121 +5009 -2817 +-7497 2148 +-7874 17187 +-9761 7943 +-9615 -505 +-3033 5579 +-3925 4435 +5048 -2200 +6460 -852 +1269 5551 +1920 5543 +-923 2780 +72 84 +248 133 +2671 161 +4334 3358 +4289 4208 +-1382 4282 +-5168 4990 +4537 -2089 +7426 -1560 +4060 -6899 +9171 -9087 +18756 -1061 +8183 -5812 +-5528 603 +-2830 5374 +-2108 -2801 +-4884 6023 +-8549 9305 +-2931 1134 +2604 2297 +-561 2291 +-5170 -1060 +-5022 -2925 +-227 -1050 +-2459 871 +-3773 4452 +-4165 6924 +-3072 -3591 +3315 -10944 +7093 -11370 +-3253 -3329 +-11909 4217 +751 2891 +4531 804 +-707 -10855 +-3690 -5340 +-8198 10569 +-8708 7813 +-5332 -1067 +4362 -6529 +4577 -5235 +-582 -3094 +-798 -234 +-3897 -2782 +-3045 -5070 +1479 -1559 +3640 933 +770 -1938 +4115 -10438 +6256 -7977 +-3086 -1337 +1897 -2945 +2191 -5404 +-4481 6470 +5056 17858 +-2353 12226 +-7794 5850 +1345 6098 +-123 5792 +2544 -4996 +4512 -4896 +4627 3210 +5105 2821 +1087 2632 +96 40 +53 738 +1348 5 +6228 -1367 +8902 3796 +5665 2593 +647 -4792 +-1487 -8956 +3945 -9411 +-2196 -9794 +-3114 -6206 +6347 487 +-1638 352 +2845 4885 +16805 2096 +9920 -5702 +-9324 -2301 +-11486 571 +6523 5694 +17400 1693 +7181 -5603 +-3565 -1126 +5143 1064 +-1037 4711 +-7041 9248 +2068 9804 +-2036 8432 +-1509 4813 +5849 -816 +9545 -3144 +6643 1747 +1088 291 +-1382 -4709 +-1929 -4445 +-1854 456 +-6526 35 +-7806 -6024 +-2912 -4359 +392 -8152 +2643 -5395 +1548 2888 +-4638 -3152 +-334 -1454 +-1890 4501 +-5739 965 +3825 70 +2091 8681 +-317 10948 +-7929 5507 +-11583 362 +-4738 -8599 +-3572 -9284 +2784 -2813 +-3148 2242 +-5985 -1241 +848 -916 +-1736 5977 +-811 -1792 +4354 524 +4773 8179 +-234 4832 +-3668 8222 +-5667 5014 +-2542 -297 +768 4046 +972 4905 +1261 -91 +3923 -5169 +9472 -3147 +6172 -1922 +-2677 -3946 +-7103 -5509 +-3191 -9423 +-8150 -5673 +-6578 -2475 +5286 -3821 +-939 1306 +5466 1678 +14525 -9550 +3931 -10641 +-8698 4585 +-7532 8647 +3717 7027 +-2648 7658 +-2298 -372 +-2135 1683 +-682 10348 +5433 2557 +831 -1356 +1350 4343 +2698 3184 +-2115 3652 +-3423 31 +-3401 -8758 +-9279 -8717 +-792 -6377 +10799 -6018 +6206 -10159 +5760 -2542 +8813 9492 +9055 4071 +1539 1981 +-4601 2881 +-3048 -1952 +-4361 -2235 +-407 -168 +-899 -4502 +-9913 -684 +-4143 3900 +1793 938 +-6805 3767 +-8117 -4846 +-1523 -4013 +2697 1907 +-1889 -2513 +-9249 -2056 +-4121 -5437 +-2301 912 +-11201 2921 +-8524 -990 +2160 426 +1114 2007 +-921 8228 +3691 7729 +4819 1261 +3601 -14014 +3979 -16108 +266 872 +-3192 3928 +-31 3263 +-629 1716 +4714 -13054 +7162 -15582 +-3835 -2448 +-8551 3383 +-5770 6500 +-1795 2354 +-1674 -1600 +4992 3868 +8199 8717 +990 4221 +5395 -2705 +8530 3553 +3857 938 +-2594 -1763 +1560 -448 +9908 -273 +3316 7462 +-1997 1346 +-440 2653 +6526 7709 +5848 -1865 +-272 -1477 +3972 2204 +4209 479 +871 4105 +-2550 2390 +-8527 -3947 +-13002 -14 +-4947 -4044 +4109 -11495 +-3335 -10114 +-4862 -3265 +-3504 6117 +-5814 630 +3477 -8429 +10274 2918 +2844 -2782 +-9547 -9287 +-10336 -7239 +2436 -7912 +1488 -188 +-6264 6852 +-1095 8482 +-1559 753 +-1439 8 +1667 -4369 +-2673 -5648 +60 847 +2847 -408 +2643 6710 +7319 5351 +1092 -167 +-5930 -5670 +5240 -10943 +7906 -11168 +-3226 -4531 +-8408 7304 +-6841 -3841 +4220 -4868 +6869 5337 +4681 -859 +11671 -508 +8268 6774 +5292 8075 +7908 1670 +1592 3233 +-1178 7513 +1810 2060 +-317 -2843 +-4030 -6970 +-3113 -5889 +4525 4620 +9416 4726 +5883 -4457 +-563 -7411 +-5492 -5149 +-2596 770 +-2284 -3887 +-3178 -5893 +1542 -1037 +-872 -6403 +-5903 -4930 +-6818 2788 +-1909 9588 +2121 7804 +-2770 -4575 +-4775 -7775 +-1946 -1980 +373 2592 +5998 3209 +4059 2839 +-2772 7263 +2814 9531 +3381 9545 +-5308 11083 +-4739 4545 +1342 6390 +-3988 4278 +-3062 -8419 +9814 -4608 +492 -3880 +-13561 -425 +-12946 1599 +-3439 -7382 +970 -1061 +-393 9179 +4737 6991 +-679 1587 +-1152 958 +-1673 -4384 +-7691 -4047 +-4763 714 +2190 797 +1837 -3712 +-1074 -4389 +3564 -3454 +1160 772 +651 -6978 +4530 -9460 +5244 45 +2446 3910 +-1615 8132 +-3821 1306 +-4912 -5606 +-3730 -3816 +-10745 -7226 +-14251 -5160 +-5655 -359 +-5998 -831 +-2975 -2950 +6227 -5879 +4914 -958 +2737 4436 +-3687 8029 +-6946 6430 +724 -148 +125 4268 +-4074 2881 +-2876 2205 +1414 2629 +-3385 -2431 +-7778 1945 +3824 5641 +10476 3327 +9138 -2713 +-1868 -5138 +-11285 -9744 +125 -7699 +5080 -4247 +-2680 -7715 +-1322 2142 +3102 1307 +-485 1964 +604 7649 +8169 1509 +4308 -2763 +44 -9607 +12207 -6568 +13078 7387 +-1800 10799 +885 3513 +8716 447 +3908 -5863 +-416 -4423 +2354 1352 +1098 -2786 +-4939 5688 +1431 7110 +997 6195 +-12558 8869 +-5848 4458 +8433 4481 +10800 -314 +6359 -4358 +-5710 -4051 +-6283 1110 +2173 -634 +-914 -3940 +-3777 -2449 +439 -4871 +1433 -249 +-4058 -5634 +-2726 -9919 +4117 -3360 +4448 1263 +4058 7006 +-2121 3483 +-7541 -816 +-5450 -272 +-13109 2024 +-14587 7033 +-7142 8254 +-8146 1255 +-5388 -903 +3416 5209 +8596 4330 +11795 1536 +7782 5772 +-4327 3849 +-8492 -5845 +-10440 -4614 +-8869 -1710 +-1255 282 +5469 -379 +2123 -8544 +-9879 -3687 +-6578 9485 +7190 6296 +5532 -3664 +-1324 -487 +-4000 7840 +-4654 10980 +-1226 10320 +-4504 2106 +-853 -9366 +7244 -10807 +3439 -8540 +-1460 -6457 +-3218 3230 +-5615 6968 +-8480 -61 +279 3748 +3539 9047 +-3543 -29 +-2490 2675 +-253 3165 +116 -2638 +-349 5360 +1664 3507 +-2338 3643 +-6722 -2706 +-1029 -10801 +5832 -534 +2644 -1676 +-2142 -3276 +8544 -4389 +12601 -6370 +6348 -3060 +3091 -5677 +5790 -11172 +6052 -10162 +2412 1310 +2993 -274 +344 -6288 +8958 203 +6098 6559 +-5200 3566 +-2614 -2088 +-5346 -159 +1184 487 +-237 243 +-4850 1819 +675 3672 +-7142 -1851 +-6063 -2235 +681 8234 +-5624 6651 +-4848 2624 +5961 1638 +9379 -2294 +10041 -6413 +9772 -722 +-813 5643 +-10341 1247 +-11094 3423 +-7980 4484 +-767 1033 +4050 -3830 +-3854 -7603 +-10177 3748 +-1897 10789 +-2750 858 +-2936 -3711 +3881 -3503 +2994 -2610 +7214 3476 +6569 3616 +9830 -4519 +5983 -7499 +1240 43 +5192 7169 +-4223 8428 +-1834 4485 +6616 -4520 +-1104 -1952 +-5773 10662 +-1901 12704 +2306 1012 +-1608 -7556 +-2703 2377 +196 10567 +-7412 3855 +-3518 -896 +826 -7667 +-6219 -10136 +-7146 -904 +-8351 383 +-1942 -3574 +38 -4793 +2479 -2309 +6153 474 +-552 -3147 +1391 12 +-2636 6722 +-2063 3397 +5165 -1014 +-464 -4503 +-5547 -6457 +-4140 -3804 +-10053 -7262 +-13617 -9238 +1369 518 +1525 8450 +-1140 7293 +3018 4487 +-2986 7715 +-4908 7636 +-3351 -6213 +2186 -8997 +5689 5624 +4788 8696 +-1469 4779 +-8 6548 +2192 7932 +-2418 2145 +9279 -11487 +10806 -11891 +2976 -4184 +3932 -6523 +1246 -5418 +-4682 234 +388 2695 +5375 5512 +-1516 1952 +-287 -3864 +-4185 703 +-4560 -417 +716 -5214 +569 -3888 +7893 -2169 +7522 -1630 +4653 -9818 +-312 -9512 +898 -744 +8666 1895 +2348 8925 +1577 4433 +2109 -7689 +-2134 -677 +2666 11511 +5221 9435 +-3481 -2683 +-6395 -4166 +5321 3691 +5421 5488 +5729 -7685 +9170 -10493 +4069 891 +6999 2209 +2751 -543 +-294 -5498 +-3030 -3033 +-6747 -3957 +457 -10881 +8962 -7937 +7834 -4929 +-6317 4157 +-10819 7186 +-10046 -2162 +-3472 -7939 +109 -4809 +-5515 -1176 +-1592 1265 +-537 7595 +-501 4889 +-9800 4828 +-11631 4630 +2938 4865 +2882 7319 +-1531 -5614 +-2473 -9716 +2951 -6922 +6837 -9363 +-449 -8015 +-2733 -2247 +2916 2847 +1741 -449 +1074 2813 +10635 10939 +7018 4399 +-1895 -4748 +3238 -4162 +1068 786 +-3543 6631 +5635 3111 +4995 -935 +1505 -3960 +5294 -5523 +-2050 5750 +-4280 4811 +969 2492 +4349 5401 +5657 -4384 +1210 -1970 +-2355 5483 +-4562 610 +4165 2816 +15025 6516 +5728 529 +-1521 408 +3309 2347 +-1538 -1133 +-8020 1014 +-7081 8730 +-5600 6703 +-8275 178 +-7301 717 +6534 -565 +7763 642 +-340 -9598 +585 -13816 +3776 -1997 +6978 -2781 +1848 -2167 +-3702 -4035 +-4322 -495 +-7822 1092 +-6469 -8606 +2070 -11563 +3050 -8660 +-4280 5100 +-9043 7453 +-7423 1602 +-4083 -1000 +1963 428 +7739 6652 +-3534 3275 +-9209 -4181 +-4786 5360 +-677 6668 +5171 1756 +996 -1008 +1933 -4146 +6237 -126 +1031 3188 +5393 3524 +8148 -1433 +1576 -3394 +4901 736 +2774 4436 +-829 1687 +5281 -1314 +1898 -5022 +-3271 -7812 +-8455 1140 +-5237 -1754 +8834 -6203 +4198 -403 +-4971 261 +-6573 -2695 +-9377 -5369 +-9219 -2133 +157 1023 +4754 1827 +-11242 -220 +-8402 -5293 +3229 -10169 +-2200 -8016 +1932 -4971 +5928 -1029 +11504 9774 +11889 3197 +1537 -6394 +-122 -3673 +320 -1871 +-2545 -3986 +-7928 -7643 +-4297 -4317 +-564 4673 +-3557 10997 +-857 5851 +1278 1210 +1894 2452 +3531 4076 +8073 -5915 +6289 -16157 +4316 -3650 +5236 4288 +982 7399 +5741 8509 +-877 7624 +-11433 7331 +1381 -2917 +2378 -2398 +-7430 5869 +-6721 9476 +-4498 6142 +-3845 1930 +1714 -944 +10407 -64 +-429 4720 +-9804 2910 +-179 7064 +3816 5305 +5186 -2139 +178 -1398 +-1251 -4349 +4733 -4263 +2905 1619 +6546 -1051 +5571 -6366 +-632 -4316 +31 -6503 +-1707 -5968 +-4499 -1104 +1341 -5133 +993 -1652 +-5361 3137 +4003 -1234 +19037 -933 +13875 -4187 +-1042 -9616 +1085 -3053 +-310 2186 +-249 524 +4341 1500 +-1045 -3500 +-1136 -1806 +-1279 1780 +1555 -2074 +9150 743 +5227 -10326 +-823 -19912 +1082 -6430 +-2200 5646 +-414 960 +6099 -2562 +1600 3970 +4191 3033 +7627 -185 +2602 1691 +-4195 5103 +-11233 2994 +-4201 835 +2590 -1849 +-2337 314 +-6159 10892 +-9451 -144 +-6773 -5769 +155 3997 +2879 6204 +1509 7310 +405 8427 +5058 7421 +-1356 -2053 +-6844 -2537 +-250 -3572 +1722 -11340 +7435 -8735 +4598 -2978 +3609 3399 +4355 1080 +-8651 -346 +-8588 4748 +-6230 4132 +-6751 1534 +-3582 2243 +6168 2335 +8652 5474 +-4655 11451 +-969 4027 +1763 -3519 +186 2230 +4844 10019 +-730 7335 +-3745 -593 +-4262 -5300 +-5274 -3170 +-4175 2115 +-2297 -4666 +-337 -1880 +-2163 5735 +2307 -3515 +14153 -12967 +8050 -12051 +-7123 -6369 +-1257 -2877 +1245 1868 +126 560 +4447 -1679 +-1662 -985 +-1442 -283 +154 46 +-13 -6 +2 -5 +5 1 +7 7 +0 3 +-1 3 +0 3 +-4 3 +3 2 +-2 4 +-3 3 +1 2 +2 1 +0 4 +-1 9 +-3 4 +-3 -2 +3 3 +6 0 +4 -3 +0 -5 +0 6 +-2 8 +3 6 +3 1 +-22 12 +-47 9 +-48 10 +-49 11 +-51 22 +-274 123 +-3338 1531 +-7890 4416 +-2072 4810 +7001 -575 +1832 -2517 +505 4564 +5166 2416 +-354 -7490 +-4266 -8706 +-3464 -8528 +1733 -5146 +5410 4700 +-358 3369 +2751 -3688 +5994 729 +-4010 6687 +-8104 5926 +-7106 6187 +-856 5147 +6892 -2071 +1361 -2852 +1483 4454 +5670 1363 +-1889 -7346 +-6031 -7826 +-5110 -7809 +805 -5379 +6301 3733 +249 3395 +2153 -4146 +6095 -232 +-3012 7285 +-7217 7173 +-6163 7345 +-45 5306 +6567 -3202 +844 -3043 +2198 4141 +5910 354 +-3165 -6887 +-7440 -6629 +-6563 -6769 +-272 -5480 +6929 2494 +895 3336 +1389 -4501 +6054 -1386 +-1583 7788 +-5784 8472 +-4778 8407 +976 5182 +5945 -4422 +272 -3166 +3009 3678 +6017 -774 +-4416 -6242 +-8676 -5100 +-7796 -5414 +-1303 -5343 +7423 1134 +1572 3083 +502 -4711 +5773 -2524 +-6 7972 +-4030 9459 +-2951 9200 +2107 4818 +4943 -5572 +-425 -3104 +3733 2980 +5749 -1995 +-5753 -5114 +-9661 -3204 +-8780 -3744 +-2352 -4967 +7560 -291 +2119 2747 +-412 -4708 +5238 -3518 +1368 7813 +-2404 10018 +-1429 9580 +2833 4432 +3993 -6295 +-967 -3028 +4171 2318 +5386 -2890 +-6514 -4188 +-10080 -1689 +-9400 -2317 +-3162 -4538 +7496 -1549 +2602 2353 +-1189 -4535 +4577 -4347 +2707 7442 +-696 10228 +209 9608 +3589 3902 +3027 -6850 +-1352 -2856 +4541 1603 +4918 -3652 +-7075 -3014 +-10273 -23 +-9671 -749 +-3811 -3953 +7208 -2684 +2931 1890 +-1892 -4307 +3880 -4984 +3876 6927 +988 10144 +1784 9353 +4196 3255 +1865 -7166 +-1864 -2539 +4755 857 +4281 -4443 +-7564 -1846 +-10268 1639 +-9760 792 +-4451 -3253 +6672 -3698 +3213 1452 +-2507 -3940 +3089 -5472 +4859 6213 +2440 9832 +3083 9037 +4616 2597 +785 -7352 +-2245 -2229 +4856 100 +3642 -5066 +-7778 -694 +-9951 3211 +-9552 2310 +-4967 -2493 +6074 -4722 +3469 890 +-3096 -3501 +2235 -5868 +5852 5363 +4024 9309 +4563 8338 +5044 1696 +-443 -7412 +-2590 -1830 +4831 -669 +2778 -5513 +-7818 638 +-9283 4770 +-9033 3801 +-5355 -1662 +5218 -5680 +3564 283 +-3711 -2836 +1139 -6102 +6654 4107 +4783 7054 +-86 5415 +-5823 -1301 +-7751 -7436 +-9760 -161 +-7310 341 +678 -5642 +4721 -1076 +7670 1716 +3390 -6828 +-882 -8632 +4778 -3210 +3599 3436 +3090 7131 +7922 -2214 +4934 -1951 +-1326 4795 +-7513 -878 +-8363 1455 +-752 5254 +3435 5185 +-3668 5457 +-9445 268 +-4568 3197 +1883 3580 +7186 -11 +2299 6016 +-5404 8619 +2887 6909 +8383 -1413 +7447 -6251 +4950 4083 +743 6062 +4190 -1358 +1152 -7035 +-235 -9170 +6353 -2009 +5421 2689 +3923 -3767 +-1212 -8952 +-610 -5622 +4460 506 +-2619 7064 +1129 7304 +8083 1282 +1508 3907 +-4855 4120 +-9145 111 +-3797 5609 +3407 6703 +-2483 3223 +-5015 -1276 +234 -9469 +-3601 -9060 +-8196 -5637 +1123 -4026 +2246 1851 +-7096 6339 +-2788 9392 +-155 9071 +-7672 137 +-2068 -7079 +8046 -7395 +2510 -9231 +-762 -6891 +2450 2905 +-5209 2963 +-10989 -752 +-7833 6024 +-5560 4872 +-3155 -4630 +2980 -3817 +7167 -3703 +-1731 -7218 +-6317 -5758 +1569 -5432 +5020 299 +7078 3393 +4805 -6707 +2595 -4573 +2036 4475 +-6558 4146 +-5744 6437 +2813 4405 +6117 1666 +719 6444 +-7284 6270 +-1616 5349 +3764 1473 +5677 -4598 +5710 3024 +1199 9903 +6685 3439 +5868 -6362 +1987 -9444 +6490 -58 +4460 4080 +2504 -3699 +-3516 -6042 +-6082 -6742 +3667 -5551 +5967 -1428 +670 -5313 +-6640 -5927 +-4095 -3833 +3856 -2488 +2557 7013 +5589 4769 +7224 -4196 +3771 2042 +-1074 6309 +-7159 6090 +567 6636 +6996 2779 +174 4015 +-4743 2322 +-6024 -7088 +-8704 -4313 +-10004 1127 +-1782 -3758 +2927 -123 +-1422 9285 +3934 8776 +5799 6820 +-5892 5106 +-6323 -3778 +1351 -10622 +-4077 -8415 +-5146 -4497 +3854 569 +-1978 5591 +-8983 6642 +-2136 9586 +-1093 7214 +-5507 -1395 +-210 -4780 +3219 -7451 +-6087 -4278 +-8747 -262 +-2295 -5176 +4096 -3093 +7775 -2144 +-529 -8158 +-959 -5082 +4568 1935 +-2296 7312 +-185 8521 +5151 1411 +5851 -2728 +4857 4310 +-1518 9432 +2263 5024 +3910 -1415 +1292 -7095 +6443 -1524 +7612 6440 +7432 -2079 +189 -8584 +-4857 -8121 +4876 -4491 +6313 679 +5027 5827 +5017 11471 +3126 7485 +5452 2609 +-505 -662 +-4526 -276 +233 -2237 +-3749 -2496 +-9787 -3742 +-5520 -6879 +9032 -588 +13872 -6129 +-82 -15123 +665 -4129 +8601 7289 +3702 8051 +2319 1520 +1363 108 +310 4075 +-592 -2010 +-5335 -4816 +-9575 -1148 +-11439 -3422 +-1188 -1204 +3573 6615 +-2900 5534 +-897 -1171 +-4241 -6177 +-5632 -5399 +-215 3057 +-7010 225 +-9575 -6613 +-3736 -1235 +-3919 -1516 +-4721 2187 +1043 11180 +4819 6323 +-1656 1432 +-3491 -3014 +1998 -9238 +5089 -6016 +7312 972 +5066 1129 +1882 -4498 +-669 -4231 +-6337 -1807 +-5323 -4616 +1364 -8372 +4602 -6484 +3825 1786 +-2136 2435 +-9047 3894 +-5102 8566 +4668 2701 +4095 669 +5934 894 +11970 750 +2201 4571 +-790 1447 +9339 -1701 +5197 -2234 +-18 1442 +-2787 6445 +-6189 1533 +5570 360 +11878 5071 +7311 3467 +5955 -1648 +-784 -125 +-3652 2729 +-1308 -1831 +-4574 654 +-10000 3728 +-8901 -1335 +6393 -6502 +6459 -13765 +-10224 -10872 +-2292 -3425 +11234 -662 +4731 -107 +-1983 -7369 +2807 -3525 +-3462 5551 +-7563 5220 +78 -2676 +1520 -1086 +4139 5236 +6140 -2824 +1949 -6000 +-3272 4558 +688 4047 +9504 -7283 +7466 -8654 +9013 1914 +10336 5584 +3635 394 +1894 4769 +920 8970 +4219 2156 +5241 -745 +-4948 -121 +-11202 -763 +-6152 11 +2257 1853 +1873 3244 +4849 1330 +11840 3797 +8762 5734 +6668 -615 +4592 -1524 +-1797 1802 +-10164 4366 +-12383 2287 +-2612 -5401 +-437 -6513 +-3999 -6543 +-6236 -3343 +-7997 1544 +299 -2694 +4543 -1567 +-2269 -641 +-6194 -4325 +-1102 2505 +6005 11295 +6434 10019 +2685 1299 +-2160 -4701 +-1015 -7380 +-1784 -4167 +-6352 -1686 +-1755 -10809 +-3040 -4608 +-2051 7492 +4247 3142 +-6066 3006 +-12488 1149 +-6413 -1387 +-7 -1426 +4485 -7211 +5085 -1745 +3285 5869 +-1363 6424 +-2774 7470 +-6556 2911 +-8873 -4389 +175 -4514 +1001 6301 +-2405 8763 +-1757 -2022 +406 -1816 +6677 1078 +2950 -6088 +-2412 -5577 +485 5549 +3208 2520 +2459 -11573 +-31 -11269 +8089 -4542 +11373 -2691 +-655 -2379 +-7002 -4640 +-569 -4015 +5333 1493 +1697 2304 +3517 -2920 +9705 -8444 +4956 -4061 +4508 445 +8493 -2468 +3763 -1864 +-6008 3317 +-9574 6869 +1276 7263 +4399 -136 +-3136 -8604 +-2049 -3978 +3235 1197 +10362 841 +7795 3538 +2241 3866 +3831 4126 +-5771 4499 +-7121 3774 +132 4947 +894 5338 +4524 5379 +-5445 -156 +-11126 -1329 +-3073 3916 +-5154 231 +2015 1327 +10391 2582 +7044 -4281 +8347 218 +9289 7019 +7893 3701 +1253 -1505 +-5477 -2211 +-2567 -2404 +-985 -3597 +-1285 -814 +252 69 +2562 6168 +2805 13962 +544 3180 +2417 -6038 +4801 -1731 +4531 -3410 +-3199 -9044 +-14393 -5794 +-12156 2151 +-2315 3166 +3384 -3881 +1813 -3932 +-4633 6826 +-7188 -496 +-4788 -11743 +-2202 6284 +-9751 8658 +-14224 -5307 +-615 3000 +5301 2081 +-1589 -3932 +-6183 3877 +-8810 2638 +-3028 -2707 +5164 -2373 +2874 526 +835 -4502 +2022 -12518 +1205 -6134 +3785 -2574 +5005 -7332 +1740 -3828 +-2492 6319 +-2949 11269 +5748 4513 +3339 -2967 +-8047 -4248 +-4229 -1845 +950 -5810 +-1993 -9162 +-5478 -5711 +-3649 -1326 +-1772 7249 +-1502 6216 +2888 6699 +3270 7824 +4065 -302 +7551 2151 +3117 4663 +-1546 -145 +-630 3268 +3308 4455 +3351 182 +-1415 1514 +-1809 -707 +-3140 -10055 +-3142 -7100 +1271 1312 +2519 -519 +1769 -1808 +-4081 -1542 +-5343 -1296 +3961 -1582 +6804 -1600 +1692 3693 +-5509 3192 +-6845 1596 +-1295 6477 +-3776 6011 +-6119 -3187 +1613 -7906 +5442 1728 +-1100 -960 +-4367 -9293 +2898 4906 +2558 8829 +-6683 4397 +-7162 10064 +-2037 6750 +1197 5752 +-1528 8445 +-6412 2306 +-2545 -6148 +2804 -9050 +2871 -672 +1164 4319 +-2767 -603 +-5450 -7785 +-6653 -9294 +-2509 809 +5699 -451 +3028 -12061 +1551 -6350 +3182 4666 +-4922 5403 +-189 2749 +7341 -233 +1426 -5296 +3867 -11364 +3750 -9734 +1048 2850 +4530 6870 +-1874 -3768 +-7733 -5692 +-7953 -675 +-3729 1357 +3265 6518 +2881 5572 +6658 3158 +7678 3694 +2936 -2838 +7253 -3274 +5543 1381 +-1245 875 +1613 2809 +5465 1116 +2710 -2216 +-388 187 +-147 337 +3 9 +-28 15 +1 -3 +5 -3 +2 1 +0 0 +-7 1 +0 -4 +1 -1 +-5 5 +-5 -5 +0 -9 +1 -2 +1 5 +4 7 +0 5 +5 2 +7 2 +1 6 +-4 0 +1 0 +7 4 +5 2 +3 4 +1 6 +3 8 +6 6 +6 5 +5 2 +6 -3 +-5 -3 +-7 3 +7 5 +3 7 +-3 3 +-6 3 +2 0 +1 -10 +4 -1 +3 6 +-2 4 +-1 0 +1 1 +-1 6 +-1 6 +0 1 +4 0 +5 -2 +5 -2 +1 -4 +-3 -5 +-3 2 +2 5 +4 0 +-2 -6 +-4 3 +-3 4 +-1 2 +6 -1 +-1 0 +-1 -6 +-2 -3 +-3 -1 +-3 -5 +-10 -8 +-2 -5 +4 -1 +1 0 +-2 0 +-4 -2 +-1 -3 +1 1 +2 -4 +0 -8 +6 -1 +10 0 +3 6 +2 1 +1 -4 +3 -3 +0 1 +4 -2 +7 -4 +-1 -3 +-4 -8 +3 -6 +5 -6 +3 -9 +4 -2 +3 0 +4 2 +3 2 +4 -5 +8 1 +6 4 +7 3 +4 0 +6 4 +1 2 +-2 3 +-5 5 +-4 0 +-1 -2 +6 -2 +6 -6 +0 -4 +-1 0 +4 -1 +6 -2 +3 -2 +-3 -4 +-2 0 +1 -4 +6 -7 +5 -2 +6 -1 +3 1 +5 -3 +4 -7 +4 -3 +0 2 +-2 2 +2 2 +3 3 +5 2 +1 2 +-5 -6 +-4 2 +-2 6 +3 3 +5 -1 +1 -1 +-5 2 +-5 -2 +-4 -1 +-3 -2 +3 5 +4 6 +2 -1 +-4 2 +-1 9 +4 5 +-3 4 +-1 2 +-7 4 +-2 5 +-8 -3 +-5 -8 +0 -1 +-3 -1 +-3 -11 +-2 -1 +-1 3 +-2 -3 +-6 1 +-3 -5 +-1 0 +-6 -1 +-7 -3 +-3 -1 +2 -1 +-3 -3 +-1 -2 +-2 -2 +-2 0 +-6 8 +-1 9 +1 8 +-5 6 +-3 -7 +1 -4 +4 7 +5 9 +7 2 +2 -3 +-4 -4 +1 -1 +3 -2 +1 -4 +-6 5 +-2 0 +-6 2 +-6 6 +-4 2 +-7 0 +-9 -8 +-12 -1 +-13 -1 +-10 -4 +-3 0 +2 4 +4 3 +-3 -2 +-8 5 +-1 6 +2 5 +2 3 +2 4 +-1 2 +3 6 +9 7 +8 6 +4 6 +-1 8 +-5 27 +-3 26 +2 20 +-2 41 +10 49 +-245 36 +-3786 148 +-9481 1119 +-4067 3925 +7061 2381 +2915 -1725 +-1447 4687 +3964 4597 +2967 -7509 +-210 -10454 +483 -9911 +3998 -4343 +3194 6988 +-1797 3251 +4370 -2303 +5472 3445 +-6869 4714 +-10410 2103 +-9582 2801 +-3172 4640 +7587 1114 +2689 -2169 +-533 4976 +4901 3915 +1583 -7977 +-2234 -10338 +-1394 -9914 +3228 -5002 +4497 6417 +-1217 3531 +3923 -3170 +6093 2324 +-5988 5956 +-10024 4098 +-9026 4691 +-2313 5272 +7719 -398 +2205 -2703 +455 4944 +5630 2773 +-202 -8109 +-4540 -9622 +-3648 -9426 +1971 -5643 +5860 5210 +-410 3750 +3145 -3981 +6618 858 +-4524 7230 +-9034 6324 +-7996 6643 +-1083 5658 +7645 -2189 +1587 -3188 +1534 4811 +6303 1555 +-1845 -7957 +-6524 -8521 +-5581 -8529 +808 -5997 +6990 3901 +409 3773 +2304 -4545 +6740 -464 +-3109 8029 +-7762 8028 +-6578 8075 +125 5687 +7210 -3673 +954 -3383 +2435 4462 +6463 273 +-3468 -7442 +-8102 -7068 +-7063 -7282 +-274 -5976 +7584 2626 +1046 3576 +1482 -4864 +6562 -1570 +-1739 8310 +-6365 9093 +-5207 8988 +971 5565 +6485 -4760 +358 -3514 +3153 3931 +6513 -774 +-4572 -6874 +-9128 -5762 +-8232 -6024 +-1316 -5839 +7971 1344 +1693 3383 +699 -5033 +6324 -2680 +-178 8458 +-4622 9976 +-3506 9707 +2017 5264 +5629 -5814 +-190 -3471 +3874 3268 +6340 -1946 +-5783 -5774 +-10118 -3859 +-9248 -4347 +-2312 -5469 +8163 -100 +2259 2978 +-219 -5109 +5741 -3729 +1174 8371 +-2919 10622 +-1830 10140 +2869 4905 +4608 -6600 +-823 -3358 +4261 2667 +5921 -2872 +-6687 -4755 +-10748 -2206 +-9963 -2820 +-3269 -4965 +7993 -1422 +2745 2619 +-1020 -4881 +5112 -4567 +2660 8027 +-1110 10943 +-211 10311 +3608 4345 +3415 -7263 +-1436 -3182 +4723 1827 +5460 -3873 +-7332 -3628 +-10906 -438 +-10241 -1182 +-4013 -4366 +7691 -2711 +3135 2062 +-1841 -4648 +4257 -5302 +3901 7420 +689 10827 +1527 10033 +4326 3540 +2221 -7703 +-1889 -2887 +4960 1001 +4679 -4650 +-7968 -2215 +-10923 1494 +-10358 603 +-4762 -3608 +7165 -3976 +3471 1521 +-2651 -4245 +3324 -5919 +5059 6537 +2143 8928 +-2004 5472 +-5285 -3451 +-4940 -10570 +-9581 -3939 +-7409 -2408 +2704 -5541 +5070 541 +6914 4542 +5817 -5702 +2307 -9204 +5895 -1601 +2343 4710 +410 8398 +8651 770 +5676 -237 +-3073 4324 +-7079 -3759 +-8802 -1783 +-2702 5057 +1551 6594 +-5601 4221 +-9534 -3244 +-5730 1504 +503 4273 +7123 2460 +228 6871 +-8458 6833 +393 8038 +8793 1560 +9555 -3848 +3600 5700 +-1333 6397 +4592 40 +3527 -6802 +2809 -9524 +7077 17 +4564 4588 +5261 -2516 +1889 -9626 +1259 -6048 +4337 1950 +-4993 6222 +-1498 7835 +7602 4116 +223 4457 +-6263 2526 +-9148 -3099 +-5781 4264 +1029 7952 +-3525 2361 +-4581 -3101 +3419 -9600 +-527 -10499 +-6313 -8570 +2412 -3812 +1647 2499 +-9151 3969 +-5880 8549 +-3137 9156 +-7769 -2410 +131 -7913 +10376 -4840 +5560 -8568 +1510 -7421 +1429 3735 +-6269 1248 +-10823 -4620 +-10004 3351 +-7387 3041 +-1615 -5861 +4281 -2933 +8410 -1244 +799 -8030 +-4338 -8203 +3454 -5083 +4974 1963 +5923 5937 +7171 -5144 +4188 -3921 +566 5190 +-7967 1975 +-8002 4577 +1262 5492 +5571 3762 +-1452 6757 +-9524 3888 +-3526 4849 +3188 2757 +7134 -2853 +4758 4928 +-2108 10590 +5511 5760 +7995 -4561 +5085 -9082 +6563 1972 +3118 5658 +3692 -2923 +-1543 -7333 +-3945 -8949 +5526 -4560 +6548 511 +2487 -5165 +-4694 -8275 +-2915 -5290 +4660 -1300 +128 7979 +3929 6857 +8647 -1847 +3073 3251 +-3253 5999 +-9264 3641 +-1808 6858 +5994 5200 +-1197 4113 +-5611 765 +-3726 -9290 +-7368 -7357 +-10498 -2176 +-594 -4438 +3021 733 +-4469 8902 +990 10170 +3484 8814 +-7742 3229 +-5172 -5995 +4938 -10435 +-1268 -10055 +-3710 -6406 +3649 1854 +-3937 4993 +-11458 3617 +-5691 8995 +-3821 7029 +-5105 -3351 +1427 -4971 +5869 -6377 +-4524 -6496 +-8752 -3329 +-610 -6068 +5116 -1782 +8522 544 +2358 -8518 +812 -5594 +3872 3531 +-4959 6654 +-3374 8651 +4557 3290 +6815 -732 +3271 6064 +-5028 9076 +342 5950 +4370 -4 +3805 -6795 +6976 598 +5246 9222 +8109 596 +3291 -8613 +-2031 -9968 +6391 -2856 +5906 2486 +2380 -585 +6343 -2895 +7002 -9853 +3039 -7284 +-2095 11787 +-4191 15743 +782 1454 +-3146 -4411 +-1051 -6703 +7311 -3648 +3173 2858 +5796 -2298 +3314 -4381 +-622 -4234 +7294 -3010 +3717 5792 +1202 3318 +-4554 -2751 +-17400 -2902 +-6109 -2337 +11595 861 +10667 -2662 +3853 -3989 +1784 -357 +-918 1652 +-3852 4293 +-2224 1927 +1994 3603 +8745 3224 +5384 -7123 +547 -7960 +6680 -2727 +-930 -2303 +-8731 -7566 +-51 -10612 +1352 -1618 +-3566 4779 +-2251 5475 +2408 7524 +1409 6763 +-866 9178 +-458 10426 +-8715 1849 +-9586 2056 +1429 10819 +-3092 6489 +-11688 1263 +-7892 2121 +-6821 -1226 +-7060 -275 +-3668 4245 +-2496 3080 +4413 -2799 +11874 -55 +7296 6806 +877 -2869 +2472 -6998 +8060 -2082 +2725 -7909 +-6656 -6284 +-3031 799 +-1767 3232 +-3633 3934 +-740 -110 +1023 -2756 +3241 -6280 +-1008 -11805 +-2379 -7297 +6074 10100 +7025 14367 +1540 553 +-5328 -1234 +-5218 -4221 +3274 -7421 +4389 3 +3021 -5537 +-314 -5394 +-3296 -2764 +3405 -7332 +2802 -1849 +-4970 -2741 +-2717 -2723 +-476 -2032 +-406 -5568 +9635 -8661 +14402 -6641 +2069 -4190 +-2137 835 +-6453 834 +-6855 -5937 +-482 -3449 +-14397 -2146 +-13290 -4683 +234 2259 +-6798 4617 +-6784 1469 +-726 6018 +5693 10618 +10187 11077 +3591 6670 +-483 -1674 +-3573 -4222 +-5171 -835 +-6439 4884 +-7701 4090 +-1480 -2884 +1005 2037 +-323 6459 +-1370 -3477 +323 -11532 +-279 -14011 +-4239 -8669 +7399 3448 +10860 7063 +-3150 3332 +-1965 -1952 +4624 -5652 +3744 -5202 +1357 -3308 +2685 1966 +8654 3037 +5033 -3310 +-3414 -1294 +-2353 3234 +-63 3215 +-339 5448 +4936 -2413 +6507 -12147 +-750 -5988 +72 -38 +8310 1087 +5832 5550 +-3737 7737 +1596 -181 +9017 -9765 +178 -1890 +951 8162 +5448 6762 +-546 5615 +-146 -1312 +-1158 -4648 +-119 5317 +1608 7256 +-7447 1467 +-9407 471 +-3458 -104 +-1710 -1077 +-4111 -3742 +1318 -12776 +6346 -14511 +-1302 -4313 +-1066 2148 +-4281 4921 +-9234 256 +-2696 -2195 +-12578 8008 +-13515 5405 +1611 1516 +-2336 7873 +-6528 4180 +-1228 -675 +1645 1614 +-4936 5084 +-5080 1641 +1241 -4326 +5934 -3641 +3685 -2689 +-2638 316 +5863 -1704 +5263 -8495 +-11022 -4401 +-10860 2290 +-4437 10232 +5012 5129 +10199 -9240 +1714 1332 +4262 5940 +7619 -4773 +2332 -2451 +565 -734 +-6000 724 +-10532 1976 +-3081 -3240 +2530 2371 +-3411 6963 +-3565 -3041 +2447 -1360 +-1541 9465 +-1540 3287 +-1106 -4626 +-5131 -3774 +-2811 -7944 +-6233 -9860 +-8831 -2830 +-2600 -718 +2051 -7171 +1953 -4435 +-2783 -1969 +-4838 -10665 +-8365 -6405 +-6381 -498 +8039 -413 +8670 11791 +-1820 18463 +-8239 10884 +-10726 -670 +-3478 -3473 +4532 1076 +6798 -2484 +5359 -2638 +2162 -2118 +5530 -5070 +8051 -755 +8701 2565 +12686 3723 +9411 -1103 +3095 -5325 +474 -865 +-2421 -222 +-4433 3327 +-3152 5421 +5878 107 +9287 2408 +-1099 5974 +-2579 1165 +2340 57 +-366 6975 +-2812 4593 +-2044 -3895 +1948 -6553 +939 -4357 +-1870 2027 +3232 -5150 +-1681 -9743 +-11455 4060 +-6888 8841 +3363 10422 +7266 436 +1832 -13677 +2782 -1605 +4060 6666 +-7691 3727 +-11022 710 +-10002 1566 +-3735 4538 +7539 2174 +2726 -2150 +-1645 5081 +4384 4875 +2896 -7949 +-834 -10846 +-136 -10032 +3980 -4409 +3658 7129 +-1769 3115 +4571 -2886 +5893 3285 +-7098 5241 +-10772 2567 +-9620 3186 +-2865 5077 +7890 933 +2347 -2488 +-822 5245 +5077 3951 +1399 -8387 +-2763 -10498 +-1924 -9810 +3166 -5082 +4955 6268 +-1190 3333 +3903 -3788 +6469 1995 +-5920 6494 +-10075 4659 +-8770 5056 +-1820 5440 +7851 -744 +1729 -2910 +260 5238 +5848 2786 +-116 -8474 +-4594 -9719 +-3717 -9199 +2119 -5481 +6006 5244 +-530 3503 +3258 -4334 +6828 849 +-4681 7349 +-9156 6244 +-7839 6433 +-883 5681 +7784 -2018 +1335 -3125 +1182 5133 +6311 1867 +-1583 -8239 +-6273 -8748 +-5219 -8400 +1253 -5747 +6900 4140 +77 3493 +2429 -4844 +6882 -354 +-3380 8075 +-7982 7766 +-6563 7720 +149 5783 +7288 -3322 +702 -3265 +2005 4827 +6531 662 +-3036 -7745 +-7775 -7436 +-6677 -7285 +157 -5792 +7438 2917 +362 3739 +-1547 -1161 +5242 4434 +3457 5465 +-5999 -1006 +424 -7398 +-2594 -8031 +-5645 430 +-4083 5211 +-6996 -3428 +-430 -9007 +-4883 -4519 +-2717 3255 +1030 7054 +-6006 3144 +-8831 -3216 +-7497 4020 +4207 8958 +6549 5211 +-2708 5978 +-6368 2110 +1926 3917 +8168 -74 +7923 -3058 +4090 6435 +-2845 5692 +5319 3294 +8773 -3584 +5216 -2066 +765 5054 +-8378 -1460 +-6017 3133 +-589 8164 +-3132 2462 +-5340 -4117 +-1818 -9313 +-5769 -2172 +-6774 4889 +-2912 -1766 +-108 -5712 +9760 -2047 +8082 -5843 +3776 -9705 +4534 186 +-1454 2636 +-7041 -5698 +-9781 -598 +-8870 2199 +-1286 -7655 +6563 -3958 +8485 6253 +9452 197 +6525 -2818 +-2181 3080 +-4045 -4368 +-432 -11165 +-7216 -6450 +-5976 -4307 +4699 -4265 +3715 1784 +5450 6172 +6250 -3739 +5309 -7881 +5672 572 +312 5228 +-1357 7891 +6854 3141 +6235 1395 +-5288 3221 +-4648 -5593 +-7408 -4062 +-4191 4046 +230 6523 +-7664 2069 +-6344 -6292 +-6908 -36 +-92 4256 +5415 4612 +-2583 6137 +-8899 3267 +-3599 7941 +4998 5545 +-1279 1619 +-5514 -3003 +-11609 -8887 +-10367 -1521 +-677 16240 +242 18981 +799 4832 +-6861 692 +-8733 -100 +1883 -5624 +480 -5114 +-1261 2309 +1048 64 +-2964 -5785 +-1339 1216 +1804 -1714 +-1912 -5776 +229 2233 +1520 -507 +-4967 -4135 +-2315 -3497 +8512 -6243 +4585 -1594 +4944 7534 +15128 13457 +10092 4950 +4542 -13676 +1579 -13143 +2439 -2899 +3667 -1450 +2200 -3650 +3345 631 +237 1638 +2334 -565 +0 5589 +-7255 1749 +-3720 -3778 +503 -3237 +-1338 -798 +-1123 2065 +-5190 -3341 +-3976 -6322 +6862 -4471 +240 1171 +-1198 -1272 +4190 1580 +7366 12016 +9744 6577 +-1727 2301 +-674 -1951 +-131 2338 +-6564 4518 +-4825 -7206 +-888 -4206 +2327 -199 +-1954 -1420 +-3435 -834 +-1523 -5719 +4854 -5364 +4345 2700 +-1574 1645 +1887 854 +-1215 3494 +-4042 1450 +-2025 3421 +-5870 1034 +-14893 502 +-9194 5385 +9751 12656 +12266 14060 +3726 3076 +-4995 4800 +-7006 5371 +-2152 -5445 +-2841 -4145 +534 2542 +858 -555 +-6058 -2339 +4 2065 +4002 1578 +1082 2897 +-1159 545 +-5490 -3890 +-5318 -6425 +1961 -4809 +3862 -5703 +6018 -2549 +-6441 3926 +-17159 4178 +-6640 -1276 +4909 1032 +-6 4159 +-2470 -281 +11863 822 +7965 1545 +7731 4304 +7475 4707 +-3233 -3311 +4208 -2265 +1858 1616 +-9153 -6448 +-9909 -13164 +517 -2158 +2857 7940 +-1757 6083 +-3291 3714 +-9130 614 +-4627 -785 +1724 -1578 +5848 -2516 +-84 1582 +-3431 5220 +9007 516 +2972 -2526 +-3626 4679 +1728 9844 +1190 10950 +3413 11415 +3803 6808 +266 1994 +-2346 -700 +-12017 -2201 +-8214 2272 +4515 4952 +2513 1332 +1634 1206 +483 1201 +849 -1985 +1940 -1412 +2852 -2488 +1705 -4989 +-11527 285 +-9875 3262 +287 -534 +1397 -3906 +4336 -9062 +2665 -12124 +809 -10412 +794 -8378 +-3035 -1924 +5759 2503 +6966 -2832 +-4941 -4865 +2427 78 +5601 2562 +-640 1004 +-6810 560 +-8294 -1442 +-1529 -4849 +-602 -6711 +3206 -5754 +-2436 7030 +-10799 14017 +-6069 3223 +4425 -2394 +2616 3143 +-2180 1399 +9707 -6910 +6874 -3785 +5140 -1126 +8863 -976 +9655 6941 +3466 3252 +941 -1762 +655 2759 +-1856 -3310 +2963 -2814 +-3484 -6881 +-7323 -15724 +-1149 -13157 +-6922 -4120 +-4306 1587 +8768 -2149 +11123 1269 +3794 2638 +-444 -7953 +5794 -10121 +4058 -3438 +-4262 6015 +3683 8677 +12636 8513 +8819 13184 +3074 2606 +3404 -1458 +489 2825 +-1910 -2830 +2032 -726 +-2117 -7566 +-103 -11540 +2926 -4124 +-2292 -842 +-1002 5412 +879 5601 +-1404 -113 +-8823 -2656 +-7457 2040 +2735 2734 +7424 -2376 +-942 -871 +-10116 4859 +-4050 -266 +-1172 -9374 +1068 -451 +3045 3074 +-3717 3411 +-7525 7089 +-1892 499 +-750 3733 +-5595 8880 +-1987 1855 +-3235 -3001 +3167 -616 +8873 55 +-1473 6293 +-2031 9428 +1461 -3504 +-535 -7467 +-3317 1619 +1616 9350 +4217 5987 +-1979 -117 +-6597 768 +-3130 2337 +3211 497 +5339 -4570 +11952 -1381 +4859 132 +-487 -1846 +2338 1618 +-3575 -1206 +510 -4022 +-7167 -2818 +-16044 -6802 +-9440 -8957 +-7986 1400 +-2283 3871 +5400 -7332 +9402 -6336 +4655 -88 +-7693 2867 +-11502 8338 +-4460 658 +-2556 -948 +1154 -676 +5683 -3201 +5642 1501 +-3956 5744 +-9305 3572 +6642 -3595 +8519 -4028 +7656 523 +2805 -3761 +-7118 -2542 +1352 7183 +2229 10897 +-7036 8576 +-540 4040 +7378 -2674 +-5169 -4364 +-8132 -1967 +-6126 -6604 +-1810 -2097 +8549 7848 +-1615 4613 +-6707 -2333 +-3207 -4427 +718 193 +3659 436 +5190 4887 +5192 16189 +-1964 8959 +2877 2837 +2841 5646 +-666 763 +2996 -1168 +2629 -4728 +1326 -8915 +-5192 -7721 +-6475 -1709 +360 5287 +489 -2340 +-3363 -6971 +-4222 2457 +-2897 4420 +195 -3375 +-3790 -9233 +-5080 -10604 +1809 -11595 +-691 -7774 +-302 -346 +5323 3025 +3549 -2073 +-788 -7738 +-849 1080 +2906 3787 +4942 -7505 +-520 -9485 +-5623 -6016 +-3666 -1340 +-2171 942 +1416 1880 +-1136 7248 +-78 4204 +5963 10359 +337 16050 +-3507 3275 +-2574 969 +423 -1277 +2171 -6090 +5308 -2606 +761 6857 +-4858 8808 +2767 -7007 +3882 -8483 +6197 -4620 +-376 -4541 +-7237 2861 +5727 4326 +8885 6443 +-345 10859 +-3204 7891 +-6873 4789 +-9299 -558 +-2854 -371 +-5388 -451 +-8569 -7610 +-1362 -2460 +-2601 3870 +-4877 8817 +3550 5291 +5693 -1363 +3435 3586 +-1073 2861 +-2999 -4283 +-1479 -4317 +-4640 -1586 +-2150 -3026 +4186 1142 +1934 4985 +-1225 5319 +7273 1834 +8295 -5102 +1368 -1609 +-4555 -3275 +-5902 -9594 +1420 -3857 +-403 -4034 +1716 -9805 +6908 -4668 +8974 -1138 +8904 948 +3057 -882 +5099 -6250 +5445 319 +3007 -127 +-6269 1309 +-7898 6123 +5039 -5971 +4707 -11061 +-4828 871 +-12680 11131 +-6440 7242 +-2372 4034 +-3432 1451 +6002 -6121 +4809 -98 +3371 5313 +6048 -565 +863 5888 +-2115 6104 +164 -6203 +2442 -5318 +1634 -11945 +267 -14455 +-3887 1545 +-6205 11765 +-579 2629 +202 -11744 +-2250 -2147 +2806 4331 +2295 5315 +-2492 7205 +3098 2957 +6197 7904 +2696 7986 +-2346 8103 +-7713 5608 +-2555 1561 +-4433 3213 +-11587 -29 +-2669 -911 +524 4558 +1908 9598 +6193 1519 +3538 -4687 +5068 430 +1088 2798 +-5163 -1171 +-4018 -2161 +-5216 2921 +-6998 3356 +-4554 -2966 +-2991 -8844 +-4777 -7265 +-6773 -4588 +5097 -7286 +5737 -6866 +-10765 -5855 +-2163 -8021 +10251 -5409 +5467 4892 +1546 6349 +-4119 1241 +-2982 3696 +6428 1964 +3941 -4181 +-5355 -2441 +-2782 -1373 +2977 -7331 +6698 -2878 +8730 6723 +-5596 9019 +-7320 7172 +9519 5770 +2417 6483 +-7759 6198 +-2810 8840 +-2293 8060 +-5298 1328 +-6432 -1240 +1668 4397 +7451 3071 +5205 3669 +3643 7761 +1849 -3848 +3242 -6425 +-177 -6732 +1369 -7435 +5447 -4591 +-1471 -3217 +-5147 8831 +-5238 8977 +2482 4805 +5154 2366 +-1005 -5220 +-1972 -5299 +-5887 1101 +-2626 4980 +3979 4909 +2639 1827 +446 -7184 +-4405 -3716 +-11110 -1321 +-6675 -528 +4783 3314 +7638 -908 +5888 5257 +5017 3694 +6680 1154 +1720 -1149 +-3626 -9199 +2037 -5993 +1718 -7019 +-3278 -784 +-3736 6827 +-5384 973 +-8228 -4568 +-8551 -2168 +-8372 1159 +-1040 -8616 +-187 -8709 +-12213 2959 +-7063 -4386 +4215 -10747 +7423 -123 +5396 3544 +-2396 3614 +130 4685 +6496 -2816 +3695 -6469 +-861 -1947 +-5344 -2956 +-943 -4253 +6935 3792 +6231 1328 +3377 3017 +7352 13854 +5105 9280 +6325 -7832 +10307 -4684 +4353 4878 +-2919 4621 +-1394 10029 +6136 3830 +3694 -2654 +-1057 -4713 +-7732 -1856 +-7953 6320 +-2627 2242 +-5130 -5599 +3020 -12098 +1830 -5961 +-14732 329 +-13633 -1494 +-1538 -2732 +-4108 -5605 +-3869 -3654 +6669 1193 +3809 3391 +-1359 -3420 +-8251 -2879 +-6125 2614 +3372 712 +-3083 1767 +-92 4549 +4484 6351 +2363 2723 +3588 1399 +-5533 -2927 +-7191 -11611 +1539 -9806 +3492 -5029 +-1004 -2539 +-4541 1324 +3534 3435 +3222 3537 +-4039 10033 +-1494 8724 +-5124 -49 +-2656 1955 +4593 2117 +1261 -3879 +121 -7345 +6229 -9216 +12106 -4962 +2464 1110 +-5450 -42 +-3797 2431 +-6638 4024 +-116 3832 +1357 1792 +-2294 -260 +6838 5216 +7875 -885 +-89 -2069 +-6472 1235 +-3586 -2508 +7816 -1815 +5655 -3160 +4515 -21 +14824 5322 +10160 3439 +-289 -9896 +4883 -10245 +6717 680 +858 5352 +5569 8300 +7298 -1229 +1217 -4337 +156 -3900 +8983 -2647 +10182 729 +5947 3012 +2429 7164 +2941 2085 +8382 -1293 +3487 -2766 +1845 -88 +5847 -5723 +685 -13013 +-7398 722 +-6824 5739 +-1810 3171 +-2409 -2344 +-5643 -16079 +-2957 -13516 +2386 497 +4782 1874 +9015 -369 +2618 -5938 +-10091 -9364 +-6207 1199 +-1075 861 +-5554 436 +-6681 4287 +739 4271 +768 7890 +-5366 5402 +-8886 5581 +-5516 -1204 +9019 -9012 +6322 -170 +-876 -258 +4301 -8970 +3432 -6538 +353 -124 +461 1509 +4161 2103 +2458 4773 +1852 3394 +-843 -5202 +-160 -5616 +6562 -3873 +-915 -1753 +2194 8309 +5721 11704 +1268 5945 +7336 3154 +9585 8863 +4777 1971 +-4095 -804 +-6055 4882 +-4846 -3369 +-2725 -830 +4369 3812 +3142 4023 +299 3740 +949 34 +-2176 537 +-8845 1522 +-7748 1049 +1481 229 +-4453 7196 +-9671 2821 +4805 -8030 +8692 -6053 +6589 -1518 +6576 3733 +3595 -371 +5613 -6393 +826 -4284 +1332 -1206 +919 -7996 +-7873 -10192 +-5469 5188 +-1715 8605 +655 3564 +-3420 -233 +-14915 -8497 +-12555 -7774 +-4855 3181 +-1440 6846 +-1182 3747 +-2904 3644 +-2413 9657 +5971 9170 +1994 2105 +-3940 -5436 +8086 -9422 +6983 5317 +6276 8911 +5118 -1118 +164 -1545 +1435 2059 +-7402 -1430 +-3829 -4065 +3374 813 +-758 1855 +5473 3670 +11715 -2231 +5828 4575 +-4168 11387 +-4962 -1841 +6767 -561 +10774 -4183 +3199 -8511 +259 -3724 +2733 -3921 +3112 -6171 +-2036 -1187 +-3082 9096 +-1339 -1883 +-3735 -9856 +-4292 -32 +-3684 4261 +530 4003 +419 5039 +-52 219 +4693 -4911 +-81 675 +-40 2656 +3038 6103 +-5482 10250 +-11774 7301 +-11663 8385 +-4266 6774 +6516 1140 +4126 -3165 +-7480 -8430 +-11972 -8683 +-9383 -8059 +-1435 -9953 +4121 -4221 +-720 -1809 +1035 789 +3934 4609 +-732 -2635 +1081 -5592 +-306 -3775 +-4283 -3280 +-4423 2837 +-4000 9400 +-1061 -2540 +-2443 -8553 +-1105 4410 +3249 6305 +1658 3440 +210 4623 +4530 8715 +10631 2818 +2915 346 +-6696 -1321 +-103 -12136 +8876 -645 +10755 2366 +3141 -4140 +-974 -1210 +2453 571 +-6689 4138 +-7462 3728 +-1658 7512 +1995 -1029 +2211 -6481 +-8673 8704 +-7915 9581 +-845 -4121 +-3697 -9513 +-2088 -7208 +5231 -3177 +2825 -2801 +-1790 -3372 +3615 2457 +-763 5433 +-7526 5031 +-433 1474 +-3193 -103 +-8577 3264 +-3152 -4018 +1174 -2898 +2628 3637 +4454 -2318 +5529 -1053 +4883 7622 +5122 7138 +-1150 1585 +-14473 2489 +-7111 3205 +8668 536 +-2077 -2174 +-9955 -4301 +-6592 -1868 +-6599 6284 +-4211 6160 +-6214 -1170 +-1969 -5930 +3867 -7713 +927 2357 +1455 6139 +4814 5621 +2320 3430 +7523 -8268 +14119 -8670 +4916 -4266 +2996 -1431 +-869 -6699 +-3837 -5756 +-1136 3497 +-1517 1484 +8355 2426 +5124 -1818 +563 -4336 +4173 -8321 +6036 -15658 +4148 -5716 +-6588 -997 +-6424 -3376 +-5343 213 +519 -1577 +8379 -4435 +4749 3346 +-415 6407 +-6648 5399 +-2908 7619 +5068 6122 +5256 7595 +566 -2114 +-2531 -6272 +-1203 12029 +13 12153 +-3337 -2495 +-9150 -4558 +-6414 -3917 +1910 -5742 +341 -3889 +-3612 -1365 +4478 -546 +3075 4536 +-2538 8528 +660 1112 +-1412 -2345 +662 -272 +-2137 4427 +-1993 744 +-1184 -1411 +-9509 194 +-7444 -2953 +789 1657 +12010 2204 +9254 130 +-6916 865 +-10377 -6966 +-3971 -9200 +-5541 4175 +-3041 11783 +2172 821 +-3062 2463 +156 12504 +5493 1076 +8824 -8611 +11371 -796 +1177 2774 +-11994 -3567 +-9692 -402 +2842 1368 +9581 -168 +7000 2854 +102 -1351 +1520 2724 +-129 3817 +1469 -2116 +5079 1583 +1452 -4119 +7033 -10020 +7452 -3294 +4440 367 +176 -2588 +-2350 -2156 +-2861 -1353 +-2419 -4833 +6268 -6772 +-1960 -7764 +-4199 -3018 +7393 3108 +8048 5942 +6186 5443 +-14 4796 +-260 12507 +5142 9638 +-391 3961 +-5084 8530 +-5526 8009 +-3971 2832 +3480 -7177 +-3556 -7333 +-7393 -3371 +2661 -5057 +1945 -1826 +585 -2859 +-2549 -1079 +-5500 2188 +-9261 -2103 +-8563 -6925 +-1783 -871 +-4321 3558 +-841 -1276 +1249 4627 +-1103 1897 +-1865 -296 +-7373 6258 +-7795 2609 +1718 757 +10862 -6045 +7302 -5764 +-4866 5133 +-12631 1428 +-9035 -4393 +-1728 6627 +5074 10721 +2095 -966 +-3453 -176 +-1586 -5708 +-5666 -9646 +-5820 -975 +3927 -162 +2488 341 +4858 5079 +5776 10649 +-219 6649 +-6851 -2922 +-11016 -463 +-2991 -6229 +-3161 -10761 +-7713 -1824 +-6758 -2187 +-3100 -2682 +-1510 -4674 +-769 -7681 +-2463 -7327 +-10666 -4421 +-2791 -5444 +2093 -11040 +-372 -4161 +8665 4069 +8192 8343 +2051 4349 +-1018 2222 +-931 1837 +-1679 -7938 +-9469 -6059 +-2110 546 +4073 8623 +-8316 7127 +-3227 -3882 +6243 2259 +6650 10862 +8620 4309 +3415 -3083 +1514 1889 +1138 2343 +1590 3605 +4013 3167 +3731 -10561 +705 -10803 +-3018 -1544 +172 3049 +501 5259 +-711 2137 +2770 -2688 +4795 -1841 +764 -1098 +-5219 49 +-9576 7973 +-4046 7146 +6896 187 +3128 -627 +2433 129 +1696 1449 +754 5286 +10905 3942 +11580 -142 +1392 3776 +-5132 -3862 +5038 -9992 +8081 918 +-4869 -2916 +-11072 -3231 +-5156 3126 +2841 -2691 +2042 -1301 +6962 487 +11490 3872 +4312 4904 +-7100 2507 +-8573 7132 +-6382 -2356 +-9634 -5473 +-7080 3880 +-6590 2960 +-3977 176 +-1199 -2163 +4355 -293 +4334 5798 +-7542 4045 +-7013 3227 +2851 1350 +2664 7983 +2574 19175 +6791 8423 +-610 -2936 +-1738 -3709 +5046 -6276 +727 -5699 +-2810 -381 +-4913 2395 +-1723 740 +-2532 -948 +-4971 -1120 +-1264 2491 +2722 6496 +4653 5165 +-2558 3185 +1348 -105 +5264 -13660 +-954 -18167 +2163 -4229 +529 -923 +-8901 -2797 +-4800 -3945 +6455 -5181 +3802 226 +-3401 -4218 +-6379 -6701 +-3041 -2764 +-4516 257 +-6698 -699 +1011 -5879 +2409 -2107 +3832 -1830 +6143 -3395 +6665 1779 +4775 11888 +1928 9085 +127 -2593 +-8303 3741 +-7191 2887 +5976 4902 +6761 4968 +-652 -11230 +-973 -4246 +-8511 5378 +-5940 3013 +2189 -847 +-6471 -10179 +-2654 -10706 +5631 -4030 +6616 -1307 +3178 -1069 +3454 955 +3097 4541 +-5675 5286 +-2013 3168 +512 5635 +507 9281 +1103 2590 +4038 -3796 +7034 1281 +-3008 7952 +-3172 6931 +3053 -994 +7254 4009 +14621 12324 +10822 1717 +-2411 -1794 +-3768 -1609 +-60 -7882 +-3062 -4696 +-2482 1555 +-2373 4862 +-679 1515 +-787 -421 +-7738 -1142 +-4137 -2704 +6900 -1353 +-4525 4410 +-6652 4627 +464 -4546 +-4033 -2020 +-4008 -642 +-3265 -8199 +-1438 -9225 +-1416 -1919 +4375 5960 +10478 7388 +975 7204 +772 -1290 +-995 -736 +-9371 -430 +-9604 -7966 +-3934 -322 +5704 710 +10285 -1119 +7146 1649 +805 3931 +3782 2143 +2854 -540 +-3775 8813 +-2210 2123 +921 -6736 +4348 5668 +1148 858 +-6603 -11856 +-12176 -6212 +-9723 -1195 +-5969 647 +-6727 -5460 +-6028 -8608 +-7711 3949 +-4045 6148 +775 2633 +1760 -438 +-636 -6223 +1211 -7415 +6474 -1413 +-1807 3812 +-6375 5128 +-4498 3584 +-39 -7772 +4768 -3702 +1689 7821 +2453 6670 +-2000 1485 +-3672 -6350 +4499 -6687 +2566 -3764 +-2293 439 +-1355 3525 +1998 7842 +6613 4025 +10485 -6088 +7652 391 +5382 3892 +11025 2443 +13818 -2124 +8480 -6910 +-4986 2129 +-5100 1099 +4404 -5768 +-319 6111 +-1816 7721 +-2649 -3504 +-4394 1325 +-3446 2225 +-7958 -3748 +-7229 -5763 +-2404 -558 +7305 1424 +12942 -1423 +5478 4604 +-113 -1678 +1437 -1214 +2706 8392 +1724 5042 +5200 -3815 +5939 -3134 +-1360 -224 +-9842 988 +1883 4607 +13996 5235 +8381 8738 +-646 5843 +-2508 -5516 +2794 -7421 +-1001 230 +6685 5014 +7844 1066 +-8382 6785 +-1146 6280 +104 -3022 +-5890 -5668 +1520 -3539 +2407 8517 +-5444 12818 +-7942 10096 +4598 1407 +8358 -5188 +-2271 175 +-7646 507 +-4349 717 +2288 6918 +-482 6829 +-1160 912 +1886 4429 +-2265 37 +2920 -4040 +9203 -360 +4808 -7598 +-1396 -6538 +-4030 -5510 +-2669 -5929 +-4173 -4098 +-6115 -6547 +-6901 -4188 +2685 -5712 +11461 -7696 +1711 -3644 +1105 2323 +7651 8154 +4182 8297 +-182 -113 +-6247 2416 +-10465 8477 +-4229 -2791 +-1965 -9149 +-4708 -6944 +-2785 -4418 +-5296 -4410 +-4250 -4942 +-6732 -1257 +-7591 4833 +-2093 8140 +-3780 -806 +2580 -1507 +3540 3192 +2101 -1251 +7433 2528 +4695 2498 +1380 -6289 +2453 -6268 +-1111 753 +-6873 7286 +4523 2095 +14234 -5460 +12145 787 +3407 4569 +-5555 -2443 +-2710 -7356 +-621 805 +8402 -663 +6616 -4545 +-4988 9531 +-4734 10117 +-103 -461 +523 1584 +2028 7248 +-17 -2788 +983 -1604 +10941 -2413 +6548 -9473 +-3266 -3986 +-10862 -2413 +-10125 -10142 +-662 -7524 +-1569 831 +-2082 -1292 +1713 -1577 +-647 2424 +-2579 -1281 +-1524 -309 +-8625 5385 +-14858 -1064 +-7421 -4535 +-3434 4384 +670 12278 +6370 6412 +-2057 375 +-110 814 +7028 -4363 +-48 -42 +89 -2026 +2490 -8227 +2684 -206 +2632 5545 +-2868 5281 +-4414 2216 +-2346 3571 +-3493 -1277 +-7653 -9962 +-3319 -4875 +4053 7341 +6729 2028 +7505 -12306 +2015 -11753 +3585 -6375 +7582 2513 +4572 546 +2863 4398 +-335 6427 +1099 -9046 +4061 -2440 +5447 -206 +7316 -372 +1652 9210 +2108 12324 +7487 9019 +6314 -5592 +2345 -4844 +-6492 8002 +-8182 8186 +-2247 -1837 +-2206 -4181 +-4128 -2944 +-717 -5947 +4029 -3970 +2198 3024 +1287 8135 +-280 -27 +1467 709 +6304 3890 +-1905 -2133 +-391 -1881 +6941 -8939 +-1063 -11283 +-5137 -833 +-10046 5206 +-14512 -1021 +-5368 -5116 +-633 1620 +-2443 352 +495 -2375 +3899 1389 +2899 4944 +-3316 5932 +-1615 -3731 +1314 -8816 +3032 1757 +-4904 2326 +-12688 -2906 +-3684 6257 +2391 3355 +-3986 2023 +-2850 11312 +5047 9029 +358 3185 +-7318 -3888 +-8888 -456 +-2614 9754 +-856 5573 +-3472 -5612 +-6294 -8971 +-10330 -1398 +-1964 1978 +-2508 -4062 +-7363 3351 +-3572 12368 +-3407 -646 +2793 -2777 +7842 4693 +-1034 2198 +-7076 -2074 +2573 -4449 +9018 187 +10509 -4643 +9645 -5003 +-79 -3030 +805 -7960 +4779 2031 +-1614 6862 +909 4506 +1748 1250 +-5701 1159 +-3823 1052 +-3073 -7395 +723 -10395 +5490 -10172 +1647 -5665 +-2146 894 +-7529 7809 +-4955 7221 +1916 -204 +7153 -4152 +9870 -6523 +9621 -291 +5344 2914 +-2030 4500 +-444 4409 +-2752 -2630 +-5505 762 +-5956 1545 +317 -5228 +8566 -1399 +1714 -2681 +3208 -12312 +1434 -10358 +-1283 -7054 +4760 -153 +1533 6793 +-3719 -1725 +-4671 -7403 +3615 -677 +-2150 4870 +-11831 6023 +1144 7006 +4076 958 +-1779 4151 +5154 10175 +9893 3336 +2469 2116 +-8201 1995 +-7488 5572 +1009 8827 +-2164 4353 +117 4951 +-238 9658 +-536 3279 +2713 -4520 +-3113 5929 +-1980 5474 +665 -8259 +1303 3482 +2219 4909 +-2215 -6725 +-11100 -4842 +-14786 -3039 +-9756 2026 +-2785 4599 +3494 5409 +10974 4332 +15319 866 +9628 -2147 +1505 -4301 +-5212 5332 +-982 109 +2864 -6602 +-751 8619 +525 3847 +-1162 -5125 +-1400 6340 +-3894 8920 +-922 3824 +932 5993 +-6848 6727 +-9176 2604 +-7893 -4793 +4057 -7129 +8117 2054 +3394 2772 +855 682 +-1857 -1829 +2034 -6804 +3167 3185 +5071 2120 +3270 -7696 +-3049 -4977 +-5625 714 +-3900 1094 +68 -8808 +-1112 -14242 +-4548 -12096 +-1374 -5212 +5327 334 +4252 -2970 +-1297 -6295 +-6482 -3348 +-3013 5882 +-2063 1825 +-3428 -6468 +1602 -625 +-1632 2240 +-3473 4565 +-7410 2782 +-2868 -7424 +8739 -5983 +9395 1371 +6675 5062 +-34 5777 +3510 3532 +6265 7298 +1756 2771 +-892 -5095 +1530 6333 +2095 5223 +-5019 -6372 +3261 1609 +4976 1973 +-6207 -3322 +-11661 4086 +-13240 7963 +-5944 8113 +975 5223 +5218 3256 +7408 4929 +1575 -465 +-11930 -8016 +-16177 -1992 +-6360 1651 +2915 -1908 +4999 4148 +-208 -2617 +-3049 -6148 +-550 -185 +-971 -2576 +-4280 -5824 +2973 -7795 +7351 -2147 +-7553 -7393 +-6591 -4126 +-3225 8814 +-8397 -320 +-4261 -4840 +-1687 -213 +-1025 -981 +-6361 -3179 +-418 1652 +3462 5531 +-2487 -67 +2032 -9813 +-3385 -16382 +-6900 -7885 +1670 5451 +3939 6969 +-1938 3509 +-2407 5110 +3385 2137 +11815 -156 +12957 3744 +-543 4375 +-338 4238 +2873 2796 +1460 7812 +5370 8487 +-2708 -1395 +-4763 -5576 +2376 -4548 +573 -3749 +-58 -9252 +2541 -5993 +4875 2985 +3083 3221 +-6393 5970 +-7342 4453 +-1915 2645 +-3592 3762 +-6898 -1922 +1251 -1097 +11825 -673 +5794 -2797 +3330 892 +3542 -3150 +5199 -132 +10942 10443 +4885 7571 +3082 -1435 +2708 -5461 +3089 -2473 +8283 -384 +1070 -1425 +-14535 1386 +-14112 8561 +-4020 5153 +1068 -3259 +6566 22 +-1791 -1903 +-6322 -2781 +-568 195 +-2388 -1397 +-7107 -1797 +-2609 -7834 +4378 -6224 +-11045 -973 +-9933 -1940 +2665 4633 +-4711 5467 +-8940 -4662 +-1018 -3948 +-118 1261 +717 2922 +3180 -4796 +3537 -1279 +6269 6561 +5389 2852 +6697 -2052 +8863 -5213 +4741 -1012 +2871 3394 +3157 1087 +3073 -2411 +3203 -2975 +6158 2220 +9352 4069 +5924 -467 +5139 -4899 +5572 -5876 +3079 3300 +2797 3190 +-223 -3776 +-566 610 +-2563 5255 +-9117 3566 +-2845 -6501 +-217 -109 +-12971 5466 +-12379 440 +-2672 4360 +-634 -3155 +4005 32 +5696 5297 +2768 -1344 +4591 -4381 +5672 -9699 +-1035 -4772 +-3618 7613 +-1811 10789 +-10748 6430 +-7624 3773 +4159 -7523 +-456 -11678 +-6400 42 +-1175 6884 +10026 7644 +4319 1115 +-11708 3550 +-11679 1431 +-7586 -6875 +-5133 -144 +6262 5002 +10856 1578 +4475 -1167 +1814 -2577 +419 -8028 +3001 -416 +-298 2864 +-6923 455 +-9832 10133 +-10389 3841 +2762 931 +-1 7102 +-10037 2215 +-3357 -2285 +667 1057 +2402 1753 +-584 -5639 +1964 -3294 +9202 774 +6050 -1376 +3858 -5844 +3549 -9623 +3061 -3833 +4478 630 +3295 -1242 +2866 -2373 +6212 3051 +5626 7812 +1764 7657 +-4526 236 +-4785 -9405 +8928 387 +3543 5551 +-7859 -199 +-4802 -1886 +-6403 -4620 +-5288 -3873 +-4389 -3386 +-8670 -4205 +2370 1825 +8180 -792 +-3939 -7959 +-184 1699 +7968 7394 +4279 2352 +5846 4230 +5882 4672 +2430 4403 +638 5858 +-7181 -4595 +905 -6764 +10798 4032 +-3001 3605 +-9469 -915 +-8251 -4152 +-3917 -7124 +-4723 -10444 +-6409 -4923 +432 5571 +-2108 1269 +1737 -932 +-2093 871 +-12189 -48 +-10321 2633 +-3094 4803 +5453 -594 +622 -11242 +-672 -10004 +1499 -3958 +-2256 -2157 +-6508 1737 +-7405 4545 +-2334 3969 +1403 5385 +4553 7501 +4287 2886 +2687 -2478 +1832 -5549 +7167 -8809 +3572 -8320 +-4840 -102 +2475 7581 +8851 7419 +8612 5091 +-1117 261 +-634 -1301 +463 3308 +-1633 3328 +10900 -3072 +9390 -6622 +7402 -2275 +9165 2341 +6318 4556 +-3362 2952 +-9841 -4063 +7142 -5328 +6244 1937 +-6441 4821 +-5058 1632 +-8069 534 +-6675 369 +-5571 162 +-9489 2155 +3054 -162 +6366 -5705 +-5760 -3782 +-4486 -2168 +-6824 1766 +-5203 7294 +-1167 667 +-5217 -68 +-10039 3337 +-7778 121 +-2852 -6290 +-637 -4228 +-5410 -2409 +-13976 -9103 +-12001 -1406 +-1170 8605 +4806 7096 +3200 6899 +-726 7992 +132 8069 +6967 3360 +9986 -11437 +6576 -13945 +1828 -2668 +-1572 -4881 +2053 -6669 +9001 -2485 +9609 -1556 +3001 -2173 +2359 1329 +8745 3414 +5499 -3106 +-505 663 +871 7298 +-1440 3432 +-8098 1432 +-5162 -1964 +5547 -8564 +9926 -1426 +381 -795 +-5165 -3626 +-286 8016 +-2953 2317 +986 -1958 +2630 -3111 +-786 -8542 +6386 -4490 +3761 -1054 +-4877 9028 +-3158 5849 +9464 -2707 +7511 -641 +-5357 4199 +-6983 2889 +-6865 -4078 +-2453 2321 +-737 -809 +5077 -1518 +6100 1261 +-3134 -6458 +-1499 3315 +-6671 8367 +-8218 -1103 +3097 -6948 +4893 -5478 +4029 1972 +5593 3302 +-1372 4 +-4648 5932 +815 8787 +-437 1240 +-4097 3385 +-5581 9021 +-5940 5085 +-6330 -2889 +-3284 -2714 +-5731 1722 +-16790 2129 +-10322 6579 +4575 7175 +8259 2222 +6781 3106 +1704 5848 +-3338 1999 +-2465 -2704 +-3895 1164 +-10879 1896 +-11573 -4179 +4751 -1379 +5328 1761 +-3116 -1208 +-2624 12918 +-337 17736 +-2360 447 +-8412 1283 +-305 1825 +2374 1196 +2213 5289 +5773 -2581 +1337 1115 +119 -3399 +-3955 -7435 +2631 -3538 +14233 -13508 +4508 -7918 +-3272 748 +5381 3854 +-1794 2042 +-11429 -8761 +-3080 -8469 +-1337 -1943 +-3426 -779 +-101 -6408 +1455 -6225 +522 -3029 +6293 -2481 +7556 905 +1966 3123 +1952 2551 +1517 -5088 +3616 -5793 +3309 2382 +1181 3624 +-682 -1095 +-1720 -5296 +-2545 -1246 +-7289 9390 +-7028 15000 +-1428 6221 +8105 -671 +5990 -140 +-2714 -9991 +-5206 -15703 +-5024 -6424 +-2129 3653 +-2233 4314 +237 -884 +2740 -3655 +3766 296 +1589 7261 +677 2925 +2997 -3675 +3590 -2049 +8060 1456 +3891 3792 +-1034 3523 +-153 6796 +-2161 4701 +-3588 -467 +-2169 3401 +-6951 8602 +-11781 4719 +2503 -4042 +5214 -2170 +-3182 1230 +6565 11087 +11597 13084 +-1412 1914 +-5569 6548 +1033 1537 +2677 -678 +5146 2399 +852 -6910 +-655 -2735 +767 3956 +-4283 1550 +-494 -428 +-4271 -7111 +-7707 -3629 +318 5190 +-1051 -3375 +-9516 -5649 +-3416 -1474 +1328 -2315 +-3156 -4505 +-7324 -4430 +-11440 -4631 +3909 -6718 +6093 5489 +-5299 12773 +-43 6976 +1927 3762 +1989 455 +-2027 5768 +-1320 10390 +1268 84 +-4215 -2017 +-792 10441 +2666 5748 +-1390 -403 +-4263 1544 +-1301 -2868 +8 4965 +-1443 4400 +1581 -6391 +692 -8278 +-2203 -8664 +3426 -11320 +7102 -11979 +1679 661 +-9723 9155 +-9669 8002 +2776 5900 +985 699 +2244 -7615 +3718 -8417 +-1775 391 +2839 164 +3759 -4209 +5897 -7196 +6684 -5045 +2620 -3206 +-819 -1840 +1601 1387 +9712 -4453 +5186 -1583 +-3499 -1142 +-7086 -317 +-8164 11707 +-7237 6715 +3660 -4952 +13601 -7248 +10297 -1772 +8008 2196 +7379 -1684 +3524 -1148 +-6583 1658 +-3223 43 +3224 2124 +-2307 3926 +-747 -27 +-7989 -2506 +-8457 2283 +3582 3583 +-2985 -1846 +-11051 1927 +-3566 1026 +-425 -2620 +-5413 -1409 +-8635 1342 +-11883 3718 +-1153 -8114 +8945 -6556 +6194 681 +5083 1242 +5318 -4251 +3125 -3775 +-1160 -3987 +-3998 -523 +-6243 -2756 +-1054 2216 +5396 11344 +-5958 3703 +-9473 10476 +3081 15130 +3842 5983 +-2182 592 +-372 -4748 +3061 -2511 +-751 3190 +-8466 5518 +-7852 13650 +5357 12058 +125 4847 +-10510 6639 +3532 1160 +7024 599 +2743 456 +2061 -4059 +-1775 -5272 +-3214 -5313 +-5522 -1191 +-2531 -6187 +1284 -7418 +7536 -7058 +6262 -7452 +-3541 -1562 +-715 3036 +882 9473 +-1192 4199 +617 -133 +-1880 1859 +-4199 -2690 +3777 -2679 +2556 1455 +-10758 -2217 +-3429 -6319 +9455 -3196 +11767 -3676 +5105 -1140 +-9378 -25 +-12228 -1373 +-6468 -2353 +6039 -7398 +8359 -2591 +-5058 2214 +-2473 -2900 +4686 -2708 +1053 2121 +-690 535 +1964 5893 +234 8881 +1455 308 +1055 -3872 +-9567 -6625 +-7074 -5509 +-403 -7345 +2912 -5636 +4975 -2440 +1348 -6557 +-76 -4840 +-3520 -2174 +-3469 2248 +-6718 2091 +615 2263 +11652 4662 +-2245 6653 +-491 13899 +12365 8955 +6922 1778 +-1280 1818 +-3386 -3294 +2045 -4294 +3942 3263 +820 1464 +4747 -10318 +3166 -3650 +-2563 -644 +-7469 -2101 +-5847 3712 +-370 2281 +899 3194 +1994 2850 +-131 261 +6746 -5508 +5430 -2163 +-2723 8005 +655 5109 +6149 962 +6149 407 +-6621 5644 +-5792 3804 +2033 -218 +2437 3143 +2684 1152 +2675 -1084 +4623 -6017 +-1088 -6977 +-913 -546 +-6615 2759 +-9466 1598 +3603 2203 +1079 7182 +3010 6308 +13177 -3944 +5752 -5508 +-825 6328 +8029 7276 +7321 4909 +-955 2963 +-2163 -1252 +-7283 224 +-6924 -994 +-5163 -1075 +-6015 -11409 +-15 -16021 +-1127 864 +-5606 7660 +-5755 3309 +-8778 -3262 +-4522 -7298 +2605 -6616 +3908 -6820 +-3829 2002 +-16457 7460 +-10091 -2397 +-1641 -4795 +-3890 -5953 +-2370 -5315 +-893 -347 +4444 -711 +8811 5806 +9291 2661 +2889 -1996 +-2840 10632 +4145 11320 +7797 -2858 +6010 -1327 +1376 583 +-2874 -10735 +140 -4747 +-2403 1120 +-7208 3228 +-2200 6510 +1208 1951 +2750 1749 +3421 763 +74 208 +1711 -8544 +2880 -5108 +3045 7684 +3507 3388 +996 -2741 +-30 -5662 +4050 -5529 +-4116 -5578 +-8486 5031 +2371 6349 +185 614 +-1025 4739 +7090 1365 +6811 46 +714 -1026 +-2681 3691 +-317 15291 +520 7153 +6201 -1929 +11189 183 +10035 1105 +3852 420 +524 -10479 +634 -13788 +-2215 -375 +2561 1586 +7747 -36 +5562 -1931 +-1699 -4580 +945 -888 +1173 -6890 +-9158 -2537 +-2273 7505 +3483 5592 +-6658 2997 +-7799 -6124 +4345 -4130 +2666 5651 +1463 3989 +7782 -1833 +2031 -7500 +1978 -5572 +957 321 +-60 6173 +3851 6578 +-1205 5087 +-9543 8793 +-7804 8149 +-3918 8642 +-8649 1801 +-2205 -6703 +5971 -3212 +5770 1363 +-1164 7438 +-9805 1312 +-8462 -5129 +-11608 -946 +-10873 -1636 +-1604 -4473 +-713 -7618 +-3110 -3134 +2434 807 +5790 4130 +6200 3013 +5721 -5050 +-2608 -4308 +419 -4774 +5204 3955 +-5155 9239 +-6336 -1511 +-477 -7171 +-6978 -1191 +-3222 9351 +6151 2879 +620 270 +2423 4072 +6376 -3760 +5205 -4455 +-220 -1203 +305 4393 +9982 11219 +5250 4799 +3441 -5477 +8316 -7062 +4637 -4191 +1701 925 +1867 -862 +-2405 -3112 +4519 1537 +3747 226 +1656 -1027 +5757 7248 +4143 9980 +800 7583 +-4982 2239 +-10966 1222 +-6642 -1949 +-4183 -2150 +-10791 6133 +-1404 -1020 +1134 4132 +-3707 11783 +4376 2984 +2194 7813 +-558 10412 +-3253 3002 +-9145 -1256 +-10082 -3503 +-5508 -4325 +2072 -1488 +-1373 -4126 +-1036 -2949 +3780 2270 +-319 -2257 +-1878 4141 +2054 7925 +8852 -2766 +11232 -10372 +11769 -5082 +7198 3797 +-9794 -141 +-8447 -4427 +2866 -1136 +2952 2589 +4657 -4096 +-1127 -7674 +-6424 -815 +-4458 2491 +-1271 -4611 +-1663 -9390 +-6941 1625 +-3053 3393 +1756 4853 +5493 3252 +7634 -7038 +3104 -133 +2196 5456 +6219 1279 +4730 -6742 +-2460 -4788 +-3521 570 +1642 -2177 +10648 3508 +3465 4590 +-9960 -5384 +-3607 -13514 +-1503 -13588 +-5760 -3573 +-6127 6630 +284 2759 +1042 -2279 +-3922 -683 +4475 -1805 +3019 -2250 +530 -1752 +9169 1671 +9774 4608 +5543 4944 +-2428 4884 +-7688 8067 +-6422 2828 +-4642 1152 +-4195 11525 +-1823 -254 +3790 -3046 +7628 -1444 +7242 -12244 +4690 -11744 +435 -828 +-2019 3590 +1922 -3324 +6836 -10486 +10140 -2498 +3067 5773 +-575 1005 +-549 -5067 +-3927 -5764 +-3840 -2397 +-9632 2186 +-5720 3054 +9900 -510 +8472 -2640 +1199 -4802 +1090 -6886 +-1262 -3120 +835 2890 +-3394 6253 +-11708 -1302 +-7984 -7402 +-375 -282 +3110 2376 +-3279 -3114 +-10255 -10100 +-10602 -6176 +-5632 -32 +2997 -4525 +10153 -473 +2797 9122 +435 8134 +10562 238 +-1463 3015 +-440 7834 +11027 -1137 +7047 -5157 +7215 -2905 +3436 -3173 +-255 3273 +-4362 7555 +-1892 4436 +-634 2975 +-1324 -1905 +3532 630 +-1862 2771 +254 -2633 +4108 1297 +5957 -11 +7991 62 +270 1627 +-5921 2359 +-6408 5474 +-7329 4589 +-3026 9026 +8413 1560 +898 1797 +-3409 10109 +8832 3663 +3767 7663 +-7211 7046 +-3522 -2412 +3247 -7612 +-2018 -13783 +-3910 -11805 +-191 -936 +730 3969 +-575 -3682 +-1335 -12261 +6391 -8398 +6091 2322 +198 1078 +-3663 -3416 +-6814 -1822 +-4557 673 +-6106 7879 +-2668 6179 +5240 -5924 +410 -7983 +-1187 -393 +1507 -1615 +2564 -4759 +3643 13342 +1595 17823 +-1353 2141 +3451 -5901 +2095 -5318 +-4185 1018 +-6973 -4226 +-4167 -5803 +425 -2173 +-8165 -11899 +-10304 -9120 +-14491 -758 +-12008 1172 +-437 1265 +-8399 -1316 +-5873 -3935 +-819 -2123 +-3558 6342 +-1761 3991 +4918 -5556 +17110 -9933 +8823 -2429 +-4995 5552 +-29 918 +-2177 -154 +-3916 4268 +6735 6268 +3192 3819 +-1859 4127 +1306 -512 +-1808 -9552 +-2632 -7280 +1339 -2735 +3775 2121 +6813 1425 +9236 2705 +-1902 7925 +-4373 3261 +3662 -714 +752 -5414 +-1255 -4578 +-803 3624 +5286 3233 +4975 -3258 +-1271 -2314 +-2715 5591 +243 4317 +2737 -525 +-3336 -6111 +3583 -6993 +10499 1253 +5684 -195 +2812 -430 +-1317 4432 +-5408 7183 +-3365 7084 +1870 -1942 +112 -5007 +5729 -3030 +6530 -4843 +-4335 -4327 +-1346 208 +166 -2216 +-1120 -5107 +11601 7498 +12997 12092 +329 2450 +-1199 -6642 +-1755 -5260 +-2509 3525 +-8033 1399 +-6933 -1577 +-1048 -1854 +-14168 -3507 +-13728 -354 +-8159 3414 +-9692 -4278 +-3814 -6836 +2587 -3369 +-1501 -883 +442 -2662 +-2739 -2446 +1162 1529 +3298 726 +3663 3515 +2918 7341 +-5458 3072 +1675 2247 +11380 318 +13421 -5859 +8043 -4050 +9284 -3848 +12677 -7060 +4575 -8011 +-3004 -905 +-6187 545 +-1764 -8543 +789 -4013 +1566 -2343 +-673 -574 +317 4084 +2108 1031 +-12 1644 +4420 1742 +651 9060 +-471 5308 +-3981 -8920 +-9031 -5878 +2563 207 +5310 4232 +-3056 8506 +-3820 8260 +2623 3907 +1476 3536 +-5409 -1636 +-5704 -10350 +-3831 2098 +-9413 10037 +-9861 1013 +775 17 +2696 -7361 +280 -12853 +-2065 -810 +3898 2397 +13708 -827 +4590 -2434 +-1705 1947 +-3502 11371 +-9998 7371 +2050 3295 +10127 6248 +-1622 3537 +-4399 -3155 +-4842 -1571 +-9341 3979 +-7741 548 +-2138 -6169 +1814 593 +6589 10212 +6078 2244 +-8590 -977 +-7670 -2073 +-162 -4107 +-1780 291 +-1306 -2375 +-3678 -138 +1902 277 +3040 -1651 +5056 255 +7121 3543 +-2144 5784 +2839 602 +9157 -7065 +6688 -12898 +3573 -7828 +3620 -3318 +5227 -805 +2363 -2298 +-210 5232 +-3894 2379 +-8003 -5000 +-1384 -1707 +1425 -6365 +-761 -10386 +-5382 -6818 +-9256 224 +-3923 2030 +-1233 -4163 +2856 -38 +2956 11652 +-2868 12916 +-6343 11143 +-8307 5310 +173 -3330 +4057 -1488 +3037 4942 +8679 3121 +7810 -5586 +5281 -8538 +1663 -4413 +3601 453 +9136 847 +-845 4701 +-3224 2727 +-1108 -4167 +-3808 -630 +2055 -1681 +1502 -2214 +-49 -3803 +1324 -1836 +5267 4881 +10712 1477 +-786 -6230 +-5323 -13384 +5700 -7474 +1902 2047 +-2740 5407 +7702 2887 +10652 7006 +6335 9265 +5066 -3619 +-5018 -244 +-5613 3861 +7997 1749 +2018 -2069 +-7314 101 +-5688 12352 +-9694 7491 +-4529 3718 +4109 4158 +-3540 -139 +-5356 -9617 +4201 -13616 +-3175 -2451 +-10945 5506 +-2766 4487 +-1017 -3449 +-635 -3535 +-2163 -1418 +-1119 -1172 +4072 -1834 +380 -3353 +3301 3928 +-1396 4319 +-9581 1727 +-2267 -326 +-3222 -5574 +-7621 -6994 +-8704 -1275 +-6980 6414 +-1676 4074 +-3744 -2227 +2105 -1933 +10107 6463 +6435 10961 +1660 8114 +-5900 3246 +-776 2940 +6240 251 +10 -4322 +2601 -7200 +401 -6626 +-5588 -3428 +-4482 -2984 +-3694 -709 +-6686 -1771 +-7422 -390 +-1176 3926 +-586 6677 +2091 6540 +-686 -4141 +-2219 -386 +7223 4792 +5831 733 +3121 -1646 +1471 -8368 +357 -6052 +67 -3527 +-1801 -5631 +-2430 -5586 +-7518 -512 +-6978 160 +-2848 -134 +-526 6071 +3915 -1946 +970 -4706 +-4997 1598 +-3676 -999 +-2125 -2610 +-1068 -3633 +-1000 -106 +-5007 12857 +-3914 6910 +5814 -11130 +8618 -2168 +547 4422 +-1765 -1206 +-4775 -3492 +-4457 -1531 +7001 2865 +16355 -7534 +15164 -3746 +8352 6867 +8267 7317 +1366 17758 +863 15571 +4509 1237 +-5143 -4759 +-4332 -2197 +-88 -518 +4587 1086 +-1157 10674 +-6750 6253 +7869 -9868 +7937 -8177 +-3275 1000 +-4105 193 +-2842 -1675 +-1837 -3546 +-684 -3709 +-3925 4257 +1550 2950 +5059 -3895 +-2763 -3199 +-2588 -7037 +-3928 -5222 +-6511 1056 +-5422 727 +-3414 1905 +-6412 3049 +-6118 4494 +1591 3575 +3937 5285 +5850 3471 +-3603 -2819 +-7380 -764 +-4881 399 +1337 4091 +4888 -1843 +5825 -41 +8181 3439 +-1661 -7987 +2122 -9156 +1772 1140 +-8395 6698 +-2691 3485 +-3612 -713 +-4386 -2923 +280 -2912 +2015 2129 +4337 2221 +4162 -2608 +1218 -758 +-1481 3700 +-5294 1873 +-3156 -863 +-2892 -4953 +-6348 -6178 +3420 2590 +-251 10529 +-930 5152 +10026 -3776 +4706 1711 +3745 1268 +-249 -3992 +-6627 1704 +1454 -3639 +7440 -16070 +597 -15090 +-2704 1351 +-3199 6603 +-7096 -2933 +-2726 -618 +-2729 409 +-3467 -4006 +-212 -8743 +-1610 -7759 +-9271 775 +-11081 -1524 +-1125 -3347 +5705 -5408 +10757 -5398 +6657 -298 +6741 -3638 +11917 -382 +5200 2377 +-2152 4923 +-9627 7872 +-5386 4845 +5028 8037 +3512 6824 +-2022 4251 +-2608 809 +-2285 3284 +-5038 5363 +-5418 -4229 +584 3922 +12410 12547 +13492 6160 +-863 1020 +-6063 2830 +3813 2202 +2644 -4617 +4378 -3856 +8648 -2865 +-6428 -4758 +-4398 -8112 +2155 -325 +-2047 10402 +280 4241 +-3161 1810 +-5211 674 +-1660 -2316 +2897 263 +4453 -1162 +-3953 -5815 +-10476 -6500 +-3782 -582 +-3734 -1122 +-56 -896 +-2666 955 +800 -709 +5933 2701 +-1739 4720 +2378 7419 +2666 10515 +225 5371 +-1832 -1583 +-2065 628 +690 -3574 +-170 -4963 +5832 712 +2341 -540 +-714 2628 +526 1175 +-7702 -260 +-10774 -2001 +-6137 -858 +-3659 1305 +-2147 -5901 +1198 1014 +-635 2625 +1554 342 +1617 4402 +2564 5282 +7434 14551 +-3075 10496 +-5761 -3708 +4530 -5515 +3766 2008 +-3507 9011 +-1072 1431 +-3308 -5015 +-6154 -1109 +3542 -8138 +-562 -15149 +-7511 -3118 +-2531 6647 +6397 5000 +9732 718 +3498 -6719 +3625 -9694 +4447 -6721 +1700 -8328 +4410 -9114 +-191 -6378 +-9230 -4517 +-6680 1827 +501 6488 +10155 -922 +9849 -12261 +2284 -5100 +5769 4569 +4699 -1513 +-2091 3822 +-4309 7793 +-2869 -4052 +2586 -6050 +5066 4555 +-9231 10996 +-14714 5099 +-3129 1661 +-3544 1627 +-726 -611 +-1457 2474 +16 -948 +6255 -1799 +1744 4603 +6704 3886 +9003 5975 +3729 3821 +-2440 81 +-1149 1783 +-1781 -3081 +-3533 -3474 +5163 -2502 +5921 -456 +843 1034 +2023 -2348 +1377 -4326 +-3549 -3550 +2882 -3156 +5295 3358 +5432 8150 +10736 6294 +4169 4449 +-2713 5543 +5233 5244 +701 5986 +-12825 6825 +-8016 -9354 +9421 -9434 +12753 5075 +-2221 6429 +-2496 6313 +4104 4246 +-5117 6776 +-8181 10174 +-1945 8413 +-4091 2443 +-2199 -3784 +2522 -4674 +-3348 -2966 +-2040 -625 +-1080 1630 +-3210 -1900 +533 -9313 +-3335 -1840 +2654 1684 +9200 -517 +-2224 652 +-6248 2230 +-124 10163 +7390 3099 +11885 -1806 +10326 -3264 +2543 -7556 +-4887 -2129 +-3818 -4978 +-6759 -6361 +-3232 -3061 +4567 -3564 +-1264 -6394 +-5654 -2630 +-4482 1793 +-256 -6648 +-2225 -9187 +-1826 -5023 +-559 -4262 +-9187 923 +-7701 9277 +-6092 9106 +2130 6846 +9387 2638 +3603 -5287 +-141 844 +-5436 7922 +1080 711 +-1313 -5160 +-7521 -4378 +2091 -2867 +1741 75 +-27 -3080 +-1700 -4085 +-5101 -298 +169 -4172 +6276 -839 +9494 2669 +12486 -2146 +6150 666 +1383 5879 +7512 534 +4420 3993 +-5767 13194 +-12571 -2094 +-1403 -14890 +5214 -10704 +-205 3696 +698 7003 +-1500 1679 +2292 5082 +1223 -1095 +-7267 -3185 +-204 -476 +4080 418 +-12210 -3837 +-14974 -6297 +-6044 684 +-3498 -79 +3896 4674 +4054 5490 +7317 -475 +4025 147 +-3571 -4327 +-4804 -6442 +-14607 -8805 +-6491 -5170 +3677 4548 +-2222 -1697 +-5973 -4190 +2067 2234 +5712 -1440 +974 -2616 +6853 -2528 +123 427 +-8434 9060 +-4524 -965 +3224 -11679 +6992 -9440 +-1789 -5360 +-1882 2140 +1236 4312 +2126 1608 +4770 2151 +1935 7023 +-1565 4201 +-3018 1064 +-586 9802 +7640 10239 +9567 6758 +-2223 8175 +-5306 3288 +2323 -279 +1000 -238 +-3505 -6697 +4252 -8059 +12954 -3176 +8962 -8225 +587 -8096 +-2658 -1172 +1664 -5211 +5393 -4963 +4808 328 +2024 -1640 +2149 -3840 +-1986 -797 +-2729 7064 +3141 12006 +-3073 8956 +-4895 -4988 +-3371 -10476 +2172 2762 +5248 4781 +-83 2277 +5147 2280 +353 -1582 +-7706 2367 +-461 -212 +3426 -2354 +-12063 5029 +-15908 4995 +-4361 4422 +-2812 2135 +5937 987 +6209 1706 +-443 -3175 +-3549 -1311 +1424 -4001 +273 -4948 +-3321 2183 +781 9589 +9110 8395 +4229 -802 +-989 -4238 +5171 -1630 +-803 -2321 +-6800 -771 +253 2305 +-4556 -923 +-12562 -4376 +93 -3607 +1421 5924 +-7223 11518 +-1778 1156 +-1626 -1348 +-4042 5731 +2597 702 +4955 -1881 +1576 3375 +3345 -5693 +-454 -13050 +-6222 -4893 +-1956 2649 +4519 3607 +1851 2194 +-7665 6546 +-6543 10579 +-498 4116 +3007 -1886 +-573 2571 +-10640 2193 +-12942 -3227 +-4061 -3625 +2947 -1535 +3880 2020 +7604 -395 +7741 -3406 +10933 -4973 +764 339 +-8075 2461 +3975 -4887 +3358 -5337 +9790 -11322 +11205 -6908 +4238 -1638 +3672 -1228 +-4600 7390 +1826 -4510 +7055 -10877 +3337 -6555 +391 -6828 +-1116 -3209 +2769 -757 +2537 7566 +-178 13740 +-4383 7278 +-1434 -2198 +1547 -2386 +-6869 1461 +-11665 5906 +-5715 4897 +-1252 -4206 +-3052 -3875 +-1239 3757 +6791 6632 +12604 409 +2785 -3317 +-3626 -2526 +2927 -4502 +-2153 -1210 +-5913 3748 +1662 1537 +-4148 2233 +-12700 4709 +-2432 -3197 +2791 -843 +-1796 5562 +1328 -2156 +-1309 -8485 +-3977 -10873 +5183 -14302 +6644 1012 +-1388 5864 +501 6251 +11077 2314 +7141 -5948 +-7271 -817 +-7210 -2139 +-1408 3518 +-3316 6839 +-2310 -223 +1872 2612 +-2711 7495 +706 2588 +7972 2721 +3799 1368 +-4668 -10098 +-10328 -1913 +-3090 6057 +-3137 3350 +-4267 -7192 +7441 -14717 +9681 -4584 +4348 -5197 +-906 -495 +2187 4019 +5069 293 +-1748 8946 +-1025 9902 +1208 -1082 +1982 -2491 +5395 5688 +9042 5339 +10874 5408 +4050 2534 +-297 -7955 +2232 -7235 +6435 -4316 +7317 -5114 +-1619 2078 +-2426 6287 +4033 -59 +1873 -424 +-3171 33 +-3038 1608 +3255 5994 +-684 -359 +-8870 -5478 +-5419 -4221 +-1356 -7614 +-581 -5686 +-6305 5578 +-11482 6652 +-7723 5858 +-4127 4394 +-1342 -3191 +-635 1705 +1747 11296 +650 6785 +-5698 -66 +-1050 3879 +-14 -2511 +-6632 -5379 +-10298 -5440 +-5559 -13979 +5723 -3701 +2785 5166 +4419 4208 +10037 -5612 +1638 -9025 +-6120 4129 +-7017 3129 +1251 3504 +2056 7146 +-1957 1228 +2343 -442 +1159 1795 +-2171 -5194 +-2942 -2561 +274 6536 +6126 10670 +11466 11584 +7830 13752 +-1724 11982 +-1526 -4213 +-1144 -4868 +-784 -1775 +4323 -889 +-609 241 +-10551 -6678 +-2462 1365 +2097 -4148 +-2526 -11411 +178 2743 +-3098 3062 +-1529 -2707 +-4068 -3106 +182 -1500 +13646 6280 +7978 14694 +5485 14425 +6791 7488 +3976 385 +-2809 -4848 +-3102 -2904 +389 1521 +-5503 -2031 +1418 -2083 +7652 5067 +693 7700 +-1147 -174 +1387 -10902 +-3626 -139 +-3029 9400 +2903 -569 +-144 -5479 +-1985 -6496 +-8534 -4267 +-9716 -121 +-2387 -1991 +-462 -5307 +-154 -1716 +-1381 435 +-205 -1266 +-2852 888 +-5354 516 +-2335 -3592 +-3876 -9673 +-7333 -4547 +-6416 1603 +-3733 1764 +2645 1410 +7286 -6411 +-4673 -1253 +-9293 4634 +3028 -1845 +8537 63 +4800 6334 +-3508 -135 +-2684 -4757 +1245 470 +-4954 -2283 +-4047 78 +4516 4654 +11816 3905 +16689 1131 +15233 5159 +6483 10012 +-3933 -2122 +-4031 -2822 +-1741 -754 +2868 -3426 +-230 581 +-12586 1903 +-747 2610 +2420 -4285 +-4536 -8431 +4388 -7496 +6758 -3344 +714 -3416 +-3110 -7709 +-5342 3984 +-4328 10461 +-2689 1116 +9639 -334 +10832 -3667 +1499 -8965 +1366 1802 +5778 4026 +6077 6541 +-5692 5628 +-1656 -8885 +9150 -4155 +5236 -2007 +713 1017 +1113 10061 +11301 3939 +6586 567 +-4278 -3936 +-1868 -10816 +-4752 -1021 +-3847 8292 +1939 3018 +5848 5726 +145 8632 +-3856 7633 +5757 5031 +4331 -5609 +1195 -6892 +3138 906 +-613 5137 +-4779 -3858 +-2216 -6093 +3808 -734 +-2027 -1694 +-8744 1853 +-11075 -1911 +-12235 -6859 +-4974 -6260 +-440 -5914 +-4012 -4142 +-493 798 +9308 270 +6143 -4803 +-2352 1871 +-825 6736 +2162 6015 +-3467 9308 +-8752 11956 +-8693 5429 +-6719 2038 +1294 1981 +3750 -197 +-536 7599 +-3014 3376 +-1637 -5294 +3771 -173 +4751 6232 +5747 143 +291 -8545 +-7592 -4820 +-1579 -8244 +3096 -6865 +-1684 -2995 +-7473 -3739 +-1644 6350 +3415 10519 +-1368 2522 +7207 -6519 +6014 -9737 +-4695 -7586 +2228 461 +7144 -786 +8917 899 +-705 8128 +-8287 -3522 +602 -9818 +7651 -7456 +8383 -1422 +5899 9060 +1082 6750 +37 -9587 +-1996 -5441 +1988 -712 +4338 798 +-1445 10537 +4461 6033 +2420 2846 +-7078 9437 +-4868 5890 +2434 -3086 +1417 -815 +-7968 6593 +-8571 5612 +-2354 -4244 +-7347 -3380 +-9099 1396 +1665 -4735 +2009 -634 +6795 2190 +6692 -683 +-10030 -2450 +-6766 -7200 +4839 -8296 +8666 -5763 +5231 -1294 +434 -5038 +5062 -4536 +760 -4408 +-8154 -7945 +-11414 2774 +-13588 10473 +-7785 2916 +-1187 -5319 +3065 -1931 +3972 -2736 +-1041 -1751 +-178 598 +2510 -471 +3632 5114 +-906 -2932 +-3876 -2543 +-3274 9930 +-3724 7276 +6067 -752 +645 -1227 +-6707 557 +106 -2132 +293 695 +2075 -1484 +-713 -5079 +818 -2791 +5450 -62 +2419 11126 +2098 16566 +7486 6802 +10806 -1734 +839 -4740 +631 -6579 +3073 -3351 +-3012 -6117 +468 -10508 +5610 -6581 +10530 2832 +5343 4294 +-6214 -7003 +-5140 -2636 +1065 -1839 +3884 -2213 +5707 8809 +7416 1676 +3730 674 +611 11690 +104 7534 +-105 -3963 +368 -3999 +-609 -2971 +-2923 -5184 +-6660 -1311 +-12053 5199 +-7987 8540 +4544 2814 +1884 1052 +4104 -1423 +3922 -8460 +-1498 1004 +3164 6223 +2036 -4216 +-1230 -1349 +-5381 7731 +-3684 5838 +6879 4681 +5601 4823 +-747 -3233 +-3009 -1769 +-1088 7193 +938 -2216 +-6297 -7101 +-3399 1446 +-1330 2290 +-1354 4587 +12386 5607 +11793 170 +4269 -4295 +973 -5749 +473 -3054 +-1258 -5820 +-1351 -10732 +5975 -5682 +7491 -8164 +7831 -13624 +-2 -2158 +877 4368 +5380 6625 +-3347 7131 +-929 1674 +928 5381 +-655 281 +752 -6185 +4831 3174 +2352 10575 +-7679 4869 +-7501 -7481 +1944 -9687 +9640 280 +5033 9254 +-2454 3238 +-4793 -4005 +2464 1075 +3893 3923 +2629 -127 +7536 3128 +1018 8321 +1897 1297 +-5388 -1807 +-16717 -621 +-9323 1793 +-7280 -534 +-6499 -8503 +-2927 -3207 +-2933 -1243 +-5610 -1996 +-6013 3384 +-5926 11683 +-630 11567 +5437 -755 +2195 -412 +2290 -3708 +-2386 -8747 +-578 1788 +6485 2599 +-1142 -4457 +-1886 -162 +824 9191 +849 6758 +7438 792 +8410 6518 +5611 8832 +2075 -2029 +348 -1970 +-4613 5036 +-14355 -4919 +-11136 -6517 +-8245 3036 +-7088 1049 +-3367 -1840 +-5958 8671 +3973 7686 +5827 1750 +-6258 1434 +-9208 -4069 +-5933 -6768 +1065 -4070 +5856 5072 +8527 -2667 +2865 -9341 +-4373 -2005 +-1744 -8043 +-2680 -8572 +-12501 -7120 +-8042 -9755 +4194 -3865 +-2164 2554 +1794 2545 +12288 1460 +8599 3588 +-1544 4016 +-9568 2852 +-243 3082 +7445 3529 +1690 1829 +-7898 2105 +-7838 3459 +-2079 1114 +-2091 -4236 +6955 305 +9014 6768 +3336 2986 +4444 -690 +123 -6933 +-974 863 +4840 5578 +3828 -906 +3585 1430 +6054 -3885 +-2166 696 +-1703 6487 +5365 514 +5719 -2508 +11303 -2184 +3642 3146 +-4943 1971 +-1895 -3567 +-6571 -6340 +-4492 -3661 +4909 -573 +7533 -1333 +-2104 -4038 +-7325 -6012 +3691 -3129 +11204 620 +10275 2242 +236 -2850 +-1060 -1539 +70 6809 +-14103 6289 +-12879 2934 +-4153 7722 +-4630 5523 +-3788 914 +1323 10086 +8215 2717 +5659 -2682 +-3723 5237 +-9500 3317 +-6313 910 +-382 43 +138 -58 +-34 -16 +3 -10 +1 -3 +-5 1 +-2 6 +5 -2 +6 -1 +2 2 +-3 7 +-3 -3 +-4 -10 +-1 -10 +-2 -10 +3 -2 +5 8 +-1 6 +-3 -1 +-5 -3 +-3 -4 +-3 -4 +-3 1 +0 -1 +-1 1 +-7 -1 +-4 2 +0 0 +1 5 +-3 2 +-3 -5 +2 -3 +-2 2 +-4 0 +-3 -2 +1 0 +3 0 +2 0 +5 -3 +0 -5 +-3 1 +-5 5 +3 8 +1 8 +-1 5 +3 2 +4 3 +-2 6 +-2 4 +5 18 +7 30 +3 30 +13 38 +15 53 +42 214 +424 3038 +1707 8400 +3906 4103 +2075 -6476 +-1927 -3098 +3908 956 +4717 -4133 +-6526 -2000 +-9828 1836 +-9327 1393 +-5334 -2501 +5690 -4238 +3975 1001 +-2625 -2930 +2135 -6048 +5151 4775 +3024 9378 +3333 8573 +4671 3179 +872 -6811 +-2509 -2788 +4085 183 +3965 -4979 +-6871 -764 +-9540 3634 +-9140 3068 +-5847 -1558 +4937 -5308 +4199 234 +-3226 -2394 +905 -6393 +6103 3715 +4959 8595 +5113 7715 +5345 2147 +-562 -6876 +-3104 -2177 +4088 -646 +2871 -5729 +-6982 733 +-8687 5643 +-8381 4979 +-6106 -270 +3725 -6205 +4221 -606 +-3656 -1673 +-384 -6450 +6815 2409 +6637 7480 +6622 6694 +5747 1104 +-1890 -6699 +-3550 -1557 +3971 -1436 +1833 -6235 +-6860 2018 +-7623 7208 +-7414 6476 +-6178 928 +2508 -6843 +4090 -1478 +-3979 -952 +-1651 -6220 +7280 1081 +8071 6077 +7866 5277 +5938 -91 +-3132 -6279 +-3806 -876 +3720 -2101 +728 -6442 +-6477 3185 +-6336 8357 +-6275 7569 +-5980 1910 +1394 -7145 +3824 -2079 +-4110 -259 +-2631 -5894 +7436 -90 +9093 4754 +8750 3949 +5940 -1021 +-4103 -5612 +-3921 -203 +3331 -2691 +-381 -6411 +-5954 4221 +-4966 9219 +-5059 8482 +-5621 2806 +275 -7293 +3500 -2647 +-4150 372 +-3643 -5413 +7283 -1269 +9669 3271 +9192 2555 +5694 -1857 +-4946 -4879 +-3963 322 +2890 -3212 +-1292 -6296 +-5204 5003 +-3459 9814 +-3609 9141 +-5111 3655 +-951 -7196 +3002 -3172 +-4003 1020 +-4440 -4703 +7016 -2472 +10124 1508 +9542 863 +5377 -2846 +-5720 -3970 +-3880 1013 +2346 -3576 +-2422 -5914 +-4311 5827 +-1724 10274 +-2023 9596 +-4443 4450 +-2102 -6874 +2514 -3600 +-3774 1662 +-5160 -3880 +6581 -3495 +10324 -41 +9713 -527 +4930 -3571 +-6327 -3000 +-3688 1608 +1777 -3924 +-3335 -5505 +-3448 6398 +-146 10400 +-547 9779 +-3745 5081 +-3209 -6498 +1867 -3986 +-3575 2204 +-5778 -3067 +6029 -4485 +10279 -1643 +9536 -2038 +4289 -4326 +-6763 -2014 +-3419 2107 +1151 -4122 +-4122 -4835 +-2368 6868 +1491 10263 +958 9669 +-2926 5552 +-4153 -5890 +1268 -4146 +-3152 2794 +-6220 -2083 +5140 -5370 +8535 -3017 +5487 998 +-2060 5097 +-9683 5394 +-3859 8952 +-1666 7751 +-5256 -1473 +-375 -4662 +4358 -6699 +-4501 -5477 +-8963 -1308 +-2262 -5102 +3840 -3126 +7958 -747 +1184 -7741 +-939 -5883 +4383 1934 +-2528 6602 +-1898 8564 +4578 2716 +5986 -2195 +4772 4094 +-2351 9195 +960 5684 +4304 -309 +2034 -6723 +6271 -1758 +6707 7326 +7529 34 +2181 -8110 +-4071 -8805 +4631 -4365 +6535 849 +526 -3983 +-5752 -3333 +-9252 -1707 +-913 -6463 +4437 -4734 +-1603 -4731 +-8751 -1590 +-6336 -358 +1302 -4379 +5685 3614 +7476 1767 +4043 -7367 +4045 -1272 +3118 5328 +-2596 8665 +3422 5680 +7728 -1577 +2867 2499 +-2145 4960 +-8694 -2028 +-10011 568 +-8027 6722 +-4199 -1152 +2061 -2501 +3935 7796 +7764 5492 +9268 1950 +-1074 7275 +-7600 1511 +-4967 -9160 +-7789 -5272 +-7701 -784 +2824 -1862 +2075 4927 +-4288 10297 +2597 9223 +3686 6898 +-5060 2320 +-3351 -3243 +-959 -7861 +-7060 -1151 +-7817 4876 +-5092 -2263 +1008 -4797 +5855 -5770 +-4069 -6531 +-4594 -3758 +4695 -1355 +2273 6693 +4033 7691 +5434 -964 +3294 -5578 +6435 -65 +4129 8399 +4400 3637 +3140 -2967 +-2867 -6273 +3636 -5422 +10025 984 +5856 -4995 +-3744 -7424 +-9123 -3742 +509 -6324 +5620 -3824 +-2309 -3232 +-6682 1534 +-8183 5109 +-5175 -3950 +116 -6384 +-4385 -2250 +-7683 4789 +-5032 3997 +-2045 -4032 +6774 -1293 +6945 -3810 +-1930 -7977 +2210 -3624 +6007 1736 +3878 8053 +6436 1885 +4864 -6221 +3828 -53 +1644 4986 +-8039 4238 +-7352 7036 +-1701 10171 +-4038 1892 +-173 -3149 +8190 3113 +9654 -1162 +8388 -4725 +4103 5987 +-4682 6194 +-9911 -3227 +-9510 1425 +-6374 4591 +902 -3271 +4933 2094 +3739 10202 +8210 4831 +7456 2445 +-2337 5022 +-4759 -124 +-6014 -5071 +-6241 3898 +-2799 8707 +-5487 1682 +-2482 -4105 +665 -8006 +-7484 -2087 +-6071 260 +2706 -4112 +6175 3362 +8229 2917 +3571 -4292 +-1109 -6187 +4929 -4247 +8800 3375 +5880 -320 +515 -4295 +-6322 -2712 +-789 -6381 +8416 -5854 +1307 -7521 +-7779 -3097 +-9513 2978 +-3673 -5059 +2356 -6226 +6336 -3402 +12544 -2256 +8632 -985 +4563 -4541 +-382 -405 +-1683 4488 +-1951 -455 +-3457 2335 +-5985 8456 +-8670 4560 +1127 -7599 +-468 -15085 +-14271 -4535 +-5503 -1026 +8961 -6526 +9352 -2024 +2775 -1638 +246 -1548 +3978 719 +-1305 -55 +-6421 3483 +-4101 8514 +-6724 10407 +-2565 1808 +7115 -2140 +4990 4049 +-925 911 +-6715 1977 +-7635 4305 +2264 967 +-934 6201 +-9621 7729 +-3034 3492 +-2401 3188 +-414 5064 +10473 2742 +8389 -2661 +1213 1412 +-3588 2839 +-7950 -4204 +-4595 -6550 +3021 -6657 +3362 -4711 +-3148 -3152 +-4383 -1058 +-3764 5134 +-6059 4293 +-7454 -3103 +-5192 -6207 +2500 -3543 +2132 2036 +410 9188 +6282 7914 +4511 -3067 +1913 -4030 +2705 -4747 +4348 -11282 +5384 -1972 +1369 1891 +1340 -8876 +-165 -6341 +817 141 +5409 3857 +-40 6669 +1320 -3983 +8434 -10105 +6181 -6171 +705 -6231 +-580 -16 +1476 4424 +-1840 892 +-1249 3951 +713 10120 +-3900 8972 +-4059 -6359 +-10200 -10815 +-14144 5961 +-4960 2788 +2596 -10508 +5171 -6215 +5336 -2107 +6671 -2959 +285 2466 +2149 -1414 +8692 -10616 +5728 1388 +4638 10796 +5447 1027 +340 -2588 +-6945 -1200 +-5078 -5087 +896 -524 +105 7697 +-1398 850 +-1986 -4123 +301 -144 +1809 -4635 +964 -9995 +3403 -8633 +-229 -3536 +-3817 -1379 +-5748 -1693 +-9545 -198 +-1435 -6013 +1414 -4800 +-3009 1814 +2255 -5952 +3588 -9841 +2239 -7007 +-1301 -4556 +-5507 144 +1307 163 +1678 -706 +-1705 -520 +3708 2446 +4156 3953 +3618 -1285 +412 1744 +-9766 7133 +-9483 2715 +1139 -283 +11929 -65 +12011 -533 +803 921 +1112 -703 +8748 -2915 +5377 1747 +-5027 2605 +-9924 4329 +-4342 10827 +-1287 4970 +1601 1981 +6311 4988 +753 1842 +688 7494 +4015 10247 +224 4602 +1095 7114 +-1083 5148 +-5289 -6812 +-5967 -9069 +-5622 2399 +55 9494 +2339 6421 +2050 -2686 +3256 -6920 +1838 1644 +732 -2508 +-237 -13527 +5287 -2755 +10695 4936 +4975 -2771 +-1381 -2097 +-6159 3618 +-7269 -461 +334 -938 +5082 5685 +-514 1557 +-4118 -1844 +2916 -1132 +7634 864 +3465 3946 +-541 -1627 +-3781 3712 +929 3585 +4578 886 +6613 -544 +7561 -9688 +1876 -8110 +-337 -3713 +-2915 460 +-872 6653 +2744 -287 +-6589 -4585 +-7236 4910 +2862 9286 +3392 3214 +1428 1951 +3265 5701 +1346 4375 +-2209 2094 +-698 78 +4019 -513 +8617 3770 +4982 6528 +-71 1523 +5236 -3365 +2208 113 +-7581 -2861 +-5735 -6722 +-1188 2530 +-1566 4462 +-3561 1143 +-527 1091 +2946 -5637 +-1241 -7642 +-4041 -2098 +-4651 1693 +-3174 6845 +6345 6500 +8569 -2383 +-4339 -4053 +-8749 -4302 +998 -10049 +5182 -5602 +4874 1937 +1088 5871 +-8820 5263 +-5529 -5109 +6756 -8142 +7889 -3289 +2964 4752 +413 9042 +1175 -599 +2838 28 +760 7206 +-5195 5443 +-5083 5714 +1715 8926 +-4737 6652 +-17370 -8259 +-12390 -7391 +-2153 4357 +1038 -7678 +5910 -7808 +5370 842 +-1515 -798 +-484 5139 +3047 1906 +4091 -2411 +4749 -4781 +-468 -12027 +-3829 -7169 +-2670 -2567 +-1967 2181 +3694 5426 +2012 -1980 +-8021 983 +-2304 8307 +8225 4371 +2819 -3108 +-1558 -4818 +-598 -106 +-3697 -791 +-2119 -3401 +-1534 -2490 +4687 -1784 +8715 -3642 +2841 -6706 +2238 -1438 +2278 5597 +8935 6433 +14121 5373 +3627 -508 +-4627 -2749 +-8128 -862 +-7268 -5186 +-1298 -3637 +1074 4578 +960 8419 +275 5547 +-549 3027 +-3590 9754 +-8566 7348 +-9462 -4643 +-9491 -3001 +-12958 1257 +-6884 911 +5736 3984 +1383 7584 +-3089 8588 +4377 3057 +4193 -3987 +4373 -3918 +5561 -1931 +1194 -2147 +5216 -221 +8035 3939 +-5103 5181 +-12749 -1163 +-1607 -7444 +3436 722 +-853 6934 +-600 790 +71 402 +-930 -2305 +-8370 -4124 +-7536 5050 +2765 2322 +-766 -1960 +-1600 5308 +1193 5151 +-2212 -904 +-1091 -4369 +-76 -2639 +-1108 4151 +-4495 3758 +3953 -7163 +12249 -10164 +-862 -1762 +2004 -1594 +9262 -5771 +996 -7472 +3514 -8246 +206 -4500 +-4187 -2434 +-526 292 +-3320 1803 +-3830 -1131 +-2805 -811 +2508 -4388 +4391 -8346 +-2185 -6732 +817 -2490 +5449 2632 +11189 -1118 +14536 -5281 +2527 -2715 +-5162 901 +-3080 3149 +-227 547 +134 -113 +22 26 +4 7 +0 6 +1 6 +-5 8 +-5 13 +-4 7 +-3 2 +1 1 +5 2 +0 4 +-6 3 +-7 2 +-4 -1 +-2 -3 +-8 7 +-7 5 +-6 6 +2 3 +6 3 +-1 3 +-1 -4 +-1 -1 +-5 -6 +-5 -9 +-5 0 +-5 3 +2 5 +3 7 +-2 6 +-4 2 +2 -3 +5 7 +1 3 +2 6 +7 9 +3 2 +-3 -1 +-6 2 +1 2 +-3 0 +-6 -1 +-3 0 +-5 3 +0 3 +1 7 +0 2 +7 -1 +5 1 +1 5 +0 3 +-3 4 +-10 -2 +-5 -6 +3 -3 +3 -4 +-3 -2 +-2 -3 +2 -4 +4 4 +1 3 +-3 2 +2 3 +-3 -3 +-3 -4 +-7 -2 +-5 4 +-1 7 +3 3 +2 -4 +0 -2 +-4 -5 +4 1 +9 1 +1 -4 +-11 -3 +-4 0 +-1 2 +-1 -1 +-2 -4 +-3 -5 +1 -2 +1 -1 +3 0 +0 3 +-2 2 +-3 -4 +-4 -3 +-4 -3 +0 3 +-5 2 +-7 10 +-1 2 +2 -4 +-4 -2 +-3 -6 +-3 -2 +-4 4 +1 0 +-1 -1 +2 -3 +2 6 +0 6 +1 2 +-5 4 +-7 2 +8 2 +5 4 +9 -15 +17 -53 +12 -61 +1 -45 +3 -46 +25 -46 +1072 395 +6331 2489 +8961 1529 +39 -4742 +-6514 -2705 +667 163 +2025 -6834 +-4529 -1045 +-5173 9029 +-3727 9394 +-5310 7474 +-3749 -3302 +2708 -7036 +-46 884 +-6743 -2577 +1909 -4868 +10429 719 +9873 1990 +8957 -511 +-1016 -4777 +-7199 -1402 +690 -47 +650 -7233 +-4728 -136 +-3306 10082 +-1771 10119 +-3757 8444 +-4433 -2553 +1265 -7490 +106 880 +-7196 -1232 +1072 -5071 +10586 -1139 +10232 174 +8891 -2121 +-1792 -4495 +-7400 -23 +730 -138 +-633 -7237 +-4716 737 +-1376 10540 +185 10344 +-2101 9133 +-4910 -1596 +-226 -7612 +370 869 +-7426 320 +-115 -5230 +10186 -3531 +10221 -2118 +8406 -4069 +-2804 -3971 +-7365 1708 +641 -306 +-2369 -6947 +-4642 1755 +976 10544 +2438 10000 +-141 9323 +-5198 -562 +-1876 -7415 +458 743 +-7340 1683 +-1105 -5146 +9514 -5387 +9792 -3975 +7571 -5539 +-3614 -3474 +-7077 2887 +603 -445 +-3511 -6419 +-4238 2616 +2824 10324 +4131 9471 +1446 9211 +-5306 333 +-3116 -7022 +671 713 +-6952 3081 +-2071 -4832 +8451 -7020 +8982 -5704 +6495 -6802 +-4131 -2679 +-6423 4148 +546 -525 +-4622 -5642 +-3775 3325 +4520 9634 +5707 8610 +3065 8796 +-5139 1165 +-4260 -6418 +727 559 +-6472 4075 +-2835 -4458 +7312 -8185 +8022 -6989 +5373 -7710 +-4563 -1977 +-5802 5112 +422 -624 +-5569 -4861 +-3276 3815 +6085 8696 +7139 7504 +4484 8173 +-4944 2044 +-5288 -5519 +813 497 +-5717 5036 +-3473 -3969 +5976 -9225 +6828 -8160 +4101 -8488 +-4789 -1302 +-4899 5882 +402 -694 +-6179 -3895 +-2582 4231 +7510 7532 +8395 6185 +5883 7242 +-4509 2793 +-6175 -4553 +912 263 +-4781 5911 +-4152 -3273 +4317 -10106 +5481 -9208 +2757 -9028 +-5016 -442 +-3926 6690 +256 -711 +-6873 -2809 +-2007 4652 +8594 6326 +9215 4907 +6867 6337 +-4095 3456 +-6888 -3590 +914 149 +-3916 6536 +-4609 -2606 +2841 -10529 +4076 -9799 +1335 -9203 +-5062 354 +-2894 7072 +121 -781 +-7191 -1695 +-1120 4837 +9575 4743 +9936 3258 +7854 5089 +-3486 4100 +-7404 -2337 +990 -2 +-2638 7027 +-4981 -1759 +619 -10291 +2551 -7021 +4844 -610 +846 9861 +2423 10451 +8623 5961 +458 5090 +-5864 1748 +-3357 -5977 +-6385 -3847 +-6999 7310 +-5749 4092 +-4513 -3536 +3234 -6689 +-1273 -7228 +-9107 -2019 +214 -3389 +5224 1003 +5549 8120 +7211 938 +1970 -5706 +3610 -5659 +7871 3411 +6187 6000 +4795 -2558 +-2629 -4292 +-3440 -6718 +8127 -4320 +8539 -4033 +-1907 -8493 +-10058 -1570 +-6997 -3258 +3559 -7235 +733 -3559 +-6122 128 +-5863 7884 +-7403 3228 +-3911 -6162 +-2970 -3964 +-6998 4025 +-4163 8627 +-4372 259 +1240 -4121 +8894 -4295 +-1078 -7803 +-3470 -5564 +5375 -2904 +6523 4279 +7449 4887 +4966 -6294 +2304 -5279 +5196 2487 +-1997 6005 +-7891 8341 +7 10951 +-252 7972 +-4401 -1660 +5070 -1341 +10819 -673 +7463 -8106 +7074 -1698 +2333 8898 +-8509 2980 +-11091 785 +-6751 7939 +-2813 1119 +3481 -3802 +6995 6980 +8540 6516 +10658 -802 +3636 3652 +-3558 5021 +-6502 -2496 +-7574 1138 +-857 9960 +-1882 6750 +-5844 221 +-1754 -7157 +-5679 -4578 +-8479 4347 +-2077 -2680 +4706 -2639 +9696 2427 +6254 -3986 +-2227 -5444 +-1023 -6495 +8303 -2667 +8774 322 +2035 -5022 +-4855 -1389 +-7155 -2645 +3378 -8485 +4029 -8548 +-7057 -4981 +-8885 5450 +-7643 2227 +-2128 -7683 +-1825 -3102 +-4705 4174 +682 9699 +-3567 7292 +-7155 -1951 +-4933 -981 +-2746 7556 +2481 9090 +-3232 3065 +-1843 -3872 +4091 -9048 +-5965 -5045 +-6355 -1885 +2229 -5772 +7904 -1231 +9092 -1391 +-265 -7885 +-1662 -5392 +5738 -1636 +2498 5793 +-583 11491 +7264 8112 +5026 6031 +-4610 1694 +2963 -4355 +7917 -7739 +343 -10922 +4327 -5942 +7895 4889 +-4341 7893 +-7969 8103 +175 10266 +-1456 2580 +-53 -5145 +9967 347 +10886 -1020 +7586 -7710 +5222 294 +647 6077 +-6689 2466 +-5241 5849 +5861 7996 +2907 6257 +-4459 3971 +-6032 -4145 +-7468 298 +-3839 8678 +-3368 -730 +1935 -5034 +9151 -4478 +2317 -7017 +-5294 -2603 +-5074 -4245 +4721 -7429 +7152 -5500 +-1663 -5082 +-4713 2101 +-7324 2601 +-2903 -8489 +-2418 -8888 +-8816 923 +-3443 9763 +-4558 6501 +-6601 -4319 +-2479 -2691 +-3939 -334 +-9309 1843 +-9757 8014 +-490 -1088 +11344 -13786 +5936 -7904 +-1352 2318 +1573 6870 +-6540 4361 +-5207 -2891 +-2133 -2822 +-7749 1136 +-1376 3560 +-4850 3102 +-5945 -4124 +1686 -6579 +-631 20 +9016 7853 +12580 9619 +-3553 -1006 +-11583 -5935 +-8293 831 +-3116 914 +-660 -879 +3799 -1732 +4720 -1849 +1398 -1227 +-2555 -6859 +-8579 -2674 +-5447 7853 +-5265 3470 +-5706 -713 +4203 6736 +349 11802 +-5474 5780 +2232 -1807 +5686 -2855 +2863 -5934 +775 -8051 +3462 -6383 +5096 -9944 +6113 -5025 +10124 5353 +6711 -4235 +3041 -10535 +8830 1045 +10400 3150 +6153 2019 +5877 4978 +5771 531 +4861 -2933 +624 -196 +-9405 -957 +-7847 -8848 +-1423 -5381 +-3752 6280 +-6558 1131 +-8408 201 +-1825 9440 +4251 5156 +2072 -1410 +4762 -2182 +3358 -1115 +-850 1519 +-3688 2405 +-6121 7275 +-2470 12173 +-1119 -618 +-37 -17609 +-436 -9716 +414 2657 +5625 4133 +-2370 7394 +-6051 1101 +-3583 -757 +-5505 5852 +1190 3575 +-1797 5491 +-6959 2432 +642 1815 +2864 5355 +-60 2267 +-510 3294 +-5931 5626 +-16327 967 +-10804 833 +210 2684 +3763 -734 +6500 6345 +-638 6549 +3730 3885 +13952 11885 +3462 4603 +3616 -3790 +9035 1597 +4442 -743 +2587 -8616 +-2748 -14299 +-2287 -12563 +383 -2931 +-346 4234 +2724 5121 +5416 876 +9607 -1691 +4877 2074 +-1677 1841 +2415 -5439 +2135 -2285 +-2842 7802 +-7214 11316 +-3440 13194 +-793 3039 +-7539 -11682 +-1057 -7271 +4889 1501 +-3654 2783 +-6905 2912 +-4441 2899 +-1500 679 +-3034 -6076 +-7489 -4269 +-2264 3175 +4222 497 +2090 -2477 +2506 -3733 +495 -4052 +-10156 4262 +-8114 8268 +826 2967 +-3448 -2185 +-6715 -6712 +2694 -7148 +5730 -3353 +-8802 2084 +-9089 4927 +3536 -3019 +-192 -9497 +328 -6964 +2679 -2591 +-2282 4255 +1209 1464 +2076 -8193 +3732 -3103 +9017 4237 +6117 2656 +1282 1443 +1858 2749 +-751 8063 +-11758 11702 +-7668 7868 +2023 1732 +2338 -3098 +9176 131 +4010 5078 +5472 208 +18796 -1080 +5889 934 +182 -5068 +8461 -4308 +4665 1060 +-1282 -335 +2420 -2819 +7839 -1217 +1213 2525 +-5300 2471 +-6928 -76 +-597 1557 +709 -627 +-10389 906 +-1446 8361 +11028 7393 +9572 -1663 +6143 -9385 +-9662 -1952 +-9246 3204 +2466 -6543 +-6071 -4049 +-7010 2110 +-1537 -7 +954 1412 +9282 2351 +6886 4346 +-1930 1720 +2459 -6052 +6060 -325 +-2239 4517 +854 -4755 +6548 -6622 +-557 1691 +911 5531 +1539 6427 +-1942 10482 +4137 10517 +5445 3232 +-1716 3177 +-5937 5464 +-684 1403 +759 7213 +-83 12566 +7153 6456 +-614 1145 +-7691 -8731 +4675 -16893 +12091 -12124 +11392 -179 +5587 7283 +-1333 449 +-5088 -3082 +-7569 73 +-3752 -379 +-4436 2184 +-8253 -116 +-6270 -4861 +-7750 -8002 +-9638 -8062 +-7298 1029 +-3177 2441 +692 376 +3315 1137 +6565 -2937 +1175 -2817 +-8415 -4168 +-2277 -6816 +5371 -2415 +-595 551 +-205 -3657 +5113 -6213 +2661 964 +-2301 5361 +-5274 4673 +608 1505 +147 -919 +-7221 7663 +4547 7010 +13367 -2012 +6104 -7573 +-1677 -10798 +-8616 5187 +-5475 9062 +-2490 -6222 +3563 -4902 +10302 3311 +9297 4296 +8924 1448 +170 -5225 +-6740 -2874 +953 457 +2644 -7203 +-4746 -1466 +-5817 9310 +-4106 9623 +-5685 7338 +-3779 -3759 +2986 -7042 +-294 1217 +-7063 -2919 +2281 -4950 +10833 1223 +9894 2504 +8974 -304 +-914 -5162 +-7161 -1528 +1076 219 +1242 -7461 +-4895 -361 +-3833 10271 +-2090 10157 +-4113 8214 +-4440 -2949 +1584 -7440 +-42 1294 +-7511 -1458 +1298 -5207 +11034 -707 +10381 749 +8967 -1797 +-1682 -4825 +-7363 -281 +1092 74 +-17 -7513 +-4975 474 +-2208 10720 +-415 10377 +-2680 8809 +-4911 -2169 +308 -7567 +194 1250 +-7719 -117 +301 -5376 +10686 -2706 +10283 -1174 +8465 -3414 +-2624 -4435 +-7358 1023 +1104 -217 +-1440 -7423 +-4850 1323 +-195 10848 +1455 10149 +-1119 9023 +-5261 -1304 +-1040 -7457 +414 1230 +-7622 1237 +-561 -5315 +10181 -4505 +9986 -2984 +7784 -4881 +-3295 -3911 +-7084 2337 +1087 -333 +-2671 -6959 +-4562 2121 +1714 10673 +3261 9749 +541 9040 +-5334 -337 +-2261 -7106 +2189 466 +-891 -1144 +-2966 -8642 +3167 -1799 +1737 5799 +-5049 7324 +2781 7230 +5258 -2184 +6576 944 +119 8403 +-2765 7695 +4847 3621 +1992 -5558 +4821 -4725 +6351 4156 +7259 5886 +6321 -5958 +-3228 -9822 +435 -6234 +7151 -1215 +4169 -95 +-3394 -6263 +-8763 -710 +-5623 -4649 +3611 -6469 +2654 -3617 +-7202 -4931 +-8368 1481 +-2258 -4372 +4569 -445 +6975 5483 +5712 -5038 +3630 -5012 +4185 2718 +-327 8306 +-1472 8075 +7911 1304 +5360 -526 +-279 5641 +-5803 1600 +-10710 -2986 +-8672 5720 +-6954 3478 +-366 -4484 +3176 3576 +4931 8689 +10094 2189 +4342 4797 +-6534 6358 +-6052 -5426 +-5319 -8839 +-9374 -1326 +-2156 -1554 +4650 832 +-2729 9066 +-2328 9875 +5811 8554 +-1880 4290 +-5618 -325 +-631 -6371 +-4311 -5822 +-8352 4483 +-7224 1001 +-1645 -4596 +4732 -5167 +1775 -6784 +-7401 -5100 +1252 -3131 +5161 3218 +796 8700 +6881 3684 +2843 -4976 +5797 -3469 +5516 6195 +2808 7439 +6259 -400 +-1878 -5396 +762 -6554 +7598 -879 +9510 -265 +2414 -8879 +-1726 -5341 +4216 3116 +-486 -1601 +-4401 -7736 +2964 -4217 +8690 -1808 +713 1551 +-6508 3016 +1602 5080 +9184 6365 +5720 6484 +1813 7479 +3065 1384 +4126 -307 +6146 -1442 +-51 -6629 +-6471 -2011 +-3599 -479 +-3525 1842 +-5060 7266 +-8542 4319 +-9903 3697 +-769 4546 +5143 3904 +-3333 -191 +-7507 -5021 +654 -5050 +6611 -5502 +-969 -229 +-5902 750 +-3213 -4930 +-5497 -556 +1699 -889 +778 -8258 +-4294 -5992 +-2064 220 +-3204 -3480 +2656 -8309 +1080 756 +-1068 12264 +-4105 13343 +-6709 4180 +-3673 2785 +-5931 411 +-3775 -12889 +-5631 -312 +-6429 12130 +2704 5276 +11220 5661 +2667 -5958 +-2300 -12654 +6419 51 +4819 1592 +8231 2396 +8573 11483 +4059 8862 +-2446 -1659 +-5252 -7113 +2610 -3701 +1636 -1222 +385 -8027 +-4351 -5972 +-689 482 +5109 -3820 +910 -5727 +5032 -1065 +-1468 -707 +-8437 -2804 +-477 -5064 +5525 -7029 +1569 642 +-3120 6488 +4512 2681 +11320 -1352 +8758 979 +6346 4217 +3224 -1075 +2962 -2994 +3757 -5153 +-4327 -4947 +-7023 2457 +-6874 -489 +-2674 -6941 +1721 -2279 +-1338 9097 +4653 9264 +7636 -2771 +4969 -6803 +6977 1662 +1571 7571 +-1047 2903 +3684 -870 +-3992 -1914 +-10513 189 +-8178 489 +-4355 -6184 +1722 -8834 +143 -9395 +-1965 -1786 +-112 4191 +4154 -951 +6808 3161 +-974 7595 +-7219 4871 +-269 7395 +10593 3753 +6898 -1537 +-4823 1216 +-6292 -4107 +1217 -6820 +4976 -2918 +4198 1406 +4998 3037 +6066 -6287 +-4460 -9820 +-13563 -9108 +2343 -9965 +5355 -2665 +-2090 3216 +4320 332 +6460 -577 +3036 -520 +1200 -4553 +6040 -4416 +648 -6428 +2898 -5028 +5368 -3802 +-5807 -1598 +328 7911 +-2919 5165 +-4516 4696 +432 7330 +-2589 3126 +2448 2982 +3729 5249 +2515 1933 +839 -2838 +-419 7390 +-9455 6890 +-16704 -4154 +-8217 5003 +1468 8420 +6235 1020 +2769 3066 +-714 6058 +-5857 3887 +-6723 -3282 +-184 -2777 +5000 7517 +9810 3700 +4156 -7050 +-691 -8266 +6442 -3414 +6201 4498 +1041 2848 +2196 -3012 +-4359 1333 +-7912 7154 +-5925 5800 +-6878 -1161 +918 -5705 +3498 -6154 +-5756 -4310 +-10253 -3426 +-3116 -6540 +6407 -3594 +2907 -3243 +-2213 -6265 +2184 -2959 +2767 3367 +-1844 6082 +-854 939 +5008 -2607 +-3682 -2992 +-8537 -649 +-2974 768 +-7789 3173 +-1077 3638 +5550 705 +-2169 -1806 +-2257 -5717 +2921 -2272 +2141 4155 +-876 6568 +4501 2054 +5746 3046 +-4607 7663 +-9633 5378 +-2593 5414 +5795 4277 +4071 -2987 +-863 -9997 +1514 1011 +9403 2264 +6276 -2316 +-3747 8369 +1696 5387 +7655 7831 +5358 4124 +6423 -5989 +6756 -2880 +3901 -6522 +751 -461 +-1858 9728 +-9876 6411 +-14581 63 +-5349 -1967 +1176 406 +-3333 7575 +-10200 11291 +-10612 1694 +-6423 -4888 +3406 503 +8340 286 +6260 -4269 +7290 -2285 +-1600 -8129 +-2199 -10235 +-3936 -3154 +-7282 -2064 +7188 -4512 +3365 -8277 +641 576 +7632 6543 +3508 -3627 +-1559 -6894 +-7380 674 +-10237 4210 +-6707 -2802 +2686 -6886 +219 -4305 +-5883 -3131 +-318 -3536 +4382 709 +2669 5667 +11 1226 +2131 -5282 +-4725 272 +-6854 5210 +-978 1614 +1960 6197 +5597 15190 +230 10663 +4845 5836 +5940 1669 +-446 -7640 +982 49 +-1750 7285 +-4660 4254 +964 -1663 +-2020 -6471 +-9882 -546 +-3380 1189 +5921 1469 +5481 11529 +-7954 9363 +-12539 -1794 +-1585 -3646 +2056 5317 +5697 7452 +6113 -2477 +-331 -371 +-2751 6946 +-5236 3599 +1377 -2125 +6359 -3401 +-6464 -4109 +-8565 -273 +-10917 5203 +-14759 937 +-1868 -125 +-2190 2993 +433 1180 +9735 -5025 +6992 -11990 +2448 -5476 +5917 5644 +8293 4730 +-3914 1583 +232 2380 +6615 638 +2745 -2060 +3142 -2567 +785 -2148 +4947 -4471 +6412 -3845 +5183 -142 +-502 -1489 +-5244 -3009 +-3461 -6448 +-1700 -5359 +402 -1784 +-1975 -3622 +-2186 -2545 +-5895 -1192 +-115 2803 +1435 2951 +-11010 745 +-6301 1298 +1328 -8277 +-1832 -13567 +-6391 -5870 +316 2820 +1536 3579 +3750 933 +14044 6997 +7049 7962 +7519 1262 +5787 -2548 +-5254 -5509 +808 -586 +3272 6700 +-1032 6170 +-274 -1918 +-5624 -3665 +-8141 5732 +-1896 2955 +5579 -2616 +11655 5248 +1969 11290 +1564 4169 +7871 -6042 +3250 -8273 +3759 2493 +10558 5593 +10975 1903 +4286 10993 +5465 7643 +758 -1544 +1368 619 +6554 -4224 +606 -4221 +372 -5445 +1393 -2332 +-3855 447 +-1529 -6787 +3331 -1280 +-3446 -1369 +-3625 -4365 +-3297 -2166 +-7157 1189 +216 1362 +-1017 658 +402 11191 +1649 11362 +-8687 7916 +-4546 10022 +-1963 1896 +-8170 -5268 +-10488 1694 +-5987 1652 +8170 -7290 +8411 -1464 +-5459 6025 +-7464 1275 +351 -7963 +2896 -7025 +-3283 -3433 +-6945 2817 +-4772 9400 +104 4470 +3155 3748 +6050 -4396 +9067 -9086 +1415 -1444 +-1697 -954 +2474 433 +1858 2637 +-542 1750 +-1031 -1918 +-3260 2491 +-11002 7595 +-3237 4507 +4798 3058 +5337 -173 +9796 -3682 +2056 -6284 +-4548 -2890 +-7888 1348 +-5881 -2815 +5020 -6967 +5853 -1441 +-5458 9077 +-7549 3880 +4917 -8087 +3206 -10307 +-3223 -7903 +4592 -692 +11955 -2920 +9770 -5926 +10692 5079 +9354 1878 +-477 -1627 +1439 -529 +2293 -7515 +-2294 -3575 +-3305 -4334 +-447 -2620 +-2684 2858 +-5219 -3444 +2402 -1946 +-4389 813 +-5775 821 +-1222 -239 +2068 3295 +-1219 -2225 +-7035 -4314 +-3010 1584 +-2805 1589 +249 16430 +2289 17145 +-1793 -2442 +-5860 -8541 +-1574 -6368 +1210 -3828 +-3766 -668 +-2307 4350 +-6693 3452 +-9253 1692 +668 -2033 +14029 -14238 +8177 -11661 +-3349 -1551 +-2218 -2646 +-7997 -760 +-658 807 +3909 -1563 +-2371 -1185 +-3888 -4532 +-438 -2757 +12920 2063 +10782 191 +4090 710 +6079 839 +8564 -1253 +3245 459 +-7304 -194 +-1591 -2995 +1711 -1235 +-6235 -8091 +-5410 -11955 +-1512 891 +-3408 -192 +-694 -6181 +1978 -2576 +295 -1329 +-776 2423 +-1759 -309 +-434 -2192 +679 2211 +1711 3194 +-1466 5707 +-3616 -246 +-1797 1301 +-5403 13543 +-4883 6771 +2244 113 +-2667 2847 +-6227 -19 +5668 -816 +8906 483 +5492 -1594 +5054 -1188 +11756 -1328 +10996 -2486 +-3054 3639 +-4084 4402 +-1147 593 +3696 956 +-2328 -849 +-8200 1507 +-1159 3106 +-1047 3032 +11333 11684 +13423 10804 +-2964 -577 +-10264 -2307 +-5484 -3565 +-1627 -3549 +-4764 1437 +-2279 -930 +7662 -4942 +6753 -4715 +2859 -7529 +2392 -597 +-1786 6889 +894 5298 +605 -1024 +-4086 -12086 +-4986 -4687 +-168 8929 +-451 10871 +2359 4893 +4668 -975 +7955 -3828 +12998 -8454 +5193 -4947 +1028 -3630 +-5255 -4716 +-10235 -4461 +-5717 -2062 +4226 8401 +8283 7931 +-1023 -2154 +-5323 -3590 +-5657 -1367 +3968 -1517 +8824 506 +7025 -4488 +6456 -2021 +6 7781 +3244 -1210 +-2927 -2384 +-6021 3748 +1927 -4269 +-2176 -11075 +1670 -8188 +5823 -2011 +-464 2580 +-1983 1839 +3134 -1793 +5731 3206 +-5234 6753 +-10095 4365 +1868 5123 +14 8372 +-7926 8081 +-5518 6798 +-6664 8345 +-8117 635 +-4526 773 +-2126 11427 +-5797 4020 +-3466 -6248 +1457 -2238 +-1882 2763 +-1988 -380 +2478 -6599 +9101 -2551 +10344 67 +1696 -1699 +-2582 7067 +3030 928 +-1116 -3674 +-7635 1085 +1968 -8003 +2372 -8039 +-2789 -7454 +1470 -1958 +3156 6320 +4172 3373 +-161 -1150 +-11051 -6252 +-6962 -190 +5721 6806 +6796 8466 +5090 2157 +3057 -3777 +3838 -8119 +4391 -13904 +657 -3325 +6377 -5542 +5884 -11511 +-5350 5257 +-10204 7349 +-6594 -1239 +-3910 262 +1690 937 +3869 1224 +-4406 -3080 +-1265 67 +2964 503 +-5406 -805 +-2399 -4391 +2912 -9713 +959 -7309 +661 -9239 +4155 -2003 +4279 1170 +-3513 -419 +2122 144 +4294 -1792 +-5143 546 +-698 646 +5016 1503 +7082 1956 +6625 9936 +1700 2945 +-3474 -14055 +-3470 -3750 +5317 12481 +6400 15567 +6107 7792 +4998 5156 +-3907 4888 +4169 -765 +6990 -5796 +-3248 -8635 +-282 -6613 +-273 -7822 +756 -5771 +5981 -1412 +3970 -836 +2967 2101 +-2997 3270 +-5486 -693 +2757 400 +695 5230 +-1780 346 +5607 -2751 +2711 2668 +-3472 3080 +-4584 452 +-5906 159 +-2479 -6189 +-2608 -7538 +-2322 -6245 +-1370 -8397 +-8856 -588 +-2240 2117 +5472 3835 +-3749 8255 +-2082 11387 +1115 16934 +122 9817 +814 -8738 +-3319 -12282 +-500 7523 +-2769 12072 +-5878 3469 +-2851 2815 +1905 -462 +3817 -1665 +-5437 683 +-996 972 +2654 -1453 +-4750 2981 +-4713 -1687 +-3969 -8961 +-3431 -4804 +-5563 1731 +-8859 7215 +-10948 -2371 +-5620 -621 +84 5290 +-4366 -744 +-1063 -3922 +1466 -4376 +4803 -3484 +1010 262 +-8304 -2151 +-4039 904 +900 3950 +7510 -6079 +942 -9972 +-9368 -7863 +-9542 2882 +-4374 7141 +4887 -668 +330 -5273 +-1896 -7296 +-236 3055 +-4522 4646 +-4465 225 +-3069 -1928 +772 -4086 +5026 -26 +-1193 -7280 +-661 -11235 +8811 -6436 +3075 161 +280 579 +478 -4563 +1836 -686 +9309 1922 +209 5710 +-6499 7650 +-7075 7357 +-11993 7203 +-5711 -934 +4222 465 +5900 11812 +7489 6793 +7733 -2658 +1212 3757 +1800 2858 +1477 -7495 +-6902 -2259 +-3829 6090 +4805 -947 +3838 -81 +3382 7893 +11272 399 +11673 -2359 +141 2498 +-4764 -6301 +-474 -14471 +2195 -9104 +2767 -8129 +5327 -982 +6312 6849 +-981 1410 +-3316 2945 +1469 222 +1626 3852 +-1709 11308 +-10191 5479 +-4766 3293 +3533 3846 +-3880 2316 +-3418 -2179 +-1714 -4190 +1416 -5741 +877 -441 +-7980 3891 +-2608 3392 +3357 2344 +1829 -9387 +-5859 -7970 +-11763 -1251 +-393 -2106 +3445 -2271 +-7588 -293 +-3767 -3422 +-4982 -8872 +-9260 -5007 +-2834 4956 +-518 2128 +-91 -1842 +878 -4228 +4009 -1601 +-183 612 +-3348 -7258 +3551 783 +-553 7647 +-7244 -626 +319 -7525 +3298 1089 +-3113 7833 +796 -1417 +4354 1707 +615 4188 +-312 803 +-1438 -2613 +-4417 -2613 +-8498 8735 +-3309 9285 +-3471 2793 +-6237 1290 +5496 2869 +7380 5007 +12692 -290 +13251 -8010 +-1066 -4050 +2620 3782 +7205 5324 +5876 2798 +8825 4028 +3032 5972 +-9970 166 +-11547 937 +-3477 -2304 +2574 -4503 +4417 6208 +-875 9149 +-1421 3559 +-3843 -2328 +-6108 3226 +-704 3801 +-4232 -3026 +-6456 -6202 +547 -6121 +4781 3197 +-2801 5392 +-9210 8111 +-5701 4995 +-549 -8797 +3745 -9361 +1573 -6093 +556 -8359 +-191 -2706 +4555 3071 +14647 -7664 +6287 -10595 +-195 -7888 +586 -3885 +-6028 4870 +-5145 -79 +-9710 -3369 +-10680 2172 +827 5462 +961 1884 +-1223 -1302 +-2012 -3744 +2081 -3811 +285 501 +-7354 -3322 +3250 -1688 +4489 6084 +-6983 5144 +-9921 84 +-2369 -1543 +2334 -4908 +2384 -1207 +2144 5625 +-710 -106 +-3728 -8509 +-4352 -7388 +-3197 -877 +-9119 -1245 +54 -4883 +10067 4233 +388 1477 +-7346 -8318 +-8528 -2880 +-1991 5359 +7307 7286 +8806 3223 +-3908 -1851 +-5826 -7974 +6435 1205 +3578 8921 +2596 1762 +9387 1674 +7812 966 +-1925 323 +-5732 -942 +2151 -2838 +4503 -2506 +1912 4941 +5233 13826 +9369 9136 +4339 3305 +-712 -1990 +7628 -4431 +8582 -4035 +760 -3793 +-340 -1526 +-2291 -164 +-737 3068 +-908 6848 +-6078 2060 +-10332 -847 +-9527 3983 +-2440 -8744 +-3239 -8218 +-923 2516 +1096 -2596 +563 1778 +8219 -6280 +6782 -4302 +6098 9803 +8834 4148 +2149 4921 +-3673 5946 +-166 2109 +2516 340 +903 -1886 +428 -4641 +-5453 -8177 +-2911 -6460 +1266 145 +-7775 1543 +-13706 4248 +-10621 8986 +-2773 1221 +-1486 -5266 +984 -2451 +5390 2606 +-575 400 +-8570 -3588 +-8335 -2367 +-3032 1529 +-7835 5205 +-3515 -3553 +10394 -3764 +1312 785 +-11188 -1021 +-8448 3608 +1538 5351 +6398 2604 +2860 5836 +904 12201 +5476 -423 +7357 -8186 +-3323 -5518 +879 -850 +7266 8938 +-2387 13462 +1688 12340 +4208 -3389 +2741 -7502 +3426 2887 +-2001 4756 +-584 -1737 +-1417 -6458 +508 -228 +3659 3761 +-3117 2876 +-6095 -5482 +-3190 1824 +6429 13284 +7568 10047 +-3355 9357 +-1089 -3002 +-973 -6803 +-10558 -1353 +-2412 4736 +6033 11445 +-602 5719 +-3807 5977 +-3389 5854 +-3560 -967 +2653 -7040 +6779 -8469 +-2877 -7624 +-123 -9411 +8766 -6694 +2317 -3713 +1840 -4323 +3687 -3128 +2260 700 +-4504 -1623 +-12065 -2353 +-2566 1940 +3334 1168 +-2013 611 +-272 -745 +1516 -1183 +324 3007 +2736 -4119 +1198 -12467 +-427 -2565 +-653 3450 +-4626 2081 +-4732 383 +-4808 1759 +-9537 5353 +-8412 -4428 +-6296 -4032 +-9907 4451 +-5629 -824 +2484 -4084 +7500 -1197 +6804 -1128 +5937 2655 +8852 8447 +3970 -3904 +268 -10830 +-6226 -1776 +84 -1212 +11630 1661 +7186 11308 +9516 7751 +951 -5310 +-3015 -7291 +4663 -192 +1726 4825 +-1697 -881 +-6055 -3324 +-5197 588 +-1191 -3405 +7941 -1347 +5401 -3558 +2739 -5119 +4052 7685 +-13 9890 +4748 979 +3504 -6366 +-535 -9383 +-3035 -2176 +-1040 9893 +3129 4433 +974 2154 +4861 13765 +14333 3861 +11890 -7868 +1131 -394 +5800 -1785 +5147 -7330 +-5793 1323 +-6954 6996 +-406 5844 +5612 550 +7848 -7283 +7046 -505 +-2879 6699 +1828 6151 +3863 5404 +-4527 -899 +-2989 -8511 +-4765 -9019 +4180 -2510 +2633 2747 +-6934 3195 +-5300 -1952 +-5428 -3446 +-1332 4319 +-9019 5756 +-14672 52 +-5118 -2017 +-2901 -1269 +-1204 -3978 +4571 -5577 +2192 554 +261 2669 +6767 -1994 +9869 393 +5026 1780 +11 -2944 +2605 812 +3289 6353 +-2678 3061 +-3903 621 +-6836 1304 +-14598 -1738 +-6395 -6444 +-425 -2940 +-6555 3832 +-5385 533 +-4942 -4190 +4147 -1454 +2600 4454 +-6895 9238 +-3397 6746 +-3033 -1637 +5229 -6314 +1766 -6196 +-1298 -5538 +8319 2907 +6659 7195 +4356 -2500 +-1514 -6947 +-6650 -6428 +-3890 371 +5661 7889 +5369 1131 +2205 848 +12926 6714 +13236 -5218 +2526 -10256 +2232 -4734 +5832 -3355 +-2076 -11700 +-6595 -9949 +3767 -4339 +13933 -1178 +5228 3087 +-5382 1752 +1253 5080 +4931 5755 +396 10221 +-2291 8839 +1623 -2071 +6891 -44 +3528 -2566 +3072 -5782 +543 -56 +-9949 -3797 +-7308 -6555 +-1387 -6710 +-3752 -2460 +-3622 2855 +2196 -8173 +9240 -10039 +5187 2528 +4936 11726 +8634 5647 +5420 -4279 +3292 857 +-2366 957 +1924 -2920 +2452 -5779 +-5406 -4287 +1141 121 +3480 2505 +1409 5997 +7692 -399 +1942 -3478 +-11931 -4344 +-5455 -5337 +-2152 4281 +-4931 12265 +-1008 7838 +-8371 402 +-9269 884 +-2377 -1412 +1836 -1327 +1394 3919 +-373 9816 +-1013 7176 +-9487 1416 +-10885 6340 +-1147 2680 +7476 5386 +5205 9443 +1828 -5198 +-2016 -7536 +-6473 83 +-1948 -2889 +1036 -228 +5635 4326 +4979 -4096 +1557 -1693 +-1157 2219 +-942 -4507 +2234 -6513 +-9185 -7111 +-11593 -2998 +-38 -5625 +9852 -9943 +6055 -1140 +-2998 4802 +4338 2834 +7637 915 +7092 7169 +4144 7982 +-124 -2585 +5266 -4469 +1566 -3289 +825 -3263 +4465 2324 +353 6584 +-2368 -5735 +-7598 -13924 +-10166 -3236 +-1857 4253 +3617 660 +-6043 3625 +-10944 5110 +-4132 1325 +4139 -1923 +4749 -5446 +-655 -3674 +916 -4805 +1775 -8260 +935 -4115 +1415 -3332 +-2770 -6503 +-2100 -1573 +4779 4482 +7656 8688 +2172 4190 +-3933 -834 +2178 4292 +12217 -1781 +11147 -10909 +1829 -2171 +-4336 6715 +-5145 522 +-67 4092 +8472 6769 +6842 2138 +187 7834 +-5324 1418 +-6209 -1299 +-2150 7166 +-3827 5202 +3531 -7492 +10051 -8150 +5267 1805 +8445 -3476 +8228 -3561 +7218 -748 +3562 2014 +-5920 280 +-508 -6544 +-480 -4126 +-690 -5678 +5158 -316 +-4727 3671 +-8206 1197 +-9032 -644 +-8650 230 +-4951 3015 +-11702 -4888 +-3096 -4243 +6592 5236 +4107 4863 +5607 -4121 +4670 1105 +113 8489 +-6809 4640 +-4845 8882 +2968 2492 +5524 -1250 +4369 4658 +-5524 -2624 +-14970 -5246 +-10073 4188 +1250 4288 +3240 -1935 +-2337 6505 +-5204 10774 +-2377 3647 +1978 -4089 +173 -7130 +-2910 -2341 +-2366 -4177 +-3730 -6838 +-4132 -2871 +-10027 -4264 +-11249 -1829 +-5460 796 +-48 6176 +681 1071 +-4702 -8982 +-12509 -45 +-10919 786 +-657 1399 +-6450 7245 +-769 3296 +13054 742 +1369 6242 +-8437 5978 +-1119 -3680 +8488 -6071 +4671 5285 +-1620 12304 +4649 -2687 +7912 -5456 +567 -1368 +2281 -12159 +734 -11496 +-7922 -4240 +2689 2000 +6131 86 +753 -7200 +-248 -11891 +-1733 -8656 +4413 1861 +7668 704 +3331 641 +-3546 3395 +2083 372 +7255 494 +5389 2894 +6433 2518 +-504 3249 +-613 1745 +-2382 -5986 +-9324 -2221 +-8685 1814 +-8445 -1544 +-1400 -858 +5168 -3705 +7979 -632 +9952 6559 +1711 7086 +-1706 4868 +-2184 -2540 +-5910 -7392 +-3109 -7840 +-6923 -5130 +-5351 1862 +2656 -1485 +3246 -1169 +5654 5163 +4962 3418 +3391 5392 +971 259 +1403 -4312 +2746 4136 +4298 6301 +1713 2522 +-10542 3351 +-10060 6085 +-3698 4193 +4092 4622 +1373 422 +-9483 -3446 +-9782 8186 +-8068 7660 +372 1520 +-287 9619 +1563 2935 +10742 -8007 +5217 3710 +-2604 9855 +-3427 -859 +-205 -3697 +-3988 -464 +-4281 -3136 +4323 1224 +2910 6990 +-1810 2193 +7534 4090 +8132 11168 +-2005 -186 +-3846 -6154 +-4237 -516 +4612 2954 +1313 4029 +-11855 4195 +-12172 8734 +-12122 -563 +-12137 -8087 +-9741 -2357 +3279 -3800 +3694 -2355 +-5482 2396 +-3097 6023 +-177 -2318 +8383 -12579 +5879 -3471 +-2479 -2181 +3478 -6825 +3282 123 +-5364 3977 +-3429 56 +864 1206 +-1064 2381 +-5269 -4397 +-11746 -2472 +-3786 4406 +8841 5999 +9132 3901 +6646 967 +2882 -3128 +-1530 -1057 +2388 6977 +1613 3165 +-6121 3059 +-889 2206 +2628 -3768 +9894 438 +12026 1674 +3412 -2580 +7637 -10503 +6230 -5823 +2724 1983 +-3500 -916 +-6376 1461 +-428 -4360 +-2540 -5878 +595 2764 +4911 -934 +4140 -6922 +3504 -9695 +963 -8679 +-6692 2732 +-6168 11134 +-127 5269 +-2123 -2065 +-384 -766 +-3169 2786 +-5541 396 +4112 -1965 +6798 3243 +-31 2873 +8474 -1823 +13717 2967 +-1652 1184 +-7095 -1975 +-3743 2435 +5503 -737 +3692 2194 +-6619 10798 +-3893 14386 +-8011 7851 +-2710 3793 +7577 11123 +4252 7256 +1211 8 +3520 3775 +4283 191 +-2547 756 +-5262 5944 +-8863 -1087 +-8917 -6737 +-2267 849 +1199 3568 +6889 -267 +5644 5921 +-2331 8836 +-5113 5582 +-8129 2935 +-6255 -5102 +-908 -5856 +-3143 1393 +1717 3601 +9253 7478 +1517 8071 +-4900 5478 +330 1098 +-1648 -4655 +-4587 -1877 +-1052 -1020 +-9738 -2378 +-13198 -4562 +-6539 -3877 +-1839 -2034 +4540 3244 +3041 6000 +-1906 -6550 +2072 -2017 +13765 4109 +10794 1452 +-712 -2180 +597 -5488 +3441 9361 +7192 4050 +7645 -9037 +3456 -3929 +-266 -4469 +-4799 -6257 +-4810 -1113 +-70 3514 +6145 528 +7260 -3665 +1580 -4211 +-1510 -5966 +-2458 -10339 +-8821 2182 +-6266 5813 +4029 -5881 +-274 -1388 +-11833 -4321 +-12073 -3506 +2101 -2374 +4589 -3371 +-7495 3942 +-4097 -221 +5147 -729 +9297 955 +13578 3501 +7841 2753 +946 -797 +5171 438 +3515 -2694 +-1435 2236 +-193 7869 +-7581 4997 +-11310 800 +-1267 2058 +3235 1798 +5159 -4709 +8189 747 +3881 8021 +-633 5909 +-4595 -593 +-4252 -3941 +-439 240 +1338 755 +6616 -912 +5778 4730 +-2582 9486 +-7879 2542 +-2950 2123 +-3020 3507 +-178 132 +5286 6683 +-3578 -95 +-2359 -6212 +1340 6522 +2419 10826 +1305 3730 +-222 -7510 +2971 320 +-2129 8680 +1178 -1020 +5023 1464 +4240 269 +8221 -453 +4503 8277 +-4797 6196 +-5780 1404 +259 781 +1054 -1129 +2083 -7458 +1055 -9806 +1359 -2323 +8651 7180 +6824 3015 +-892 -4125 +-2364 -392 +-2901 1365 +-490 -760 +1032 -362 +-4123 7793 +-6151 1536 +-13747 -8881 +-10312 -3836 +-799 -4950 +-10639 -334 +-12635 7000 +-2738 7718 +5674 5979 +9095 -2358 +9958 -10611 +1561 -9462 +-4937 -3072 +-4 -12597 +-2934 -14974 +4945 -2704 +7947 -6 +-2011 609 +-104 -1217 +2456 -2519 +-250 -2098 +-3192 -635 +1228 9121 +2674 9459 +-4561 -1690 +-9820 -2958 +-6246 -273 +-35 585 +1461 -351 +4498 -4916 +7567 -224 +4118 8661 +-4581 6933 +-1045 3457 +-152 4536 +-235 216 +8349 1452 +-2802 2258 +-5911 -2979 +5350 3930 +8435 5774 +3899 1018 +3573 1723 +4508 -1487 +6575 -3250 +7900 5523 +-2050 4901 +-3931 -254 +-3566 2664 +-2628 749 +-2110 423 +-7571 1082 +-11778 134 +-7383 4143 +-967 -9166 +1606 -18300 +-2464 1831 +-12699 14893 +-5838 2234 +-1242 -10275 +-7670 -8420 +-3068 -5260 +-436 -1545 +-1030 -2873 +-245 -4624 +-1931 -2737 +4211 -3586 +9174 4085 +566 7091 +1831 3396 +2735 1281 +-5108 3503 +-7160 6131 +-3619 88 +5384 -778 +4475 -281 +7653 -91 +4308 -1793 +-7205 -1245 +3112 5006 +5573 2783 +1432 2985 +9437 -3181 +12942 -10005 +4944 -2517 +-3126 -1349 +-4811 -2562 +-6769 -800 +-7904 -547 +-6393 29 +-4537 1914 +-1581 1365 +6544 4680 +11303 11380 +7508 2225 +1735 -4036 +5828 -2146 +2466 -4064 +-7123 911 +5870 2543 +7836 906 +3610 845 +3818 1054 +-5046 -2006 +-5641 -7465 +-2886 -3386 +3701 -850 +2552 -4033 +2804 -6718 +9726 -1224 +1734 4879 +-3131 2483 +-903 4362 +-1543 2321 +-1348 1793 +-5023 5837 +-8968 7895 +-2945 7890 +-6709 -6136 +-10671 -14413 +401 815 +7546 10448 +9628 7180 +7953 870 +-1688 4337 +-6461 3813 +2432 -2194 +2288 6435 +1760 5818 +-918 -3076 +-3989 -6472 +1383 -6004 +-4811 -2157 +-5737 -1647 +2668 287 +-1244 7515 +-8889 3032 +-5053 -4881 +2089 -792 +-2731 6438 +-602 4814 +5939 2989 +1563 6901 +596 750 +-3703 -6397 +-2793 -5059 +2550 692 +-7669 2195 +-2932 -3114 +4959 -8541 +2243 -12186 +7553 -7728 +-3655 -4458 +-12817 -5575 +-6096 -7197 +-1799 -4831 +2213 -1272 +5436 -1289 +7318 754 +3746 1744 +4092 5105 +611 5172 +-6826 1500 +-5259 -4902 +-4272 -8303 +-8904 -1996 +-7569 5335 +8730 8298 +3612 -5945 +-10397 -6199 +-3444 10211 +4272 8347 +8587 3428 +3400 5202 +-3023 5730 +-5706 -2108 +-4744 -4679 +-1237 -4179 +-566 -7451 +1451 -4633 +1868 -1094 +5778 2964 +7676 7119 +5866 11526 +4969 468 +8482 -8937 +12109 -2258 +6905 -4155 +1599 4235 +-2430 6932 +362 -3356 +6070 3113 +5292 3077 +-2752 -1656 +-7367 -2151 +-2901 -5344 +-5134 1591 +-5570 2585 +2232 -1559 +4066 6360 +-4359 7870 +-5317 -1872 +-1038 -9817 +-4836 -11086 +-91 -286 +3008 5772 +-6635 -181 +-7600 2329 +-5568 5255 +-7073 -333 +-2285 -379 +3901 5275 +9639 8973 +4027 5687 +-845 408 +11103 1862 +-1417 -438 +-11200 -2771 +763 1785 +-8156 3662 +-12931 3418 +-3693 2469 +3938 825 +6305 3794 +2192 7330 +5584 4756 +4307 2412 +-6036 2954 +2247 -2051 +10563 -8719 +4437 -7307 +1706 -128 +-136 8743 +5021 10392 +6617 -915 +-634 -6285 +-8428 -1396 +-7728 -1644 +-3339 -1 +-10466 3747 +-6218 -2957 +-1622 -6717 +280 -3040 +-380 -4353 +-7419 -4794 +-2347 -5068 +1176 -6876 +6014 2395 +403 11633 +-6041 1209 +-1478 -7938 +2281 -5566 +5718 -6340 +-1600 -3963 +-929 -3250 +1380 -6995 +6609 -3396 +10552 1309 +2689 -1605 +7352 -4069 +6508 -3727 +-2772 -5078 +-6805 3525 +-1806 12322 +1814 6624 +-3166 -1928 +-7278 -6615 +-11226 -4874 +-353 -156 +6079 2274 +-5224 4259 +-4296 6706 +-776 7531 +-5639 4464 +-1997 1237 +6432 1209 +13305 47 +6834 1446 +-481 912 +9704 -5937 +-33 534 +-5003 9717 +4678 9618 +-501 -211 +-1189 2285 +1655 4064 +-5627 -3684 +647 -2385 +9722 770 +2558 4241 +7719 1828 +11581 1173 +1818 -3541 +-6655 -6335 +-10606 -5376 +589 -11366 +-3091 -2595 +-11300 -3181 +-1576 -12272 +-4369 -5889 +-3617 3943 +-1160 12363 +308 7077 +3073 3611 +-2716 8745 +-2413 -1916 +-1027 -6054 +3879 3514 +2231 -590 +833 339 +8169 8246 +2910 3837 +-5211 2422 +-8654 8808 +-4325 8021 +6696 1917 +9758 1747 +-5501 4463 +-13562 5494 +-5552 -1313 +-5669 -6693 +-3512 -1841 +-545 2551 +-989 3184 +926 -850 +5267 -2269 +6269 -3430 +-826 -5637 +-5395 -2342 +-4772 3451 +402 7537 +354 4723 +-111 26 +2644 -2589 +953 -1535 +-4719 -2282 +-8494 -2733 +-2493 -5919 +-194 -13951 +2430 -3304 +6261 9513 +4607 2681 +5196 -9668 +3565 -10987 +294 -3595 +6025 2202 +10260 3611 +-756 364 +491 2444 +3842 1948 +-6854 874 +-1088 -2215 +8157 -5706 +4765 1525 +7243 -3628 +9887 -6557 +-815 -3795 +-9353 -383 +-11817 2862 +-6894 -8842 +-1798 -969 +2553 2121 +5567 -4434 +390 -3981 +-1880 -5269 +3941 4145 +9153 2032 +267 1203 +-5087 3475 +-3895 2658 +-4827 6616 +-4810 3174 +1497 4041 +3725 10714 +-3819 13786 +-6158 8839 +-4088 -1538 +-5138 -10936 +-1593 -13683 +4813 -8997 +398 -2959 +-5540 -3369 +-4306 -6438 +-3659 -2081 +-3862 -3012 +2341 -1072 +9595 -1879 +2640 -2886 +-2794 6406 +1385 3828 +7727 4328 +9697 -1719 +-1817 -12178 +-6781 -1868 +-4481 -451 +-212 -11184 +6448 -1589 +5536 7406 +5484 -5523 +1254 -8335 +-415 -4678 +439 136 +-8266 3475 +-9372 -3360 +-4999 -4483 +-979 -1870 +-2805 2461 +-1113 -732 +6769 -2330 +-1741 1763 +-3712 378 +766 3326 +-1987 7727 +-5992 8141 +-7500 1672 +1992 -34 +3822 4741 +6583 4847 +6890 -1454 +-269 -9351 +6716 -427 +5541 9616 +-4381 3142 +-2376 6415 +8037 8642 +8243 -7716 +1682 -8368 +-2436 -2740 +-4964 -2577 +5787 408 +8539 -4598 +1006 674 +-1714 5815 +-1317 4446 +502 8001 +-1756 5457 +3738 1999 +9891 5480 +6035 12678 +1000 10519 +-3184 2133 +-2647 -634 +7192 -379 +5634 -1295 +-3184 -3917 +-1235 2241 +-5522 5072 +-5335 6165 +7907 1884 +12437 -11030 +4679 -6142 +411 1946 +-1628 -4541 +-3741 -8466 +930 -1190 +-1319 4118 +-4770 5088 +-2721 1081 +3036 -895 +9210 2958 +3445 564 +-1648 -1507 +6499 -7412 +7523 -13899 +-5050 -4580 +-5624 8042 +-3396 5559 +-794 705 +4634 1400 +-158 -6762 +-1430 -6516 +-1278 295 +5552 -160 +8126 2770 +2153 181 +2145 565 +-3010 3522 +-4434 -5679 +-1951 -10191 +-828 -9454 +3312 -1835 +5655 5200 +-2937 -359 +-3220 3581 +4133 8273 +-2864 4530 +-682 2166 +-879 12955 +-7995 23468 +-5906 8065 +-71 945 +-1719 5574 +-8355 3726 +1306 4291 +1651 889 +-8015 -346 +853 -4396 +8513 -7155 +8147 -5587 +5875 -83 +-1278 4652 +-2459 -1626 +-2522 -1604 +-8090 -3065 +-2638 -3108 +2380 875 +5712 -4697 +3367 -4657 +-5029 -790 +488 2490 +-937 7350 +-84 8039 +7428 -3829 +2480 -16177 +-350 -7523 +1628 1137 +-4219 -2206 +-8529 -3666 +-103 -1452 +1695 3901 +-596 6545 +-691 1784 +327 -4786 +-2819 -9326 +2463 -2957 +11078 806 +4700 5444 +-1184 5917 +7757 -6224 +10551 -6401 +4734 -4158 +-4782 -6420 +-3899 156 +7531 6241 +998 -1602 +-2510 -9511 +-1186 -8150 +-772 -8311 +-1677 1294 +-4152 8271 +4558 -3594 +1013 -7982 +-7951 -1219 +-11923 -1699 +-7050 -1689 +3824 6448 +-4093 6797 +-10071 3416 +-1942 -3827 +-92 -9994 +-1171 -3478 +4474 -2811 +1207 1011 +-6584 1180 +1838 -2066 +13558 3397 +11505 -1635 +6954 -607 +5568 3768 +4345 -952 +2919 -93 +-6015 2112 +-7952 67 +-7215 2788 +-3127 2926 +7094 -4915 +3143 1564 +2601 10327 +6329 9504 +6784 5922 +-1733 2858 +-6586 3183 +5910 -2913 +5892 -6231 +3206 -6840 +3840 -3144 +-2152 3749 +-2455 4078 +777 7051 +-4339 6770 +-6293 10446 +-1966 12148 +-1467 -2005 +4163 -5390 +-645 2544 +-5153 2084 +-3084 -3707 +-8298 -4970 +-138 -3815 +9103 -6846 +7303 765 +3106 5062 +1760 -9750 +3716 -11672 +799 -6127 +-7947 -1364 +-2892 2701 +9978 -651 +-285 -1808 +-8294 -5031 +-5940 -3837 +-5602 521 +-1424 4962 +2447 8770 +3782 -5556 +5972 -10923 +5580 858 +904 -3232 +-4529 128 +579 313 +439 -398 +-6132 4195 +-3492 2705 +-2367 7640 +-1009 -1484 +2270 -6775 +1858 1957 +-4581 5363 +-604 -1789 +5270 -7303 +-554 -4381 +-209 -6448 +57 1250 +125 -89 +-2602 -3358 +-1382 3839 +3672 5065 +-5334 11107 +-293 4191 +9360 -5057 +2917 -4573 +3758 -4288 +3611 1120 +-545 4142 +1473 1763 +-3278 4635 +-7991 14576 +-4161 15091 +-4619 3599 +-7809 -5806 +-3578 -1366 +580 3259 +-5954 837 +-4337 6463 +5061 12548 +1597 4640 +2097 -9134 +7693 -7984 +6822 1910 +4733 10018 +-108 6908 +3200 -7448 +6454 -12037 +-4693 -5620 +-5316 -1138 +1362 -2709 +-5117 1668 +-8950 3478 +-3030 -7249 +-1773 -16752 +-5544 -13830 +-1523 -3233 +2358 -1442 +654 -4038 +4015 551 +2918 5548 +2612 4748 +7688 4431 +-1047 -6454 +-3098 -11625 +4837 -3144 +-1494 -2860 +-3380 3217 +634 -163 +82 -459 +-1702 7209 +-785 4344 +3416 7145 +-1727 -381 +-2856 -6421 +2700 -1497 +-1360 -2505 +-6547 -1137 +-552 5003 +6730 -632 +5373 2651 +1296 3239 +-1224 -2841 +779 -3146 +538 -4333 +-331 -5658 +3857 -1469 +-3107 2642 +-8480 -6476 +-2749 -11705 +657 -7696 +4372 -883 +6443 6149 +5804 10118 +-1932 9139 +-3431 -1014 +4692 -1770 +4019 4389 +3226 1984 +2399 1111 +605 1910 +-1492 -4409 +2014 -6243 +3929 -4116 +-5025 -1277 +-1443 7181 +7572 5598 +3998 3591 +-6510 9848 +-1593 11979 +79 5489 +-9008 1310 +950 6569 +3423 4639 +5695 671 +4599 -2810 +-7510 -6528 +-1631 1310 +-3109 11407 +-5293 6617 +5785 -7126 +9707 -9053 +7249 -4068 +4180 -4663 +4749 -8007 +9113 -9017 +4601 -3550 +-9184 6328 +-10380 2872 +1364 1808 +6947 6343 +1605 -6348 +-2020 -5769 +-5369 -2176 +-6116 702 +-1510 9147 +-7064 -542 +-12396 2262 +-8613 5716 +-3237 -5648 +-5969 -6559 +-6085 3050 +3002 4296 +4791 -4835 +5874 -1481 +3192 1559 +-2768 -1273 +-1395 -2781 +-2277 -3569 +-3837 -4083 +2105 -3611 +-712 3933 +-10865 519 +-9577 -6998 +-4325 -6123 +1786 -1827 +655 10280 +-2945 9021 +1472 253 +1765 5361 +1228 7219 +4041 534 +2081 -6684 +4805 -4827 +8738 841 +3935 10842 +3711 8907 +7428 -14 +1738 -1990 +888 -3726 +10159 -1509 +-483 -3076 +-8524 -6038 +1871 -3234 +-1577 -2156 +-7712 -1913 +-8238 7254 +-8919 6229 +-6823 -5384 +-546 -6790 +1212 -5561 +-4799 393 +-5034 6288 +-3852 3266 +-1245 520 +-1991 11874 +-3312 14009 +274 -3166 +-2162 -10609 +-4985 -8562 +-9341 -2466 +-3998 -5659 +6492 -3621 +841 2477 +-3801 -3795 +-2359 -3680 +-1603 -2426 +3380 5692 +11505 5981 +6998 414 +-2041 7459 +80 100 +-651 -5758 +-277 -1564 +-540 -5056 +61 1645 +2283 7255 +-8321 2719 +-9234 9200 +-492 4871 +1551 -4494 +1601 -4319 +2212 -3727 +-1550 2718 +-6363 -3067 +4596 -7315 +8499 -5435 +1577 -10416 +-543 -9495 +186 515 +7401 13876 +4361 9041 +1255 -1128 +4984 2695 +5893 4360 +3478 -2319 +-2887 -6147 +373 -6675 +7195 -5252 +10307 5223 +8854 3970 +5669 -4933 +-11 -2538 +-1906 -3271 +6697 -7918 +-1030 -1839 +-6330 6729 +-7146 10691 +-5185 8406 +6586 2244 +-902 -5480 +-7048 -4578 +-2097 -4699 +2194 -2211 +264 117 +-11217 886 +-12260 7869 +662 5374 +5843 -23 +8139 4415 +11622 6403 +6007 8643 +2809 12787 +1956 7921 +-696 4217 +6249 -606 +9674 -11796 +1700 -9304 +-1129 2068 +-3236 1090 +-4277 -4463 +-2779 -7311 +-4304 -278 +2470 6755 +10709 -4412 +10494 -3302 +556 54 +-185 -3609 +709 5132 +-8030 6098 +2759 4610 +1867 3845 +-11279 2239 +-5346 -1732 +3065 -6583 +3483 1315 +-3652 6815 +-3206 1574 +-1087 -5543 +-2521 -1383 +-6144 4622 +-10900 3604 +-7554 3198 +-1789 -2355 +-110 -9453 +-1287 -10831 +1107 -5814 +-3234 -385 +-5104 1069 +1521 -4280 +4768 -5129 +1260 4014 +-5800 4435 +-3610 -5921 +-1508 -10086 +5132 1224 +5193 -635 +1611 -9897 +4145 -71 +-3855 2228 +709 1996 +1989 11965 +1152 9793 +6583 -2581 +-4160 -3460 +-8440 1091 +-4663 -2202 +286 -3068 +319 -44 +-8307 7710 +-4877 13591 +3856 3722 +4634 -3664 +9213 -1754 +13266 -2390 +9757 2229 +8439 4681 +2638 -2635 +-1284 -7528 +4327 -6672 +-1643 -1233 +-9063 2436 +-9534 -313 +-2802 2790 +992 2171 +-2059 -5544 +730 -6205 +1109 -67 +-4518 4633 +-1854 2955 +-1937 -74 +-6858 -178 +-1424 -397 +-1571 -3486 +-3674 -4087 +1532 1918 +-227 6894 +-2579 4188 +960 -3144 +-4041 -2594 +-10362 171 +-7414 -2259 +-152 2678 +3672 7873 +-1942 6766 +2803 2225 +879 2622 +-7668 9147 +3618 2548 +9563 -3492 +8146 -4664 +4243 -8996 +-1515 -2285 +-2186 1385 +1618 -3038 +-6888 -3078 +-12918 -470 +4624 1128 +5573 -4902 +1682 -6486 +144 -3213 +-3997 -13838 +5449 -16119 +12774 -2017 +13000 11707 +3644 12415 +1992 1289 +5844 3602 +6501 17 +900 -2381 +-10347 10113 +-2069 6187 +3502 545 +-2559 510 +1647 3412 +9825 4420 +9449 -2093 +8039 -3189 +-1046 -3995 +-11396 -2620 +675 -2451 +834 -3775 +-5761 -4924 +-828 -7821 +-2076 139 +-5632 7554 +-7747 5712 +-479 3758 +2163 965 +-5161 -2881 +-3347 -5176 +854 -769 +-646 6417 +382 3403 +-1599 1233 +-5599 5492 +147 4250 +4531 -10571 +4304 -12414 +6260 1682 +1316 6216 +2980 4405 +9608 43 +1982 -1546 +245 -9461 +-4231 -1805 +-10937 8152 +-5090 909 +-6259 -1215 +-6050 -2585 +4762 -2285 +3947 -4566 +1504 -3470 +3479 7005 +1336 6271 +-1176 5734 +-5622 11452 +4481 2294 +9360 -2695 +-366 -3055 +-2108 -9148 +-5232 -1389 +-5510 -854 +463 -6805 +12944 -5867 +6516 -4630 +-10146 1692 +-3743 3301 +-4141 -652 +-7087 -4152 +-4093 -3027 +-3488 2659 +-2937 7767 +-4119 3241 +-4420 -2505 +-1897 4002 +2025 3432 +-8177 -7357 +-11435 -13285 +-1621 -8424 +-2122 -1330 +-3264 887 +-2716 873 +23 1058 +1883 2743 +2090 2339 +3702 -716 +9324 -5578 +17879 -2484 +8302 240 +-5435 1623 +-156 4161 +5434 1265 +-162 5851 +-3054 7530 +2180 3211 +6310 65 +7886 1861 +2782 2981 +788 5159 +5839 5841 +-3084 -9905 +-5015 -11993 +6009 -2823 +5076 3716 +5142 1314 +7472 -6058 +554 -2306 +-5945 -7057 +-4434 1498 +-3193 13121 +-3397 4005 +-5669 3125 +-6408 1921 +2233 -4744 +666 -5862 +-1709 -5295 +-1458 62 +486 9639 +7551 -715 +4950 -11501 +1659 -186 +3589 1302 +3444 -895 +-443 4664 +-2218 2829 +-6272 4444 +-5226 768 +-1239 26 +-6164 1515 +-2625 2109 +1608 7437 +3797 87 +6234 -947 +1352 -303 +5877 -631 +6982 2774 +1950 2191 +717 4019 +-3910 64 +-2984 1923 +-2338 -949 +-8191 -8688 +-5928 4033 +3364 9028 +930 -1785 +-424 -331 +4841 4494 +3450 2226 +5363 -376 +5512 2856 +4079 11337 +378 4499 +-741 -4960 +-575 -4117 +-9634 -5915 +-4144 -5808 +3394 3794 +3046 12324 +2681 1392 +-6940 -9756 +-9797 -14738 +-881 -12635 +-2119 -2684 +-10970 -8956 +-6643 -16217 +-2897 -8277 +1448 5910 +8748 9124 +36 2187 +-2976 -8777 +169 -10879 +-3420 -1374 +-2521 -2115 +5167 4263 +6949 9975 +-1670 5454 +-2968 4137 +829 4218 +1176 5683 +-178 -1168 +-897 608 +6561 6985 +5555 -5389 +-3514 -11668 +1228 -1169 +3711 -1373 +2172 -2927 +2804 3709 +217 3537 +-1901 7408 +-3547 4000 +-915 821 +-3782 5203 +-635 3310 +6478 4252 +2591 -5612 +299 -10810 +193 5464 +2958 4821 +9239 -5448 +10241 -2985 +4511 -3508 +2455 -8676 +8187 -9776 +7547 2368 +3134 5551 +5909 -439 +-1932 192 +-6037 1325 +-8978 -1665 +-14676 -8587 +-4132 -6408 +-674 -4743 +-90 -434 +3566 5582 +6689 281 +4075 6677 +-6300 11908 +-5874 5533 +334 6042 +2510 10599 +148 8923 +5984 -1406 +3065 -1823 +-10356 6774 +-3737 5175 +414 1169 +-3979 286 +2089 -1496 +1783 -2916 +-7607 -2124 +-5298 1406 +-3298 4347 +-838 1599 +720 -2711 +-4483 -1509 +5887 1539 +12599 6786 +5540 314 +-5701 -10940 +-4570 -7620 +4491 -7461 +1137 1317 +-483 9422 +-8140 5211 +-7503 7167 +8591 216 +14159 -2432 +3376 889 +-5723 -85 +42 2186 +-204 -2988 +-2226 -5497 +-5067 -2369 +-7189 172 +1462 3483 +999 1394 +-3696 -2332 +786 -1994 +-2165 -6903 +-6343 -8375 +3727 4127 +5494 1672 +3724 -10005 +6136 -8819 +1294 -5521 +-3670 -8084 +187 -12604 +7492 -2938 +6013 2287 +4310 -4031 +-1453 1447 +-3930 4882 +-8132 4537 +-16619 2794 +-3652 -3200 +3977 -2448 +-1721 -4505 +311 -6616 +6812 6018 +1285 13035 +-3675 7318 +2522 -1384 +6417 -3317 +4166 -2951 +1212 -7489 +-1955 -4242 +-1677 -2307 +6609 -548 +10638 3988 +6255 -3395 +5501 -10172 +4700 -2000 +-388 4109 +1683 4613 +6048 4179 +5150 -1369 +6182 -1732 +744 -1098 +-8312 -5018 +-5474 -11299 +3189 -6798 +4287 6613 +1336 3907 +4647 -1042 +5023 2625 +-234 4234 +-803 5051 +-681 3593 +-6655 -2814 +-11520 -3694 +-11516 -3989 +-2535 2345 +3692 13027 +-5917 5049 +-6446 3449 +-7291 3530 +-4120 1555 +9861 1 +4161 -10340 +-1121 -912 +4930 3659 +5193 -5383 +-3146 -4907 +2117 1226 +10646 -3576 +-262 -8959 +-3394 5894 +-4891 7117 +-5048 4908 +-6988 3570 +-11872 -5473 +-948 4604 +2729 13340 +1318 10926 +734 5274 +-4574 -1008 +-5185 -425 +-3665 -1274 +-1167 -5876 +1237 -4177 +-4335 -2128 +-4207 -5019 +9158 21 +9643 8671 +2046 7693 +991 -2744 +2672 -6710 +1224 -4965 +-4053 -6292 +-4396 -1807 +-2856 -591 +4698 -4741 +10838 -3996 +2895 -6513 +-1124 -13197 +5114 -8656 +1423 2422 +-1723 4710 +9013 7654 +7817 -237 +4434 -992 +3574 1947 +-2279 -80 +307 524 +7161 -1356 +5963 8177 +-2692 6912 +-7295 -3462 +-4164 -6029 +3378 -6862 +7660 -1062 +6253 2821 +-4656 6816 +-10313 1572 +-3483 -5549 +108 990 +1149 1177 +-4842 -1336 +-3755 -3722 +-7035 -3843 +-11562 2348 +-410 4479 +-1863 -1033 +1859 -10727 +1355 -4422 +-12348 6129 +-6096 4060 +1740 3313 +-5671 2504 +-8761 1035 +-4699 -3982 +-876 -7228 +2368 -5534 +-4460 -3724 +575 3368 +13200 5910 +8169 4953 +5485 5663 +10107 908 +7104 -5433 +-3913 -4431 +79 -2036 +2478 -4961 +-9244 -3587 +-10064 3564 +-5151 6980 +-8516 5984 +-11544 6767 +1527 1899 +4111 -5437 +-3220 -5691 +1514 -6933 +5622 -3381 +8462 1167 +4416 2350 +-1322 3668 +7574 3197 +11320 3106 +-3524 -6079 +-2388 -9843 +2642 900 +1766 4590 +11957 -391 +5843 -5339 +2693 -3659 +4041 -909 +-1795 1424 +553 132 +4561 -5671 +9889 2086 +2455 6820 +-7870 2296 +-7178 -1693 +-2448 -6468 +1501 3375 +884 12950 +-1811 -512 +-2750 -7058 +-409 -11962 +-2570 -7879 +-5447 6406 +-1465 -6413 +-1797 -10175 +-6529 -1895 +7295 -1635 +11987 2160 +-2950 6332 +-4571 3684 +2803 2862 +4227 6628 +1572 2505 +5452 -2828 +5693 1954 +-4053 12565 +-4269 3723 +322 -8515 +-8754 -5249 +-3639 -3316 +7524 -2593 +-8422 -5617 +-10910 -4894 +-3183 -4470 +2489 -340 +12108 6458 +5121 4628 +4258 3732 +8922 -2620 +4032 -3158 +-2781 -3074 +-3286 -8286 +1146 -6163 +2589 -3617 +8563 1628 +11367 -656 +7873 -5387 +1008 -1287 +-6672 -663 +-2178 -2033 +7000 -2893 +4637 -4515 +-3302 -3565 +-4948 -2953 +-4148 -279 +-2379 6895 +-601 6336 +-2980 -3020 +-2756 -5224 +-714 3723 +-1829 10484 +3718 10551 +3583 6495 +-4165 2886 +-6409 2533 +-8652 -693 +-2940 -568 +-844 6121 +1159 8819 +5940 2277 +6558 3729 +9018 8783 +-1733 733 +-6889 -3148 +-8480 -8252 +-7464 -3895 +127 8176 +-5525 -3681 +-8288 -6085 +-6269 3053 +4384 -6060 +10649 -6474 +2038 6599 +-1030 5689 +4103 152 +7747 461 +3736 -6027 +4061 -2316 +-1100 7759 +-7238 40 +2940 -1719 +4592 -411 +-5787 -1966 +-9622 2080 +-8665 5890 +-8011 7971 +-5538 5028 +-5170 2678 +-2423 594 +2600 -692 +4460 5238 +6545 -89 +4655 -4620 +1173 1553 +-3228 -1293 +-5054 -3992 +-4914 -6179 +-6975 -8674 +-7936 -5600 +-8585 -1731 +-4131 2061 +5306 1152 +1331 1546 +-7343 -1704 +1593 -6761 +3921 6030 +-1779 12698 +-4146 310 +-4235 -9306 +-1390 -8935 +-719 -2726 +7670 2405 +5044 7398 +4174 6118 +9389 -760 +3109 -2852 +6335 516 +4479 -288 +-1506 -4933 +609 10279 +6142 15234 +5112 143 +-1481 2186 +-3900 1148 +-1420 -1974 +3630 -4772 +-5191 -15648 +-5211 -5812 +2753 5955 +4376 -3305 +5256 -3922 +4398 478 +7583 -5852 +-461 -8080 +617 -8357 +5538 -4509 +757 4922 +3483 9304 +284 8969 +-4895 -565 +-7378 -10357 +1458 -4954 +4480 6533 +-5512 4643 +1187 -3221 +3382 -3322 +-5775 2327 +-6126 7831 +-2872 9961 +-985 11030 +-962 7265 +-2274 5296 +-1531 2030 +1508 -2216 +6871 881 +4804 -3020 +-3959 -326 +-2050 -1201 +9730 -4330 +9038 5012 +7961 1768 +5735 -2799 +6849 134 +8727 1801 +-779 6842 +-27 8628 +8639 -624 +3223 -1330 +-6885 2658 +-6508 4032 +3072 5877 +1026 -1968 +-6789 -1271 +-2710 7987 +-1126 5578 +859 -5131 +-1470 -7803 +-9283 2101 +-5930 510 +-1529 -9426 +-218 -6521 +1687 -5883 +-3358 -8096 +-5166 -8248 +4179 -8302 +-268 3309 +-7045 1248 +-1407 -2466 +-2902 7655 +-2110 4756 +-2976 1065 +-8879 -645 +-6066 1699 +-2559 1032 +-694 2736 +7087 6420 +11232 -7557 +7866 -8849 +4528 -1943 +-1533 1209 +-7095 4848 +-11347 4919 +-5636 -4575 +6248 -12496 +7268 3651 +3775 12216 +1094 6665 +-16 -89 +-1654 -6402 +287 -12266 +-3636 -11281 +-9317 1859 +168 3157 +2288 2767 +3847 5608 +6712 5194 +301 2901 +-2283 5162 +-2425 6906 +3764 -963 +3973 5426 +-1990 1018 +4401 -9826 +10283 -2367 +7269 -3993 +2551 -5851 +5304 -4467 +7898 -4434 +3883 5560 +5624 6324 +6333 -6105 +1668 -3070 +-3672 6455 +-2557 7195 +6117 2378 +196 -2572 +-6202 800 +-8776 2821 +-13319 -1687 +-9072 -1190 +-603 5203 +1518 3338 +-1976 6188 +-1805 2852 +-3389 971 +-6568 10490 +-5997 1914 +-5214 5570 +-1774 4008 +220 -10186 +-3881 -10625 +-1354 -12631 +1185 -10702 +-2186 -7486 +3940 4794 +7294 3763 +6556 123 +8563 7847 +2974 -674 +2232 2531 +3113 5743 +-512 3167 +3241 3488 +9976 -5104 +12495 -5234 +7110 569 +-112 -1974 +-821 -6911 +-1726 -4507 +666 -377 +4231 3502 +-7439 728 +-8003 -5244 +8798 -2447 +6271 1476 +-1013 2131 +3907 1627 +1544 245 +-10234 -5529 +-12825 -3251 +-273 4611 +9815 3474 +6288 835 +-13 1624 +-2946 6874 +-1549 9203 +4651 -995 +3746 -14289 +232 -9750 +-673 3583 +-427 4243 +1980 618 +-3096 6293 +-6337 4009 +1273 -10859 +4753 -4292 +-100 6206 +-1256 -1059 +-3138 -4791 +-4958 -3836 +-1509 370 +-4690 7242 +-11555 7305 +-7831 4801 +2806 4208 +3257 1516 +2359 5855 +372 3230 +-2101 2903 +1431 11946 +-3587 5113 +-706 7475 +1156 4091 +-6268 -7856 +-9857 -5597 +-9253 -8202 +-4560 -6652 +-2140 -5599 +624 -6769 +-2210 -7189 +-8166 -7554 +-8665 -2109 +-4593 1549 +-1924 4413 +2067 4583 +6852 -109 +-1777 -2031 +-9094 4532 +-5757 782 +-1552 -4138 +1384 6551 +6955 3816 +5282 -2423 +-5425 -586 +-1350 -6590 +510 -9116 +-2134 1236 +3885 6011 +5105 -1683 +2174 -4577 +-2092 -5104 +-7074 -6431 +-10656 -2715 +-6907 2158 +-5580 3295 +-5959 -735 +-3796 -3436 +1355 813 +9490 7411 +6924 6032 +3006 4700 +-6804 2784 +-9523 -9021 +-93 -2532 +-2466 5905 +-2436 -7723 +3095 -8649 +3907 -262 +2794 7125 +7999 2581 +5598 -9908 +3471 -1899 +11033 2169 +10924 2191 +9565 2732 +1448 3808 +1320 10233 +9195 -1380 +5128 -5373 +2217 3779 +-1956 9046 +-5348 3998 +617 -6781 +-2670 3209 +-12739 3824 +-2657 -6447 +7097 -3178 +9624 -2842 +10682 -2882 +-702 266 +-6100 1321 +-5526 -2555 +-3819 -5587 +-6358 -4041 +-11343 -552 +-8281 3840 +-2710 4068 +1306 4560 +4530 2169 +5324 -4375 +-2679 -474 +-4316 9070 +-4035 4237 +-3880 -2095 +5273 3939 +7952 -608 +3370 -2382 +-6790 1462 +-14365 -35 +-4460 -8559 +4317 -7296 +-12 4654 +-6381 -3986 +-3563 -4405 +-4751 2937 +-6111 1717 +6408 -80 +2239 -6783 +-9236 -4877 +-6399 577 +479 1266 +3157 -1262 +-1554 -618 +-5788 4764 +-3174 9182 +6483 2481 +3788 -4316 +-7353 2769 +-4943 1322 +-71 6030 +-2925 5902 +-1226 -4173 +7481 4980 +-1311 10224 +-12633 7477 +-5162 628 +1805 -5485 +7420 -2957 +4147 -3007 +-5634 -3081 +-3365 3081 +-3064 3376 +-3887 -162 +2711 504 +8489 -10171 +7702 -16231 +5413 -8896 +6710 -4555 +-592 -6996 +-1467 -3940 +3507 4970 +-2384 1539 +-4034 3711 +-6661 5484 +-4025 2482 +-1664 1149 +-3262 -4243 +4948 2678 +7179 1014 +6327 -6625 +10995 -3716 +16905 -5249 +9272 -6425 +-1858 -1538 +-1439 4447 +-4197 2272 +-3038 2737 +2729 5171 +4343 -4885 +4564 -6259 +4473 1364 +-3796 6150 +-11089 9480 +-9075 -3097 +-1529 -7997 +3189 3408 +-7454 1325 +-5674 -819 +-1692 5265 +-3491 5244 +4945 -4324 +-2726 -6425 +-10446 2588 +-4602 4640 +1245 531 +2303 -3423 +4146 -5627 +5320 -10793 +2675 -2558 +8032 5134 +4734 -3172 +-3934 -1781 +-11962 491 +-6403 -1654 +-516 1815 +-5617 2405 +-562 -5891 +5719 -10161 +4054 -3015 +1830 -475 +3653 3996 +-3302 6837 +-5582 -459 +-409 -2655 +-572 -5952 +2953 -10826 +4208 -2118 +2058 6179 +-204 1592 +7017 3190 +8552 7350 +2318 -548 +-3283 -5798 +-9392 -1228 +951 -4811 +3028 -11986 +-1841 -3193 +-3214 2500 +-4687 -7157 +-1473 -15471 +-2545 -7693 +-2621 6186 +-3204 6022 +6492 5803 +8991 5874 +-3000 3141 +527 6317 +5569 457 +6089 37 +3150 6953 +-4383 52 +-8048 3149 +-7093 8616 +1006 4505 +8023 7617 +7212 2086 +2521 184 +-4785 7129 +-6449 -26 +-4662 1379 +-54 6979 +1729 3236 +-9880 6782 +-5226 6372 +4381 5363 +2195 3868 +2167 -10313 +1642 -15231 +4792 -5075 +2524 2576 +743 -4889 +-2816 -11804 +355 -3498 +9583 -1491 +1611 -5446 +-4169 1275 +-8893 8172 +-6065 2940 +780 1692 +-2775 5436 +-4304 -3935 +-2285 -11112 +1151 -4780 +1080 -1523 +5411 557 +1629 7059 +-9177 3868 +-8489 -2186 +-1259 -7273 +1039 -10413 +2440 -12742 +2737 -4691 +-564 4603 +-651 547 +5422 -1507 +3900 5411 +-4457 5233 +-96 -1386 +-594 -6549 +-4380 -6204 +4544 -1156 +2182 4709 +-4369 2181 +-3763 -7516 +-4918 -2857 +200 113 +6856 -571 +1557 6593 +-4123 3586 +1453 -736 +3159 1580 +-7587 -276 +-12991 -4049 +-8584 -3919 +-4142 -4990 +2944 -8857 +2915 -7191 +1726 2560 +2879 2808 +-1891 -3282 +3444 -962 +4657 -337 +1224 -1437 +6458 -7763 +1955 -6056 +-10940 5267 +-9062 7428 +204 5499 +4407 6241 +12043 12769 +2693 4355 +-5317 620 +214 10352 +4908 2500 +9442 -3694 +-788 -4399 +6865 2417 +16630 7235 +5978 864 +4646 -3280 +4204 -11279 +698 -9687 +-6526 6026 +-5553 8342 +-43 1171 +714 4637 +-620 3231 +-3174 -1285 +3835 2530 +1567 1712 +-7912 4776 +-8076 4082 +-5773 -4522 +-6143 -8387 +-6519 -10952 +-1009 -5284 +2555 3705 +-219 776 +3121 -4671 +6567 1444 +31 6816 +-1000 -929 +-4823 -4373 +-7506 -1613 +2730 -3863 +5015 1039 +719 2702 +-179 -82 +0 -9 +-4 31 +-2 6 +-2 3 +3 -2 +4 -5 +1 -3 +3 -6 +3 -8 +2 0 +-3 3 +5 3 +2 -1 +-4 1 +2 7 +3 3 +2 5 +4 -4 +2 -3 +-2 3 +1 3 +2 3 +-4 -1 +-2 -2 +3 -2 +6 3 +0 3 +-1 -5 +1 -2 +3 -4 +2 -6 +1 -1 +4 -1 +0 -3 +2 -1 +3 3 +6 3 +5 10 +-4 8 +-5 1 +1 -5 +3 -1 +1 -4 +-3 -9 +-4 -2 +-4 -3 +4 0 +8 -5 +4 -7 +-2 -5 +-3 -11 +10 -5 +2 -6 +2 -3 +3 -2 +1 1 +2 -3 +6 -2 +9 -1 +7 -3 +-1 0 +0 5 +0 5 +2 2 +8 6 +8 3 +2 1 +-1 -6 +0 -8 +-5 2 +3 4 +9 -3 +8 -5 +9 -3 +5 5 +-3 5 +-2 -3 +1 3 +5 2 +12 0 +5 -3 +-3 0 +-2 4 +-3 7 +-2 5 +2 4 +2 3 +-1 2 +-1 3 +0 7 +-2 3 +0 0 +1 -3 +0 -2 +5 1 +8 4 +3 4 +3 6 +1 4 +3 3 +3 0 +-1 9 +4 5 +3 4 +-1 1 +0 -6 +-2 -6 +-5 -1 +-1 4 +2 6 +-2 0 +3 7 +6 6 +2 0 +5 -7 +4 -3 +-2 -1 +-3 0 +1 -2 +4 -1 +0 6 +2 4 +1 0 +-2 2 +-2 4 +2 -1 +0 -3 +-6 2 +-1 4 +-7 -1 +-5 4 +3 8 +-2 5 +-1 -6 +1 -22 +2 -34 +8 -36 +24 -34 +34 -50 +395 -1076 +2066 -6153 +1032 -8492 +-4430 417 +-2250 6279 +125 -712 +-6501 -1243 +-753 4307 +8793 3791 +9110 2391 +7377 4077 +-2910 3779 +-6751 -1751 +918 -36 +-1988 6435 +-4626 -1365 +141 -9776 +1349 -9394 +-971 -8249 +-4286 1468 +-815 6765 +-115 -694 +-6802 243 +203 4376 +9667 1771 +9640 364 +8212 2429 +-2106 4316 +-7106 -326 +877 -240 +-652 6724 +-4860 -412 +-1835 -9678 +-576 -9528 +-2647 -7943 +-4037 2281 +571 6788 +-189 -724 +-6707 1624 +1093 4290 +10020 -229 +9701 -1617 +8673 706 +-1167 4644 +-7125 1072 +835 -426 +774 6787 +-4926 595 +-3813 -9073 +-2481 -9259 +-4205 -7309 +-3565 3070 +1901 6559 +-332 -666 +-6397 2902 +1868 4059 +9905 -2096 +9316 -3374 +8795 -860 +-270 4816 +-6926 2429 +715 -522 +1984 6561 +-4816 1443 +-5479 -8339 +-4153 -8714 +-5527 -6408 +-3044 3760 +3020 6176 +-472 -618 +-5939 3928 +2564 3659 +9564 -3721 +8753 -4817 +8588 -2237 +473 4788 +-6487 3417 +671 -658 +2943 6150 +-4551 2157 +-6658 -7401 +-5451 -8007 +-6450 -5570 +-2446 4033 +3950 5534 +-504 -526 +-5216 4766 +3153 3143 +8880 -5117 +7949 -6130 +8233 -3652 +1286 4636 +-5863 4444 +533 -727 +3973 5617 +-4151 2928 +-7869 -6121 +-6714 -6899 +-7290 -4335 +-1778 4392 +4819 4857 +-600 -372 +-4435 5539 +3588 2636 +8032 -6357 +6945 -7192 +7601 -4713 +1898 4466 +-5251 5219 +383 -804 +4731 4922 +-3718 3427 +-8741 -4927 +-7725 -5805 +-7964 -3187 +-1171 4586 +5518 4013 +-683 -320 +-3508 6178 +4007 1989 +6870 -7750 +5604 -8400 +6643 -6109 +2745 3926 +-4175 6060 +217 -892 +5617 3899 +-3025 4016 +-9491 -3193 +-8708 -4340 +-8503 -1799 +-320 4710 +6260 2979 +-683 -209 +-2459 6644 +4312 1359 +5565 -8632 +4212 -9048 +5686 -6951 +3343 3467 +-3206 6655 +74 -869 +6141 2981 +-2375 4403 +-9904 -1660 +-9280 -2873 +-8672 -357 +457 4710 +6672 1951 +-740 -83 +-1462 6915 +4482 586 +4228 -9445 +2827 -9603 +4570 -7724 +3791 2932 +-2235 6982 +-50 -929 +6544 2057 +-1717 4725 +-9677 38 +-6616 -1981 +-610 -4520 +9210 -1441 +9681 -3036 +5467 -8471 +4765 -766 +1681 5320 +-5617 3539 +-3601 6292 +6904 5997 +3879 5063 +-3332 4457 +-6400 -2567 +-6759 1847 +-1749 8672 +-3246 -23 +785 -4967 +7425 -5909 +691 -6853 +-5400 -1324 +-5490 -2871 +2883 -7687 +5342 -6394 +-2636 -4283 +-3994 2874 +-6199 3722 +-4238 -7254 +-3931 -7624 +-7871 2454 +-1280 9504 +-3021 6696 +-6972 -2838 +-3398 -371 +220 5780 +7490 4981 +3102 6737 +-5757 4068 +-3683 3035 +3813 6238 +8055 3262 +240 4009 +-3950 -943 +-4175 -7998 +-7333 1592 +-5256 3558 +-2849 -4866 +3892 -6367 +4441 -7321 +-6011 -4173 +-5043 -1752 +2175 -5070 +5653 1462 +7959 6799 +10170 -833 +7399 -358 +-1504 4166 +-1477 -4718 +-985 -10068 +-7861 -6349 +-1840 -6476 +8251 -2856 +3057 7743 +1059 10326 +7577 5629 +1040 2519 +-3728 -2878 +6273 -6977 +5788 -8447 +-1079 -9818 +3277 -3567 +4749 2927 +-2210 6230 +1136 7023 +9250 71 +6324 1277 +281 5431 +-6747 2144 +-4251 5679 +4178 7550 +-2516 2044 +-2597 -4229 +2040 -9229 +-3916 -5506 +-5083 2571 +-6180 1482 +-2853 -7536 +-25 -8197 +-4811 -1423 +-1189 4726 +-2311 6890 +-8111 -2387 +-8147 -2876 +-4419 7070 +5429 7756 +2361 6885 +-7144 2665 +-2853 2044 +4046 4002 +9003 -1512 +6961 2664 +-1560 6771 +-781 4647 +7064 1870 +8292 -3086 +2914 2754 +-3624 1994 +-8607 -2992 +-4566 6040 +-1627 6063 +-5510 -1603 +-1458 -7242 +-1578 -8321 +-7413 956 +-5072 2017 +-1733 -5136 +5315 -2690 +10707 -357 +7300 -7330 +5421 -5074 +1677 4108 +-4217 -2442 +-7465 -6661 +-10271 580 +-5679 -3555 +4455 -7561 +7482 3566 +7673 6825 +9589 -868 +2437 1158 +-4872 382 +94 -9213 +-1191 -9973 +-7382 -6370 +144 -4709 +5657 -989 +2449 6052 +5542 4415 +7266 -5998 +5793 -3079 +3821 3852 +-3859 5928 +345 6969 +8179 2943 +-641 3179 +-4770 -1430 +-4347 -8114 +-6644 -1533 +-2416 5169 +-4005 4996 +-7151 -3857 +-5310 -6165 +-4812 1986 +1996 4298 +2532 6631 +-7971 3314 +-8319 3009 +1013 8185 +9281 2500 +6244 3791 +-3728 6481 +-5064 2143 +-10912 -1736 +-10252 -3974 +-6826 -40 +-3349 2513 +3194 -2453 +2168 -1244 +2315 1773 +6626 -4031 +10995 -3006 +3084 3148 +-9402 10905 +3289 13869 +10888 5687 +-5605 2726 +-11562 -416 +-5696 -1941 +-1213 2124 +-2169 -1297 +-2118 -1200 +5408 1205 +7217 -3534 +9184 -7360 +8510 -3510 +-4243 686 +-5856 -4674 +54 -3624 +3492 1626 +9880 -210 +2972 -784 +-1559 -4089 +10182 -4607 +8414 -1937 +2256 -2072 +4647 -2608 +-3473 -6863 +-10518 -3753 +-4321 -184 +2645 -3031 +5575 3410 +4240 8565 +-2423 6315 +-6507 3725 +-1243 3335 +3226 4362 +4202 -736 +6901 -3666 +6421 2576 +4084 8186 +-1322 5269 +-3749 -794 +2673 -5555 +1188 -11144 +-4935 -4488 +-4418 3753 +-3347 1635 +-6868 7156 +-8402 5030 +-1638 -4108 +-2511 3544 +-5001 8305 +-103 2219 +-3055 -3384 +-10 -7382 +1962 -1492 +-9316 6191 +-10725 3781 +-5307 4378 +-945 3946 +783 -3912 +817 -2297 +3011 -279 +2363 -7360 +6340 -9516 +4554 172 +325 14124 +11970 7629 +12161 -3295 +-2423 5717 +-8701 7980 +-3635 4612 +1856 2382 +-434 -2296 +548 -5795 +378 -1256 +-5761 8240 +-10469 3951 +-3978 -4350 +-1172 -5429 +-4141 -3428 +-354 2585 +-6092 6044 +-9132 6639 +-1084 5532 +3098 -1313 +2618 -974 +-7673 8250 +-10399 8243 +-4765 -1907 +-3784 -7090 +9800 -2813 +21925 572 +14798 1036 +4487 -15 +4280 -7497 +4539 -10807 +-1865 -26 +-1362 2223 +2677 -1711 +3081 10380 +2420 12162 +-2544 -2966 +-5922 -3312 +-5384 -4078 +-2169 -7754 +637 1294 +3720 -940 +5569 -3672 +-4426 4253 +-8564 2954 +2137 2371 +7344 -3537 +1701 -12684 +-5664 -6435 +-2377 -995 +2444 -796 +108 -5951 +304 -6337 +931 4847 +-558 2423 +-1310 1729 +2360 8858 +-60 5322 +-9127 2341 +-3202 -2772 +5262 -5627 +3826 -5036 +1140 -8526 +1369 -5589 +5112 -3336 +887 1064 +-1299 6057 +1967 1798 +-756 4858 +2107 6804 +3340 848 +-1907 -1462 +-3337 -4609 +-481 -1105 +964 9876 +-5489 9712 +-5942 -669 +-4461 -3258 +-5475 163 +1419 2105 +-704 8407 +-2693 10818 +2802 4711 +1522 -3078 +1180 -3875 +392 -2193 +1703 -8474 +2406 -1314 +5809 212 +9212 -4577 +1358 2518 +-5095 4625 +-2155 -2372 +-370 -1527 +-1004 5273 +4104 7010 +7525 5004 +3432 -1031 +5396 -4162 +6867 4474 +2474 4827 +-513 -224 +-4021 4650 +-981 -1165 +-2111 -6985 +-5816 1608 +1793 3606 +-1662 3520 +-8798 3508 +-6131 -2325 +-3574 -2093 +3270 -1886 +4044 -4276 +-2636 -1294 +-4040 -4531 +892 -11592 +7932 -6878 +4872 -1325 +-1229 -5227 +1050 -4886 +2843 492 +282 -1701 +449 -5561 +2609 -5124 +-3659 -2622 +-6480 655 +1442 -3424 +2484 -9242 +-2769 -4758 +-4182 4288 +1000 13787 +5159 14896 +7357 2049 +9129 -6196 +1156 -5374 +1911 -3466 +5694 -1547 +-5812 -3087 +-2195 -2144 +6567 -594 +-1288 -3839 +-5439 -3588 +-2818 -914 +2005 6212 +3434 15142 +-2113 13041 +-7438 7587 +-8362 9563 +-3962 12046 +-3390 2085 +-4415 -8080 +1153 -2415 +4672 -3519 +4303 -9312 +2724 942 +-989 6670 +-3231 -424 +-1297 -892 +2629 4556 +7740 2522 +9156 -1179 +2010 -3021 +1445 -6578 +8283 -1103 +4847 2672 +-4596 3820 +-4961 11305 +2359 5634 +-2317 -8329 +-1496 -7929 +1905 -6411 +-342 -4553 +3354 3061 +2346 1656 +1688 -851 +3379 -4085 +6778 -7219 +9027 -5832 +1027 -3403 +2775 7095 +-1784 6934 +-13616 -1345 +-5894 -396 +-4756 -9101 +-1360 -14163 +8755 -3979 +3551 -1482 +1880 -4553 +2037 -4783 +2811 -1462 +3296 6613 +-5369 12234 +-3762 8674 +6525 4494 +6453 5704 +1465 235 +4472 -5264 +2899 268 +-5784 3270 +-1511 -89 +1701 -372 +-2825 3060 +-5229 3140 +-5716 688 +-1900 1917 +-4986 730 +-5718 -4497 +1209 -229 +369 9199 +1340 5620 +2273 -3105 +249 -5644 +2193 -7398 +-817 -2957 +-8391 3600 +-7397 -1102 +4070 -5951 +6385 -2469 +1014 -601 +2460 -3066 +-2413 -4002 +-9705 -2298 +-5222 2318 +2184 6315 +4984 2391 +3547 65 +-684 6506 +2159 6774 +8251 1550 +2860 7019 +3715 11809 +5511 2404 +-7231 -4493 +-6370 -4792 +-2731 -5926 +-3248 -3043 +4669 35 +2928 -342 +777 -1778 +-20 -5242 +503 -9722 +3151 -10201 +-1465 -3153 +6862 3348 +5920 4804 +-1851 1852 +-153 -225 +63 -47 +-12 2 +3 -3 +5 0 +3 3 +1 2 +0 -1 +-2 3 +-4 2 +-3 1 +-4 -3 +-4 -3 +-3 -2 +-1 1 +-5 6 +1 11 +1 5 +-6 2 +-9 -4 +-8 0 +-6 1 +-5 2 +-3 6 +0 5 +0 -1 +-3 4 +-3 6 +-3 4 +-6 -1 +-5 -3 +2 0 +2 1 +0 0 +4 5 +0 4 +-5 0 +-2 10 +0 6 +2 0 +0 8 +-2 9 +1 3 +1 2 +-6 8 +-2 5 +4 1 +5 -1 +6 0 +5 2 +1 3 +3 4 +1 4 +1 3 +3 2 +-5 3 +-8 3 +-5 -3 +-6 -3 +-3 -3 +0 3 +-4 2 +-2 6 +1 11 +1 12 +-1 5 +1 -2 +-3 3 +-1 3 +-2 6 +-3 1 +1 -1 +2 -2 +-2 2 +1 6 +6 5 +0 -4 +-2 0 +-1 8 +2 7 +1 3 +0 3 +-2 -1 +-2 -3 +7 7 +-1 5 +5 10 +4 9 +1 -6 +0 -6 +-5 -7 +-1 -9 +5 -1 +1 -2 +1 1 +2 -5 +-2 -4 +-2 -7 +-1 -9 +-4 -9 +-3 -4 +-5 -5 +-7 5 +-6 9 +-12 9 +-7 28 +-9 34 +-84 30 +-2163 -467 +-8255 -1590 +-7565 1231 +3780 4876 +5498 72 +-2194 1811 +740 7072 +4960 -3255 +2955 -10223 +2418 -9693 +4658 -6224 +3011 5724 +-2574 5398 +2320 -1646 +6524 3326 +-4724 4196 +-10755 -25 +-10014 -154 +-7013 2820 +4833 4077 +5611 -998 +-1788 2299 +2242 6899 +4290 -4217 +800 -10771 +403 -10099 +3384 -7042 +4199 5075 +-1451 5824 +1959 -2169 +7204 1852 +-3857 5060 +-10760 2202 +-10024 1953 +-6422 4262 +5620 2970 +5348 -2215 +-1359 2578 +3629 6242 +3402 -5089 +-1438 -10826 +-1663 -10070 +1875 -7659 +5168 4064 +-254 6017 +1511 -2454 +7536 508 +-2865 5806 +-10285 4222 +-9644 3798 +-5640 5423 +6214 1835 +4939 -3239 +-805 2819 +4877 5506 +2547 -5638 +-3412 -10359 +-3523 -9607 +472 -7913 +5921 3059 +880 5949 +1049 -2698 +7569 -906 +-1724 6202 +-9411 6104 +-8871 5543 +-4521 6352 +6611 691 +4385 -4048 +-342 2980 +5747 4541 +1351 -6030 +-5361 -9515 +-5274 -8716 +-1023 -7808 +6486 1920 +1996 5688 +445 -2876 +7265 -2324 +-558 6424 +-8250 7782 +-7801 7161 +-3333 7091 +6597 -618 +3488 -4794 +208 2950 +6608 3296 +281 -6228 +-7019 -8407 +-6881 -7635 +-2521 -7483 +6743 743 +3046 5256 +-10 -2926 +6881 -3605 +672 6391 +-6733 9027 +-6412 8320 +-2034 7486 +6488 -1743 +2736 -5263 +810 2852 +7205 2118 +-720 -6095 +-8388 -7011 +-8045 -6320 +-3656 -6919 +6817 -375 +3853 4586 +-448 -2914 +6230 -4584 +1555 6211 +-5346 9923 +-5079 9183 +-882 7747 +6204 -2622 +1898 -5617 +1112 2715 +7430 1067 +-1651 -5912 +-9467 -5752 +-9017 -5126 +-4707 -6303 +6712 -1300 +4483 4106 +-912 -2739 +5533 -5490 +2561 5872 +-3761 10598 +-3717 9797 +224 7740 +5690 -3543 +985 -5831 +1562 2478 +7598 -96 +-2445 -5534 +-10186 -4119 +-9687 -3597 +-5650 -5464 +6473 -2428 +5169 3202 +-1329 -2587 +4626 -6275 +3522 5387 +-1982 11024 +-2047 10232 +1556 7491 +5085 -4484 +15 -5848 +1982 2187 +7489 -1379 +-3536 -4992 +-10933 -2258 +-10239 -1810 +-6621 -4367 +5946 -3509 +5670 2284 +-1837 -2284 +3419 -6917 +4402 4634 +250 10104 +-2311 6139 +-4788 -1453 +-1826 -11168 +-6140 -8109 +-8663 -4144 +1740 -5099 +5326 -623 +4666 6477 +7332 -481 +4963 -9126 +5702 -2463 +3384 3997 +-2632 8013 +5542 5046 +8086 314 +-2205 4023 +-5600 -2880 +-7618 -5974 +-5813 2578 +-40 6484 +-4523 4861 +-8660 -4293 +-6625 -2681 +-2781 4285 +5235 3761 +1945 6665 +-9283 4826 +-5034 6742 +5884 6422 +10626 -1323 +4847 4517 +-3394 7094 +2216 2504 +5929 -3216 +4742 -9260 +7118 -1105 +3663 6124 +4595 1452 +5685 -7206 +2480 -7821 +4502 1398 +-3689 4421 +-6604 6496 +4981 6973 +1819 4548 +-6120 2596 +-7533 -4879 +-7833 -736 +-2294 8255 +-2589 3497 +-5214 -2979 +3799 -7458 +4969 -10115 +-3405 -10746 +1176 -5680 +3425 2327 +-7809 1547 +-9612 4455 +-5444 9444 +-7076 -856 +-1053 -8662 +10167 -2754 +9447 -4670 +4164 -8709 +1703 1611 +-4610 2506 +-8778 -7152 +-10128 -2001 +-9504 2540 +-2049 -4912 +3717 -3920 +7874 1737 +5384 -5175 +-2113 -9942 +2787 -5483 +5234 827 +3163 7705 +7605 165 +6482 -4972 +894 4406 +-6269 1470 +-9946 503 +-2952 5744 +4198 4837 +-272 6532 +-9479 2443 +-6933 2297 +615 4899 +6549 -695 +5956 3621 +-4029 9589 +453 8253 +8848 832 +7395 -8017 +6767 66 +2143 7396 +3387 343 +2489 -6236 +-2499 -9903 +4721 -5566 +6916 1995 +4466 -1975 +-440 -8981 +-3301 -7308 +4401 -1911 +69 5742 +-912 9184 +8447 1838 +4465 2202 +-2953 6038 +-9121 1467 +-6601 4616 +3722 7451 +350 4267 +-6023 1336 +-2099 -7980 +-2959 -10650 +-9768 -5443 +-2835 -4893 +4261 -630 +-4886 6305 +-4390 9665 +2130 10460 +-6088 4076 +-6789 -5559 +5849 -8841 +4161 -9825 +-2659 -9086 +2433 114 +-1766 4894 +-11571 566 +-9248 5194 +-5665 8099 +-4830 -2301 +244 -5325 +7276 -3838 +922 -7373 +-8132 -6056 +-1381 -5926 +4631 -2799 +7535 3629 +6095 -4932 +1819 -7915 +3679 2744 +-3855 5249 +-7435 6919 +1512 6121 +6474 815 +4163 5080 +-5727 8113 +-3851 6289 +3755 3197 +4618 -4815 +7070 -1167 +3210 9773 +5839 5733 +7505 -5258 +440 -10717 +5323 -4336 +6477 4072 +2377 931 +5333 -648 +9274 -5750 +6630 -8960 +-2106 6179 +-8880 16014 +-1618 5096 +-374 -4085 +-1848 -6800 +7477 -3973 +3809 3134 +4334 828 +6468 -3223 +-223 -4042 +6440 -2975 +4573 5020 +-333 5523 +-759 -2145 +-14025 -6760 +-10562 -5821 +7981 2426 +12190 1984 +6289 -3140 +2261 -632 +-95 963 +-4330 3004 +-3518 1976 +-166 2432 +5874 6377 +8482 -2498 +2633 -8856 +6088 -2561 +3172 -1129 +-6915 -7574 +-14 -11350 +3377 -4058 +-3457 3355 +-4431 4256 +-367 7281 +624 7471 +-2709 7658 +-2653 10999 +-6788 2945 +-11057 -2426 +-3128 8758 +-2121 8687 +-10733 -893 +-9584 -157 +-6138 -1777 +-6917 -3189 +-5121 2125 +-3865 3375 +1941 -900 +11017 523 +7562 8395 +1765 1284 +3130 -7372 +7393 -710 +6951 -4507 +-2910 -9303 +-4374 -1808 +-1783 2330 +-4651 3151 +-1809 749 +1069 -2133 +4077 -3929 +3599 -10258 +-516 -10897 +2286 6120 +3232 17740 +2014 4869 +-2854 -2897 +-5802 -3952 +3396 -7678 +5057 -49 +3954 -2190 +3032 -6579 +-2771 -2907 +3093 -6507 +5308 -2151 +-2922 -2801 +-3046 -4114 +12 -1941 +55 -4399 +7922 -6691 +16661 -3465 +6664 -3457 +-1727 -1245 +-4872 878 +-7049 -6634 +720 -4975 +-8994 -4054 +-15013 -9051 +-1468 -578 +-5341 4001 +-8431 -496 +-3130 3515 +589 10506 +6587 13744 +3127 9918 +35 425 +-1224 -4909 +-4276 -3555 +-6393 1662 +-9324 2947 +-2762 -2515 +1198 -211 +-1985 6666 +-1067 -469 +2479 -9942 +4851 -13171 +-731 -12282 +2888 1295 +9984 10667 +-1142 4571 +-3729 -1613 +4964 -3865 +5766 -4131 +3000 -3436 +1358 708 +5906 5807 +7515 338 +-860 -3317 +-3992 1650 +-1016 2863 +-2037 4839 +2701 1993 +10209 -8578 +3728 -8177 +-1242 -1258 +6063 2300 +6603 6227 +-3956 7236 +-2315 2530 +10804 -5795 +4432 -4693 +-2860 6350 +3227 8887 +-821 6181 +-1113 1011 +1175 -5425 +-1872 1967 +-117 8670 +-5329 1393 +-9917 -2504 +-4903 -1182 +-1208 -1322 +-2915 -3290 +1635 -10242 +10561 -13632 +2845 -6912 +-2193 346 +-3092 3902 +-9829 -208 +-2800 -4122 +-9567 3041 +-17640 3487 +-1577 547 +-1468 6450 +-7955 4282 +-2913 -891 +1738 979 +-4135 3579 +-6964 1645 +456 -3305 +5868 -2656 +6035 -1327 +-1670 -1209 +2494 771 +10020 -4962 +-5133 -8345 +-12184 -3184 +-8266 6257 +-927 9297 +12238 -3734 +4760 -2130 +-49 7853 +8461 -217 +4627 -2854 +1174 -240 +-3346 -1266 +-10726 -465 +-4938 -3808 +2272 252 +-3437 6860 +-4750 -1541 +2662 -3434 +-2145 7439 +-4162 5690 +720 -3703 +-3332 -5097 +-1662 -7114 +-1464 -11368 +-7513 -7435 +-4372 -1192 +2607 -5140 +4171 -5489 +-1150 -1429 +-1987 -9618 +-4300 -10846 +-8123 -3153 +4460 -196 +8091 10423 +-4070 18111 +-10150 11737 +-10994 -653 +-4877 -5729 +2782 1141 +6557 725 +6949 -1657 +2902 -741 +5231 -3648 +8249 -128 +7139 4182 +10304 6897 +10418 4092 +5678 -3910 +1665 -1746 +-1508 -571 +-4335 524 +-5704 4611 +2424 2152 +9458 3660 +202 6228 +-4396 1783 +1818 -390 +-604 5327 +-4157 5835 +-1968 -2674 +2524 -5895 +3555 -5052 +-2134 315 +2269 -1181 +3970 -10337 +-9599 -2348 +-11349 6008 +-1884 10006 +5626 7133 +6567 -11069 +2901 -5773 +3586 7272 +-5554 3664 +-11083 -1702 +-10030 -1627 +-7455 2059 +4312 5031 +5712 -504 +-2563 1909 +1042 7578 +5276 -3601 +2678 -11007 +1984 -10157 +4594 -6430 +3439 5956 +-2442 5447 +2510 -2118 +7052 3206 +-4951 4548 +-11314 256 +-10190 66 +-6938 3304 +5191 4237 +5545 -1397 +-2290 2329 +2216 7233 +4526 -4525 +737 -11268 +196 -10267 +3395 -7057 +4402 5228 +-1454 5717 +2121 -2573 +7492 1891 +-4154 5230 +-11206 2102 +-10147 1706 +-6425 4321 +5795 3294 +5288 -2287 +-1896 2598 +3355 6716 +3846 -5181 +-936 -11162 +-1434 -10109 +2206 -7469 +5173 4481 +-569 5892 +1700 -2840 +7851 654 +-3122 5882 +-10660 3955 +-9712 3400 +-5634 5345 +6351 2251 +4918 -3112 +-1363 2931 +4550 6058 +2932 -5689 +-2821 -10820 +-3004 -9678 +1093 -7642 +5863 3591 +366 5811 +1276 -3068 +7864 -525 +-2270 6264 +-10046 5515 +-9113 4809 +-4795 6095 +6532 1305 +4370 -3785 +-978 3055 +5386 5245 +2003 -5978 +-4583 -10129 +-4686 -9028 +-346 -7715 +6380 2493 +1268 5717 +-2044 -1449 +2440 3420 +3707 7761 +-4857 -254 +-52 -6344 +2241 -8616 +-5356 -4234 +-4854 4290 +-6775 -2577 +717 -8882 +-904 -7175 +-5080 -208 +-425 6918 +-5500 3674 +-7133 -4779 +-8712 -1846 +-2134 9115 +5534 8002 +-1973 5781 +-7236 1020 +-1919 2550 +6397 4520 +8917 -1509 +4465 5958 +-4003 5805 +855 4460 +9191 1462 +6814 -2516 +1402 5317 +-6275 -1503 +-7585 -1754 +-3604 7637 +-2972 3660 +-4687 -3638 +65 -9194 +-2327 -6267 +-8650 2024 +-3560 -270 +127 -6142 +7843 -553 +10614 -652 +6872 -8459 +5530 -1594 +-629 3991 +-4397 -5760 +-7787 -5875 +-10238 372 +-2210 -6166 +6786 -5322 +6594 6988 +7957 5507 +8771 -1281 +-439 2195 +-4239 -2386 +2283 -10642 +-2392 -9163 +-6855 -6509 +3854 -3751 +4421 785 +2322 7387 +6401 1765 +7376 -6828 +6303 25 +707 4699 +-4098 6708 +2556 6189 +7848 3138 +-3910 2848 +-4256 -4602 +-3926 -7776 +-6978 307 +-1085 6285 +-6939 2437 +-5210 -6992 +-5418 -4669 +-4228 3104 +4055 5435 +-1931 6253 +-8626 1028 +-8093 4286 +3363 6841 +5986 -6609 +-5636 -7677 +-3873 -698 +7939 -1890 +-755 1155 +-11099 -1034 +-2822 787 +4304 9644 +3933 1176 +1747 -10422 +6189 -11344 +10545 -4494 +6129 1229 +2996 -5957 +638 -9272 +-1149 -7947 +-3416 -7122 +-5394 862 +-9534 -577 +-8966 -9410 +-2627 -10419 +-3035 -1485 +-3295 10031 +1095 3970 +9520 -4335 +5297 579 +-7825 -122 +-602 -1757 +7471 -5794 +-1899 -9089 +2813 2259 +10157 7205 +1670 6886 +2514 11984 +1022 7377 +-312 -2827 +2436 -2331 +-732 2275 +-6766 3566 +-9474 5187 +-1113 5045 +371 543 +4557 -6953 +3598 -4839 +-834 1604 +2349 3437 +-2376 3778 +3065 317 +2739 3129 +134 2123 +-2635 -1249 +-9657 -238 +-1094 -591 +4318 2598 +8859 -2085 +8624 -4338 +2499 468 +-1955 1035 +-3146 -1395 +3854 2508 +7122 10754 +5294 6595 +-608 9114 +-1256 8111 +-2961 -7066 +-9061 -1924 +-3472 2124 +4645 -6579 +199 1408 +-9120 6642 +-1630 2482 +9771 4145 +3818 -1794 +-5526 -8682 +-2741 -12190 +5089 -10065 +5520 -3061 +-1588 -6307 +-5568 -7134 +-7000 -4561 +-7124 -4403 +2602 -3535 +2498 -4348 +-3201 -8161 +-3272 -9475 +1623 -4398 +10647 4318 +6944 1295 +-9748 -1985 +-2488 -1472 +2735 -412 +-5995 -3132 +2978 -7021 +8251 -5007 +-2209 -7004 +-8852 -2155 +-3186 7823 +-3556 7698 +-6303 -3345 +-429 -1335 +-556 2188 +-4702 -8640 +499 1507 +8269 10532 +-530 3553 +-10312 43 +-9373 -530 +-4714 2282 +-1628 4237 +-5383 -5377 +-5489 -12700 +-2245 -1109 +1803 956 +1127 1969 +-626 4486 +6845 38 +12939 3616 +15259 -2620 +10739 -3350 +-301 235 +-5770 -2789 +-1755 -2575 +3092 -5559 +4144 380 +3889 3000 +-670 -1482 +-1531 932 +349 -927 +-1683 -397 +3100 790 +5328 67 +-351 5963 +-2400 3005 +-5615 -881 +-2411 6 +7082 5110 +11143 11293 +13379 3774 +7986 2531 +1557 -1569 +1819 -3952 +1666 1760 +-1101 -1014 +-9540 6302 +-10193 5241 +-171 -4469 +-767 -4902 +-7756 -4112 +-8650 -5046 +-1595 -4300 +11193 -3493 +6457 -3414 +-8985 4563 +-2913 349 +1990 -2052 +-6741 1246 +-2036 -7299 +3413 -8954 +-6247 -4023 +-9543 1628 +-3932 1525 +447 6523 +798 12537 +-9540 4149 +-13256 -4988 +-10999 5418 +-8146 3252 +4837 -4590 +5366 -685 +1464 -390 +4179 186 +-593 6308 +-438 8586 +3422 2247 +14609 -4884 +17859 -5979 +3586 -3368 +-4078 -6532 +-4653 -6136 +2071 -2637 +3498 -669 +2334 -1315 +7074 -465 +-4557 3154 +-14036 325 +-9054 8287 +-9523 6113 +-6848 -10307 +-2291 -8518 +-1417 2376 +3856 2875 +1843 -8112 +-2391 -8431 +315 -5053 +-1732 389 +-5258 2549 +-4679 -3103 +-533 -684 +945 -2061 +-1485 3246 +5372 3097 +5088 -4464 +-7128 -1301 +-3266 -6314 +2252 -9727 +-164 -2035 +606 2169 +-950 -943 +330 -127 +7920 1888 +7890 3405 +-1497 7339 +3029 -196 +2650 -7441 +-5515 -1222 +1451 1268 +1364 -310 +673 4531 +-2001 5061 +-3428 1852 +4760 1032 +6468 3616 +8203 3085 +1352 -4425 +-4071 -1279 +4458 4847 +9000 8489 +-4548 9168 +-13432 5035 +-4908 11133 +-4137 7634 +665 -6590 +3668 -4044 +889 -1300 +3322 -2678 +3775 4961 +5408 6562 +4126 -685 +7987 -13413 +10051 -18427 +5282 -15557 +3212 -10654 +-1395 -3111 +-3197 4734 +-6116 10902 +-8239 7468 +-231 4040 +1732 4935 +-2626 5445 +-6165 2484 +-1840 219 +4864 1768 +-3224 7232 +-889 3631 +5790 -1094 +-2030 -3524 +-3599 -465 +-2088 8465 +-4700 371 +-780 -1274 +3133 6080 +-1274 5835 +-4655 3410 +-3833 2076 +-73 8967 +-2205 12701 +-3129 6759 +3156 -650 +4800 -8201 +7362 -13197 +1344 -2337 +-5090 3044 +-4515 -6537 +-8575 -5624 +-4293 -2928 +6437 -8249 +10630 -10497 +2956 -940 +661 693 +3728 -929 +4373 1807 +3065 -3410 +-12464 1376 +-8945 4474 +3804 1863 +-1363 2101 +-791 -4904 +816 -6845 +1745 1362 +-2261 2843 +3324 2635 +14710 3200 +819 -3618 +-6522 -164 +-3178 1050 +-2080 -1305 +-1447 632 +-8428 -8706 +-7505 -10441 +2421 -3505 +9320 -3391 +6265 -6966 +3503 156 +850 1406 +-6561 -12006 +-4782 -10672 +-3006 -1204 +598 5632 +2406 11932 +-1474 10760 +2456 3132 +4618 2562 +1522 5787 +-3209 5898 +-1340 1354 +4905 -1834 +2222 7516 +1631 3237 +3792 -4568 +-2674 -1209 +-3240 -2976 +2367 -6776 +6449 -3365 +-3878 407 +-7699 -4131 +2545 -8526 +3231 1016 +3475 5339 +5085 -1064 +-2774 5913 +-5689 6873 +844 -4459 +2257 -6396 +1789 -276 +2569 2742 +696 3388 +-1116 2315 +-4908 -1480 +-5632 -2005 +5358 -915 +9492 947 +-2483 3157 +-1148 5667 +2120 4093 +-7803 -1495 +-2940 -7530 +4135 -5864 +-4288 3532 +-8007 497 +-2307 -2436 +5931 718 +12876 -4489 +4543 -10345 +-5728 -10677 +-364 -6773 +9011 2406 +10220 5546 +3261 2681 +5305 6002 +5526 1484 +1557 1287 +3623 -716 +3321 -10790 +-48 -6542 +-1522 -7594 +7423 -6116 +690 -4898 +-7574 -2444 +-3538 8837 +-8283 2387 +-6448 -906 +-9147 4580 +-4007 4639 +3799 1797 +802 3501 +6412 5888 +4480 2459 +4754 7346 +5658 9618 +-5052 2614 +-11551 -3435 +-10225 2627 +-3969 13665 +1743 8246 +-908 -1423 +-2748 -6626 +2785 -6684 +-2637 2815 +-8709 1970 +-3770 -8005 +3194 -1399 +6326 1600 +3245 -4150 +1977 6200 +373 8863 +-2462 -3766 +-2716 -6156 +1182 -1452 +3789 183 +1540 1801 +-980 4079 +5375 6288 +6710 1212 +757 -3141 +-4293 -612 +-3306 -2680 +8768 -5134 +5155 -5115 +3826 -2934 +5394 -8017 +-5981 -8473 +5760 817 +13033 6276 +-359 5026 +-2524 -1257 +-1463 382 +-359 -3044 +10993 -4266 +11181 1018 +-7318 4714 +-2365 9959 +5983 5390 +1188 4520 +6676 7895 +-893 3355 +-6128 -974 +-347 2023 +4677 2241 +8842 -3628 +417 -4082 +-3085 3349 +-3185 6464 +-3919 -1540 +-516 -2 +-1549 -2175 +2148 -12565 +5612 -13180 +-915 -7477 +-10321 506 +-9348 -1614 +728 1596 +2155 6330 +-2673 2590 +-990 5101 +4091 7508 +-267 6370 +-8640 3247 +-9685 722 +-4165 -4385 +1506 -8424 +-1293 -5837 +-3954 -1166 +-1089 -4416 +564 -4465 +-5473 5030 +-10662 4426 +-1172 6026 +9023 10100 +8050 10693 +215 5605 +-1541 -1284 +-1762 2819 +-5377 -2051 +-3766 -4319 +-120 3334 +8387 1427 +6180 -3460 +-1361 -2800 +-3787 2293 +-4434 79 +3503 -9493 +766 -7092 +1015 -4651 +-1082 -9441 +-10243 -2451 +4950 -3193 +14296 -3818 +3010 3956 +-2764 666 +-396 1873 +-5123 1821 +-9663 -2628 +-312 3424 +6637 2646 +3985 -7896 +2069 -6725 +726 1689 +2919 867 +8607 -3826 +3880 1246 +1360 -1026 +1630 -7059 +-4495 -4913 +-2579 -4030 +4818 -4562 +5794 -4280 +4095 1215 +3549 6033 +6415 974 +3948 -2284 +-1870 -2626 +3804 -7484 +2416 -4845 +-2613 -412 +4698 -1280 +9283 -1942 +1329 -5796 +-5034 -2974 +521 8527 +-668 6480 +-2508 -5330 +-3790 -4410 +-9122 -3662 +-3681 827 +1064 9196 +678 11173 +-314 14723 +-198 7448 +3315 3111 +1984 -3986 +-3732 -5693 +-1609 7485 +-3541 2805 +-12947 -213 +-5870 -6737 +5396 -11532 +2705 1456 +-3188 1888 +4440 -9128 +10522 -3878 +6705 11112 +2477 6516 +-5938 3513 +3044 2476 +6682 -4051 +-4932 -1616 +-5658 2937 +-11240 8088 +-11272 6381 +-7620 3935 +-1827 3502 +8609 4926 +6393 3086 +5419 -1648 +-1165 3075 +-9348 4428 +2000 2726 +6971 -2381 +-2076 -8440 +-2832 -6295 +1698 795 +2877 -1277 +4212 -8412 +3845 -1512 +409 -1564 +-3367 -6198 +-6772 -675 +-4670 -1311 +801 -6529 +2367 -6433 +6012 -234 +6156 8895 +145 15547 +-2143 4701 +-6879 -5492 +-15430 -2467 +-7529 942 +282 -32 +-2784 2137 +1 966 +-1962 1502 +233 7814 +8401 1882 +562 5071 +-5123 -1892 +3078 -7170 +2885 6048 +-7509 2928 +-3371 6078 +3799 4346 +-86 -947 +2126 2528 +1635 53 +4039 -120 +15039 -2741 +10051 -5905 +1520 925 +1066 14352 +-4150 11994 +-7338 1811 +-1747 -3988 +2876 -1319 +-709 7913 +277 -181 +2833 -6364 +-2651 -4745 +-3049 -6009 +-3705 -6719 +-5673 -4630 +-4528 1543 +-3060 -546 +-1563 -4648 +-6649 -5896 +-2652 -6358 +2591 -2024 +-7382 5503 +-5727 11421 +9507 6625 +11924 -1977 +-787 -717 +-4676 -3858 +1123 -9972 +-872 -5312 +95 -2750 +4684 -2185 +1956 -5947 +-1368 -8672 +-2381 -6139 +-1057 -5880 +-4185 -2704 +-3442 -631 +5946 4181 +225 2960 +-3366 -3795 +5580 -3976 +10680 2760 +10118 11551 +1335 4875 +-8943 364 +-13673 8078 +-5283 5540 +337 -172 +-764 3373 +622 620 +-566 2302 +5207 5505 +7750 -4101 +3738 3391 +-5385 1839 +-6080 -7421 +-2266 1578 +2120 5443 +-1794 2512 +-8304 119 +-2070 -814 +-3079 -4731 +-6633 -4067 +-2041 1759 +4612 4413 +6468 6319 +38 8073 +2881 4638 +6623 2981 +443 929 +2204 -1339 +926 2752 +-362 706 +4592 3313 +5338 4314 +7217 -2601 +8288 2525 +5716 4619 +2031 -1495 +-4227 -5167 +-6706 -3357 +-1866 -679 +-1078 -6384 +443 -5479 +5448 1593 +3265 -4849 +2192 -3983 +6090 -953 +2133 -5360 +-4179 7751 +-3839 10857 +-4913 3389 +-2476 3894 +-3641 5174 +-1742 3011 +11780 -1071 +2480 6233 +-14940 4134 +-10489 -29 +3368 -3569 +6341 -11303 +-3106 -7926 +-8830 -5691 +-10519 -670 +-8123 3745 +-2669 10868 +654 8201 +-5254 -4991 +-11378 -9871 +1650 -7492 +11133 6145 +2523 667 +-1509 -8287 +1087 -4597 +-1658 -5617 +1005 -8753 +3293 -10922 +-2104 2643 +2604 7022 +2413 2778 +-2325 6248 +4596 2670 +440 2992 +-6432 5445 +-2109 693 +-5410 -1522 +-7784 1312 +-379 2614 +6499 250 +9178 425 +5418 5886 +5327 1472 +7146 -2235 +1017 377 +846 -2501 +3553 -532 +1051 -6236 +-3999 -7307 +-7153 6418 +-5754 12406 +1472 3513 +5817 551 +5455 6055 +-3935 5106 +-4102 -1447 +4899 -2766 +-2201 145 +3712 -1911 +6519 -1364 +-4871 2244 +-4036 1323 +-2346 361 +-5591 581 +2496 -1323 +7832 -1241 +-2389 1318 +2806 40 +1411 1938 +-7470 -164 +701 -8073 +4839 -5254 +1456 -2594 +-8670 -7107 +-10957 -4640 +-2192 6712 +5729 9306 +8041 3918 +11882 2537 +10632 6579 +-1980 13428 +-1211 11532 +-726 3131 +-2713 -3260 +-1220 3643 +2469 9746 +7161 -910 +-910 -4210 +3660 -867 +6469 1601 +-5885 2843 +-6118 -1084 +-3063 -1094 +2366 -2516 +4943 -2675 +-396 2859 +-870 5253 +-4039 5248 +-1501 -3697 +3691 -6709 +1943 2557 +4278 -3416 +-4787 -7771 +-7450 -1138 +2053 3043 +-1807 1478 +-9320 -4079 +-13817 -3005 +-5213 -9743 +2846 -14101 +-53 -8809 +-4776 -8393 +-7769 -2753 +-1491 9547 +3543 12884 +3413 1589 +4811 -3371 +8136 928 +278 6345 +-4186 1595 +2024 -5248 +-1590 1539 +1614 -3890 +4271 -5346 +-2250 4786 +-2248 3476 +-1708 -170 +-4432 -221 +-3282 -1092 +1023 -6656 +315 -5815 +-3834 -7958 +4970 -10706 +8784 -7146 +1819 -1383 +3076 1271 +800 7748 +-10455 5927 +-9437 -6657 +-3893 -2955 +-4209 5042 +-3933 9325 +-4887 9874 +-1647 6474 +3395 2714 +10105 641 +5958 9570 +-6351 8097 +-4772 -2085 +3 -2265 +243 -8398 +3103 -12596 +8980 -4070 +5539 -2067 +3576 -5754 +3830 -223 +4135 2611 +3442 -1660 +-6960 -49 +4039 3450 +13156 5832 +3993 3483 +1488 -1684 +3774 -4607 +1246 -7577 +-10465 -457 +-4851 3763 +4979 1713 +8678 3230 +8397 -69 +-4537 1229 +-192 4812 +7023 2229 +665 -1192 +-469 -1570 +-5124 1025 +-4584 4053 +4946 3304 +-4612 -1147 +-11258 1662 +-4985 2292 +1041 2284 +10517 2504 +3246 -6707 +-4348 -6559 +-1925 -1684 +-3358 1786 +-11335 5273 +-9844 3254 +6382 1486 +525 -1730 +-6525 420 +-3277 1342 +-3562 -5554 +-3619 -4490 +-8259 -3240 +-3454 -11084 +1904 -11004 +481 -2170 +3145 -1092 +5806 5199 +-3981 11278 +-11629 1379 +-4909 375 +103 6459 +3162 9448 +3927 9307 +5466 928 +8158 -3623 +8243 -8 +625 1266 +5365 -214 +15420 2712 +9286 209 +-724 3735 +-156 2903 +-3574 -7759 +-3369 -8657 +1848 -4948 +-433 -760 +4996 -2613 +8997 -422 +-2445 7166 +-7652 4590 +-533 -942 +-3427 -1519 +-5975 -5331 +-3763 -8994 +-19 -167 +4726 3539 +-3184 5034 +-7176 14095 +-4189 8215 +24 -155 +-2647 5687 +-8132 6265 +-6285 5142 +-4267 9579 +-975 4652 +-2933 -4810 +3973 -7214 +8649 -5058 +-67 -6858 +-9437 -6910 +-10608 -1135 +4548 374 +3025 1921 +1333 3209 +4498 3370 +-6931 1258 +-1183 -1251 +7196 4644 +4723 3259 +-1672 -6999 +-7890 -3450 +457 4427 +7041 5920 +19 2199 +-1076 -7463 +3658 514 +3547 4765 +2411 -326 +631 5564 +-1527 -6442 +-6593 -11639 +-8309 -771 +-4565 7287 +-5853 7468 +-5955 -2424 +2198 -2082 +9731 -3719 +6382 -6877 +3955 -7810 +6416 -5344 +1397 526 +3972 -3673 +13675 -8091 +7357 -5896 +1880 3292 +1818 2245 +-7884 -3243 +-8391 -4096 +-1888 -4808 +-794 -208 +2202 -5144 +6695 -6138 +2017 5575 +-4826 2300 +7585 -5212 +16011 -1392 +2033 -3823 +-5283 -7099 +-9291 -3558 +-8325 -2316 +2326 -1533 +4953 6253 +3465 5612 +2917 3225 +3168 5656 +-1766 10491 +-5424 7953 +-4892 -3805 +-6452 306 +-2514 -5089 +2473 -12401 +5273 -4620 +4725 -1845 +4665 -2446 +6966 -4500 +3133 987 +-6736 1163 +-11124 -1788 +-7459 3983 +-2377 5092 +11348 5471 +10066 7704 +-5308 -27 +-4243 -8543 +-883 -3921 +4074 -3662 +1394 -3589 +-2872 -1626 +2576 -7609 +-4883 -5210 +-5377 1064 +4469 -9087 +3129 -13621 +-2611 426 +-2471 619 +3330 -4542 +2926 -7993 +-1244 -7797 +-3543 -573 +-1395 -1739 +351 1582 +-2955 2414 +-454 5639 +4313 8608 +5015 3048 +-386 1691 +-3622 -483 +4510 8565 +8768 10660 +-1814 584 +-6585 4329 +3955 7860 +939 3111 +-1029 2265 +6141 3206 +805 3074 +-1275 8178 +-4177 4937 +2536 -9000 +11449 -11599 +-975 -4184 +-8739 -1730 +-9404 3534 +-7898 3784 +764 -2735 +7950 1171 +6387 1659 +4365 339 +6192 2000 +5622 8807 +1133 9329 +-6286 519 +-5715 4981 +-5998 4654 +-1861 5039 +233 10543 +-3275 13526 +-3801 10801 +-2297 -3923 +1945 -7065 +1104 -380 +2042 -2628 +1959 145 +-65 5130 +3369 10664 +765 7150 +-4048 -1021 +-3247 2188 +-3313 3075 +-417 3513 +-4083 333 +-10608 -5906 +-5973 -2018 +-819 -665 +3266 -2259 +1505 -534 +4236 -886 +6302 2270 +-8547 2658 +-15078 515 +-10941 -856 +-6145 -901 +-3653 6276 +-2903 4733 +5134 2827 +11013 2151 +2567 -3551 +-5644 -4353 +253 -2160 +10548 298 +11227 -6245 +2949 -5165 +4583 -3867 +504 -4030 +-2988 3497 +12299 -597 +7042 4297 +-7667 6466 +-2432 -2262 +4164 -3854 +1771 -4722 +2138 -1462 +567 7856 +-7233 2459 +-6901 -12327 +-2707 -22 +2833 2542 +2601 -8065 +1422 -4448 +2038 -10637 +-4875 -10925 +-817 -2471 +6559 2427 +3701 -1390 +-5405 -8475 +-7378 -4623 +-1774 3513 +-3515 7170 +1860 5083 +7194 7624 +6307 12100 +4171 10469 +-4356 -1302 +-3150 -6386 +623 -1025 +-145 -3317 +1621 -1171 +3316 3827 +9680 5604 +5351 4698 +-3810 1859 +-1091 3732 +-157 4673 +8609 4784 +16180 4156 +5153 -1663 +719 -4448 +1428 -3927 +-2662 -1341 +-1456 -315 +917 1578 +-825 1877 +686 -2811 +1568 -3736 +9117 -6141 +5853 -1948 +-11355 6941 +-7825 446 +5145 -2913 +12193 5289 +-439 1397 +-11688 -6277 +-2543 -3915 +15 -2709 +425 500 +33 2613 +201 -453 +3198 -1236 +-94 -3426 +-2070 -4330 +-2907 -2248 +-13504 4261 +-12665 6871 +-1251 5505 +-4135 4703 +-682 -1639 +799 3886 +-924 9324 +7648 3782 +6751 -2284 +283 -1315 +330 7516 +507 1658 +-8797 4462 +-11703 9849 +-5028 -3958 +-1833 -8718 +-3265 -9498 +-1292 -6094 +3163 970 +-714 2951 +-3200 -2912 +-3360 -10200 +-3474 -7936 +-440 -6900 +11679 -2366 +13995 -1237 +772 -1179 +2657 6166 +1524 1537 +-5829 194 +-5000 7788 +4027 7090 +5514 3975 +-2045 -575 +5180 -1106 +5916 3270 +9540 -1792 +15119 -7614 +2864 -4621 +-2447 -3725 +-1551 -3781 +-2996 833 +-1381 784 +1724 584 +612 1954 +-1325 -2481 +-1321 -3738 +2930 -10466 +3250 -5224 +-4196 12480 +-5879 5330 +-573 -5421 +3100 -4719 +5484 -6432 +8846 -2525 +8333 2613 +7434 -6849 +3308 -3255 +2045 10168 +-1078 5350 +-8207 -4100 +-3239 -6818 +4550 -4869 +1687 1681 +2436 8033 +2708 3750 +-1157 -2329 +-2451 -1244 +2008 1259 +8585 1540 +3996 299 +-3431 4314 +-6723 1329 +-6340 -7322 +1924 -3961 +10593 431 +2167 2408 +-7304 8210 +2288 8304 +2727 7897 +-5540 8021 +-5019 2831 +-7962 2354 +-6465 -2318 +4373 -3750 +-2316 509 +-8769 -6303 +-3293 -4653 +-5694 3522 +-2906 507 +-4266 -3733 +-4913 1344 +-2401 7863 +1190 5884 +8645 3805 +5401 9775 +8913 6654 +3916 -9244 +-2990 -8431 +-4505 3512 +-5863 9386 +9354 3339 +12121 -6390 +8890 -203 +5931 -1138 +2072 -8359 +-891 -4941 +-6613 -335 +-2755 -55 +-2257 -5786 +-4443 -1044 +-9709 2966 +-5603 -2701 +3019 3380 +-4908 1832 +-6709 -7483 +-2181 -5316 +-14 -8081 +5244 -7653 +8165 -3557 +1144 -9932 +280 -4619 +8311 5901 +2766 4564 +-8993 2526 +-7074 -2771 +187 -6578 +2439 159 +7229 4321 +4573 957 +-2445 -910 +-3261 1109 +-3298 2029 +-308 4977 +9479 5762 +14468 -2309 +1834 -1379 +-4118 -5004 +6201 -7316 +5452 -1396 +-4524 -57 +-4309 3693 +-2974 4049 +-12043 9198 +-13398 3090 +-8486 -7364 +-2132 -360 +-673 823 +-4583 7909 +-10374 7561 +-16420 -2618 +-6884 -1955 +-3110 -1921 +-5201 1611 +2940 304 +9218 1031 +2064 7084 +-1563 1477 +11998 -4450 +9814 -9071 +-1982 -6761 +-4205 -758 +-2391 -2991 +-1365 3182 +4326 8200 +9280 2074 +-1979 -1172 +-6440 -1628 +-4894 221 +397 906 +8098 -2601 +-90 -4080 +-2510 -3745 +10197 -4804 +10791 -2142 +479 100 +163 1288 +-3610 5286 +-2701 304 +1100 95 +-6210 2776 +-2801 -1432 +899 -547 +3825 -6335 +9180 -9670 +4082 1060 +1798 4381 +5717 -5118 +1421 -3648 +-3247 4551 +-950 5659 +-684 4137 +1835 -6906 +-2782 -9796 +-6067 -1186 +1597 3479 +366 4062 +2650 4027 +11241 -1565 +10131 -10877 +624 -2150 +-6495 -1077 +208 -9387 +3526 -4473 +-3610 2825 +-1096 5531 +271 4962 +-3676 14591 +-8663 10739 +-11395 -272 +-1916 1002 +-466 -1739 +-2448 -6464 +1099 -2840 +4277 2414 +4381 -1880 +-413 -9781 +-8206 -6371 +-7201 9814 +1035 3691 +8595 729 +852 6323 +-4434 3885 +-7748 7512 +-14785 5179 +-7079 -2154 +-1085 -1277 +932 1183 +4906 2562 +5908 12286 +-525 13523 +-2116 5056 +-3305 3846 +-7566 -3772 +-2599 -2927 +-4065 10408 +5748 6321 +11542 -5123 +107 -5603 +-4191 -2413 +-1016 -1839 +6089 -2649 +-3961 3099 +-5604 3352 +3209 -6473 +-1298 -3245 +-1350 -3438 +-3433 -10550 +-3529 -3966 +-819 498 +-4201 -3454 +-251 -5652 +7799 -2016 +5458 3168 +2328 7370 +5255 4854 +9311 -5026 +10703 -4230 +4560 2055 +-3738 1661 +-9275 -4333 +-8472 -8095 +-6504 1032 +-5151 7617 +-4901 3070 +-7420 -2696 +-1137 -5592 +5707 -3355 +1940 -70 +2101 -1425 +6965 -8559 +2830 -6445 +1637 -715 +-3 -6608 +1225 229 +5499 6582 +-4110 -2901 +-1515 -3204 +4940 -950 +2132 -4253 +-6840 -6891 +-10675 706 +793 11817 +3229 1973 +7079 -5074 +4821 4110 +-852 5777 +-988 10689 +-7975 13691 +-6919 3175 +-1698 -158 +1125 -731 +1453 -6760 +5771 -2732 +2369 -194 +-9885 -1415 +-7705 5341 +-12784 3600 +-14961 -4019 +-1638 -1831 +-1378 6377 +-2625 4984 +1595 -4748 +873 -14177 +234 -9643 +-4720 3033 +-5466 5570 +5158 374 +7578 -2123 +-4502 -4430 +-11631 -9006 +-6286 -3897 +3166 1827 +7340 2222 +820 -242 +3332 -11974 +11166 -12053 +9154 -1236 +6141 -6515 +-353 -2929 +-5706 5478 +-6519 -2389 +-2509 2832 +648 6437 +-5994 4960 +-7186 5389 +-425 414 +-849 758 +-2632 -2936 +3003 1900 +197 5840 +-489 4332 +4239 4578 +-2026 439 +-3137 4824 +4982 -1112 +8041 -10523 +2841 -4456 +5860 6773 +8577 8286 +-1822 2391 +-10083 6477 +-4891 6995 +5678 -1369 +1703 -3901 +2622 3148 +5697 2215 +4404 -1683 +4226 3252 +-3125 -652 +-300 -2630 +1149 320 +3538 -5113 +6475 -7632 +4700 -675 +5172 -459 +-2489 -6348 +2445 -5858 +1773 -1651 +-8514 5547 +-2253 8959 +-7154 11089 +-14101 7050 +-2536 -271 +3248 5508 +1381 5318 +-1919 -4504 +-8841 -10796 +-6346 -7118 +-1636 5322 +-501 7700 +4012 -743 +2780 -1948 +-5922 -1762 +-1783 3504 +1992 1678 +-935 6505 +-1959 15267 +-9148 4061 +-5014 4542 +9178 9743 +14319 787 +1037 -5758 +-9087 -7453 +-4063 -2392 +-547 -1227 +1470 -3979 +330 2991 +-2005 2971 +-3208 -503 +-10122 2623 +-5848 4479 +10677 5955 +14635 -1970 +2224 -8156 +-8240 -6279 +2205 -12995 +5319 -10241 +247 103 +2378 -2673 +-5543 -2345 +-428 -862 +4688 -5260 +-1532 -5092 +-5284 -4692 +-6057 -4732 +540 -3072 +-1273 -536 +-1750 -1627 +3186 -5221 +8660 1263 +6487 5747 +4050 1980 +4998 7051 +-9218 11314 +-8205 3412 +1375 -1702 +-3 158 +1307 785 +1929 -758 +-262 -538 +612 967 +-4384 105 +-13648 -5475 +-825 -9471 +6143 -3907 +3109 -4834 +7930 -5980 +5375 3340 +28 3674 +-2409 700 +1208 2413 +-2866 5613 +-5358 6805 +-732 5118 +3828 4651 +3547 1528 +-5669 2358 +863 3689 +2736 8 +3617 5528 +8601 12633 +-4470 9000 +-1025 6636 +13487 1241 +11656 -8722 +-2911 -4909 +-11904 424 +-4717 922 +-1239 -487 +-1469 -3905 +3079 226 +3605 -2208 +-1350 -471 +-796 10605 +-1596 6851 +-5659 2205 +-516 5342 +5336 1121 +4866 6001 +-1555 9133 +-9483 1471 +-6767 -1143 +4078 -4957 +977 -2654 +-371 2576 +4368 1077 +1177 -3755 +-2158 -2434 +456 1370 +6435 -2450 +1179 3576 +-3979 10176 +-7339 1736 +-7451 -6213 +565 -5666 +-3383 -2048 +-5393 3925 +-4694 2538 +-10164 -3150 +-3313 1006 +6196 -891 +2481 -5277 +2812 -10994 +11910 -11222 +9914 -3275 +-2755 -6445 +-6933 -3134 +-3610 1165 +-7127 -373 +-6767 -3198 +1967 -1310 +2012 918 +-318 -5917 +1770 -1660 +-4392 995 +-5036 9397 +2010 15354 +2984 -2301 +3261 -6441 +-10822 4266 +-11811 9265 +2271 6027 +507 -1207 +4506 -3332 +1452 -941 +-2192 -1945 +5494 2248 +4818 7513 +1240 3862 +3397 4055 +8565 1295 +1045 -8032 +1424 -15305 +9517 -10455 +5059 -2984 +-345 -5538 +-1290 511 +6310 8495 +3279 6096 +-2950 5314 +3056 4336 +4850 -2621 +7684 1262 +4758 7756 +-6500 7209 +-6181 3538 +-31 -6324 +-914 -2591 +1369 2169 +4164 -1947 +-872 -2013 +-2418 -122 +-3105 263 +-3900 4517 +-8517 -2534 +-10842 -4665 +-3173 168 +2319 -1474 +-269 549 +-3222 -367 +5178 -3749 +903 -5801 +2150 -6723 +9255 671 +4198 5089 +5363 -766 +-3662 -2488 +-5809 3774 +-6873 2887 +-5664 -5866 +2199 -6915 +-4850 -3751 +572 -1426 +2906 1197 +-2347 -1291 +1685 -703 +9495 -2204 +11025 -4660 +3868 3636 +2999 2512 +1788 2029 +-228 10305 +-3775 5850 +1117 5986 +13243 7115 +14193 -7699 +10450 -9026 +1712 3898 +-4264 3987 +-1800 -2643 +901 -3468 +2208 -1479 +-4247 -1069 +-13441 582 +-2924 -3832 +8006 -7471 +1357 1134 +-1210 3700 +1846 1501 +1826 1940 +-7974 -401 +-5263 -3877 +10459 -7900 +6073 -5600 +-2893 108 +-2775 -1080 +-868 -3389 +4051 -933 +3424 3578 +-10298 2470 +-17080 1285 +-7871 12224 +2327 10130 +6367 20 +6692 6686 +2187 5106 +-2359 2100 +54 5835 +-8121 3792 +-11364 3789 +-2317 2259 +802 -2560 +183 612 +-2702 1826 +1536 -6194 +-3048 -4790 +-2878 -6349 +7502 -5757 +6589 767 +3572 -4295 +-3403 957 +1750 10142 +8974 4955 +5053 -4929 +-4917 -862 +-6319 -3783 +-2262 -3214 +-3198 1120 +-3216 2730 +4525 13262 +-1806 10089 +-8219 -613 +606 1851 +1581 7710 +1098 11634 +-1622 4848 +1177 -3487 +11613 -1486 +9372 -851 +3234 360 +-1551 -5332 +4930 -7424 +15863 654 +5317 -2186 +-1550 -4729 +-1429 -1733 +-6301 -3575 +-2088 -5664 +-2591 3053 +2913 8702 +11290 3756 +2795 1073 +-555 -2235 +3765 -2620 +3407 -424 +-4493 4037 +-5544 7461 +-3360 9137 +-9766 4202 +-10897 -3600 +-4873 -3203 +555 -5176 +-246 610 +5407 -2986 +4473 -9047 +-10349 2663 +-7277 4372 +2204 882 +2666 217 +-1538 -4476 +-6674 -9706 +-3417 4790 +2568 10613 +1462 -1739 +-2794 3018 +-5048 1740 +-9663 -3424 +-8071 -7364 +1807 -10449 +2000 -8415 +-1813 -7285 +1370 753 +2409 4593 +-927 2576 +960 -172 +9664 2491 +10046 -1924 +712 -6903 +-4371 2506 +-7417 1206 +-3946 -952 +-1827 2901 +-791 4193 +12127 7058 +5033 8727 +-6993 4861 +1573 1011 +6240 4696 +8450 7912 +1867 4684 +-4389 -2168 +-6077 337 +-8522 6490 +-5178 -3357 +-1597 -2928 +3914 -3092 +2678 -6890 +2379 7153 +5668 4844 +9501 -4678 +6446 948 +-2070 -194 +-2735 -8310 +-11754 -4240 +-9521 1243 +6351 -1799 +8466 -1575 +-4658 2040 +-12328 7912 +-668 9523 +423 3124 +2056 -3865 +10696 -1623 +5734 -3145 +-689 -9800 +2720 2153 +6214 4722 +204 276 +-3052 6327 +-8464 -466 +-9640 -464 +-675 1373 +3304 -2726 +319 4589 +-1578 3954 +3844 -92 +5483 -1788 +3943 -3782 +2985 4111 +2750 11540 +1448 13055 +4639 7690 +6577 5113 +-519 6982 +2149 369 +4607 -6404 +3605 -1517 +-5210 9885 +-13245 4193 +-346 -4093 +8135 -881 +3203 -2235 +-5911 -2667 +-4052 -6865 +-2123 -8957 +-10169 -6838 +-9763 -7883 +-3892 -5661 +4089 -2187 +5177 -2921 +1741 -4629 +-2109 -731 +-5614 -1567 +1998 -2140 +1567 -182 +-5248 5766 +-3051 10332 +-6135 661 +-3177 -1149 +1158 -4841 +-2302 -6935 +6439 3860 +7693 68 +4535 -9508 +5711 -3324 +-1683 1188 +-7406 -4447 +-12009 4254 +-6750 6845 +3957 -5313 +6314 -5207 +3213 2462 +-4216 -3163 +-14566 765 +-11836 7074 +3691 673 +12467 -3900 +6406 -5684 +3403 2874 +1593 5881 +-2720 7781 +-4506 7831 +-892 234 +-1321 -3045 +3071 -1961 +8260 4386 +-6307 -1225 +-4302 -5806 +4487 -147 +774 343 +-3227 6035 +-6212 8132 +-5015 2207 +-5726 -1075 +354 -6416 +-1083 -11881 +-4004 -5936 +500 10211 +4785 15299 +7681 3503 +-3059 -159 +197 2039 +5456 3744 +-4044 9607 +-4332 1278 +635 732 +3103 9319 +-1160 361 +-3722 -3158 +1081 -3227 +3066 -9528 +-132 -11269 +2865 -7334 +1870 -2346 +-7435 -5948 +-5042 -9424 +-2703 -3076 +-4338 3514 +1629 4736 +4495 -178 +5733 -7227 +9766 -5619 +1837 -2013 +-2787 -6608 +3463 -10403 +1732 -10286 +-813 -5237 +2988 -641 +3107 -760 +-5179 6539 +-3554 4627 +3425 -651 +1772 9480 +-4567 5468 +-4386 -290 +3660 2592 +-5071 345 +-10905 10017 +-4559 12896 +3374 -1912 +7285 -10941 +1417 -8322 +4539 -31 +5092 3353 +2953 7598 +1572 8843 +-551 812 +-3011 -1480 +1041 -3437 +9373 -2054 +-3750 2455 +-9842 -853 +-9175 1607 +865 -975 +3213 -5939 +4919 -3755 +3918 2863 +-4378 7179 +-3293 5955 +402 4568 +1816 5849 +3949 4757 +251 -379 +-9040 -1321 +-6341 2321 +2278 5656 +2741 6183 +4383 -449 +6593 -7491 +929 -2575 +-886 5967 +2719 6343 +3203 3670 +4917 2266 +7976 1578 +1986 3890 +-6512 2970 +-5939 -2374 +-3442 -603 +6236 -3422 +4022 -6356 +726 -3633 +11504 -3151 +2510 4275 +1316 3793 +24 738 +-12747 -1057 +-3837 -3456 +8284 3635 +4856 -420 +-8360 -5229 +-8547 1930 +-6184 4248 +-11705 -3043 +-9779 -2334 +-5585 7756 +-6987 9852 +-7612 4550 +2898 -5559 +10240 -7727 +9129 -4610 +1112 -8205 +-3711 -12336 +3098 -7920 +4191 -5684 +-2758 -9770 +-3529 962 +3245 9594 +-1261 116 +-2215 -12667 +1924 -4980 +1662 4891 +5180 874 +-2334 9999 +-5142 5086 +-4773 -801 +-6180 7064 +-16 -1187 +-1156 -6529 +1547 -5937 +4979 -335 +1037 8124 +1068 6568 +3135 3315 +5100 3474 +6226 1259 +21 -409 +-8144 4616 +-3649 5730 +5436 2990 +6604 3743 +8742 3853 +9053 3309 +-5121 3052 +-10204 -2139 +-8473 814 +-6452 8839 +746 4209 +4094 558 +3929 383 +-5014 3152 +-7646 -9618 +-5044 -12410 +-3798 4801 +312 4608 +-10263 -1505 +-13516 -4606 +621 -2727 +2317 -5325 +-8566 2926 +-12749 9387 +-4559 -4791 +3355 -933 +4063 2884 +2886 -1905 +707 -8961 +-5110 -8500 +-9408 -1812 +-4855 -5580 +7212 239 +10607 3972 +4181 5263 +5378 9681 +2756 8632 +-3210 8803 +921 2760 +3477 -4722 +2336 -3893 +-116 -4302 +-771 -314 +4252 5593 +6755 -4232 +3245 -6981 +-2371 -3759 +231 -5051 +5042 1532 +-1453 -2590 +-3873 -7289 +-2160 4975 +-2539 6737 +3592 -2738 +3132 2836 +-2426 6031 +1992 4440 +7074 5628 +5094 -4351 +-44 -2920 +-697 5245 +2423 3589 +3507 3784 +2032 -470 +-3175 -8181 +-761 -8900 +5541 -9820 +3627 -7507 +7716 -3923 +9306 -3453 +-1781 5633 +-9189 5304 +-5914 6343 +1054 10729 +3397 2603 +3468 -2309 +3230 -2267 +-1728 5716 +-12228 -1788 +-12116 -5505 +307 6069 +3232 3142 +-8951 5704 +-11688 7707 +4374 4013 +8296 -4641 +4442 -5802 +-2618 -4273 +-7174 2109 +1935 -1102 +8420 -4036 +-1021 7968 +-11673 5420 +-7229 4866 +-3793 2897 +-8797 -3640 +-2255 3388 +-989 7579 +-3862 2829 +-2944 1839 +-6712 615 +-5456 -1379 +-308 8251 +-1984 6378 +-7448 1898 +-7797 9318 +-8904 1689 +2468 -6912 +6691 -3136 +-4892 4573 +966 5915 +6716 -1540 +7481 -5356 +147 -10608 +-1164 -11728 +9989 -2400 +5140 -144 +2072 2162 +4929 1658 +662 -4580 +-9827 4660 +-10799 10314 +-3389 2303 +-353 -4318 +7923 -1538 +9183 1445 +1007 -4550 +685 -6602 +2708 -6615 +-1272 -1218 +-2144 3177 +-1551 2260 +66 2044 +5416 -3670 +5809 -4371 +4879 -2028 +-2092 -6084 +-3936 -8861 +3889 -2446 +1277 3492 +-4812 10801 +-2866 13677 +4114 312 +-225 -5348 +-4788 -1929 +-428 -2774 +-1688 -9281 +7798 -6129 +13266 -1782 +3111 -8267 +-522 -7227 +-4822 -1382 +-4169 6295 +785 -2025 +3912 -8410 +4469 6617 +-5498 11612 +-2318 8276 +-875 4620 +-9152 3079 +473 3995 +4233 6257 +-1117 4481 +26 2268 +2431 -472 +-1035 -7116 +-4767 -2148 +-3091 4484 +-4139 921 +-801 3594 +1782 11104 +7341 7519 +4493 6808 +3134 8461 +5808 4329 +-6484 -4274 +-6176 -13812 +5250 -4290 +4684 5759 +-3460 4779 +-6037 -925 +3841 -13026 +9676 -7397 +-3385 3656 +-7340 7346 +-3303 8044 +-8472 5600 +-4722 10091 +-64 6692 +3597 62 +3488 3669 +3447 1014 +4150 -6839 +-1856 -3605 +574 1922 +3074 164 +6043 2474 +5533 3672 +240 1987 +-3841 4264 +-4101 4344 +-281 2855 +-1470 -111 +6722 -7910 +1989 -10224 +-10806 -586 +-3253 2425 +-60 1011 +-6431 -510 +-10855 -11685 +-4920 -6351 +971 -2854 +562 -16110 +4222 -8244 +2856 1313 +1334 180 +8017 -4733 +-1539 -8595 +-12807 -2608 +-956 -956 +3402 1356 +3999 1804 +6643 781 +1746 1876 +-1966 4601 +-3797 7116 +-2499 2046 +-542 1662 +843 -1863 +-5351 -4638 +-5175 1591 +539 5290 +-2614 3318 +1692 3168 +8718 6972 +10680 679 +7973 2070 +8002 4160 +7469 -590 +-7777 942 +-13865 -6372 +1345 -6483 +7471 1353 +2890 4713 +5810 -851 +2855 -7273 +-440 -1104 +2106 -4285 +1203 -2730 +3408 2266 +10927 -5122 +9378 -3671 +4060 1786 +6770 -478 +2955 534 +-6473 4783 +-7264 -128 +5058 -5725 +14560 1568 +6396 13068 +-4625 9227 +-3152 -5308 +3989 -8409 +2506 304 +-642 7447 +1647 4893 +-503 6832 +-5130 12109 +636 5474 +751 250 +-5735 2252 +-1247 841 +-4640 1216 +-3648 8168 +8985 5499 +7728 -7464 +1434 -13813 +-375 -8246 +-1741 -5078 +5898 -7550 +5993 -4015 +-5491 -1864 +-77 1842 +-2860 6742 +-7381 4480 +-2995 -52 +-5187 725 +804 -1321 +-966 -10736 +-293 -5056 +-1214 1223 +-3033 -1925 +-506 1952 +-9052 6529 +-2664 3927 +-843 -4066 +-3582 -3183 +-1247 -5462 +231 -9206 +3530 -1671 +-4514 -1436 +-1489 3318 +1293 10868 +-5177 4270 +-5719 3440 +-8111 10076 +-3414 10667 +8946 4820 +7282 -6427 +-2661 -7305 +-1101 -436 +-1139 -4514 +-848 -2702 +4076 -462 +5263 -10789 +5015 -8700 +4349 -1230 +5019 -4729 +2670 -1511 +-2039 7715 +-5885 4555 +232 -7525 +12433 -8206 +12893 4496 +2180 5981 +-3590 -3469 +1735 -6173 +10199 -1530 +5318 2039 +-4815 5632 +-9334 14655 +-8559 13119 +-4110 3982 +3082 5167 +-279 -605 +-10291 -6512 +-1037 -5098 +1633 3474 +-1656 9089 +-2187 -5383 +-2243 -7185 +2371 3948 +-6567 8677 +-4137 4212 +3279 -6328 +-3516 -4118 +-10477 -6466 +-13708 -12335 +-7831 -5633 +2160 -2325 +10015 -2507 +5770 3472 +-2215 5761 +-2081 -1692 +-72 -5134 +-2431 -1276 +106 3508 +3818 7215 +-3367 7298 +930 6616 +9304 2561 +2208 -243 +-2331 4189 +5406 4756 +3620 -3379 +867 -10188 +5808 -5335 +734 -3247 +5015 -4611 +1443 -3470 +-8296 -4556 +-1944 6816 +2483 5529 +6816 -4174 +4347 1485 +5155 -1184 +9620 2095 +5343 2574 +612 -7511 +-4497 -3126 +-1199 285 +3795 -7454 +-2394 -7633 +-8538 -464 +-5076 -5330 +-2097 -4938 +-1375 2872 +3032 2960 +2222 2623 +-4858 -349 +-2743 -5681 +6885 -7769 +5443 -1920 +-61 7274 +2225 16887 +1780 15167 +-671 5493 +5769 1827 +-483 -320 +-12250 1617 +-4034 -3157 +3533 1548 +4604 7718 +-3126 -4566 +-4470 -10330 +3700 -1440 +10282 5573 +1183 1057 +-8247 -2044 +-1543 1926 +1582 5261 +8152 869 +9920 -1465 +-317 -7802 +2771 -15490 +-254 -4985 +-37 6126 +2797 7168 +-5073 4361 +-2663 5994 +-5473 2737 +-7431 4 +2220 1116 +11246 -9425 +10049 -5991 +-1760 -5581 +-5377 -9709 +-4743 445 +-3050 2316 +4187 4924 +1703 2500 +-8549 -6638 +-4252 -5200 +9306 -4588 +8989 -7812 +629 1433 +-359 6039 +6814 -2488 +9477 -2827 +959 -2119 +-850 -3329 +3085 -247 +1240 6896 +-5188 5269 +-9156 2640 +-2535 6256 +-6925 2455 +-11188 323 +-259 -2000 +-997 3846 +-4055 9466 +-786 8915 +223 6920 +169 -1941 +7233 2113 +9004 5660 +-642 5553 +4439 6753 +5719 5562 +-3566 6609 +-3692 360 +622 -3572 +1688 -2326 +-6026 -4446 +-6938 -6154 +-2382 2524 +-1802 4540 +-3169 -8483 +-6803 -10184 +1607 -3333 +11576 -2537 +1679 -36 +-7749 3828 +47 2390 +4628 2803 +6868 -4704 +6726 -7577 +-5411 -5690 +-8050 -13309 +-3482 -3512 +3986 4553 +6927 3413 +-1002 6469 +1971 5388 +3212 6434 +2787 13966 +-852 14644 +-319 8460 +5711 -3283 +4758 -5821 +-4251 4431 +-1693 2490 +7334 3873 +3107 1947 +-4672 -9335 +-4303 -3382 +-1190 4678 +-5300 5046 +-6393 1937 +3701 -2371 +8579 -600 +3898 2597 +1688 -2009 +-1307 -10470 +-1953 -1388 +-2349 9535 +-604 2420 +6645 -134 +-2906 -237 +-9215 -526 +2533 6039 +9630 9965 +7060 13226 +2250 7474 +-1629 2902 +249 -120 +6755 -10961 +2200 -557 +275 5676 +846 -2345 +-6114 101 +-7839 4234 +-3387 3482 +-2513 -8491 +-5639 -12553 +-170 -7703 +-2978 -7189 +-4571 -3841 +-87 -700 +-112 -2502 +7526 659 +2365 8669 +-3948 1626 +-822 -1873 +-2117 -2626 +-5862 -6457 +-8069 -1117 +-9665 -5771 +-10293 -6458 +1315 -1765 +6654 -5637 +2500 -6919 +-2076 -1182 +1558 2565 +3663 1465 +-10984 4603 +-5006 2408 +5129 -1397 +4720 2429 +11390 8451 +9261 11277 +5435 6354 +2234 -6238 +-209 -7464 +-339 5954 +322 2863 +8170 -2127 +3676 -700 +-9811 -3642 +-5565 441 +2290 4218 +-661 7151 +-3722 5617 +-2074 -3414 +-4406 -2706 +-5011 1319 +-3780 -3856 +2070 -6363 +5598 -10375 +8023 -15144 +3350 -5220 +2022 8096 +1053 5104 +-1782 -1363 +4378 -5695 +2650 -8367 +2882 -1280 +-309 -4261 +1432 -5701 +1226 4487 +-5700 4835 +1423 2360 +-2112 6417 +-2210 8637 +-440 1482 +898 -3160 +7982 -4159 +1231 2022 +-3491 15945 +-2989 14139 +-1970 6348 +-5019 2039 +-4294 -3297 +-6383 -4721 +-11473 -48 +-503 10896 +563 6949 +-3726 -6638 +5513 -71 +10755 7702 +8075 1492 +6627 -3388 +2312 -1441 +583 2669 +2608 -2096 +-2384 -3367 +-7120 -299 +-6417 -6100 +886 1242 +2586 8730 +-3464 986 +-4430 -469 +616 -6114 +2531 -5718 +-4753 6741 +-7625 3333 +-3688 1269 +2065 3729 +2145 -2142 +1025 -433 +6185 3134 +7991 -453 +9442 -7108 +7800 -4419 +-1835 6625 +-2948 -1534 +1336 -12579 +-9038 -2040 +-9970 5890 +-2851 4168 +-5388 -401 +-2757 -5970 +-2732 -11242 +-4011 -16276 +-939 -5942 +6956 4576 +4217 2976 +-2228 153 +-410 -6991 +-3563 -7756 +1273 -2813 +-3123 -2883 +-2639 -5188 +5220 -144 +-2798 -326 +-10661 -10499 +-5287 -18629 +140 -12243 +-775 -6351 +-1206 -6630 +-1046 4194 +-3852 12163 +2118 2790 +4425 -3109 +-10556 -5776 +-6921 -1057 +5402 3457 +7662 1059 +4431 5925 +-3828 -3396 +593 -8929 +1543 -5468 +-2300 1637 +543 13758 +3444 1763 +1650 -5854 +-10502 -921 +-8484 -1453 +4928 3054 +6748 4666 +8636 4249 +15303 9833 +8764 14320 +2297 6048 +6649 -4242 +2449 85 +-1638 3733 +-6892 1716 +-4623 2682 +3565 -2045 +-1031 -911 +-827 4971 +2523 -374 +-1063 -5434 +-4641 -4672 +171 -5018 +-145 -5810 +163 2018 +4111 5341 +-5409 596 +-4580 -1861 +2871 1057 +2097 6791 +-4145 -471 +-4803 -1877 +4987 687 +6029 -2639 +5050 2158 +5828 1548 +1662 -2583 +-4352 367 +-1232 2657 +2711 -3163 +-1383 1345 +-189 8714 +-1539 2989 +-2516 -795 +2012 -6826 +-3231 -3736 +-15012 -642 +-16507 -9891 +-8107 -9020 +-4893 -4104 +-5469 -4018 +2035 3748 +5367 11395 +3444 590 +1254 -5179 +-11924 2850 +-6030 3834 +6477 -1144 +6491 -4367 +7401 1427 +-3537 1662 +-691 -3241 +-645 -4173 +-6430 -3091 +5126 -6083 +1375 2377 +-5677 2657 +4746 -6446 +-1148 -3555 +-9308 3309 +-3947 5228 +2803 -1540 +9926 2390 +6724 8465 +4979 5135 +8300 -7409 +-3851 -11887 +-8628 1854 +-6180 8031 +-10765 3295 +-4418 -2607 +2477 3252 +6727 6356 +5682 -1174 +-3596 -7142 +2025 -746 +2556 5576 +-5344 -5860 +4273 -4354 +5596 -1809 +-3163 -3062 +-372 1976 +-340 98 +-4413 -3306 +1744 -6584 +13020 -1734 +14109 -97 +8354 5392 +3728 11004 +512 4401 +5199 5979 +8023 1693 +2721 -5236 +-979 -2835 +-2567 -271 +1605 -929 +7493 -6323 +4326 -6359 +-4446 -3246 +-9779 -2588 +-4350 -222 +2371 -904 +520 -2666 +-3647 -3062 +-6419 2290 +-3347 9530 +1270 4714 +1764 8534 +-2898 11646 +-11203 5586 +-13016 5961 +-6630 -2630 +1969 -6546 +2081 -1497 +-81 2020 +1981 -2175 +-3233 -2546 +-7214 1707 +194 -7783 +2534 1087 +-2797 5646 +-314 -7790 +-3158 -1960 +-5351 8317 +75 6404 +1154 -2911 +9348 -4370 +10745 2240 +7177 733 +2000 -10944 +-9356 -7928 +-3668 -896 +-322 -1827 +-1303 4246 +7341 4447 +13340 4237 +10786 1233 +-122 -1741 +-10107 -1191 +-125 3 +4381 -1565 +-5450 -6885 +-3274 -909 +-1217 4675 +-3533 8255 +-10950 -817 +-6334 -12390 +8613 -4922 +6013 -1047 +503 -2029 +-5673 -5652 +-4061 333 +754 3942 +-672 -8735 +-1102 -4426 +-586 9555 +3601 13536 +3658 9497 +4505 353 +13 -2305 +-3069 940 +-3832 1675 +-10401 9158 +-2395 9495 +2511 894 +-1975 6676 +-1760 9038 +-1468 1374 +-2290 -4437 +586 -1313 +5975 1138 +6197 -900 +5020 5728 +2515 -675 +2372 -6441 +-2059 3663 +-13022 1085 +-10059 -4212 +-6983 -3868 +-9462 -9103 +-39 -7200 +-309 4217 +-2622 4869 +7786 -344 +4834 3666 +2774 5243 +1814 1262 +-5015 676 +-1564 -1243 +5151 -5660 +6117 -7175 +1665 -729 +4774 -3032 +3209 -14409 +-2039 -7074 +-607 -1078 +-1753 -895 +1708 4024 +8547 -1531 +13024 -5490 +9155 -6053 +-1245 -1180 +-8716 5698 +-208 53 +2298 -4017 +-8820 -1576 +-3211 1455 +2150 4248 +2589 8376 +-9170 6561 +-12266 -2471 +2306 -1694 +1837 -5495 +7136 -4683 +-419 1350 +-6497 -267 +-45 1746 +4472 -83 +4266 -5335 +-5082 776 +-3486 7426 +-359 3173 +-152 2587 +976 -2000 +612 -2396 +10448 4387 +13128 -4726 +2089 -2098 +-182 4358 +585 -2728 +-1561 -2957 +-2406 -5607 +-6015 -2964 +1895 4699 +6256 1184 +311 -2785 +-4423 681 +-4316 320 +7186 547 +4423 6449 +4131 -1038 +10161 89 +1346 8355 +-2631 -640 +168 -7011 +2907 -9263 +-2748 -8856 +-6419 -6886 +1803 2056 +7890 9290 +4783 2633 +1426 1262 +3208 -1118 +-888 -783 +-10348 8801 +-14599 9772 +-9160 -1910 +-6212 -5067 +-2693 6421 +-1515 9674 +-13703 6370 +-16085 3516 +-3133 1304 +4385 -1028 +218 -3040 +191 -3813 +-912 -10555 +-1920 -6800 +1716 7716 +3426 9654 +8653 3886 +6460 3229 +735 3185 +-5196 -3811 +1788 -9454 +7846 -4163 +-2587 -4797 +2347 -8195 +579 1272 +-5246 3923 +1092 1243 +3449 -2975 +-115 -6711 +-3410 3860 +2178 7702 +1799 2570 +1571 2005 +-580 -2118 +-1174 -2221 +10920 -3896 +6733 -12420 +-5439 -8007 +-4046 -641 +-2891 1782 +-9804 1312 +-5689 2222 +2858 3893 +1481 4951 +-9629 -1256 +3094 1341 +14283 3192 +1821 -1731 +-2930 -5750 +-5977 -7400 +-4432 1345 +4243 267 +1205 -5519 +-2221 2441 +7714 4794 +8218 -362 +1568 -1171 +-6126 -8547 +-7309 -7125 +4929 7652 +-1473 3961 +-6916 -2380 +-681 3877 +2041 9541 +961 5569 +182 1408 +5073 2691 +-2167 -6536 +-7442 -6602 +-6857 -338 +-513 -4343 +4660 -2624 +-4907 -1053 +-6101 -2719 +-13421 -2529 +-19370 -5521 +-5320 -7322 +4947 -2749 +5043 -56 +1452 687 +191 110 +1504 -572 +1057 4155 +-592 6783 +-2340 5992 +-3290 4392 +-1614 -464 +-300 -1064 +-1196 437 +-3728 -1911 +-3608 -3917 +4821 -4867 +18256 -254 +17608 3836 +6149 191 +5443 375 +-763 -1916 +-536 -5453 +6967 1254 +7811 209 +8129 -5691 +-4200 -1215 +-4119 2011 +-1054 3279 +-6760 7253 +-3044 5254 +4661 1031 +4269 2730 +-8429 5346 +3216 -982 +13185 -6803 +281 -2428 +-6037 -2381 +-9569 -1637 +-2709 3826 +3585 -2655 +-149 -6105 +2218 -448 +-3260 4212 +-6514 3112 +-4062 -3859 +-5270 1836 +-5439 5483 +-7068 3099 +-3281 1023 +708 2501 +-3660 4605 +2471 146 +-1443 1479 +-10906 -1103 +2351 1638 +7885 9176 +513 10998 +-2477 7007 +5296 -6782 +6306 -3226 +-3351 3315 +3393 913 +3720 2489 +769 61 +7401 -2111 +7328 219 +6185 93 +1942 -5299 +4098 -2981 +7187 1581 +-3945 4090 +-8139 9256 +403 4612 +522 -3368 +-2121 -1227 +-3851 49 +-10882 -1836 +-9440 3108 +-9950 5158 +-4539 -3950 +6082 -11391 +3511 -2324 +3409 5566 +2639 7194 +4218 3772 +3823 -11074 +-5808 -7842 +-10086 -2819 +-3316 -9798 +-2090 -8641 +-12116 -989 +-2255 5777 +8190 4508 +4058 4757 +-370 3249 +-11010 2764 +-7330 -2070 +6310 -10060 +6769 -7890 +1105 -10629 +-140 -6400 +177 -872 +-2839 -1575 +1184 1679 +10281 -3243 +7258 -5686 +-25 5158 +-2757 6728 +-5694 -14 +-2781 4892 +-353 7626 +-3230 7075 +-1805 2996 +2208 1338 +244 5761 +1911 -1531 +-142 2087 +-9151 6494 +2897 -282 +12218 1545 +7515 7564 +2917 11950 +-200 7961 +5922 4251 +5085 6213 +-4781 2245 +-969 1301 +-4959 2757 +-4762 7397 +2756 2028 +720 -7720 +2264 -3839 +-5475 -3335 +-8108 3200 +-3681 5698 +-2038 -1741 +-2317 -423 +-727 -4151 +-307 -8522 +-7786 -2952 +-1976 3748 +-2955 5883 +-743 2484 +5023 -6644 +-2587 -7939 +282 -1319 +-1253 -3377 +4324 -3266 +10123 1164 +4677 -3622 +7940 -6950 +7181 1078 +7483 2770 +9096 100 +-2060 3463 +-10565 -1177 +-3232 -1824 +2368 21 +-531 -12771 +-2268 -15004 +2546 -1464 +1745 4228 +-11385 -467 +-12553 -3233 +-505 1402 +5159 4345 +1615 5913 +4321 5672 +6829 -3539 +1819 -7108 +3900 -3878 +2136 -2186 +-9750 2203 +-13948 1976 +-1325 -3030 +4852 -817 +-1913 11513 +-2466 16182 +1510 2986 +-612 -995 +-9416 2701 +-5595 -2980 +7751 -1464 +9691 -350 +6321 -2578 +8037 5156 +5124 6198 +7394 -681 +8046 1324 +-2197 4794 +127 1629 +-2039 5425 +1167 8694 +3537 -308 +-4566 -6085 +-790 -4301 +-6420 1208 +-4118 7761 +1052 6602 +-2681 -11 +-361 -1370 +4667 -5978 +10991 1 +14220 -817 +5024 -3863 +1962 -2748 +1606 -9419 +-3736 -5383 +-6253 1705 +-5408 554 +-2643 4085 +-6710 5375 +-6013 37 +-5284 1878 +-1927 -76 +4646 -4571 +6105 -2388 +1862 1029 +-5084 3809 +-3018 4723 +-6092 4600 +-6876 653 +-2246 3069 +-3850 5655 +83 4950 +8551 4900 +6087 -638 +3007 -2006 +8505 -9126 +5171 -12430 +9739 -1794 +5976 5330 +-3147 5449 +11552 4485 +8192 5243 +-5039 -2652 +-6646 -5775 +-2035 584 +3394 7695 +1035 10116 +2751 1000 +-2331 -459 +-3925 5275 +7819 5913 +2506 2198 +-10005 -1521 +-5162 -2439 +4603 -5586 +5827 -6396 +3265 1512 +3199 9425 +-627 2523 +-6961 -7591 +-5665 1109 +-439 5766 +275 -2741 +-8105 -28 +-10151 31 +-1597 93 +5680 5314 +6084 4199 +-4793 -2328 +-9126 -11824 +-4882 -159 +-5395 1349 +-2836 -11597 +8771 -7387 +10640 -9571 +1377 -6116 +-203 -3350 +-4762 -8133 +-6481 -1669 +-3828 5296 +-3903 3875 +554 4790 +-1715 8384 +-4630 3960 +-2893 4823 +-1574 1161 +525 -6272 +2371 -3357 +1655 2400 +4599 -1492 +3492 -3276 +-3769 -7905 +-5809 -9147 +2225 -6027 +11759 -1407 +3813 7376 +-1828 7766 +-661 1748 +-1081 -3898 +314 -5789 +3204 -75 +5825 1474 +2994 -10387 +1172 -13753 +-4518 -2265 +-5057 1028 +1185 -4776 +2564 -4692 +904 896 +-1367 6304 +-3764 7782 +-10281 -1825 +-4034 -7700 +7863 -6241 +7899 -7423 +-153 -4048 +-4904 -3142 +-2445 3752 +-2170 5175 +-794 -3717 +-5694 4700 +-8372 2365 +-2722 463 +1587 7775 +4234 -1780 +6598 -2556 +7169 -444 +-477 1004 +366 3263 +6002 -4591 +6533 -1821 +7800 11037 +10831 8328 +11481 -6542 +4901 -134 +-2255 6001 +-11734 -1967 +-10071 7534 +-2669 15401 +-5155 4469 +-7403 -3491 +-2017 2048 +2797 2512 +-5096 766 +-7778 2331 +-4910 -1271 +-51 5782 +3479 3926 +6077 -3885 +9353 2519 +716 -1894 +1599 -71 +4872 5233 +2594 -4086 +580 -4862 +-8039 -3449 +-10496 -3018 +-2227 -5859 +8257 -8482 +7757 3128 +3582 6898 +602 1712 +-3384 -2188 +-3530 -4511 +2438 -2122 +5511 -2706 +-4362 -9741 +-6999 -11605 +-1717 -2534 +-1413 1128 +-3032 -2506 +187 -4125 +6926 2140 +494 953 +1792 -4108 +7965 430 +7014 1397 +10922 534 +4316 -761 +-11059 680 +-10324 -4961 +-5099 -14059 +-4187 -4812 +-2217 -2253 +-11485 -6758 +-8952 -8502 +2555 -11845 +-220 -621 +-972 11885 +414 8243 +532 6622 +-3254 4461 +-1643 -1317 +2798 5649 +-2782 3110 +-3573 -4256 +652 -1877 +-236 -130 +-4913 -270 +356 -2250 +8327 1415 +-2216 -4953 +-12666 -3272 +-6188 7058 +6567 -296 +11732 -3443 +6112 -4887 +7054 -5383 +5566 -2353 +-3321 803 +-2660 1873 +-4175 -1074 +3209 -696 +12749 1901 +5798 4394 +4947 1752 +8785 6922 +4005 6674 +-3528 -3100 +-1040 -2997 +82 -3264 +-313 2938 +1151 9704 +-1656 7741 +2999 9489 +3544 8174 +2525 -5664 +-5260 -10634 +-10022 -752 +4691 5454 +2162 3652 +-4482 -3328 +-773 -1984 +-496 1739 +-3995 145 +-2554 -3153 +6808 -2833 +1079 415 +-1256 -4171 +6487 -4885 +6326 -3574 +8782 -6773 +2942 -3428 +-8101 7702 +-11320 3038 +-13195 -6923 +-6280 -721 +342 -489 +38 -941 +-19 59 +8 -7 +0 -1 +3 1 +6 3 +4 -1 +1 -1 +5 -1 +2 -6 +4 -5 +3 -3 +2 -5 +-4 8 +-12 7 +-2 -6 +3 -4 +1 2 +4 5 +2 10 +2 11 +0 2 +5 8 +-1 8 +-5 -2 +-5 1 +-4 1 +2 -1 +-1 -11 +-2 -1 +-3 4 +-3 2 +0 -1 +1 -4 +0 0 +4 1 +2 2 +-4 -1 +-6 -1 +-3 6 +-3 5 +-5 2 +-11 -1 +-1 -3 +-2 -1 +-2 0 +2 2 +1 4 +2 2 +0 5 +-4 5 +1 6 +-4 6 +-5 7 +-5 6 +-4 0 +1 -2 +-3 2 +-6 0 +-1 -2 +0 4 +1 7 +4 11 +3 1 +0 0 +-3 -1 +-5 -3 +1 -2 +2 -3 +0 -3 +0 9 +4 4 +-1 -2 +-1 -5 +6 -3 +1 1 +-4 2 +0 -2 +4 -1 +1 5 +-4 3 +1 1 +-2 -1 +10 7 +37 14 +53 13 +66 26 +77 29 +95 36 +1293 612 +6300 2820 +7961 1332 +-690 -4800 +-5642 -2159 +1367 -213 +1784 -6580 +-4514 30 +-4928 8649 +-3812 8665 +-5244 6258 +-2760 -4195 +3099 -5829 +-860 1096 +-5927 -3244 +3186 -3970 +9768 1492 +9039 2208 +7917 -491 +-1720 -4583 +-6158 -982 +1285 -574 +399 -6907 +-4515 950 +-3218 9614 +-2153 9384 +-4137 7211 +-3559 -3701 +2059 -6364 +-624 1245 +-6479 -2097 +2497 -4437 +10084 -231 +9492 569 +7892 -1938 +-2539 -4281 +-6402 136 +1228 -749 +-801 -6925 +-4355 1715 +-1427 10053 +-365 9638 +-2713 7876 +-4250 -2998 +858 -6718 +-350 1366 +-6934 -789 +1595 -4901 +9965 -2258 +9597 -1316 +7515 -3455 +-3432 -3661 +-6337 1449 +1043 -1008 +-2247 -6652 +-4046 2552 +566 10164 +1535 9539 +-1151 8278 +-4789 -2106 +-470 -6753 +-160 1396 +-7076 457 +691 -5140 +9559 -4069 +9312 -3067 +6824 -4784 +-4105 -3017 +-6047 2503 +908 -1194 +-3327 -6160 +-3550 3264 +2320 9993 +3137 9172 +219 8352 +-5121 -1246 +-1612 -6503 +120 1478 +-6939 1637 +-222 -5189 +8856 -5597 +8853 -4585 +6054 -5825 +-4550 -2244 +-5556 3531 +691 -1299 +-4420 -5417 +-2979 3872 +3993 9336 +4727 8424 +1778 8155 +-5286 -318 +-2777 -6118 +345 1380 +-6604 2855 +-1224 -4997 +7681 -7033 +7860 -5999 +4913 -6721 +-4889 -1429 +-4981 4389 +420 -1403 +-5243 -4641 +-2337 4169 +5469 8478 +6039 7511 +3000 7709 +-5342 446 +-3716 -5585 +572 1314 +-6086 3776 +-1902 -4780 +6631 -8079 +6870 -7088 +3856 -7418 +-5030 -711 +-4232 5055 +252 -1428 +-5928 -3668 +-1648 4497 +6867 7467 +7273 6429 +4320 7108 +-5147 1391 +-4636 -4858 +798 1150 +-5371 4733 +-2687 -4383 +5178 -9012 +5668 -8115 +2660 -7891 +-5129 232 +-3351 5727 +27 -1466 +-6529 -2678 +-981 4697 +7943 6245 +8173 5120 +5360 6282 +-4894 2162 +-5406 -4022 +936 1054 +-4583 5510 +-3396 -3875 +3616 -9671 +4265 -8845 +1259 -8143 +-5066 1086 +-2396 6120 +-255 -1439 +-6903 -1516 +-91 4751 +9028 4710 +8995 3586 +6393 5219 +-4449 2948 +-5988 -3049 +1159 882 +-3623 6141 +-3969 -3262 +1731 -9536 +3179 -5864 +4466 738 +-502 9758 +2092 9500 +7342 6074 +-1085 4515 +-5421 408 +-2421 -6447 +-5946 -3330 +-6967 6578 +-5713 2367 +-3297 -4048 +3999 -6253 +-1707 -6588 +-8054 -2428 +1488 -3233 +4668 2196 +4840 7766 +6413 504 +1886 -5473 +4294 -4556 +7130 4530 +5388 5290 +4326 -2650 +-2847 -4371 +-1931 -6599 +8590 -2982 +7508 -3784 +-2167 -7857 +-9625 -1651 +-5322 -4146 +4251 -6335 +67 -3109 +-5881 348 +-6094 7261 +-7074 1406 +-2743 -6268 +-2900 -3405 +-6793 3996 +-4201 7411 +-4011 -973 +2490 -3609 +8339 -3764 +-1455 -7498 +-2104 -5158 +5639 -1993 +5714 5029 +6747 4145 +4630 -6254 +2667 -4093 +4621 3048 +-3251 5602 +-7580 7566 +-54 10277 +-1269 6478 +-3592 -2341 +5776 -599 +9944 -779 +7185 -7508 +6617 -87 +859 8414 +-8787 1639 +-10160 958 +-6290 7220 +-2190 -199 +3879 -2837 +6174 7420 +7958 5493 +9723 -481 +2236 4028 +-3866 3932 +-6222 -3051 +-6857 1598 +-929 9431 +-2736 5515 +-5230 -784 +-1017 -7309 +-5666 -3752 +-7830 3531 +-1039 -3329 +5070 -1453 +8981 2459 +5293 -3927 +-2266 -5230 +143 -6197 +8435 -1466 +7680 264 +1577 -4765 +-5112 -1234 +-6027 -3467 +4391 -7915 +3191 -7824 +-6964 -4218 +-8450 5275 +-6921 644 +-1156 -7420 +-2088 -2293 +-4285 4259 +397 9326 +-4285 5850 +-6346 -2558 +-4466 -413 +-2405 7493 +1850 8127 +-3712 2019 +-664 -4282 +3723 -8344 +-6196 -4330 +-5128 -2264 +2852 -5320 +7804 -385 +7980 -1716 +-841 -7594 +-807 -4700 +5563 -1001 +1440 6242 +-439 10604 +7093 7318 +3535 5474 +-4362 875 +3926 -4378 +7023 -7468 +249 -10265 +4917 -4475 +6494 5462 +-5442 7164 +-7082 7624 +204 9414 +-1751 1259 +908 -4725 +9735 1095 +9842 -1469 +7059 -6936 +4424 1371 +-231 5418 +-6826 1983 +-4272 5777 +5572 7479 +1573 5868 +-4527 2979 +-5700 -4516 +-6878 1194 +-3638 7789 +-3120 -1781 +2851 -4491 +8572 -4274 +1384 -6425 +-5262 -2294 +-4067 -4622 +5434 -6697 +6131 -4965 +-1990 -4573 +-4719 2470 +-6911 1386 +-1886 -8724 +-2752 -7779 +-8254 1609 +-3086 9459 +-4955 4999 +-5832 -4401 +-1264 -5352 +3261 -11271 +4914 -9553 +212 -6710 +-1985 -2732 +2466 3505 +485 1963 +-1742 2614 +3723 7287 +1380 11055 +-3986 1352 +-10832 -9745 +-13974 4706 +-6131 9797 +-1971 -7138 +2046 -11074 +2137 -5031 +-2028 -1110 +1924 -2375 +988 -1551 +-1635 5934 +3371 7348 +6399 9863 +2054 7686 +-23 -5139 +5672 -4934 +2974 481 +-2077 4039 +-709 9945 +686 1903 +4570 -547 +3132 11043 +1031 7525 +1781 2457 +2335 4588 +7540 -4115 +4411 -10065 +868 -3395 +2477 3287 +-5051 5280 +-9112 3105 +-5649 -3569 +-2698 -6544 +-3391 -771 +-4599 3070 +768 4496 +2499 7206 +-4032 5984 +-8678 3270 +-4442 -2119 +1600 -3218 +5852 3389 +11170 1008 +3884 -4993 +-3325 -4279 +-1353 -3499 +-6960 -7597 +-3136 -8076 +4244 -970 +-4251 -3217 +-7497 -4809 +-1664 -25 +4203 -3090 +7281 1044 +385 1181 +-5232 -10317 +-2396 -10279 +-4132 -5051 +-3290 -699 +4198 973 +1650 1120 +332 3093 +7678 2955 +8412 7255 +-2048 3677 +-14716 147 +-7596 12722 +1847 11157 +-6226 -3806 +-6603 -8408 +-2087 -1059 +1254 1984 +1040 -2203 +-707 4381 +-7608 9357 +-6711 4528 +922 -1588 +-566 -2813 +4832 -1279 +10555 482 +2153 3669 +-7269 7076 +-8278 7460 +-3333 -144 +492 -5747 +1042 -6434 +-2361 -8689 +-5611 -4525 +-3883 -141 +-3384 -328 +-3789 5689 +-2161 13797 +-5378 10244 +-11976 -201 +-7023 -153 +817 2264 +-4090 -922 +-3413 -4413 +2528 -8525 +2122 -3485 +4702 5571 +3431 2474 +408 -8565 +9703 -8465 +20217 3294 +17242 78 +4283 -9834 +-6820 -6470 +-10813 -672 +-5857 5432 +1361 6084 +327 2156 +-3050 -137 +-4844 -2670 +-5266 627 +3081 3947 +8061 3204 +-4527 -2906 +-8757 -8753 +1717 -3624 +94 -2639 +-2197 -4054 +1292 585 +843 2118 +659 2082 +-1567 892 +5417 2943 +10149 2525 +4797 -5713 +8966 -5781 +2325 -1851 +-3143 -3811 +4701 -4464 +-3377 1139 +-4337 5266 +2111 765 +-594 -2409 +2300 3784 +255 11902 +-2281 7772 +-385 -1736 +-2334 -1723 +2952 -4062 +8617 -6345 +4068 1393 +-1131 9971 +-1699 10915 +-2710 2050 +-3281 -4542 +-1096 -4847 +5978 -748 +5687 6908 +-2924 5009 +-507 2218 +-7663 681 +-11242 -4173 +-911 -1215 +-2122 -6023 +-6722 -12028 +-5171 -1367 +-1325 7311 +4269 12832 +6046 8880 +3644 1677 +-1105 3468 +-843 -3854 +4283 -3668 +1000 4237 +-5624 1386 +-3079 -3459 +1070 -6559 +-286 3300 +-3058 9340 +-7825 2338 +-9755 508 +-2874 -7398 +2303 -8466 +-1775 -1755 +-5257 -6317 +-1041 -2414 +4915 3538 +9856 -4007 +12506 -9056 +9597 -6720 +2223 -4958 +-5930 -4732 +-1231 -5388 +7635 -6425 +-353 1322 +-2295 7223 +3530 2223 +-4683 3445 +-4869 4308 +4850 -3130 +3416 -6311 +-1741 -4274 +-3603 5 +-1584 6166 +2049 5786 +-1861 -921 +-6974 645 +-851 2986 +6897 5254 +3695 14106 +-4452 7141 +-3758 -1237 +5715 2433 +5558 -3422 +-1924 -5920 +1044 -3561 +4159 -6023 +2555 -2536 +1719 3623 +250 857 +7148 -5517 +9308 1037 +1032 5753 +1142 1958 +-5490 5566 +-11542 4378 +-1576 -267 +-5588 -2991 +-13151 -4341 +-4954 2460 +3754 6290 +11857 6647 +10628 2551 +3888 -1128 +1382 3252 +-3296 -1638 +-1435 -6438 +-857 -4350 +1488 39 +8663 2805 +3734 7532 +-1584 2495 +2433 -5312 +7304 -1983 +7472 8013 +2469 8736 +1513 1141 +4348 5533 +2017 2599 +-772 -4131 +-1168 990 +-5866 -3258 +-11126 -1741 +-9790 3321 +-7813 -5535 +-5611 -6356 +-608 1909 +-196 2863 +1786 -4433 +3508 -3848 +-5639 542 +-6212 -1817 +1261 4605 +3781 7289 +7519 -1744 +-1571 -6358 +-4527 -5094 +13514 -1710 +8811 -3240 +-6451 -2111 +-4743 -968 +-3550 -10800 +-4391 -11373 +-8414 -2669 +-3496 2152 +14310 3667 +16107 3933 +7615 5885 +3781 1453 +2122 -4913 +2125 -4047 +-464 -7354 +2850 -10816 +8301 -2048 +76 6214 +-5387 6879 +130 5199 +-1640 -2843 +-8050 -3828 +-6365 7430 +2283 10476 +8127 6056 +2360 -2363 +-3094 -7869 +-5276 22 +-11669 6691 +-4593 1515 +5181 -5768 +-1518 4464 +-3733 14821 +-1844 2236 +-3475 -2885 +1104 -964 +8500 -3684 +7786 3069 +425 2912 +-1626 -5475 +4350 -6281 +11075 935 +7658 4741 +1852 -184 +6966 1216 +3320 552 +-3293 -2551 +-205 1474 +-4491 408 +-2940 -658 +334 -33 +59 -25 +-3 3 +1 13 +-3 10 +0 11 +2 10 +1 10 +3 9 +-1 5 +0 1 +4 4 +-1 3 +3 4 +12 1 +6 0 +4 0 +5 3 +3 2 +-2 -4 +4 -4 +2 -4 +5 0 +0 -2 +3 -2 +3 4 +0 2 +1 3 +4 9 +-1 1 +-2 3 +2 5 +0 -2 +1 -3 +3 4 +0 -3 +1 4 +-4 7 +-1 9 +1 0 +7 -3 +6 5 +-5 4 +0 0 +9 -8 +5 -2 +0 2 +-2 3 +-7 2 +-2 2 +3 -4 +-1 -10 +-3 -9 +-5 -7 +-1 -5 +4 -2 +5 0 +-1 -1 +-3 -9 +4 -1 +7 -2 +3 -6 +-2 -5 +2 -5 +1 4 +3 2 +5 -3 +2 -11 +6 -6 +1 -1 +-4 0 +-3 4 +2 8 +2 8 +1 1 +-1 -2 +-3 -5 +6 -11 +10 2 +9 5 +7 0 +4 -1 +3 4 +5 -1 +-1 1 +-4 1 +4 4 +3 6 +-1 0 +6 2 +-2 6 +-5 6 +-7 0 +-5 9 +-5 11 +-6 -1 +0 2 +1 5 +1 2 +1 4 +-4 10 +-3 8 +3 1 +4 -4 +-3 4 +-1 3 +-1 -2 +-5 2 +2 3 +5 3 +8 1 +9 3 +6 3 +4 -2 +-2 4 +-4 7 +-3 2 +-5 -5 +-1 -2 +2 1 +3 3 +-3 4 +-5 3 +-4 1 +-2 0 +-1 -1 +7 -3 +6 2 diff --git a/testing_inputs/radiated/ack-ok-openwifi.txt b/testing_inputs/radiated/ack-ok-openwifi.txt new file mode 100644 index 0000000..837ac22 --- /dev/null +++ b/testing_inputs/radiated/ack-ok-openwifi.txt @@ -0,0 +1,1638 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +39 -3 +-68 5 +14 -16 +52 27 +-72 -66 +115 74 +-133 -39 +173 78 +-217 -68 +219 34 +-209 8 +138 -91 +-7 194 +-291 -368 +3995 556 +-3905 -367 +-5948 -532 +5709 -118 +-401 -4055 +-1310 -2187 +5146 4080 +-431 2796 +-153 -682 +1012 243 +-489 348 +602 -298 +116 -66 +241 157 +215 -82 +199 -115 +125 -116 +371 -316 +588 -625 +-4 -460 +-108 666 +401 283 +443 25 +205 328 +150 265 +-111 722 +-106 335 +190 -198 +76 -20 +104 51 +307 -6 +142 122 +471 213 +160 346 +300 -44 +300 -44 +782 -76 +373 474 +-50 217 +175 -230 +4 -56 +-5 -109 +-78 101 +305 80 +661 -210 +63 229 +145 337 +415 277 +-93 323 +-64 345 +317 529 +358 474 +327 17 +12 8 +37 230 +-37 194 +-278 444 +-6 -55 +-380 67 +-302 764 +153 189 +19 -272 +88 -457 +117 -374 +181 41 +155 345 +-74 411 +-58 -321 +273 22 +216 680 +27 202 +-128 666 +-308 297 +145 -300 +61 -185 +-135 82 +69 706 +-228 1 +103 10 +255 191 +275 -180 +562 18 +288 -315 +223 -324 +-207 132 +-31 -147 +589 88 +99 703 +-134 549 +-123 456 +54 81 +368 543 +-70 420 +-41 -163 +470 -8 +445 -279 +380 46 +317 33 +342 60 +436 103 +257 -255 +291 -189 +104 -267 +63 -137 +460 118 +290 -151 +713 218 +773 41 +190 -235 +330 630 +229 -73 +411 372 +100 79 +133 148 +91 125 +91 125 +107 22 +203 -29 +-32 310 +496 46 +561 -306 +366 144 +313 188 +326 152 +732 -229 +333 -261 +324 119 +332 780 +-80 610 +-162 -423 +-125 264 +253 509 +449 70 +149 222 +-302 240 +-168 191 +126 -31 +226 -42 +-114 20 +-575 268 +-10 714 +278 565 +-235 452 +-542 472 +-214 216 +174 188 +-41 166 +172 85 +198 -36 +-128 46 +20 281 +-330 464 +-273 84 +-58 -184 +-255 513 +31 383 +266 111 +374 263 +168 -159 +3 -2 +151 311 +116 197 +-154 216 +-70 217 +271 36 +-219 76 +-106 383 +185 -89 +-100 -266 +434 -38 +5 -296 +-113 102 +457 131 +-19 -39 +-141 180 +-127 236 +-106 178 +-40 -38 +10 -196 +-163 -420 +-217 163 +163 377 +391 12 +310 85 +215 -32 +231 207 +-15 233 +46 481 +-239 348 +103 47 +207 202 +208 366 +351 33 +43 41 +-174 206 +-174 206 +-188 250 +17 -163 +-82 126 +59 373 +82 -258 +72 198 +50 -148 +-93 -318 +-77 -171 +311 -114 +520 201 +331 22 +209 588 +182 163 +33 139 +204 726 +382 -276 +318 -227 +541 199 +447 38 +214 300 +139 42 +58 -150 +-195 3 +164 -230 +750 -411 +520 -239 +289 379 +-71 399 +-142 379 +209 692 +256 57 +42 -116 +76 237 +231 221 +207 258 +366 352 +-11 273 +185 233 +464 101 +287 -46 +70 -302 +-365 -292 +252 196 +241 267 +122 390 +106 -85 +-247 -117 +282 195 +542 -201 +334 -166 +195 152 +-185 468 +3 23 +503 60 +-87 501 +142 79 +145 -163 +-351 -349 +682 -293 +527 195 +90 154 +471 122 +-149 263 +-76 220 +346 333 +112 -26 +30 216 +123 438 +168 113 +-145 -25 +-74 -218 +133 247 +242 -322 +101 -399 +453 -605 +311 -218 +367 -123 +415 -289 +415 -289 +4 -327 +-91 242 +383 -30 +263 -308 +316 -19 +194 -97 +-58 67 +294 -57 +124 262 +103 78 +-524 -286 +-112 165 +601 232 +-89 456 +-56 136 +-310 -186 +-201 -58 +513 -329 +322 91 +-335 263 +-31 258 +473 304 +-81 -310 +-40 -127 +261 2 +218 -544 +480 -59 +203 437 +-25 16 +-52 186 +-409 230 +-91 -382 +255 204 +413 376 +334 -138 +-99 117 +-261 -133 +-119 93 +28 -32 +-282 -133 +57 392 +-90 374 +-440 7 +220 -438 +217 41 +74 -72 +111 -115 +439 -292 +169 -422 +131 400 +303 213 +-183 80 +472 75 +529 232 +-104 247 +-323 -215 +-276 196 +174 368 +-17 -166 +-98 36 +-72 42 +-345 -53 +-153 390 +-16 -146 +205 -106 +171 569 +139 308 +369 254 +209 417 +-106 649 +189 536 +-30 289 +-597 19 +450 -174 +398 412 +309 309 +17 496 +240 -115 +151 208 +151 208 +-329 103 +420 -296 +686 172 +190 585 +170 191 +135 179 +151 510 +83 524 +-193 76 +451 57 +114 -130 +-190 490 +125 291 +-123 -155 +-215 224 +-6 237 +-128 282 +83 158 +465 -161 +50 327 +527 59 +-25 511 +83 -235 +-80 495 +1253 -831 +4283 4136 +-7263 11740 +-6361 -9784 +5148 -18354 +2138 -11468 +-2135 -13504 +-10112 8169 +853 11102 +925 -9043 +-16313 -184 +6267 11332 +18200 5550 +11504 4750 +10037 9075 +-12658 1821 +-7912 -7976 +6921 4616 +-10851 10746 +-3338 -11644 +9391 -16074 +5251 -10580 +1392 -12884 +-12181 5120 +-1472 11293 +2312 -7408 +-16133 -3857 +3333 12136 +16159 9424 +9715 7012 +7873 11170 +-12721 -270 +-6184 -8736 +5548 7105 +-13209 8305 +-870 -12062 +12727 -13210 +6852 -9421 +4081 -12218 +-12498 2715 +-3995 10801 +4730 -6936 +-14559 -7127 +401 13009 +14113 13200 +7487 9419 +4601 12420 +-11987 -3996 +-3291 -10531 +3732 7797 +-15163 4699 +2836 -11866 +16156 -9969 +8942 -7051 +7261 -10934 +-13132 -1350 +-6978 8925 +6009 -5573 +-12564 -10510 +-2791 12212 +10013 16403 +4837 10750 +1743 12807 +-10863 -6491 +-952 -10959 +1786 8121 +-15874 676 +4980 -10352 +17685 -5723 +10518 -4985 +9224 -8442 +-12574 -4439 +-8463 6704 +7448 -3857 +-9837 -12966 +-5912 11203 +6267 17885 +2300 11761 +-1601 13250 +-9061 -9040 +1146 -11025 +-451 8889 +-15438 -2379 +7164 -9366 +18089 -1621 +11390 -2019 +11387 -6208 +-11450 -6897 +-10247 4892 +8366 -2309 +-5934 -15267 +-8396 9117 +1585 19015 +-326 12244 +-4584 11956 +-6773 -10935 +4573 -9770 +-1904 8162 +-14668 -6567 +9879 -6908 +18412 3437 +11848 977 +13478 -3028 +-9389 -9452 +-10747 2030 +8609 -483 +-2934 -16320 +-10092 7093 +-2647 18583 +-2546 11192 +-7177 10374 +-4590 -11809 +6442 -8462 +-3745 7255 +-12610 -9896 +10733 -4821 +17649 7545 +11689 3527 +13173 -234 +-7301 -11447 +-11594 -301 +8256 1756 +921 -16421 +-12315 4517 +-7078 16795 +-5434 9669 +-10050 8106 +-1100 -12511 +8683 -6140 +-6011 6091 +-10244 -12589 +11788 -1675 +15146 11632 +10091 6524 +12499 3381 +-3701 -12645 +-11119 -3069 +7261 4190 +5130 -15563 +-12953 953 +-11193 14566 +-8022 7951 +-11078 5538 +2104 -12816 +9818 -4885 +-6924 4610 +-7026 -14583 +11810 514 +12428 14208 +8874 7617 +11524 5944 +-1557 -13063 +-10339 -5955 +6609 6084 +8581 -14155 +-12337 -1596 +-14190 10833 +-9256 6456 +-12898 2386 +4579 -11625 +8886 -6619 +-2104 -9185 +15358 -4756 +16466 -3448 +4904 -16849 +2559 3958 +-9378 3567 +-12221 -16741 +-14971 -5483 +-17242 1714 +158 -9622 +7637 -6232 +9236 7443 +12156 -5489 +763 -17929 +7510 -2773 +9325 5575 +-2948 12506 +12602 6735 +13867 -5653 +-4899 9124 +-8747 -2663 +-13376 -10583 +-10238 7994 +1235 8175 +-7470 7407 +-14661 -8005 +-8825 -5679 +-4942 10893 +7770 4942 +2494 11537 +-17679 4969 +-7549 8385 +10519 16428 +17087 -1187 +5349 9702 +-9683 10549 +4999 3417 +12346 771 +9012 -13472 +10988 2642 +1765 8575 +5352 642 +11763 -4799 +4357 -9315 +3221 6814 +-6377 2276 +-12712 244 +2742 12509 +-549 3913 +-10995 -2022 +-3677 -9999 +-8588 -7435 +-10075 7824 +-3476 -706 +-3697 -9356 +9683 -3004 +13627 -3942 +4066 -12941 +6167 -4557 +612 5780 +-9096 -8782 +-12392 -8369 +-13645 2592 +-3425 -8215 +8682 -7292 +8779 10257 +10151 6732 +12200 -3809 +-2136 1903 +-6602 -4656 +4774 -15284 +-3755 -11856 +-9304 -10483 +6195 -4357 +6595 2122 +-1033 8043 +8467 4797 +11191 -7576 +4803 3041 +617 7817 +-9637 3271 +1577 10224 +9922 5615 +-9144 148 +-2969 -7035 +-268 -13100 +-10651 -2905 +-5006 3916 +-8442 -2211 +-1847 -12909 +-1320 -8570 +-9741 1472 +540 6862 +-6250 5421 +-12046 -9667 +-9509 -1918 +-5364 12813 +8432 9595 +-3773 6829 +-11175 -1933 +96 3623 +5448 7433 +12487 -709 +2384 8411 +-6999 5863 +1128 4352 +10445 6776 +9619 -1111 +-3911 6155 +-6217 -4338 +-8279 -10672 +-9014 9387 +-3651 1285 +-5607 -10318 +4939 -8447 +897 -11213 +-12448 -3776 +-2222 -3417 +4398 -8335 +8374 6194 +11956 8531 +12690 -4202 +6618 2152 +-5229 3565 +832 -12294 +-1369 -14591 +-11086 -9253 +3575 -7680 +10367 2565 +-3760 12576 +85 12182 +9923 8075 +-3497 -771 +-716 -7642 +14537 -4684 +6920 -9991 +1865 -13434 +6261 2957 +2536 6983 +-7445 3908 +590 9699 +12438 5143 +-192 5790 +-5986 4534 +-8489 -5916 +-7903 6902 +1461 11564 +-5795 -6365 +3423 -6466 +10165 -7799 +-4757 -10436 +-6932 -1865 +-4434 -8174 +9117 -9768 +5334 -5983 +-7218 -6817 +-6139 3329 +-8483 -953 +-457 -15795 +-254 -6483 +5445 2282 +7000 4246 +2615 11183 +9093 5480 +-5420 -2789 +-10971 -13240 +-2557 -7668 +-1207 5541 +-17 -3842 +2030 -6389 +2397 226 +-202 -1390 +2123 -14489 +-4809 -6119 +-4968 7752 +12815 -510 +9664 7413 +1037 -856 +-3093 -9426 +-11522 10237 +-2474 3577 +3522 -2189 +-2319 5779 +-1774 770 +2316 -3473 +-3747 -1171 +-16869 1221 +-7361 -4049 +9349 757 +11387 1376 +6983 -3454 +3556 7629 +10876 -3415 +4722 -15187 +1835 -9495 +13352 -10389 +-5032 -1425 +-9872 -624 +9628 -4073 +5997 1780 +-4589 -1287 +-10347 36 +-993 -4387 +7835 -11092 +-878 -3968 +2640 -7132 +6949 -4121 +5310 12113 +9191 10762 +-2113 3355 +-11611 1686 +-3719 -1701 +-8674 780 +-8793 -404 +5318 -9701 +902 -5007 +-2041 5243 +-1411 5715 +-1062 -5823 +5654 -10218 +-9313 7136 +-14024 4969 +-10172 -4074 +-14270 9402 +3375 10046 +-33 4814 +-7986 10929 +1919 9483 +-1919 -5622 +4578 -16377 +2781 -6470 +-5247 2033 +2282 -2081 +6143 -1725 +1221 1930 +14 -980 +12645 -6144 +1073 -7618 +-8806 -149 +8181 7745 +10538 2439 +1196 4051 +3027 4501 +13631 -1591 +-52 -2512 +-5738 -3520 +520 2947 +-12810 994 +-6164 1901 +4275 10065 +1744 7321 +5920 10100 +3013 11557 +-4427 4972 +-12141 -2817 +-11866 -6216 +8975 -3275 +14801 -400 +1279 4877 +-4793 10010 +-7715 9748 +-4265 6798 +-2898 6821 +651 4763 +12129 1770 +2644 3260 +-1380 -2109 +4887 -3536 +-11912 -2262 +-10202 -771 +362 6340 +-11034 -380 +-3918 2505 +10077 -530 +5497 -17133 +1860 -59 +771 3072 +2801 -11124 +15099 -8219 +6587 -4051 +-13542 5070 +-169 -1152 +7266 -5245 +-5428 -2151 +-7066 -7937 +-9368 147 +-9155 -2943 +-7019 -2233 +945 8862 +9559 4546 +2257 7139 +6094 3790 +6164 109 +-2285 -1861 +1847 -3991 +-18 13138 +-546 1766 +203 -19169 +3044 -5619 +1228 771 +-5590 -5033 +9703 -12100 +3974 -11871 +-8279 3104 +6777 9993 +-860 2564 +-2246 3184 +9129 11364 +138 -1258 +-346 -5897 +-1925 1633 +-8675 -10454 +-5752 -4052 +-6600 9266 +-6282 6316 +-5438 10753 +-7515 7873 +-6286 -991 +-6182 -11833 +-3424 -11231 +-3904 -521 +401 -8548 +4204 -2694 +-1370 8942 +2035 -3966 +-8112 -10184 +-9255 -532 +-1739 -2482 +-8027 -6627 +4901 2109 +-1367 3980 +-16951 -142 +-5938 -11646 +-1710 -11531 +2015 2036 +8011 -1666 +8171 -5861 +6081 -4930 +3523 4823 +823 14336 +-13355 5861 +-11536 -422 +4249 -2049 +-4486 7387 +-6491 9717 +-2696 -617 +-5865 8669 +4699 4343 +-1439 -8617 +-3606 -1713 +8553 5720 +-1953 3421 +4944 -7062 +12014 -5594 +-3286 -8706 +2002 -15518 +-2600 -5686 +-13389 -1717 +-1265 5558 +3361 3899 +1993 -6950 +3013 3743 +7103 8276 +11437 -3341 +1944 -10401 +-5517 1097 +1985 5917 +9725 -4758 +6950 4817 +2925 1380 +-1365 -8515 +-3903 8118 +7700 9942 +12128 -3293 +3994 -5006 +-217 4582 +1119 1058 +2010 -9361 +-10280 -3812 +-9451 2031 +364 12569 +-5953 11321 +3557 4011 +7774 9377 +-3373 -3190 +7013 -5555 +3120 3185 +-9223 2473 +4193 962 +3021 -12318 +-5923 -6966 +300 -3142 +-176 -10710 +750 5241 +-4662 1621 +-11669 -14051 +5155 -11473 +7929 -7777 +-1312 2323 +4485 3050 +3638 -5521 +-9687 -2001 +-7489 -1105 +10592 -348 +4877 -6370 +-1936 -8989 +3116 1908 +2523 -6589 +7329 -4368 +-4817 6360 +-14934 4613 +-5212 10572 +-2728 4655 +885 2704 +-2596 8218 +-11612 -4743 +-3379 -13337 +8461 -3112 +5095 4117 +2169 -2338 +11719 -3648 +10531 -8259 +4726 -7900 +-2418 7700 +-10659 2491 +-828 -1340 +-3027 1039 +-10682 -1158 +-2048 9838 +-128 -2131 +715 -14288 +-3629 1351 +-2658 5856 +11870 3608 +12933 2238 +7405 -2238 +1137 -8723 +6978 -8955 +7071 -1164 +-9899 603 +5892 -4499 +10492 -10376 +-5331 1666 +11368 9733 +13303 2852 +1206 6815 +532 -2583 +-4451 -15521 +-1901 -14626 +-984 -7265 +-1634 7920 +-5594 9326 +-12187 10708 +1402 7536 +-5328 -7476 +-15804 -3486 +6718 -191 +-1740 -2463 +-16671 -4735 +-3394 -8948 +-6927 -307 +-13296 6299 +-9187 6567 +793 4405 +5869 -735 +-4818 -9319 +-2445 -7345 +5810 9727 +6798 1241 +5264 -6049 +-20 2539 +7482 -2036 +7280 3830 +-8582 -871 +-13507 -9602 +-12246 1430 +-6202 -324 +-1881 1954 +-8995 2395 +-3398 -10407 +7230 -7088 +1701 447 +1964 -379 +-2194 -5428 +-6419 -6943 +7107 -7224 +5163 -8589 +-9398 -93 +-11087 -1115 +-1669 -1405 +-14 17745 +4492 4334 +13132 -13650 +-706 7130 +2649 7531 +12674 -2062 +-4436 -6702 +-8755 -6636 +-5816 5359 +1563 -12690 +1452 -13534 +-15407 8444 +-2196 -598 +9324 2396 +-411 10082 +1946 -5070 +3905 -11712 +6222 -1041 +6899 1936 +-2496 -5713 +-3817 -2034 +2109 -5643 +4155 -5777 +8395 3516 +12118 -7746 +10323 -5073 +5593 7210 +-7239 5627 +-11555 4259 +-4612 -364 +-5823 2021 +-7117 1948 +-11333 -849 +-9138 -2224 +808 -10673 +-53 -932 +-1627 6049 +-11414 2206 +-12601 8444 +-2292 303 +-2432 -3659 +6884 6048 +1685 7066 +-10030 8480 +-3065 5457 +-5179 2084 +-4476 5041 +-7454 5645 +-11689 2921 +-1047 -8168 +7182 -11333 +12612 -5421 +3142 -9347 +776 -4133 +9627 3588 +-20 274 +3 1970 +3098 -4657 +454 -8958 +9560 1879 +9088 -436 +-5521 -7917 +-5593 -9829 +-573 -2816 +-15519 9191 +-2109 6065 +18485 4046 +-6628 3286 +-5204 6890 +5402 9320 +-11370 -4887 +-6469 -11441 +-832 -11465 +2866 -4228 +10932 511 +6472 2840 +7316 10100 +13080 -1855 +-3245 -6036 +-18298 3381 +6144 6669 +3789 8831 +-16546 -896 +2377 -2770 +2488 7134 +923 4745 +8457 -3381 +363 -8004 +1985 -392 +-9417 -1833 +-3147 -11033 +13297 -4142 +-11112 -7299 +-10558 -3390 +13226 8195 +10937 -6341 +4972 -7222 +7926 6510 +4781 4960 +-5882 7651 +-9374 7950 +-12919 -2711 +-9429 -5278 +-3775 471 +-10398 7747 +-3148 12970 +2285 14394 +-665 7907 +-710 -4602 +-4014 -2181 +5153 4355 +2283 5101 +-6169 12923 +3354 3550 +6668 -7504 +5885 1427 +-6166 -2359 +-14397 -7285 +-6264 -9719 +-4324 -9472 +894 6528 +-1797 7874 +-43 315 +14553 -5654 +7356 -3379 +1534 7115 +583 -2578 +-4955 -4079 +5903 915 +15321 -3773 +11484 -4071 +4487 -12069 +-1560 -8281 +-6130 -4555 +-5580 -14436 +4157 -11216 +8596 -6281 +5013 1926 +6226 8150 +415 6839 +-5331 11383 +8289 10500 +2366 -4488 +-14090 -13133 +-2709 8450 +-6561 6836 +-9843 -13712 +2495 105 +-4883 6203 +-6092 1987 +-1886 7780 +627 7265 +3219 -11579 +4402 -12687 +2761 5488 +-7789 1423 +3689 3377 +12164 5116 +2562 2159 +-903 2911 +-12911 -2628 +-13281 2331 +-988 74 +-6556 -2267 +-8109 11061 +2147 13904 +5193 11831 +10162 11069 +8874 400 +734 -2468 +10453 639 +14065 -6678 +566 -1839 +-3349 6605 +-5951 5988 +-4363 7873 +7626 13 +1274 536 +-11402 -3377 +-6990 -15069 +-275 5325 +4957 9585 +-2968 -4452 +-14421 -6583 +464 -12074 +4719 -8583 +-7644 -13084 +4439 -4625 +12635 9301 +103 -8860 +-48 -5632 +5577 4346 +554 2542 +-10 6029 +-2011 -1506 +-10404 -2600 +-2098 -4901 +5946 -6889 +-907 -5280 +2000 -6545 +8450 4645 +3148 3419 +-4790 1271 +-3758 4355 +-3654 -3462 +-2439 -4119 +4111 -6842 +-11324 -2890 +-11579 -1745 +12767 -9065 +3900 -6977 +-78 -10719 +14634 -51 +5043 5193 +-9915 -1689 +-6250 6496 +11305 -3769 +12932 -3041 +-2478 5760 +-6261 -5675 +-1462 5465 +2391 12138 +-1188 3740 +-3588 323 +-5731 -12005 +-10191 -10029 +-1153 -977 +-2378 -6185 +-16522 869 +-10297 8614 +1092 -301 +-925 -1182 +-1598 956 +-5 -930 +305 -427 +337 -877 +-795 -512 +-508 -477 +-592 -528 +-265 -348 +-249 -527 +-503 -653 +-467 -838 +-782 -1239 +-299 -918 +-141 -349 +-543 -425 +-594 -372 +-160 -792 +-456 -594 +-418 -349 +-621 -584 +-852 -822 +-521 -494 +-464 -166 +50 -602 +-164 -320 +-580 -504 +-287 -679 +-254 -429 +-110 -421 +-175 -398 +-264 -923 +-12 -604 +-590 -597 +-827 -1027 +-526 -789 +-470 -305 +-244 -205 +-156 -896 +-124 -883 +-447 -432 +-499 -332 +-382 -717 +-304 -945 +-102 -864 +-475 -702 +-353 -401 +-43 -218 +25 -155 +-60 -698 +-520 -800 +-461 -315 +-408 -758 +-314 -1006 +-170 -766 +-186 -855 +-152 -497 +-527 -872 +-496 -945 +-212 -319 +-18 -776 +281 -738 +-162 -549 +-398 -461 +-509 -481 +-811 -854 +-212 -330 +-165 -245 +-663 -506 +-545 -479 +-282 -599 +-186 -605 +-235 -567 +-185 -277 +-265 -326 +-436 -524 +-533 -606 +-790 -834 +-347 -913 +258 -416 +-266 -371 +-328 -897 +-61 -1003 +-530 -715 +-331 -298 +36 -298 +-355 -479 +-400 -684 +-498 -270 +-548 -381 +-51 -576 +-186 -393 +-15 -839 +72 -577 +-468 -655 +-274 -603 +-371 -593 +-362 -826 +-176 -370 +-335 -648 +-284 -760 +-557 -491 +-329 -320 +-149 -480 +-288 -466 +-307 -140 +-660 -420 +-240 -538 +-519 -780 +-800 -690 +-401 -157 +-353 -256 +-3 -553 +-285 -297 +-189 -245 +-245 -635 +-519 -669 +6 -387 +-69 -419 +-520 -645 +-601 -480 +-559 -514 +-555 -213 +-464 -277 +-898 -604 +-817 -1036 +-596 -1353 +-946 -874 +-620 -680 +-420 -181 +-294 -360 +-345 -864 +-85 -859 +96 -518 +-449 -211 +-37 -364 +179 -328 +-367 -516 +-249 -400 +-129 -423 +-672 -1092 +-868 -1101 +-671 -501 +-468 -245 +-267 -400 +-344 -671 +-365 -675 +-527 -474 +-309 -657 +-275 -792 diff --git a/testing_inputs/radiated/dot11n_19.5mbps_openwifi.txt b/testing_inputs/radiated/dot11n_19.5mbps_openwifi.txt new file mode 100644 index 0000000..6fd6074 --- /dev/null +++ b/testing_inputs/radiated/dot11n_19.5mbps_openwifi.txt @@ -0,0 +1,24240 @@ +-4 4 +3 0 +5 4 +-1 3 +-3 9 +-1 13 +-10 4 +-138 -55 +-132 -447 +652 -600 +289 547 +-634 1354 +-835 1381 +-250 1427 +1066 103 +505 -1039 +-115 167 +1056 390 +251 -910 +-1282 -998 +-1358 -719 +-880 -774 +517 -202 +692 405 +-52 -190 +530 -733 +406 462 +-374 1476 +-591 1542 +0 1477 +1082 -93 +306 -1123 +-99 186 +1125 173 +73 -957 +-1465 -736 +-1498 -450 +-1038 -601 +478 -304 +772 269 +-89 -181 +393 -848 +507 370 +-84 1537 +-285 1642 +293 1468 +1074 -293 +96 -1178 +-62 197 +1167 -45 +-103 -967 +-1608 -463 +-1579 -168 +-1144 -403 +430 -389 +842 120 +-111 -168 +234 -903 +571 281 +205 1537 +18 1664 +547 1386 +1016 -484 +-108 -1173 +-18 213 +1166 -250 +-275 -941 +-1695 -182 +-1615 106 +-1218 -197 +338 -467 +835 -29 +-159 -135 +55 -927 +618 173 +487 1468 +315 1634 +808 1275 +933 -670 +-337 -1151 +10 197 +1111 -469 +-442 -873 +-1715 148 +-1576 420 +-1240 44 +263 -516 +833 -196 +-160 -124 +-88 -925 +622 62 +705 1343 +586 1542 +1015 1101 +822 -822 +-496 -1077 +56 194 +1040 -609 +-556 -777 +-1669 395 +-1499 626 +-1226 209 +182 -539 +798 -303 +-187 -93 +-234 -894 +635 -27 +918 1231 +821 1440 +1166 931 +682 -917 +-675 -965 +60 192 +920 -767 +-691 -679 +-1595 656 +-1386 868 +-1182 408 +93 -549 +737 -417 +-196 -66 +-370 -844 +618 -129 +1090 1055 +1028 1281 +1295 741 +539 -1001 +-805 -854 +100 165 +801 -903 +-773 -576 +-1481 864 +-1248 1037 +-1104 560 +18 -563 +673 -516 +-204 -35 +-483 -782 +602 -218 +1250 897 +1218 1128 +1409 549 +397 -1070 +-930 -715 +138 163 +670 -1001 +-865 -439 +-1338 1092 +-1079 1228 +-1017 727 +-81 -567 +581 -609 +-212 6 +-610 -694 +567 -308 +1381 680 +1378 918 +1479 320 +222 -1103 +-1047 -557 +142 130 +501 -1102 +-928 -311 +-1165 1284 +-879 1375 +-904 873 +-174 -555 +408 -957 +36 -755 +853 -822 +1204 -1354 +58 -1721 +-51 -264 +-196 492 +-1353 -389 +-1764 191 +-1189 1059 +-491 -156 +19 -746 +1022 -184 +813 -982 +-730 -1365 +-200 -876 +606 -392 +791 729 +1282 -177 +639 -1227 +287 271 +-441 532 +-1445 574 +-406 1169 +826 666 +559 796 +-862 861 +-1087 901 +224 973 +1019 -130 +1074 -87 +125 1245 +482 1486 +1600 -19 +938 -1559 +737 -737 +789 693 +753 120 +333 -1053 +-740 -1371 +-18 -1013 +954 -252 +759 -496 +-363 -1318 +-1020 -879 +258 -424 +266 414 +253 1400 +1333 150 +836 -167 +79 848 +-978 655 +-959 1087 +828 1072 +553 401 +-642 498 +-586 -633 +-960 -1493 +-1847 -461 +-1063 -153 +304 -348 +-335 673 +-323 1693 +900 1468 +-74 719 +-1135 -122 +133 -1135 +271 -1770 +-977 -1362 +-236 -174 +131 513 +-1330 491 +-1354 1184 +-361 1525 +-510 160 +-447 -595 +715 -754 +78 -1249 +-1420 -630 +-685 -577 +261 -683 +1085 83 +941 -930 +-222 -1352 +408 39 +-32 682 +-849 1330 +366 1169 +1080 28 +936 307 +-200 1197 +-381 1357 +765 649 +782 -696 +842 -701 +839 912 +1276 889 +1293 -972 +-167 -1780 +173 -1012 +1070 74 +697 -369 +-362 -1033 +-1440 -621 +-628 -792 +633 -772 +329 -846 +-1115 -827 +-1387 -70 +-53 -484 +473 155 +1066 919 +1171 -719 +561 -646 +585 609 +-374 1094 +-94 1418 +1347 307 +690 -40 +-223 770 +-854 -129 +-1684 -548 +-1773 796 +-950 541 +39 -463 +139 726 +773 1509 +1642 582 +388 595 +-1003 592 +-598 -960 +-876 -1528 +-1641 -444 +-306 20 +425 320 +-775 1187 +-375 1741 +654 1409 +-304 423 +-724 -204 +129 -1025 +-699 -1024 +-1552 367 +-905 -40 +-198 -689 +944 -574 +231 -1287 +-997 -943 +343 -223 +374 554 +93 1548 +1004 689 +902 -640 +952 -334 +569 1051 +526 1287 +1023 28 +210 -1030 +263 -1053 +1242 219 +1604 130 +965 -448 +-241 68 +-1563 -527 +-1938 -866 +-481 45 +1593 386 +1545 -304 +30 -1161 +376 -705 +282 842 +-1165 808 +-703 491 +553 383 +729 -907 +731 -805 +925 -467 +101 -1038 +-674 -842 +69 -1097 +-198 -742 +-527 397 +619 1053 +46 1145 +-680 -147 +525 -886 +195 -850 +-1484 -1120 +-1069 -378 +1124 466 +2217 573 +1127 463 +-214 -209 +-662 -772 +-177 -127 +873 -577 +52 -1942 +-1136 -598 +-476 45 +-426 -1051 +-712 -429 +-657 261 +-284 1166 +818 1347 +521 507 +287 1073 +1202 621 +890 115 +176 1720 +-169 2547 +-486 1202 +-1082 103 +-1054 1043 +-214 1019 +-655 665 +-538 1438 +590 747 +726 -85 +723 -906 +270 -1016 +501 116 +949 -1134 +-496 -1781 +-774 -237 +385 -331 +587 -597 +-91 248 +-1596 565 +-2088 520 +-368 326 +1518 -680 +1067 -1176 +-700 -907 +-151 -771 +730 487 +-437 1350 +-258 814 +689 -51 +32 -1154 +96 -1059 +581 -1054 +-411 -1529 +-1350 36 +471 1414 +711 568 +-1475 -188 +-1485 -536 +-690 -519 +-836 18 +-322 -701 +670 -2597 +-289 -2257 +-597 -51 +308 322 +-610 28 +-175 59 +833 -384 +206 -781 +-5 -710 +-263 309 +-179 748 +94 538 +908 1392 +2404 1671 +1657 721 +-182 -170 +-889 -89 +-757 857 +-616 1601 +-457 1065 +97 -551 +-280 -110 +173 1688 +972 1331 +52 -57 +187 -803 +824 -188 +1373 164 +1810 -582 +603 427 +157 843 +-142 -750 +-1342 -1096 +-1337 -696 +-957 -181 +-159 234 +336 109 +-629 438 +-230 204 +966 -233 +168 720 +-575 642 +-299 -688 +-515 -330 +-346 845 +759 1189 +1546 729 +563 392 +-412 288 +526 -1062 +202 -1663 +-681 -1371 +-103 -1451 +-324 -101 +-782 -266 +-1428 -1067 +-1053 754 +1284 811 +915 -3 +-1301 759 +-1513 509 +-875 31 +-665 534 +-690 -335 +-1086 -2397 +-1642 -1542 +-501 321 +462 43 +-452 390 +-83 141 +434 -828 +-166 -330 +228 670 +734 305 +-125 -780 +-857 -325 +161 428 +-40 1279 +-1339 1985 +-313 1303 +1011 870 +548 302 +465 586 +1977 895 +1786 -1064 +-627 -738 +-1147 533 +-636 847 +194 1097 +565 -1328 +-1519 -1301 +-1444 834 +352 87 +-635 218 +-1192 815 +-456 1311 +-864 2083 +-1255 906 +-29 -117 +1257 -127 +704 -198 +-140 -148 +226 266 +355 470 +-667 -163 +-901 -606 +82 -1010 +-9 -697 +-141 819 +630 987 +498 -415 +579 -1620 +1470 -1784 +756 -1541 +-648 -1029 +-256 -182 +613 -122 +875 134 +1043 770 +390 622 +-445 444 +-687 348 +-835 -130 +-571 -683 +-782 -765 +-1861 -1210 +-1503 -1710 +107 -831 +436 104 +331 713 +465 456 +-550 -990 +-890 -1085 +102 -94 +-37 260 +-409 293 +575 333 +825 -247 +-572 -505 +-891 294 +389 214 +756 1000 +165 2349 +566 1177 +1367 20 +636 -115 +745 155 +2147 -564 +765 -1931 +-962 -165 +-561 991 +172 -43 +995 -207 +-44 553 +-1421 890 +-1566 857 +-988 1220 +894 694 +926 -645 +-272 232 +772 1000 +804 -792 +-558 -1716 +-833 -1462 +-426 -1204 +561 118 +484 797 +160 -130 +930 -430 +75 503 +-1254 1110 +-1412 1114 +-775 1362 +981 510 +808 -808 +-229 249 +933 845 +668 -909 +-820 -1577 +-1050 -1298 +-613 -1107 +577 29 +619 703 +157 -153 +867 -563 +156 482 +-1081 1275 +-1223 1307 +-555 1456 +1051 342 +677 -910 +-168 287 +1073 665 +512 -1012 +-1080 -1411 +-1270 -1091 +-790 -986 +583 -65 +731 583 +115 -185 +755 -703 +230 451 +-854 1437 +-994 1494 +-311 1524 +1099 175 +525 -998 +-135 315 +1158 500 +365 -1068 +-1286 -1244 +-1432 -895 +-945 -852 +546 -154 +802 466 +90 -183 +645 -801 +306 407 +-609 1544 +-745 1627 +-73 1551 +1123 -8 +357 -1070 +-81 325 +1239 303 +197 -1116 +-1471 -1030 +-1555 -668 +-1063 -706 +533 -226 +808 456 +-542 968 +10 1306 +1270 662 +-127 256 +-536 -432 +-468 -1397 +-1356 -341 +-512 942 +-797 431 +-752 -838 +-871 -1071 +-1048 362 +279 990 +-114 841 +-1131 152 +-1619 517 +90 1685 +1533 761 +515 643 +-613 816 +-267 754 +1269 312 +1191 -899 +937 -5 +239 915 +439 842 +1536 -363 +789 -1085 +570 207 +-543 214 +-1423 468 +-134 1399 +205 856 +-655 89 +-780 -948 +-1069 -881 +-1209 840 +-433 603 +-496 -559 +652 -544 +1568 -1023 +424 -1762 +247 -979 +338 242 +-711 -335 +-1722 -285 +-1495 897 +-755 -52 +75 -1040 +1141 165 +1775 195 +1261 -985 +216 -169 +-446 134 +-534 -1341 +-1143 -1351 +-1620 -272 +-174 -456 +459 -417 +820 734 +1361 -64 +599 -1494 +607 -793 +506 256 +-4 1167 +835 1000 +1445 -230 +61 290 +-693 -26 +-1226 -794 +-1293 561 +175 1029 +-376 812 +-1119 -181 +-1351 -291 +-616 911 +846 586 +432 720 +-819 799 +-1174 1081 +74 455 +382 -85 +-180 415 +350 -449 +357 -1907 +-943 -1985 +-977 -576 +-343 447 +-1026 -205 +-620 -895 +553 -761 +172 -980 +-870 -914 +-711 -298 +499 39 +612 825 +1816 328 +1653 340 +-294 842 +-760 -798 +-533 -558 +934 -264 +703 -919 +43 -941 +365 -1066 +773 184 +1174 1188 +439 1295 +457 157 +-107 -989 +-600 -583 +-754 -129 +-1179 -468 +-1060 -780 +-1431 744 +-433 1493 +390 -166 +-366 -1864 +-658 -1374 +60 538 +908 220 +387 -324 +-121 1264 +33 1371 +-92 -38 +512 45 +875 102 +356 167 +542 1508 +150 1877 +-35 921 +997 717 +1418 456 +697 -527 +-1127 -342 +-1790 897 +-698 1135 +-729 -205 +-781 237 +1168 1029 +1204 504 +580 1157 +1997 -96 +877 -1371 +-519 -680 +28 -205 +80 416 +7 -545 +-832 -1677 +-1929 -982 +-1133 127 +-14 561 +-961 443 +-1039 -348 +-4 -937 +-438 -881 +-1272 -211 +-771 190 +422 -258 +779 299 +41 -269 +-110 -280 +1004 -444 +-128 35 +-405 1076 +-271 181 +345 81 +1039 185 +-99 531 +-861 847 +-617 -345 +524 -1598 +45 -1802 +-512 -1327 +433 -1390 +899 -1155 +420 36 +223 1154 +1277 1295 +614 1109 +-272 664 +-22 -397 +64 -146 +33 924 +234 1414 +925 1521 +20 378 +-826 -908 +-874 -709 +-790 155 +-532 647 +-1341 46 +-405 -315 +351 -415 +-1647 265 +-632 1586 +609 323 +-1461 -213 +-464 1005 +849 856 +-129 -281 +-257 -1140 +-957 -1004 +-1781 -395 +-1702 1059 +-396 1470 +1183 915 +1654 807 +1063 1089 +334 971 +449 -1035 +211 -1445 +290 -617 +1197 -613 +1029 -821 +200 -1092 +-209 -296 +-14 646 +1011 -311 +867 -2257 +-232 -1448 +-927 475 +-748 716 +441 -234 +-354 -491 +-274 -83 +565 -930 +-98 99 +312 1074 +-109 292 +327 -150 +966 -492 +246 467 +-185 1177 +-715 115 +-561 -1529 +-1074 -1394 +-1226 -702 +-515 -1324 +-282 -1313 +-851 148 +-547 1099 +877 1525 +1581 967 +1021 793 +781 1667 +910 937 +353 -568 +-121 -886 +80 90 +346 -1105 +627 -2701 +350 -1889 +-466 711 +-76 1910 +1241 326 +1683 235 +1483 316 +1432 82 +-228 613 +-1276 857 +53 1112 +224 793 +-366 370 +-896 185 +-773 -396 +-406 -1064 +-923 -64 +-126 566 +804 -559 +-690 -392 +-1684 766 +-371 458 +576 -470 +706 262 +77 -368 +-1145 -1814 +-1098 -630 +73 -203 +397 -793 +-266 -288 +-533 361 +-498 411 +-418 -157 +183 -516 +646 -1272 +-876 -979 +-774 644 +1131 84 +460 -59 +276 -541 +-341 -1745 +-610 -330 +663 -420 +-565 -36 +-1563 872 +-962 -8 +-78 236 +566 -216 +955 -498 +645 429 +-395 1147 +-958 624 +-1179 611 +-626 1694 +317 1303 +1654 628 +1866 -206 +1288 1 +1652 807 +1314 144 +-78 -664 +-657 -600 +124 15 +-420 -1062 +-1223 -2450 +-929 -1642 +70 834 +1137 1474 +1100 -443 +717 -235 +188 682 +-21 -707 +-470 -448 +656 1335 +962 821 +296 218 +-277 -260 +-717 3 +-608 696 +-721 443 +-104 -380 +782 -1129 +477 -1025 +-688 -241 +-534 384 +-428 -65 +160 -20 +1278 208 +-852 -345 +-2652 12 +-895 679 +287 -1 +-942 -163 +-397 834 +561 634 +660 539 +1013 1118 +459 1408 +1238 1148 +1181 711 +672 1237 +1009 958 +871 215 +635 -798 +-1388 -728 +-1987 1116 +-437 332 +0 -765 +-631 -729 +-452 -832 +293 575 +210 957 +236 647 +12 528 +178 -748 +176 -928 +154 -943 +365 -2105 +-1032 -2048 +-1010 0 +448 1354 +-32 677 +-799 479 +-621 314 +-567 -874 +-126 -1809 +592 -1851 +-321 -966 +-2255 201 +-1083 241 +722 -407 +512 79 +1383 -519 +1331 -583 +585 422 +-449 -561 +-640 -95 +1340 672 +1300 90 +379 6 +-390 -35 +-594 424 +-95 913 +-345 777 +-301 -248 +7 -1373 +-185 -1111 +-709 195 +-124 755 +-56 917 +-938 1598 +-274 2257 +1001 1555 +649 880 +-103 1029 +-37 141 +171 -373 +331 730 +1534 280 +434 -509 +-1051 937 +-995 721 +-962 -510 +-120 -1348 +-513 -795 +-142 798 +902 -144 +991 -1420 +105 -1325 +-911 -315 +264 -1067 +-90 -1431 +-230 264 +821 -191 +-148 -353 +-584 -133 +-943 -414 +-667 -36 +1019 988 +1118 1485 +627 338 +101 19 +-851 -162 +-658 -427 +-234 -61 +1159 -116 +1638 -1069 +-727 -1797 +-1134 -905 +107 450 +369 657 +-89 -471 +-1426 -334 +-1968 -147 +-969 -1102 +-243 -343 +469 40 +817 -862 +361 -375 +1103 -179 +1189 -1101 +308 -342 +-405 174 +-515 334 +582 1988 +614 1670 +-49 -11 +262 -144 +694 233 +-697 -1 +-2196 -438 +-1623 165 +-30 908 +760 1016 +282 1803 +1139 1911 +1758 590 +1081 288 +561 855 +59 119 +-97 -395 +686 361 +1410 -712 +51 -678 +-285 1336 +-364 1158 +-1088 195 +-927 -989 +-909 -553 +296 -158 +394 -780 +-600 232 +209 1304 +691 1299 +-88 992 +930 71 +563 -43 +-741 -16 +-1207 -529 +-1169 1045 +599 2181 +1269 1269 +383 480 +161 714 +1519 616 +916 -644 +-548 -498 +225 -298 +116 -1356 +-168 -1463 +-246 -281 +-265 3 +-419 -666 +-279 33 +97 1021 +-103 1220 +-269 359 +-1296 -229 +-1065 -641 +57 -1040 +788 -28 +-613 -233 +-2485 159 +-423 734 +-199 -1433 +-1290 -2675 +-196 -2194 +-373 -731 +-765 785 +-525 449 +-358 -504 +91 266 +429 1457 +862 542 +598 -779 +379 -785 +621 71 +-603 544 +-731 257 +198 764 +703 665 +825 -314 +141 -49 +487 644 +919 1054 +1777 525 +1409 60 +-441 39 +-993 -120 +-1046 892 +225 309 +214 -1582 +-1040 -1385 +-503 -1004 +-276 -862 +-328 560 +950 901 +1341 602 +532 836 +793 -495 +424 -375 +-610 456 +-1300 348 +-320 1529 +1818 1336 +1825 212 +628 134 +583 465 +1881 -183 +2527 -453 +2157 -874 +513 -1292 +196 -1341 +1338 -1641 +693 -867 +-1050 215 +-927 1051 +-532 2024 +-533 934 +-597 488 +-559 982 +-871 -724 +-800 -1241 +322 -705 +340 298 +-143 1134 +228 550 +338 -734 +244 -814 +468 1081 +527 628 +403 -627 +-133 -475 +-577 -998 +-572 -1044 +256 308 +1485 785 +1000 134 +-378 174 +-1104 -276 +-784 9 +-931 332 +-1435 151 +-422 1015 +6 281 +-727 -418 +-1181 -521 +-1394 -180 +-572 1700 +36 1097 +-1407 -81 +-891 591 +732 -423 +-710 -1144 +-1823 -100 +-638 543 +1287 372 +1362 -184 +315 -601 +-481 -521 +-123 61 +1049 -717 +546 -1094 +1161 -376 +994 -25 +-98 1440 +478 1107 +488 -209 +-188 -227 +-878 100 +725 943 +1327 667 +745 -88 +2073 -1189 +1447 -2069 +-349 -1311 +-661 -1161 +65 -2091 +36 -1096 +-714 791 +-110 1363 +816 1885 +143 1032 +-188 724 +148 1076 +-1155 -32 +-1408 -457 +-169 -737 +462 -209 +321 -600 +-296 -1609 +-227 -258 +-147 1151 +493 2001 +529 34 +-1649 -785 +-1048 369 +594 -396 +-361 -464 +-503 332 +1104 1254 +1511 442 +828 -496 +506 -470 +-708 -222 +-1034 851 +56 82 +-448 -472 +-1022 197 +-879 526 +-1108 617 +-130 430 +-261 507 +-279 -415 +541 -1595 +-1203 -589 +-393 1166 +1506 1619 +704 1771 +533 1899 +1196 1765 +1992 956 +1484 -196 +655 -605 +860 -907 +-130 198 +-1175 1789 +-764 931 +-366 -280 +-95 -349 +-940 -812 +-1457 -683 +521 -5 +828 102 +-158 124 +-216 -769 +-567 -1457 +-770 -484 +-337 -191 +-226 -1195 +-1085 -799 +-961 57 +-164 222 +246 211 +120 -724 +612 -410 +954 573 +-226 576 +-607 14 +-925 -566 +-295 -901 +1085 -1430 +1142 -667 +-188 -736 +-1317 -1096 +-317 -65 +614 994 +1648 1229 +467 -301 +-1797 435 +-601 935 +221 -677 +-585 -131 +-204 556 +1661 273 +1492 -598 +365 -898 +115 -675 +-599 -4 +-28 -149 +216 -1227 +441 -20 +1344 551 +-35 -91 +-58 -155 +1501 -101 +707 -67 +-222 28 +-749 1708 +-60 1989 +-199 -216 +-503 -642 +270 193 +775 355 +1309 34 +230 320 +336 -261 +921 -892 +360 198 +-38 896 +-400 694 +251 280 +147 22 +-387 -596 +-554 -418 +-297 685 +345 375 +-336 516 +-45 1020 +641 26 +-722 799 +-532 1367 +749 -302 +30 -481 +-600 -822 +-662 -1126 +-651 -994 +83 -1166 +444 -653 +-423 -532 +-1033 -119 +-1215 -1348 +-1758 -2001 +-1442 405 +-1186 1231 +-641 544 +1325 -235 +1918 -1212 +606 -1632 +-706 -1157 +-1405 285 +-361 1675 +482 824 +-1116 -65 +-519 602 +1494 1347 +1987 1710 +1471 586 +-403 884 +-448 1429 +40 -270 +-219 -1056 +262 -761 +-84 -1027 +-750 -1135 +310 -293 +1394 -442 +-89 -32 +-156 -61 +1116 -1022 +517 -493 +-158 161 +489 1767 +1221 1534 +-284 -23 +-811 -158 +328 -29 +841 -224 +1145 -842 +1292 -408 +1715 -1085 +664 -243 +-677 848 +-744 -744 +-218 -770 +-327 -124 +-597 109 +-27 241 +839 -655 +193 -387 +-460 1108 +1120 1151 +1474 519 +599 1328 +-105 994 +-390 347 +102 -188 +-1078 -1972 +-2238 -2042 +-2041 -1138 +-1317 114 +-123 1375 +753 1371 +833 873 +594 653 +283 954 +303 504 +1276 -727 +975 -388 +463 1732 +277 2527 +381 1518 +1143 -362 +659 -874 +541 27 +-83 236 +17 720 +327 237 +93 60 +588 -365 +-1313 -762 +-1251 575 +266 -320 +-680 -759 +-569 -1 +526 -750 +-387 -1290 +-1895 -557 +-775 814 +-4 335 +-329 -825 +244 -1030 +-69 -821 +-1174 -457 +-257 27 +1176 413 +-169 -439 +-471 -907 +641 -296 +465 -440 +166 -298 +-700 326 +-721 511 +734 -366 +756 -1889 +395 -550 +-3 1089 +-1067 -102 +-664 -450 +-337 109 +-398 464 +125 202 +254 -1028 +-83 -434 +333 1107 +1615 166 +1489 -527 +1307 640 +729 756 +433 -138 +17 -332 +-831 42 +-536 -549 +-302 -540 +-628 -710 +-982 126 +-434 295 +10 738 +-86 823 +-225 -412 +-62 809 +-343 1203 +-269 9 +627 -288 +361 -46 +-515 -117 +-1042 -489 +-1260 -186 +-829 892 +-239 868 +-607 -388 +-1281 -256 +-1073 460 +-371 1116 +413 1400 +1018 430 +730 -1040 +167 -1246 +602 359 +419 1316 +-812 661 +-1479 -960 +-888 -1970 +567 -1807 +272 -1294 +-976 -406 +-28 613 +612 874 +-149 74 +50 -944 +-62 -902 +205 422 +1108 -40 +507 -731 +737 290 +1732 328 +1657 515 +1139 208 +105 -848 +-302 503 +137 1853 +-392 1132 +208 1831 +1916 795 +1136 -1972 +-653 -1241 +-926 -773 +645 -1620 +10 -2310 +-1606 -1031 +156 306 +547 -78 +289 663 +632 -325 +-136 -336 +-659 567 +-783 -114 +-586 -257 +-944 -184 +-710 696 +-175 491 +450 566 +409 683 +-470 -193 +433 663 +471 1151 +-214 186 +320 -610 +222 -308 +-347 -639 +-221 -2235 +215 -2079 +-285 -1463 +-1018 -915 +-131 47 +559 1330 +721 1746 +377 538 +363 -180 +6 336 +-960 120 +-827 -519 +-187 1202 +1270 2074 +2135 395 +1070 -76 +680 193 +1165 -686 +500 -195 +257 550 +-523 -346 +-1470 511 +-536 1464 +-1001 1448 +-1342 1040 +-568 -14 +744 498 +1827 549 +236 -379 +-160 -785 +446 -769 +88 469 +853 710 +313 -315 +-1541 323 +-767 835 +529 -34 +-192 -610 +-752 85 +-741 678 +-307 153 +594 277 +648 -306 +-584 -270 +-1068 66 +-60 -848 +590 -338 +1192 -403 +1282 -858 +-412 -1067 +-970 -212 +178 907 +1039 -108 +1503 -636 +-89 212 +-795 1395 +406 -192 +-638 -1465 +-993 -912 +-11 -1341 +-363 -581 +-1503 216 +-1517 1119 +-961 434 +-1447 -1586 +-1051 -1735 +-1103 -966 +-1387 -104 +-92 111 +1251 705 +1657 944 +652 204 +191 -371 +212 244 +-700 650 +-993 78 +556 1059 +2263 870 +1782 -810 +82 -428 +666 -830 +1296 -1124 +-320 14 +-654 -38 +-743 -685 +-1236 -1380 +-920 -745 +622 1159 +1476 1479 +-381 1137 +-865 1157 +-46 324 +-335 636 +311 1299 +961 -229 +104 52 +165 150 +12 -866 +-1009 552 +-495 333 +33 -871 +-191 -661 +-1022 -134 +-1552 -158 +-1239 -571 +-1574 148 +-1267 750 +-526 809 +-628 406 +-743 -699 +-644 0 +735 1460 +1862 -597 +468 -2205 +-455 -1266 +77 -606 +776 392 +1041 306 +975 181 +982 561 +62 1492 +-674 1556 +-422 -23 +906 -321 +1013 -1324 +-123 -1211 +598 -661 +1140 -1063 +1054 -129 +380 -96 +484 -553 +763 -545 +-873 551 +-771 996 +800 -109 +1241 -380 +507 115 +953 221 +1022 -1114 +-916 -1424 +-1472 90 +-1565 835 +-1013 1028 +-76 1034 +181 -914 +401 -1643 +-224 185 +-564 332 +-1023 -121 +-1843 -365 +-1209 -40 +1193 556 +2087 299 +374 1133 +-9 1420 +172 265 +96 683 +1016 827 +721 -944 +20 -915 +-751 87 +-456 908 +841 1368 +908 505 +1249 440 +2089 374 +666 687 +-399 1566 +1483 -431 +1894 -964 +-173 453 +-1285 858 +-489 383 +-498 -237 +-1316 -292 +-1372 -858 +-664 -1041 +325 -586 +819 -481 +239 -869 +-922 532 +66 1979 +1196 1498 +1384 1166 +1798 -146 +889 -1176 +258 -670 +573 437 +243 698 +-712 558 +-165 1405 +1212 1034 +1186 -684 +-150 -1613 +-630 -334 +300 296 +-562 -429 +-960 -381 +-453 -16 +-269 241 +215 -138 +-884 658 +-704 1094 +-174 -194 +-1034 -501 +-510 -1244 +-427 -1365 +-666 4 +-14 -70 +-325 -111 +-1387 -107 +-1763 62 +-1150 1051 +-156 352 +362 377 +-236 411 +-778 -645 +122 -1445 +72 -1472 +17 795 +1425 861 +132 -17 +-1582 35 +-806 -445 +-550 469 +196 1012 +1507 560 +1041 -169 +1282 -430 +1931 -998 +974 128 +669 1441 +921 -1295 +916 -1954 +161 443 +-484 1465 +-133 611 +-520 143 +-1001 714 +-63 799 +1486 271 +1216 -868 +-377 -530 +-997 -573 +-739 -897 +-235 -538 +12 -1275 +-576 -380 +-427 442 +-6 278 +798 221 +1432 -946 +208 -1160 +-153 331 +1056 -319 +1331 -1747 +600 -202 +561 541 +315 49 +-1287 910 +-1057 791 +-415 -412 +-1511 -728 +-1437 -563 +-6 -217 +1450 104 +1958 524 +1532 608 +888 -198 +994 -612 +593 -876 +-436 -311 +143 112 +589 36 +914 -809 +-77 -1912 +-1499 370 +-535 123 +-420 -2019 +-762 -810 +-924 551 +-1312 1202 +-62 209 +878 -331 +387 438 +241 -53 +-204 -618 +-650 244 +-362 1207 +-475 1509 +243 1035 +752 -313 +595 15 +654 345 +232 137 +373 925 +199 1306 +-131 -3 +404 -817 +747 1118 +-235 1257 +-1404 1653 +-803 2823 +726 1064 +1449 -747 +467 -1393 +-646 -179 +-1165 148 +-1159 -270 +-539 -286 +-772 -993 +-707 49 +-69 588 +176 210 +783 -334 +583 -1612 +-535 -1014 +76 358 +637 -357 +3 -455 +-26 1 +9 15 +-6 2 +-5 -1 +-7 4 +-4 4 +-1 -6 +3 -10 +3 -7 +1 -1 +2 4 +5 5 +5 -3 +2 -1 +-1 -2 +-2 5 +-4 5 +-5 -2 +0 0 +2 -1 +-1 -2 +1 8 +0 11 +2 2 +0 3 +2 2 +-3 -3 +2 -1 +-6 1 +-5 2 +-1 0 +0 -2 +-2 -7 +-4 -2 +-3 4 +-3 2 +0 -9 +3 -12 +3 -6 +2 0 +-1 2 +-5 3 +-3 -1 +0 -5 +6 -2 +0 1 +-6 2 +-1 -5 +1 -6 +-2 -8 +-6 -3 +0 -1 +4 -2 +-1 3 +1 -2 +-3 -1 +1 -1 +3 -1 +0 -2 +-1 0 +-3 -3 +1 -5 +2 -7 +-8 0 +-5 -1 +-6 -8 +-5 -12 +1 -11 +3 -3 +1 1 +3 1 +10 91 +-96 17 +98 -143 +374 -27 +505 -283 +331 -430 +-10 -137 +-4 -137 +-64 -125 +-329 142 +46 77 +392 -12 +102 255 +-61 187 +-104 -60 +63 139 +144 219 +-104 57 +94 -61 +271 -228 +-99 -10 +-372 62 +-215 -374 +-69 -346 +-161 -55 +-244 207 +-385 196 +-382 -235 +-327 -193 +-332 158 +-17 459 +-142 506 +-445 137 +-171 199 +157 314 +423 237 +198 385 +-146 279 +44 189 +220 139 +476 5 +311 217 +-73 175 +-2 -244 +-130 -220 +-113 119 +-88 95 +-244 -132 +56 -138 +-27 -33 +-401 47 +-222 -165 +-13 -216 +115 266 +264 243 +172 -125 +71 -83 +152 -174 +-8 -516 +-264 -576 +-99 -236 +20 -74 +-108 17 +-119 469 +-14 429 +62 -83 +130 -119 +313 -81 +389 -451 +184 -507 +-51 -132 +-56 -123 +-105 -97 +-269 250 +68 52 +371 -144 +185 206 +7 191 +-120 -24 +110 114 +226 156 +-63 79 +76 -91 +182 -299 +-104 24 +-331 169 +-331 -294 +-181 -307 +-173 -8 +-162 261 +-300 303 +-434 -90 +-377 -75 +-275 253 +130 435 +31 517 +-378 273 +-98 244 +237 245 +471 87 +308 294 +-48 302 +91 157 +243 52 +452 -155 +372 96 +-10 185 +-77 -226 +-202 -164 +-76 153 +-50 121 +-275 -42 +12 -150 +-39 -19 +-362 174 +-259 -83 +-89 -196 +187 208 +327 136 +124 -174 +45 -104 +97 -214 +-181 -489 +-449 -461 +-168 -190 +5 -73 +-93 53 +45 482 +143 416 +31 -93 +76 -146 +263 -174 +204 -548 +-4 -536 +-93 -101 +-88 -95 +-126 -48 +-168 322 +87 23 +303 -267 +241 122 +68 175 +-123 14 +142 70 +262 74 +-43 98 +32 -111 +67 -342 +-86 60 +-256 274 +-409 -156 +-273 -213 +-167 62 +-70 301 +-189 383 +-450 69 +-383 73 +-167 325 +289 357 +233 479 +-297 448 +-264 328 +-137 173 +-178 -60 +-336 -37 +-393 80 +-180 136 +40 142 +83 121 +-82 312 +-55 353 +181 5 +130 100 +-123 431 +-102 160 +-11 -99 +-42 59 +152 255 +159 144 +-132 -46 +-30 167 +307 353 +224 349 +-26 244 +106 15 +255 -21 +128 -136 +59 -293 +157 -45 +163 146 +-190 136 +-344 300 +49 82 +409 -291 +533 73 +274 80 +-174 -324 +-240 -228 +-196 -350 +-385 -255 +-485 151 +-221 61 +-221 -34 +-512 -169 +-261 -14 +-82 350 +-229 168 +-18 -65 +263 -204 +312 4 +171 144 +203 -316 +324 -308 +228 -22 +320 -66 +210 -394 +-165 -584 +-181 -287 +-148 -281 +-113 38 +-165 576 +-405 147 +-256 -52 +271 38 +423 -101 +61 144 +-133 142 +-147 -68 +-327 77 +-338 203 +-116 191 +84 119 +119 78 +15 321 +51 351 +157 -58 +154 51 +35 451 +-44 173 +-70 -100 +-113 72 +60 230 +462 329 +271 182 +-363 -44 +-272 -157 +40 -301 +27 -111 +-331 24 +-529 -47 +-166 144 +124 113 +104 142 +-24 381 +-12 133 +-85 40 +-115 154 +136 -178 +95 -272 +62 -35 +56 -40 +-253 -45 +-393 170 +-258 196 +234 -79 +502 -233 +275 -71 +236 -8 +167 -367 +-62 -581 +-182 -213 +-95 74 +99 30 +114 35 +287 -36 +418 -137 +176 8 +-12 26 +-111 -254 +-23 -392 +146 -254 +24 49 +-210 237 +-254 160 +-143 -51 +-35 -271 +34 -41 +90 138 +-1 -105 +-337 27 +-248 16 +112 -194 +-164 13 +-251 -52 +-97 -54 +-366 232 +-288 308 +-9 335 +232 387 +278 660 +41 450 +314 -108 +568 264 +394 306 +163 -259 +127 -57 +571 183 +341 67 +-364 85 +-306 -58 +-62 -294 +-7 -113 +-316 130 +-525 140 +-116 191 +151 65 +142 101 +105 373 +43 132 +-62 67 +-125 211 +-164 -100 +-317 -247 +-111 203 +215 612 +-5 551 +-227 468 +-5 304 +161 42 +145 138 +34 439 +46 207 +-30 -11 +-203 336 +189 326 +331 18 +-34 -61 +-86 -200 +-159 -440 +-104 -272 +-87 88 +-314 23 +-98 -11 +38 180 +-102 105 +-29 -279 +85 -396 +228 -94 +251 -32 +131 72 +11 299 +-46 190 +126 90 +365 -257 +348 -301 +2 82 +12 -336 +184 -590 +-244 -216 +-394 41 +-171 139 +1 -24 +194 191 +33 525 +80 198 +245 -263 +256 -261 +539 149 +314 67 +-35 -354 +220 -385 +136 -383 +-251 -213 +-378 -61 +-200 -153 +33 -1 +54 37 +-25 -81 +-98 49 +-120 -9 +-147 -257 +-81 -59 +75 153 +-74 109 +-253 319 +-280 152 +-368 -159 +-33 242 +404 512 +170 511 +-76 518 +88 279 +171 -18 +183 77 +165 404 +107 181 +-28 2 +-86 368 +280 247 +308 -81 +-131 18 +-266 -55 +-134 -267 +-31 -104 +34 169 +94 182 +-30 32 +-113 -43 +109 20 +238 50 +-120 -110 +-150 -163 +98 -9 +-85 108 +-20 129 +116 -222 +-31 -119 +-246 373 +-406 -43 +-121 -179 +-39 167 +-209 73 +-21 222 +169 148 +273 -76 +55 15 +-154 -140 +142 -283 +308 -283 +214 -82 +-6 136 +-92 -29 +-143 -182 +-369 -152 +-117 112 +54 278 +-237 343 +-76 580 +275 153 +467 -208 +701 320 +654 319 +297 -239 +170 -388 +319 -253 +361 -151 +222 -222 +80 -236 +98 -15 +224 94 +206 100 +-51 235 +-186 113 +130 -318 +154 -540 +-133 -290 +-230 79 +-460 128 +-353 281 +-90 400 +-286 126 +-110 9 +250 -156 +56 -171 +-373 248 +-441 89 +-164 -257 +-50 -60 +64 145 +154 145 +-15 46 +-114 -12 +107 -7 +241 -26 +-150 -71 +-193 -105 +87 -37 +-45 142 +21 140 +38 -252 +-66 -135 +-17 247 +-28 14 +35 -44 +28 16 +9 0 +20 5 +19 -4 +20 3 +12 -6 +11 -2 +11 2 +13 1 +14 -1 +20 -1 +21 1 +20 6 +17 -6 +21 -4 +18 15 +5 11 +-3 -5 +-4 -11 +-5 -11 +-2 -10 +2 -5 +-9 -8 +-11 -13 +-8 -13 +-7 -6 +-2 2 +0 7 +1 2 +4 4 +3 1 +-3 -1 +-6 -2 +-2 -7 +-6 -3 +-5 0 +-2 2 +0 -7 +2 -7 +0 2 +0 -1 +1 1 +-4 7 +2 1 +7 1 +4 3 +2 -8 +0 -9 +0 -6 +4 -5 +4 2 +2 3 +-4 1 +-3 -1 +-4 4 +2 2 +0 -8 +-7 -4 +-4 2 +1 -2 +-2 -5 +1 -5 +6 -8 +1 -11 +4 3 +3 6 +-1 9 +-2 6 +3 2 +3 -2 +4 -3 +1 -6 +2 -3 +5 -3 +0 3 +-5 8 +-7 3 +-4 -1 +-6 -2 +-4 -2 +-3 1 +2 5 +3 8 +-1 -9 +1 -9 +0 3 +-2 2 +-1 -2 +0 -3 +-3 -5 +0 -3 +-3 3 +-2 3 +2 -1 +0 2 +2 -1 +9 -4 +8 -2 +4 0 +5 -5 +8 -1 +0 10 +-5 2 +-7 -2 +-2 -4 +-9 3 +-5 8 +-2 3 +2 -1 +0 -3 +-3 -4 +1 -2 +3 -1 +-1 3 +-6 9 +-2 5 +3 2 +-1 2 +-6 0 +-4 -8 +-3 -7 +-2 -4 +-4 0 +-8 -1 +5 3 +0 -3 +-3 -5 +-1 -2 +-2 -1 +0 -2 +7 -7 +4 -1 +2 5 +-2 9 +-3 -1 +-2 -6 +-3 -7 +3 -9 +12 -6 +3 -2 +-4 8 +-3 4 +1 -2 +-2 6 +-4 5 +2 0 +6 4 +11 5 +3 6 +-3 -1 +-3 -8 +3 -4 +2 1 +0 -2 +-5 -2 +-5 -2 +1 2 +-2 -4 +-2 -3 +-1 -1 +0 -4 +6 -3 +2 -7 +2 1 +1 5 +1 5 +2 5 +5 6 +0 7 +-7 2 +-6 3 +-2 -2 +1 3 +0 5 +-6 -3 +-7 0 +-3 1 +1 2 +1 -2 +0 0 +-4 3 +0 1 +0 -2 +2 3 +0 -3 +-1 -1 +4 2 +3 3 +5 3 +-5 1 +-6 -1 +-2 -2 +2 -6 +3 -7 +-2 -10 +-5 -14 +-2 -12 +2 -1 +10 0 +7 6 +0 5 +-2 5 +2 2 +-1 5 +-5 -3 +-1 1 +1 -1 +0 -6 +4 -2 +1 3 +0 -4 +-1 -2 +-3 -3 +-6 -3 +-8 -1 +-3 -4 +2 -2 +3 3 +0 0 +-2 1 +3 2 +5 -2 +-1 -3 +-2 -5 +-2 -4 +-4 -5 +0 -1 +2 0 +2 -8 +-6 -7 +-3 -2 +-1 -4 +-2 -6 +2 -3 +0 5 +-3 10 +1 7 +-1 5 +-1 5 +0 3 +0 -3 +-6 -3 +-6 -6 +-2 -8 +-4 -8 +-5 -2 +-4 0 +3 3 +-3 4 +-4 -1 +-5 -1 +-2 -3 +-3 2 +-3 -1 +-7 -3 +-4 0 +0 -3 +3 -2 +-2 -5 +1 -3 +3 2 +2 -2 +0 1 +-7 -5 +-6 0 +1 -2 +6 -6 +1 -6 +5 -7 +-3 -1 +-4 6 +3 3 +-2 2 +-3 -2 +-3 -5 +-2 -2 +1 -1 +4 -5 +1 -3 +2 6 +3 1 +4 -3 +4 1 +0 4 +-3 4 +-1 -7 +-1 -3 +-6 -1 +-11 1 +-6 4 +-6 1 +2 1 +2 1 +-1 2 +0 1 +0 9 +-7 0 +-2 -1 +6 -3 +3 -2 +-4 -6 +-6 -4 +-6 1 +-4 -1 +1 -4 +-4 -3 +0 3 +2 -5 +0 6 +0 -1 +1 -2 +-1 0 +-3 -2 +3 1 +3 -6 +6 -8 +-29 201 +-180 340 +54 373 +348 -232 +165 -410 +191 -289 +258 -191 +-96 5 +-242 -40 +-110 -120 +57 -57 +5 76 +-101 -14 +89 -104 +268 120 +538 31 +568 -202 +140 -152 +57 -133 +61 -165 +-341 -59 +-117 60 +330 131 +62 265 +-114 201 +-89 -103 +-50 75 +39 271 +-100 50 +29 6 +346 -87 +40 -64 +-336 -68 +-93 -392 +102 -370 +-36 -187 +-224 39 +-421 63 +-269 -306 +-171 -348 +-332 -134 +-214 314 +-312 457 +-444 -12 +-286 31 +-84 270 +255 365 +90 448 +-220 213 +-106 158 +38 183 +384 175 +274 309 +-109 184 +82 -149 +17 -296 +-143 -16 +-111 76 +-177 -191 +81 -122 +84 -47 +-328 -99 +-198 -214 +68 -275 +-12 174 +88 373 +209 48 +100 -36 +189 -40 +247 -369 +66 -648 +18 -341 +64 -84 +-45 -79 +-289 292 +-263 432 +28 26 +142 -80 +253 61 +507 -170 +465 -380 +75 -181 +3 -139 +-4 -167 +-351 68 +-97 97 +355 4 +150 222 +-40 227 +-113 -69 +-6 80 +136 228 +-73 70 +39 -14 +303 -205 +12 -79 +-349 51 +-229 -333 +-38 -385 +-99 -165 +-199 109 +-380 198 +-366 -194 +-289 -265 +-374 -7 +-104 364 +-136 522 +-429 129 +-267 124 +11 278 +372 248 +251 380 +-123 270 +-49 185 +97 159 +426 25 +364 198 +-41 219 +27 -162 +-87 -285 +-143 38 +-82 115 +-232 -119 +40 -148 +60 -80 +-346 12 +-255 -145 +-29 -288 +40 160 +206 311 +217 -34 +84 -71 +165 -101 +106 -434 +-162 -631 +-108 -325 +39 -94 +-60 -60 +-179 369 +-109 495 +40 13 +109 -127 +267 -27 +433 -332 +311 -511 +7 -192 +-43 -131 +-56 -163 +-312 171 +-46 122 +351 -113 +218 153 +35 207 +-132 -40 +16 80 +198 176 +-47 93 +32 -23 +222 -283 +-8 -73 +-310 155 +-308 -245 +-145 -346 +-152 -124 +-160 165 +-304 305 +-412 -77 +-362 -169 +-359 105 +10 383 +60 535 +-337 273 +-197 85 +-9 -69 +-128 -23 +-111 116 +-25 110 +-74 31 +109 -119 +374 21 +263 133 +-88 158 +-255 250 +-310 -170 +-386 -169 +138 527 +241 498 +-564 283 +-353 283 +405 -54 +355 47 +124 295 +-124 65 +-426 -56 +-419 -19 +-137 17 +82 -33 +72 -119 +-11 -120 +71 -185 +-4 -55 +-429 -5 +-386 -61 +-44 192 +0 244 +24 224 +67 268 +333 163 +384 192 +35 -8 +177 -193 +378 -106 +297 -254 +139 -311 +-78 -252 +-16 -241 +-51 -122 +-261 -68 +-162 -96 +200 -150 +343 -119 +23 -179 +-8 -318 +148 -208 +-61 -196 +-304 -263 +-362 -196 +-172 -94 +-195 38 +-391 78 +-355 200 +-246 229 +-26 168 +-71 269 +19 39 +558 -118 +450 -33 +22 -182 +-80 -39 +-57 134 +22 108 +-41 80 +60 -140 +354 -112 +287 33 +-28 182 +-150 319 +-355 -46 +-430 -11 +316 446 +427 370 +-410 423 +-211 259 +172 -165 +-159 -101 +-425 106 +-307 -152 +149 -517 +250 -294 +118 84 +39 161 +-263 -152 +-82 -208 +145 -11 +-246 -181 +-287 86 +-68 223 +-62 -125 +-3 92 +205 9 +168 -88 +-178 339 +-219 217 +-220 -12 +-78 -32 +435 163 +233 497 +-185 261 +-144 97 +4 303 +284 222 +73 139 +-216 319 +80 259 +142 44 +-165 123 +-292 131 +8 5 +330 40 +333 59 +285 -6 +185 -78 +68 -92 +-69 -293 +-122 -440 +8 -190 +-247 -146 +-454 -164 +-134 -24 +-122 99 +-355 344 +-203 347 +134 297 +326 470 +351 412 +327 -2 +233 -348 +59 -192 +-3 -202 +18 -281 +-167 100 +-364 153 +-170 4 +223 -106 +134 -391 +-205 -393 +-43 -293 +86 -324 +-189 -102 +-368 228 +-324 -44 +-18 -529 +143 -353 +148 50 +94 132 +-293 -58 +-147 -172 +130 -63 +-291 -89 +-237 154 +15 213 +-108 -91 +-13 125 +9 167 +-69 -19 +-10 223 +195 196 +414 -99 +282 -125 +237 -95 +17 -111 +-463 -165 +-142 -344 +203 -312 +-182 248 +-276 561 +-8 251 +-181 41 +-477 51 +-160 -93 +270 -138 +302 218 +110 315 +-85 0 +57 -96 +342 -105 +254 -186 +62 -331 +63 -235 +-102 60 +-267 -9 +-194 144 +-125 458 +77 360 +55 262 +-129 167 +217 173 +420 125 +182 135 +56 384 +18 2 +84 -129 +102 332 +-51 311 +-25 324 +253 120 +393 -186 +424 121 +379 8 +-148 -435 +-339 -393 +9 -154 +-154 -12 +-266 42 +-119 -69 +27 -288 +196 -294 +-143 -112 +-397 44 +-325 -48 +-212 -246 +-116 -72 +-321 18 +-264 -140 +-192 -150 +-294 -83 +36 181 +97 196 +-53 7 +83 198 +259 115 +360 -233 +226 -213 +196 -172 +-19 -101 +-488 7 +-245 -267 +85 -354 +-100 286 +-75 605 +100 230 +-122 98 +-326 217 +-509 175 +-382 -109 +292 -220 +350 -55 +165 -200 +134 -295 +-9 45 +112 319 +197 228 +139 24 +36 -19 +-72 164 +151 255 +31 -15 +-321 -203 +-268 79 +-498 243 +-408 -102 +286 -261 +340 -93 +153 -214 +112 -305 +-4 41 +138 309 +216 206 +137 7 +25 -15 +-63 176 +178 234 +35 -20 +-336 -177 +-261 103 +-473 284 +-410 -61 +277 -276 +343 -125 +131 -235 +89 -322 +8 41 +169 291 +230 186 +135 1 +23 -15 +-42 177 +195 222 +28 -18 +-351 -141 +-249 118 +-450 315 +-425 -33 +239 -304 +325 -155 +121 -244 +64 -326 +5 40 +191 279 +247 167 +133 -10 +20 -19 +-28 179 +222 202 +35 -24 +-359 -117 +-239 142 +-418 356 +-413 8 +219 -313 +314 -171 +102 -241 +42 -315 +7 41 +212 261 +261 146 +132 -24 +19 -23 +-27 185 +203 202 +2 -26 +-322 -121 +33 -59 +315 28 +36 7 +-142 59 +174 397 +208 187 +264 36 +315 164 +220 116 +317 204 +24 -24 +91 -137 +274 -94 +231 -84 +414 -100 +181 -156 +163 -237 +166 -266 +-142 -32 +159 134 +376 193 +68 -3 +-247 7 +-501 -18 +-256 -48 +134 259 +-153 14 +-352 -82 +-140 -282 +-24 -518 +-68 -136 +95 -160 +183 -190 +-76 105 +-133 454 +-177 525 +-92 155 +179 -119 +328 -94 +142 -177 +-170 -350 +-165 3 +-378 190 +-468 -160 +-267 74 +-210 375 +64 43 +319 47 +202 136 +-117 -304 +-156 -522 +-113 -284 +-82 -71 +296 88 +304 95 +8 41 +99 334 +-119 317 +-419 187 +-26 151 +152 -22 +-211 198 +-508 173 +-303 73 +136 41 +339 -112 +68 -31 +-127 93 +288 305 +275 114 +270 -53 +354 50 +248 45 +367 97 +17 -31 +49 -161 +241 -183 +202 -175 +355 -249 +107 -196 +-55 -67 +56 59 +256 301 +101 487 +10 266 +346 -292 +148 -382 +70 -16 +21 276 +-81 -114 +32 -479 +-191 -332 +43 -132 +209 178 +-33 -62 +-263 -399 +-261 -100 +132 142 +137 370 +68 435 +150 49 +199 144 +97 201 +-39 60 +-17 439 +45 350 +157 198 +91 33 +96 -289 +-171 -177 +-513 -249 +-202 -94 +-32 219 +-260 265 +-189 261 +38 123 +-294 52 +-351 -37 +55 -315 +85 -504 +174 -275 +222 -102 +62 -187 +-163 49 +-391 282 +-315 32 +-313 -108 +-259 -87 +171 -389 +161 -325 +-81 -4 +175 -141 +370 -63 +230 128 +154 -361 +181 -482 +-79 -106 +-289 -97 +-73 158 +125 285 +257 228 +225 163 +43 58 +51 299 +105 183 +106 57 +318 211 +239 412 +85 247 +241 -370 +17 -397 +54 -35 +109 255 +-107 -81 +-115 -454 +-288 -246 +-8 -140 +258 97 +-38 -33 +-348 -263 +-219 -2 +-50 -50 +-216 -481 +-289 -754 +-224 -422 +168 -74 +257 -66 +68 -91 +-142 -50 +-160 -23 +92 -41 +24 126 +8 -53 +18 -198 +-62 -92 +102 -263 +344 -246 +321 -121 +379 40 +285 161 +-130 68 +-160 75 +-126 63 +-168 53 +-294 178 +-184 123 +95 -21 +-102 126 +-220 123 +-206 -76 +-289 -112 +-148 41 +29 310 +39 274 +168 313 +-66 495 +-59 212 +305 50 +-82 -73 +-137 -191 +159 20 +316 -39 +264 -42 +-28 0 +-195 -333 +-288 -419 +214 -156 +517 108 +378 246 +161 144 +58 -118 +305 -148 +221 -130 +-79 -175 +-432 -193 +-219 -127 +370 92 +390 27 +3 337 +-347 572 +-256 322 +-8 365 +150 16 +128 -91 +98 93 +-25 -101 +-349 -389 +-532 -608 +-350 -307 +150 -115 +222 -147 +30 -108 +-147 -6 +-151 30 +76 -70 +68 100 +1 -71 +-36 -199 +-75 -59 +-13 -204 +16 17 +33 23 +-2 -86 +-127 -16 +-20 -65 +-132 -263 +-147 -633 +114 -336 +3 -167 +97 -208 +140 -16 +80 -26 +-2 179 +-155 29 +145 -381 +255 -150 +-111 -52 +-441 -342 +-235 -484 +210 -97 +463 228 +503 -70 +51 143 +-444 412 +-501 205 +-216 351 +-55 352 +113 181 +324 165 +257 66 +180 -32 +183 -30 +263 12 +64 -22 +44 -91 +20 -173 +-176 -358 +175 -191 +12 238 +-22 349 +397 497 +188 64 +70 -509 +52 -72 +118 68 +276 -131 +119 78 +-226 293 +-488 87 +-195 -108 +69 -23 +6 -155 +47 -177 +-216 -332 +-488 -328 +-462 79 +-246 229 +48 304 +160 256 +338 194 +198 177 +-193 71 +-85 -115 +232 101 +213 399 +74 -6 +20 -71 +-124 40 +-127 309 +-209 254 +123 279 +360 -67 +241 -382 +-218 -151 +-229 -310 +-147 -246 +-274 -41 +-38 -259 +145 23 +-60 363 +-445 449 +-497 142 +-55 -149 +59 68 +-134 -124 +-110 165 +-121 441 +-100 99 +-96 84 +-74 -33 +56 -55 +139 -152 +15 -369 +-85 -155 +44 123 +32 52 +62 125 +191 431 +33 213 +-97 -36 +140 -159 +140 -300 +-173 -304 +-35 -103 +363 54 +661 -286 +586 -390 +287 -170 +145 -49 +13 -284 +-89 -405 +-196 -129 +121 88 +277 415 +-144 245 +-40 17 +137 137 +22 205 +-20 239 +-110 -114 +-355 -17 +-617 362 +-380 184 +304 -139 +537 -35 +299 246 +140 -68 +-168 -243 +-22 -124 +240 -96 +-61 -7 +65 -107 +-73 -98 +-281 -76 +-15 -71 +-296 158 +-529 242 +-420 355 +-300 532 +-20 318 +269 -296 +314 -567 +119 -512 +57 -427 +-192 273 +-515 324 +-132 -152 +91 48 +-159 -66 +-35 192 +39 449 +-60 126 +-59 124 +-85 -3 +39 -71 +95 -192 +-111 -341 +-122 -89 +88 112 +50 -9 +69 -200 +65 -280 +10 -111 +148 276 +368 191 +365 -100 +-63 -19 +-156 102 +218 -33 +542 -302 +408 -84 +-6 8 +-90 84 +-351 356 +-429 257 +144 198 +162 -23 +-59 -3 +-32 247 +-73 13 +-102 74 +-204 66 +-136 -237 +-114 20 +-119 -106 +-7 -202 +-240 294 +-423 127 +-140 -51 +93 -30 +-91 -164 +-278 -78 +-442 54 +-321 50 +36 131 +85 291 +-125 192 +-444 165 +-255 8 +40 -179 +179 -49 +419 -88 +367 -309 +256 -405 +-86 -146 +-466 -32 +-474 -150 +-156 -97 +84 259 +-97 298 +-39 72 +-33 208 +-252 -97 +-284 -278 +-133 41 +248 215 +268 -8 +43 -180 +195 -18 +302 -212 +225 -3 +254 -41 +222 -425 +247 -181 +277 -238 +19 -327 +-19 -86 +240 213 +411 57 +315 -204 +-69 4 +-118 147 +188 -101 +412 -470 +363 -227 +6 9 +-61 110 +-232 453 +-341 403 +205 188 +279 141 +332 -63 +568 -278 +441 -162 +493 -14 +224 179 +-131 103 +-160 -2 +-243 183 +-9 198 +268 115 +83 90 +-105 -78 +-14 -119 +-242 291 +-358 251 +5 -87 +128 35 +-110 263 +-218 360 +-20 190 +-31 -121 +-46 -384 +120 -361 +74 -60 +-191 -84 +-245 -287 +-143 -109 +-292 66 +-364 -189 +-190 -247 +133 58 +81 255 +-189 550 +-179 436 +-134 38 +-97 78 +2 -261 +205 -420 +-1 -202 +54 -530 +263 -360 +67 103 +119 223 +-278 386 +-275 391 +262 368 +191 355 +110 0 +0 -448 +-240 -235 +-407 219 +-220 115 +-33 -237 +-54 -511 +156 -626 +201 -350 +21 100 +125 177 +245 287 +-43 29 +-191 -377 +-111 -59 +-64 88 +-9 138 +227 -89 +452 -450 +379 -295 +449 -173 +254 94 +-96 140 +-154 40 +-175 239 +58 192 +287 23 +106 51 +-118 -36 +-42 -95 +-137 359 +-312 359 +-294 -211 +-84 -295 +65 -154 +-20 -214 +-78 -197 +-415 -123 +-337 -194 +86 -196 +227 57 +324 151 +-1 32 +-313 138 +-37 149 +-70 148 +51 507 +519 462 +194 3 +-146 -84 +10 300 +160 454 +300 30 +365 -118 +251 145 +2 239 +-58 18 +-142 47 +-276 318 +-138 -40 +65 -143 +121 -62 +178 -276 +220 -78 +93 37 +27 91 +-175 -109 +-209 -94 +-271 250 +-490 -275 +-95 -263 +-111 322 +-354 374 +-183 316 +-132 -18 +-119 -223 +-217 -167 +-107 -49 +62 93 +83 32 +-61 -1 +-97 -92 +373 -231 +413 -247 +53 -266 +-31 -220 +59 21 +63 231 +-266 -23 +-221 -103 +69 145 +30 -84 +6 -406 +-137 -356 +56 -51 +224 158 +-296 -90 +-218 -300 +46 -207 +-84 -195 +-143 -158 +-449 24 +-393 -68 +10 -215 +238 -28 +365 30 +10 34 +-253 226 +6 144 +-38 168 +220 446 +679 255 +367 -119 +212 -78 +19 236 +68 410 +352 252 +-62 -268 +-90 -474 +112 -354 +171 -391 +209 -109 +134 98 +-9 -147 +1 -132 +147 -101 +63 -314 +213 -479 +244 -286 +-83 -168 +-332 -268 +-445 100 +-458 186 +-283 40 +52 171 +62 -112 +178 -334 +276 -29 +-99 181 +-384 88 +-235 -25 +-120 -136 +-117 -5 +-79 343 +-190 453 +176 295 +318 156 +3 -90 +-71 -234 +-182 169 +112 309 +259 252 +113 235 +229 -22 +175 -96 +-34 -152 +-88 -10 +164 114 +441 223 +437 301 +301 138 +-84 598 +-539 673 +-368 41 +-251 -60 +-55 -124 +428 -226 +17 34 +-310 34 +-43 -260 +-127 -277 +-224 -33 +-80 79 +-262 -115 +-263 -187 +-51 -1 +-115 -58 +142 -96 +101 246 +210 355 +428 101 +-150 -231 +-240 -414 +-2 -368 +35 -421 +169 -166 +167 46 +-51 -139 +-29 -128 +119 -149 +-53 -336 +-23 -491 +-173 -357 +-283 84 +-218 262 +-254 -148 +151 1 +190 115 +47 -101 +111 -172 +101 -654 +141 -460 +-41 -249 +200 -147 +231 234 +-155 -126 +-385 -255 +-740 219 +-196 274 +137 -57 +-312 -176 +-101 -112 +98 -17 +85 -100 +325 -218 +375 -311 +243 -303 +128 29 +-15 -21 +4 -34 +-68 149 +-117 76 +42 47 +-170 17 +-206 -139 +156 -300 +233 -305 +11 2 +-70 204 +38 -84 +36 88 +-7 454 +68 534 +90 630 +-59 315 +-30 211 +-33 137 +-50 -16 +240 -159 +-10 -468 +-280 -317 +-15 -398 +-263 -323 +-272 96 +159 61 +108 135 +120 164 +151 1 +104 130 +55 222 +-65 277 +-171 254 +-257 11 +178 250 +394 395 +-3 124 +-278 3 +-161 159 +-130 339 +-314 -37 +131 -43 +223 52 +16 -119 +53 -208 +-113 -644 +-16 -469 +-122 -222 +140 -210 +296 138 +-186 -74 +-478 -127 +-662 439 +-267 383 +34 105 +-29 66 +-41 16 +-23 3 +-18 9 +-9 10 +-11 10 +-10 9 +-8 11 +-7 11 +-11 1 +-7 1 +-6 2 +-6 3 +-4 3 +-10 1 +-12 3 +-13 7 +-7 0 +9 -8 +13 -6 +15 -3 +14 -2 +15 -9 +13 -11 +9 -11 +4 -9 +5 -5 +8 -4 +0 -7 +-3 -8 +0 -6 +-7 -5 +-3 -1 +-1 -4 +-2 -7 +1 -5 +1 -7 +3 -8 +2 -4 +9 -5 +4 -5 +3 0 +-1 4 +-6 -2 +-1 -13 +3 -8 +1 -6 +-1 -2 +-3 0 +-4 -3 +-2 -7 +1 -1 +2 2 +0 -4 +1 -1 +-4 -1 +-7 -6 +1 -5 +-2 4 +1 -6 +-3 -9 +-3 -9 +-3 -1 +1 -3 +1 -5 +-3 -1 +-6 4 +-7 -1 +-7 -8 +-4 -10 +-4 -2 +-3 1 +-5 0 +0 -4 +-3 -2 +-5 5 +-6 3 +0 -6 +5 -2 +-2 3 +-1 -1 +1 0 +1 1 +3 -5 +-3 -9 +-8 -6 +-12 1 +-5 3 +-2 -4 +1 -8 +1 -4 +2 0 +1 -1 +-6 -5 +-4 0 +1 2 +2 8 +-4 3 +-10 -4 +-2 1 +-8 -6 +-2 -8 +0 -2 +-6 0 +-3 0 +-2 2 +0 -4 +-2 -1 +-3 -7 +1 -9 +6 -10 +-2 -9 +-6 -2 +-1 -4 +-4 -3 +-14 0 +-15 -4 +-6 -3 +4 2 +-1 -2 +-11 -3 +-13 5 +0 7 +4 1 +3 -4 +5 -4 +1 -1 +4 -3 +4 -4 +-1 -7 +-3 -4 +-9 1 +-6 3 +-2 2 +1 -3 +6 -4 +3 2 +3 -3 +5 -4 +1 -6 +3 -2 +-5 0 +-3 -1 +1 0 +2 -2 +4 -8 +9 -4 +8 3 +0 -1 +-5 -2 +0 -9 +3 -10 +-2 -4 +6 -5 +6 -6 +4 2 +2 1 +3 -3 +0 -1 +-6 2 +-5 -3 +-4 -6 +-3 -6 +3 -6 +0 -8 +3 4 +4 6 +0 -9 +-2 -9 +-4 -5 +-2 -3 +-3 -2 +-2 -4 +3 -7 +0 1 +2 2 +0 -4 +0 -1 +2 -3 +4 -3 +7 -5 +5 -7 +4 -5 +7 -6 +1 -3 +-7 -4 +-11 -1 +-5 -4 +-1 -9 +-3 -6 +-8 -5 +1 -1 +-2 -1 +-3 6 +1 7 +7 3 +-2 -4 +-2 -1 +-1 1 +-3 3 +0 0 +0 2 +-4 5 +-4 6 +-5 3 +-2 1 +-2 -5 +-7 -7 +-7 -1 +-7 -5 +-7 -14 +-1 -8 +-2 -12 +-1 -10 +3 0 +3 8 +-3 3 +-6 -7 +-1 -5 +-3 0 +-6 -6 +-1 -3 +-1 -5 +-3 -7 +-2 -4 +-5 6 +-5 1 +0 -4 +-4 -8 +-5 1 +4 1 +2 5 +-3 7 +-7 2 +-6 2 +-2 1 +-2 -1 +-2 -5 +-3 -5 +-6 -3 +-1 -4 +5 1 +-2 3 +-7 -9 +-3 -1 +2 2 +1 0 +2 3 +0 -3 +2 -9 +4 -3 +7 2 +2 -1 +-3 -1 +2 3 +-3 0 +-3 0 +-4 1 +-10 -3 +-6 -1 +-3 4 +-3 3 +2 -6 +3 -6 +1 3 +-4 -5 +-7 -4 +-4 -5 +-2 0 +2 0 +0 -5 +-4 1 +-2 -1 +-4 -10 +-5 -6 +-7 -1 +-4 0 +-3 -4 +-2 -5 +1 -10 +5 -6 +4 -2 +-1 -5 +-1 -7 +2 -5 +-7 -7 +-9 -3 +-9 0 +-3 -2 +-5 3 +-4 3 +-1 -5 +-5 1 +-8 0 +-1 -1 +6 1 +2 -7 +3 -6 +7 -5 +2 1 +-3 1 +-3 1 +1 -2 +2 1 +-4 6 +0 7 +7 0 +9 -5 +0 1 +-3 8 +-4 -1 +-5 -4 +7 2 +3 2 +-4 1 +-7 2 +5 4 +0 4 +-9 12 +-3 18 +3 19 +-5 16 +-15 8 +-90 -186 +377 -428 +785 90 +-496 726 +-1296 745 +-1354 766 +-506 1092 +1137 258 +530 -629 +-115 509 +879 607 +333 -1055 +-634 -1421 +-598 -1268 +-43 -826 +604 481 +125 527 +278 -363 +811 -69 +-344 819 +-1184 974 +-1244 990 +-344 1179 +1179 79 +436 -724 +-30 515 +979 459 +161 -1101 +-865 -1308 +-813 -1164 +-171 -819 +696 374 +219 500 +216 -412 +801 -213 +-207 865 +-1023 1172 +-1075 1193 +-137 1218 +1203 -131 +323 -783 +73 529 +1071 280 +-30 -1117 +-1103 -1142 +-1019 -1001 +-314 -762 +767 254 +302 457 +146 -445 +766 -340 +-54 907 +-816 1328 +-860 1359 +72 1229 +1178 -333 +180 -827 +143 514 +1105 106 +-221 -1099 +-1298 -956 +-1196 -833 +-456 -720 +797 115 +376 401 +65 -460 +700 -469 +98 906 +-580 1458 +-638 1500 +274 1205 +1111 -540 +31 -840 +247 488 +1136 -99 +-402 -1045 +-1450 -696 +-1322 -593 +-577 -607 +806 -28 +452 309 +-3 -470 +609 -577 +242 873 +-324 1522 +-366 1573 +488 1127 +1022 -707 +-100 -832 +315 432 +1101 -270 +-569 -960 +-1549 -468 +-1401 -378 +-655 -516 +796 -147 +495 244 +-78 -464 +515 -664 +363 803 +-111 1538 +-145 1599 +634 1039 +912 -841 +-229 -808 +372 371 +1050 -429 +-703 -872 +-1589 -258 +-1440 -195 +-731 -419 +764 -251 +520 168 +-137 -451 +424 -728 +476 741 +104 1530 +82 1596 +781 945 +796 -957 +-330 -777 +416 308 +983 -569 +-817 -759 +-1615 -15 +-1457 34 +-779 -302 +737 -356 +552 99 +-198 -422 +311 -772 +571 675 +325 1486 +304 1549 +907 820 +660 -1045 +-440 -704 +458 256 +909 -692 +-906 -628 +-1612 223 +-1452 252 +-830 -176 +668 -469 +558 14 +-260 -384 +199 -818 +685 567 +564 1429 +561 1500 +1049 660 +489 -1147 +-550 -631 +507 165 +792 -843 +-1015 -477 +-1575 477 +-1406 463 +-852 -56 +604 -588 +743 -464 +555 -526 +1384 7 +1622 -695 +799 -1188 +-73 155 +-521 -91 +-878 -1299 +-1546 -548 +-1201 87 +94 -637 +547 -271 +1059 511 +1004 -773 +145 -1432 +618 -507 +509 325 +266 1166 +1326 152 +905 -443 +-162 564 +-849 -207 +-1461 -182 +-586 846 +338 979 +-370 776 +-1301 -93 +-1132 317 +-30 868 +1041 413 +461 708 +-892 1098 +-34 1442 +1469 435 +1483 -773 +711 313 +114 992 +729 232 +716 -974 +256 -1465 +759 -386 +822 449 +859 -313 +238 -1455 +-137 -961 +545 39 +-423 665 +-251 1279 +1144 590 +339 447 +-619 549 +-1274 -221 +-1034 689 +321 1223 +-136 367 +-717 -164 +219 -1177 +-170 -1739 +-1224 -1226 +-227 -540 +333 18 +-1039 480 +-936 1391 +-54 1468 +-723 22 +-442 -862 +1153 -841 +905 -1490 +-132 -1408 +25 147 +-465 241 +-1510 -462 +-1590 540 +-915 812 +-317 -554 +274 -555 +1166 -275 +335 -1239 +-765 -1206 +185 -785 +602 -79 +931 718 +1162 -721 +452 -907 +227 539 +-806 369 +-1285 778 +77 1014 +883 548 +190 847 +-1106 761 +-712 965 +533 674 +1074 -358 +815 248 +0 1404 +905 1100 +1453 -642 +681 -1542 +785 -216 +738 681 +718 -298 +-56 -1206 +-744 -1264 +363 -780 +959 -200 +497 -812 +-748 -1277 +-729 -648 +471 -325 +106 769 +622 1116 +1287 -297 +552 126 +-130 818 +-1149 646 +-388 1177 +1048 717 +123 352 +-679 322 +-571 -1036 +-1242 -1208 +-1775 -156 +-526 -258 +287 -183 +-521 1012 +111 1652 +867 1174 +-569 461 +-886 -404 +420 -1368 +-173 -1712 +-982 -1009 +90 107 +-237 479 +-1515 553 +-967 1373 +-247 1178 +-602 -246 +-125 -600 +779 -918 +-485 -1149 +-1367 -457 +-326 -716 +441 -425 +1200 -14 +501 -1276 +-200 -979 +492 285 +-446 782 +-598 1381 +664 742 +1055 -111 +660 542 +-450 1256 +-13 1179 +833 209 +683 -927 +825 -285 +814 1149 +1256 834 +988 51 +-73 4 +-987 264 +-821 -370 +15 541 +318 1821 +222 1453 +737 737 +317 -229 +-652 -280 +-42 -135 +-208 -533 +-1037 -917 +-818 -1249 +646 230 +2421 23 +1199 -2344 +-85 -1134 +726 1174 +498 1381 +455 463 +296 -150 +-118 498 +126 -86 +-508 -1032 +-1360 -727 +-1629 -905 +-614 -305 +147 882 +-557 760 +-154 -16 +-95 -1148 +-728 -1239 +-437 220 +-760 -180 +-1241 -1334 +-867 -486 +-481 -344 +-941 -137 +-702 1568 +483 1445 +-21 213 +-366 -381 +631 -1064 +938 -874 +914 204 +807 366 +693 -510 +298 -834 +-676 -696 +-682 -817 +364 -1139 +782 -986 +371 201 +-203 264 +-1384 -3 +-1445 1123 +372 1017 +643 307 +637 553 +1649 702 +528 551 +-241 316 +1196 299 +1152 -135 +-26 87 +-636 799 +-873 217 +351 402 +1378 1178 +1078 957 +1057 97 +127 -374 +-693 182 +-125 -75 +-490 -277 +-1387 -83 +-1418 -474 +643 -161 +1820 -521 +-290 32 +-1663 649 +-1059 1022 +295 1222 +828 729 +1460 169 +343 54 +-1122 228 +-455 -85 +147 327 +982 846 +1542 -444 +810 -1800 +233 -1740 +-312 -1252 +-316 -1841 +53 -2228 +324 -1575 +406 -1413 +-179 -295 +527 1300 +1263 636 +-463 -655 +-1329 -181 +256 409 +849 -856 +-66 -615 +266 709 +936 -564 +941 -1097 +647 -124 +-480 187 +-382 -15 +61 -698 +-992 -303 +-751 580 +207 596 +-190 399 +-527 890 +381 1469 +910 541 +105 428 +-149 510 +-407 -892 +-511 -899 +-16 -418 +-1035 835 +-1251 2167 +-71 1295 +-124 1295 +-474 479 +-869 -1004 +-441 365 +882 371 +493 -1163 +-540 -1414 +-1080 -1208 +-1435 -96 +-644 806 +221 211 +503 -514 +997 -304 +832 932 +523 1703 +164 1377 +-797 1445 +-172 1473 +992 770 +1096 48 +1270 -771 +304 -176 +-773 854 +-419 209 +321 171 +1317 54 +983 -1293 +-465 -1897 +-892 -1477 +-1018 -751 +-1232 -769 +-682 -80 +-296 410 +-255 -31 +88 229 +-198 -429 +-1020 -559 +-417 435 +127 -77 +-543 -424 +-730 -331 +-474 507 +1020 1383 +1402 -183 +225 -484 +974 406 +598 -53 +-287 85 +1120 -158 +1726 -766 +1392 -931 +1079 -792 +55 448 +-1299 501 +-1305 -1123 +-474 -1352 +-413 15 +105 272 +143 -832 +-43 -702 +652 -20 +-168 -206 +-1007 -320 +-720 -789 +-841 -1024 +-887 -184 +68 -243 +1320 -523 +406 593 +-390 764 +1056 -102 +1091 -301 +-507 -575 +-1390 -290 +-400 92 +583 -606 +-816 -341 +-1410 1099 +379 1652 +1873 930 +1293 497 +-127 1119 +-24 1076 +736 216 +922 226 +243 385 +-932 -87 +-1060 462 +-232 865 +762 -83 +927 -99 +109 -564 +-584 -809 +-1280 1178 +-1365 1485 +-291 584 +39 442 +-238 143 +192 128 +-437 -215 +-1185 183 +-67 579 +58 -150 +-713 3 +-804 195 +-71 680 +1665 417 +1010 -1018 +-112 -509 +1052 -308 +625 -495 +471 370 +1568 672 +1359 374 +811 798 +278 1498 +-311 568 +-748 -214 +-892 -187 +198 -686 +914 -650 +1261 -215 +1952 -1169 +789 -2378 +-1055 -1296 +-1294 53 +-129 136 +639 305 +98 -459 +336 -677 +204 517 +-571 428 +270 -90 +249 385 +-232 728 +-13 -312 +-982 -630 +-1115 1016 +-1645 974 +-2876 479 +-419 1635 +1710 1748 +178 1214 +-316 681 +732 -222 +1046 -295 +586 390 +354 711 +521 564 +-331 3 +-1321 -351 +-652 678 +327 143 +-293 -1598 +-1404 -301 +-1565 595 +-1574 -17 +-744 -77 +484 -897 +-449 -451 +-1317 749 +-796 886 +-604 711 +103 -222 +523 68 +291 1128 +1069 96 +1367 -1742 +665 -1875 +606 -524 +646 -694 +-385 -1143 +-893 139 +71 612 +427 280 +807 35 +1706 -394 +1354 -493 +1128 163 +1096 1030 +76 626 +-738 251 +-847 351 +-228 -671 +386 -1048 +928 -898 +952 -2052 +-726 -2346 +-1632 -414 +-1063 803 +-419 276 +-40 16 +28 48 +6 24 +2 -8 +5 -10 +-3 -1 +1 -5 +1 -9 +-4 -9 +0 -6 +2 -9 +1 -7 +3 5 +-1 1 +-1 -6 +-1 -5 +-6 -6 +5 -6 +7 -6 +-2 -7 +-6 -1 +-4 1 +-1 -1 +-4 -7 +-8 -3 +-5 -4 +-4 5 +2 8 +-5 10 +-6 5 +-3 -5 +-6 -5 +-5 -1 +-7 -7 +-2 -2 +-2 -1 +-2 -9 +-2 -5 +-4 -3 +2 -2 +0 -4 +-2 -9 +2 -7 +2 -5 +0 2 +-6 1 +-4 -5 +-2 0 +-1 -2 +-1 -8 +-6 -13 +-7 -5 +-6 -1 +-1 -1 +1 -5 +5 -3 +-1 -4 +-2 -5 +-2 1 +-2 -2 +-5 -3 +-2 -4 +-1 2 +-2 0 +-5 2 +-6 7 +-3 4 +1 -1 +1 -7 +0 -2 +-3 4 +-5 3 +-2 0 +0 -7 +-7 -7 +-6 0 +0 -2 +2 -4 +1 -1 +-1 -3 +2 -5 +-1 -7 +-1 -7 +3 -2 +4 -3 +-1 -6 +-2 0 +2 5 +0 8 +-5 12 +-7 5 +-7 -7 +2 -6 +3 -5 +-3 -4 +0 -3 +1 -4 +-2 7 +4 10 +7 -1 +5 -6 +-2 -4 +4 0 +3 -5 +-1 -4 +-1 1 +0 5 +0 0 +5 0 +3 -6 +-1 -2 +-1 0 +-3 -3 +-3 -4 +1 -8 +2 -5 +-6 2 +-12 14 +-8 14 +-8 2 +-8 8 +-64 -35 +-85 -351 +681 -452 +460 331 +-920 960 +-1329 905 +-1138 1124 +388 936 +1208 -497 +-35 -367 +416 863 +931 -207 +-407 -1449 +-955 -1288 +-670 -1202 +264 -386 +659 653 +2 118 +581 -558 +561 222 +-722 1135 +-1173 1160 +-936 1351 +559 873 +1106 -726 +-123 -366 +572 768 +889 -382 +-675 -1343 +-1183 -1086 +-893 -1053 +191 -421 +773 531 +28 128 +495 -651 +609 122 +-512 1239 +-962 1338 +-709 1486 +707 753 +1004 -914 +-173 -336 +713 667 +834 -537 +-915 -1227 +-1385 -878 +-1086 -907 +120 -446 +883 400 +50 106 +362 -754 +625 12 +-288 1332 +-715 1501 +-435 1587 +837 614 +836 -1069 +-235 -291 +818 537 +742 -676 +-1121 -1050 +-1544 -626 +-1240 -704 +41 -490 +944 216 +68 102 +233 -794 +611 -90 +-62 1370 +-454 1608 +-171 1645 +936 460 +630 -1197 +-286 -246 +916 384 +625 -790 +-1294 -827 +-1619 -337 +-1345 -454 +-55 -459 +966 48 +94 72 +99 -818 +593 -197 +184 1336 +-157 1644 +140 1630 +1026 265 +433 -1295 +-320 -197 +967 217 +476 -869 +-1410 -598 +-1653 -78 +-1400 -252 +-129 -445 +957 -78 +98 64 +-11 -808 +559 -258 +357 1305 +59 1646 +351 1604 +1058 146 +256 -1329 +-361 -149 +999 74 +357 -938 +-1501 -402 +-1672 157 +-1441 -45 +-203 -417 +936 -225 +108 41 +-147 -805 +510 -368 +565 1213 +327 1605 +603 1507 +1065 -36 +40 -1355 +-372 -101 +1005 -93 +202 -986 +-1546 -152 +-1624 430 +-1434 193 +-259 -391 +902 -383 +110 23 +-291 -770 +443 -425 +755 1119 +575 1529 +836 1381 +1062 -200 +-157 -1332 +-389 -34 +968 -226 +51 -991 +-1567 75 +-1562 662 +-1395 396 +-312 -349 +840 -500 +126 19 +-382 -707 +384 -479 +909 988 +787 1420 +1031 1257 +1025 -343 +-362 -1269 +-393 32 +933 -381 +-92 -987 +-1538 325 +-1442 904 +-1317 624 +-359 -284 +770 -757 +438 -646 +772 -524 +1657 -462 +1105 -1496 +215 -827 +-225 471 +-837 -535 +-1505 -914 +-1696 328 +-731 21 +193 -799 +747 60 +1294 -90 +279 -1459 +-73 -1159 +728 -352 +391 713 +925 866 +1347 -743 +397 -83 +-350 559 +-1355 -212 +-1272 626 +160 1016 +424 965 +-778 637 +-1489 180 +-646 921 +654 601 +1186 215 +5 967 +-592 1526 +1017 1144 +1636 -651 +1138 -827 +540 750 +437 757 +874 -444 +120 -1472 +127 -1258 +894 -69 +928 162 +561 -1052 +-476 -1468 +65 -527 +404 224 +-514 1213 +714 1068 +1152 127 +-12 712 +-868 407 +-1484 268 +-206 1293 +605 845 +-576 284 +-525 -413 +-35 -1657 +-1160 -1453 +-1348 -705 +212 -330 +-117 233 +-1158 1153 +-104 1796 +13 1073 +-1044 -293 +27 -912 +1157 -1345 +5 -1794 +-357 -775 +98 504 +-1016 70 +-1808 177 +-1199 1281 +-601 460 +-354 -749 +641 -424 +996 -866 +-679 -1305 +-787 -853 +368 -732 +766 301 +1289 88 +616 -1400 +268 -300 +72 652 +-1227 696 +-639 1293 +783 687 +963 482 +-213 997 +-1084 1083 +69 1103 +915 37 +1089 -575 +604 749 +475 1538 +1533 222 +897 -1531 +399 -1332 +922 245 +840 311 +422 -890 +-822 -1197 +-669 -1054 +688 -615 +855 -458 +-192 -1169 +-1292 -844 +-269 -446 +472 -77 +348 1248 +1253 357 +1009 -626 +431 557 +-420 862 +-997 1141 +676 1117 +1040 246 +-271 570 +-664 24 +-1046 -1237 +-1832 -395 +-1514 285 +-20 -385 +54 254 +-243 1598 +1015 1464 +673 822 +-1026 374 +-514 -743 +171 -1749 +-1065 -1438 +-764 -407 +370 349 +-797 643 +-1405 1181 +-259 1712 +-217 709 +-716 -398 +291 -697 +328 -1272 +-1333 -651 +-1152 -226 +-115 -793 +794 -190 +1145 -656 +-253 -1496 +56 -402 +422 484 +-664 1239 +167 1398 +1044 123 +1083 -141 +370 925 +-319 1478 +664 860 +797 -477 +600 -1065 +931 286 +1223 1072 +1288 154 +140 29 +-950 -733 +-1378 -1699 +-848 -702 +774 837 +1676 755 +750 -721 +550 -927 +308 628 +-1156 566 +-1156 -15 +0 632 +905 -150 +1046 -649 +984 30 +805 -629 +-168 -1100 +248 -965 +482 -898 +-611 -188 +-113 952 +-153 1340 +-765 -9 +483 -688 +883 -470 +-463 -1470 +-995 -1324 +262 485 +1628 1505 +1169 1208 +54 102 +-217 -927 +-238 -540 +648 128 +1237 -1337 +-329 -1548 +-730 -157 +109 -879 +-266 -988 +-627 -210 +-835 532 +-200 1609 +396 924 +-238 844 +439 1296 +981 518 +-211 1188 +-1204 2269 +-1122 1427 +-883 -212 +-1385 78 +-899 930 +-638 344 +-1251 798 +-208 1131 +621 406 +921 -232 +937 -912 +283 39 +1127 -28 +881 -1752 +-550 -1035 +125 -58 +860 -321 +198 89 +-1233 10 +-2151 -504 +-1115 -43 +1120 193 +1826 -399 +188 -1024 +-112 -1053 +618 307 +-616 1122 +-973 675 +368 498 +654 -666 +467 -1139 +900 -598 +694 -1366 +-888 -1162 +-742 1054 +579 1313 +-779 -424 +-1354 -1138 +-477 -911 +-626 -447 +-446 -511 +1379 -1677 +1341 -2423 +-421 -934 +-29 466 +-247 -87 +-529 -167 +756 138 +691 -423 +379 -741 +-122 -119 +-588 578 +-207 477 +-41 1190 +1030 2508 +1403 1902 +235 149 +-598 -558 +-984 124 +-1181 1047 +-1125 1032 +49 -114 +151 -575 +-702 1088 +80 1907 +160 454 +276 -653 +827 -146 +892 735 +1828 406 +928 407 +-347 992 +213 -203 +-404 -1517 +-927 -1364 +-777 -806 +-499 -29 +276 283 +-433 131 +-706 104 +778 126 +327 586 +-858 596 +-165 -495 +-71 -766 +-704 262 +-204 1243 +865 1484 +719 833 +-496 238 +436 -423 +1238 -1309 +100 -1546 +428 -1536 +115 -590 +-645 -214 +-560 -1565 +-1370 -517 +62 1331 +1252 686 +-915 122 +-1807 -76 +-953 -424 +-793 43 +-671 -120 +104 -2149 +-376 -2708 +-902 -482 +269 375 +-253 96 +-530 203 +691 -386 +253 -569 +-208 404 +346 873 +489 -403 +-466 -926 +-281 139 +-162 998 +-1835 1260 +-1444 1056 +295 1240 +483 820 +107 419 +835 1661 +2176 565 +587 -1080 +-1241 -264 +-989 272 +-700 1090 +870 -97 +132 -2051 +-1861 -487 +-184 413 +-118 -116 +-1448 52 +-1037 633 +-1489 1483 +-1773 640 +-469 -219 +970 375 +983 341 +92 -178 +-19 110 +154 671 +-303 -100 +-649 -966 +254 -896 +597 -809 +-393 225 +-184 1255 +528 352 +999 -1032 +1968 -1054 +1800 -904 +267 -1289 +-307 -588 +411 153 +738 339 +599 1062 +236 982 +-452 296 +-749 77 +-740 -333 +-339 -828 +-155 -961 +-930 -1612 +-863 -2355 +259 -1224 +487 116 +0 633 +130 886 +121 -601 +-309 -1602 +10 -412 +32 273 +-513 60 +30 431 +861 442 +155 -583 +-958 -411 +-149 265 +481 853 +-681 2108 +-504 1702 +1003 764 +902 327 +348 256 +1710 755 +2110 -914 +-189 -1176 +-1123 548 +-214 234 +891 83 +278 491 +-1409 285 +-1776 82 +-1660 436 +-176 1088 +1283 201 +38 -297 +-165 1126 +1024 329 +543 -1605 +-80 -1755 +53 -1514 +395 -198 +241 1030 +6 227 +894 -125 +404 404 +-1336 496 +-1765 361 +-1580 709 +5 1110 +1307 -25 +-7 -309 +39 1122 +1077 135 +269 -1669 +-375 -1707 +-209 -1497 +363 -267 +418 963 +37 225 +865 -284 +482 317 +-1230 708 +-1677 642 +-1446 941 +175 1077 +1295 -229 +-49 -297 +212 1103 +1105 -15 +34 -1687 +-616 -1629 +-406 -1440 +329 -307 +559 894 +78 209 +814 -402 +512 252 +-1127 884 +-1564 890 +-1292 1153 +325 1044 +1239 -411 +-108 -273 +380 1046 +1081 -203 +-257 -1662 +-898 -1495 +-669 -1334 +263 -355 +701 776 +116 197 +749 -526 +555 169 +-972 1066 +-1412 1127 +-1100 1333 +501 959 +1165 -623 +-149 -255 +549 963 +1059 -368 +-492 -1585 +-1110 -1334 +-857 -1225 +210 -392 +780 685 +-505 656 +-931 1017 +626 1312 +250 489 +-559 -395 +286 -1274 +-758 -1321 +-1027 339 +-831 414 +-621 -807 +-86 -1412 +-1061 -598 +-446 859 +-222 941 +-923 20 +-1533 -523 +-1210 1156 +793 1627 +641 852 +-666 662 +-839 427 +565 946 +1497 -76 +1060 -21 +146 927 +-286 934 +1207 690 +1452 -624 +601 60 +-192 301 +-1364 -430 +-1052 892 +-191 1157 +-514 98 +-445 -930 +-273 -1480 +-1326 -232 +-959 615 +-274 -491 +359 -479 +1737 -36 +1419 -1168 +736 -1210 +376 197 +-260 -172 +-1122 -1147 +-1772 -113 +-1056 11 +255 -992 +863 103 +1299 1158 +1689 -40 +721 -316 +-410 132 +-59 -1059 +-49 -1830 +-1258 -1225 +-493 -550 +651 -364 +321 673 +993 1000 +1302 -771 +957 -821 +575 263 +-361 855 +-170 1381 +1309 697 +495 255 +-689 13 +-517 -1188 +-1406 -600 +-622 874 +-411 823 +-984 -258 +-910 -1055 +-1226 152 +163 925 +403 859 +-735 576 +-1529 445 +-264 855 +1351 -900 +1673 -1951 +783 -1200 +262 -383 +916 182 +-374 1401 +-1255 1828 +-378 1042 +1964 -53 +2080 -1391 +269 -337 +-88 1051 +226 496 +1999 -700 +1184 -1202 +329 139 +903 22 +-198 -378 +374 -96 +-128 -866 +-1060 -64 +496 432 +726 -166 +229 80 +1041 -330 +145 -5 +-1415 993 +-630 639 +-149 -36 +-1258 -325 +-2109 -212 +-1809 234 +-1044 993 +-197 973 +165 271 +-18 18 +-264 -53 +-17 678 +358 1331 +-147 815 +369 12 +549 -395 +-38 -1417 +130 -1506 +-407 193 +-585 -71 +-86 -1384 +-469 -1501 +-655 212 +277 1239 +267 232 +101 1277 +851 1970 +609 647 +-765 729 +-975 1052 +48 -556 +-567 -1142 +-775 130 +-627 33 +-785 -400 +64 489 +443 1291 +799 238 +424 -1741 +181 -2563 +-88 -1405 +-12 -456 +870 -422 +558 1298 +88 2155 +315 1023 +1529 -1248 +810 -2338 +4 -423 +577 864 +476 248 +1144 -1769 +136 -1576 +-11 245 +840 -825 +464 -1227 +754 -917 +896 -1315 +862 -767 +-189 -1090 +-1462 -82 +-1213 405 +-1176 -220 +-1172 -313 +-373 529 +348 2455 +725 1199 +1236 -1317 +558 -1012 +-490 235 +-856 8 +-856 -403 +199 98 +830 354 +241 224 +-230 324 +-225 324 +-940 -212 +-946 1216 +462 2697 +866 1614 +-382 1065 +-475 1203 +538 769 +-291 272 +-422 509 +400 792 +224 89 +-555 -217 +-856 83 +-211 -397 +-851 -1593 +-965 -1917 +-3 -525 +320 418 +981 -528 +979 -1884 +40 -1490 +394 591 +680 940 +-447 513 +-564 595 +-991 -148 +-1470 -359 +-19 -618 +710 -807 +722 135 +1612 272 +309 -504 +-1048 433 +1074 911 +1209 -610 +819 -1013 +259 -827 +-1539 -431 +-258 -331 +717 449 +889 980 +307 -1025 +-314 -1266 +98 -1171 +-48 -1557 +256 -1106 +-797 -724 +-1258 810 +-763 1041 +-1091 531 +-1144 471 +9 656 +1765 1711 +1307 493 +191 -1760 +-116 -1133 +-249 337 +-1064 744 +-607 1463 +-293 1763 +164 276 +2051 -393 +1115 -19 +-805 -752 +-862 -818 +-280 -1234 +-241 -695 +344 468 +-168 245 +-1589 1130 +-469 1594 +466 1226 +296 874 +-37 -62 +-623 -565 +-928 -142 +-1327 789 +-259 659 +170 -440 +-1038 10 +-233 73 +311 -1474 +-283 -1532 +-43 -1160 +100 -974 +138 -925 +-357 -384 +218 1429 +1426 1088 +315 -555 +-972 -156 +308 484 +1496 -402 +596 199 +693 526 +1146 103 +1170 983 +638 -131 +-305 -620 +724 375 +1259 277 +651 -1259 +-92 -1829 +255 -286 +706 -538 +-153 -1126 +610 -1543 +568 -588 +-225 1965 +367 2203 +651 960 +-184 -836 +-1673 -1560 +-1676 -761 +-828 -246 +-585 145 +-233 777 +-185 616 +-995 286 +-593 -13 +204 -212 +-418 988 +366 1526 +765 1546 +314 102 +1500 -1518 +941 -678 +-1109 -151 +-1199 -160 +-948 -827 +-611 -427 +562 147 +10 283 +-643 1819 +562 1528 +1144 718 +766 726 +-468 609 +-3 424 +2301 -1059 +1269 -1550 +-825 -194 +-751 248 +-506 94 +-304 -403 +-934 441 +-804 1010 +-148 192 +272 354 +740 219 +1068 307 +1632 -329 +77 -421 +-259 1246 +378 1338 +-170 -140 +310 -1286 +179 -829 +707 -119 +1061 610 +-244 1488 +-1252 1186 +-917 606 +498 1137 +523 1119 +-15 -252 +700 -513 +484 -279 +-1696 393 +-1825 1536 +600 1331 +902 137 +297 -808 +-397 -863 +-1608 -350 +-919 63 +-266 -402 +44 -1213 +483 -1003 +616 -429 +1318 -1445 +432 -1900 +222 -1273 +771 -1219 +100 -16 +394 90 +-680 -719 +-2112 -245 +-1258 1110 +-436 1297 +-944 -619 +-876 -1049 +-357 -773 +-360 -279 +304 42 +-106 331 +-317 995 +88 87 +-308 633 +964 1264 +1572 243 +89 633 +249 331 +1206 -2217 +84 -1958 +-802 354 +-472 652 +-354 383 +-494 -123 +-504 903 +-55 1267 +1 242 +436 120 +742 -269 +1068 -395 +1275 -1269 +564 -1184 +526 -555 +318 61 +741 -738 +382 -1460 +154 -1036 +278 -534 +245 531 +1759 454 +1615 -1067 +-573 -357 +-330 892 +1276 1470 +1887 1063 +563 -713 +-213 -756 +-186 -429 +-376 227 +-202 408 +-1051 541 +-872 1673 +-438 1159 +-811 -61 +-446 -902 +-245 -1114 +-690 -784 +-196 993 +1713 933 +1432 -1103 +927 -337 +1937 -369 +1212 -1046 +-377 -154 +-1521 313 +-1492 -501 +-1205 -965 +-1103 97 +-601 422 +-809 -194 +-786 -154 +-27 902 +452 725 +-3 389 +-240 1107 +-285 744 +-1717 28 +-1474 -84 +-31 665 +454 1287 +896 1415 +-640 848 +-412 -294 +1185 -334 +-169 -359 +-761 -1090 +-98 -807 +266 134 +-402 738 +-502 1498 +184 1097 +129 -314 +796 -1720 +212 -1393 +-265 652 +479 -134 +271 -959 +307 -155 +173 -993 +-583 -1363 +-520 -907 +-114 -580 +517 273 +1710 -699 +675 -1785 +-685 74 +263 883 +1928 372 +2188 -314 +31 -894 +-586 -396 +229 -241 +365 -1342 +-349 -1503 +287 307 +357 319 +-248 -443 +-712 69 +-512 178 +-359 -330 +-845 161 +-400 541 +5 630 +-144 1504 +-664 2040 +-1318 2016 +-637 1687 +-92 827 +-37 195 +629 -339 +11 152 +121 609 +400 62 +-864 -280 +-927 -555 +-211 -59 +1585 -34 +2056 -892 +0 -1325 +-237 -1072 +-395 -1780 +-1780 -2245 +-1405 104 +-20 1447 +1101 1129 +1711 -368 +670 -1571 +-143 -961 +359 89 +1163 1227 +970 -103 +-677 -1190 +-833 -647 +46 -428 +-484 221 +-572 479 +115 -93 +-166 -246 +-96 698 +361 423 +969 -118 +346 -60 +-1907 102 +-616 -621 +772 -1637 +-816 -355 +-684 -584 +581 -1483 +709 -817 +494 415 +1077 1195 +272 565 +25 538 +543 449 +-518 1100 +143 820 +-308 -1202 +-1194 -990 +428 66 +474 13 +-492 -211 +-555 480 +-313 442 +-489 -41 +-581 634 +9 657 +380 487 +783 1260 +697 1982 +155 2347 +513 1722 +619 620 +417 -1189 +-223 -2278 +-660 -1213 +-935 -457 +-1565 492 +-1104 163 +346 639 +2 1200 +-888 746 +178 262 +465 -433 +177 584 +119 925 +-754 185 +-751 -73 +665 -538 +1054 -696 +-257 -274 +-790 251 +480 1288 +1545 958 +931 -1116 +357 -1530 +-163 -1511 +-556 -1227 +288 -337 +1104 535 +1905 1130 +1449 -271 +359 -1365 +133 -1004 +-108 110 +1129 -35 +982 -1526 +-1070 -334 +221 1132 +1883 618 +912 799 +-236 593 +-590 -52 +-424 328 +-911 106 +-954 -49 +-1003 444 +-1684 950 +-943 976 +-391 -249 +-449 -1791 +-363 -1211 +99 681 +108 49 +-279 69 +68 521 +-256 554 +-74 1602 +-46 1198 +-539 1932 +-295 995 +-372 -1325 +-176 -174 +1005 295 +1509 -848 +508 -594 +367 364 +-323 -1048 +-1517 -1677 +-1239 -569 +-1019 205 +-981 1305 +-810 770 +661 298 +718 931 +-275 1097 +315 101 +143 -595 +503 355 +642 639 +-503 603 +-684 442 +-83 -71 +50 605 +-743 1203 +-817 1817 +1146 657 +527 -381 +-1096 919 +0 632 +1404 -1137 +1405 -2150 +-684 -950 +-462 -91 +1049 -715 +397 -292 +549 -1069 +434 -1555 +-380 391 +-17 994 +-92 -83 +-475 141 +796 551 +347 -79 +-1007 95 +142 1001 +1107 615 +1408 -463 +1246 -158 +349 -999 +-117 -1632 +177 -233 +-166 625 +136 955 +998 -45 +589 53 +1609 416 +1886 -475 +-135 -83 +-1543 -25 +-846 59 +12 124 +-218 543 +-276 983 +-1589 287 +-2021 -249 +-2111 -473 +-2095 725 +-163 1193 +638 491 +47 831 +-1159 206 +-1264 -629 +-512 -230 +413 -282 +936 -484 +269 -106 +263 592 +637 1604 +1086 1702 +207 639 +-512 -444 +-93 -1349 +-679 -1312 +-313 -232 +-48 -135 +-266 -209 +392 504 +145 1383 +457 1915 +1350 -182 +209 -609 +-336 1382 +384 503 +456 -1715 +-151 -2516 +-1136 -321 +-441 207 +439 -1195 +155 -454 +-180 -1175 +-524 -1580 +130 -98 +710 -294 +-329 -1019 +-1390 335 +-897 516 +-462 -389 +745 -775 +1330 -1582 +-56 -1849 +-1129 -1171 +-978 -549 +-349 -491 +559 83 +254 -754 +-1086 -437 +-58 457 +79 -949 +-509 -563 +300 -454 +12 -532 +-160 977 +-379 1445 +90 748 +718 -921 +-114 -2051 +-390 -1235 +63 264 +516 431 +-286 -83 +-1129 1175 +551 1724 +1413 335 +-90 -84 +-596 -3 +274 1369 +1955 2281 +2126 1497 +397 1215 +568 -104 +677 -546 +-188 -121 +-157 -146 +-961 -443 +-1949 -810 +-1348 -260 +-356 -1016 +-361 -782 +-18 598 +1796 365 +2067 103 +42 716 +-217 348 +480 -611 +-623 635 +-1313 959 +60 -186 +745 309 +476 1225 +925 281 +745 -546 +745 -179 +569 -19 +-273 956 +-394 151 +0 -956 +188 -889 +-890 -523 +-922 1146 +-402 944 +-617 -11 +111 -1052 +74 -2044 +-1212 -1387 +-1640 -190 +-1132 185 +-584 -149 +504 -259 +-252 -725 +-1136 333 +355 409 +486 -749 +371 -335 +341 -357 +466 -646 +-152 -289 +-302 507 +374 7 +490 -873 +533 -1169 +1176 -151 +2529 272 +993 -281 +-1519 812 +-1048 741 +371 -581 +839 -964 +-426 51 +-221 292 +721 -796 +509 -965 +156 -257 +-483 1839 +-58 2891 +231 2483 +544 1695 +1408 -116 +701 -421 +-219 -206 +374 -1089 +280 -1448 +-963 -1052 +-912 -404 +-460 -256 +-354 13 +-421 491 +-776 -311 +-154 75 +352 220 +-752 -1149 +-1349 -249 +-322 700 +-505 368 +-1385 353 +-445 159 +-365 -410 +-919 186 +-66 -62 +-600 -1270 +-1124 -400 +-314 -276 +60 286 +374 -375 +542 -1641 +609 23 +-967 83 +-1724 898 +1032 1824 +2093 443 +887 -375 +627 -256 +469 563 +358 1270 +709 1699 +87 474 +-210 -929 +217 -673 +58 -472 +-48 -785 +-312 -145 +50 579 +297 -219 +-150 -982 +-288 -1223 +863 -825 +2221 -1328 +643 -809 +-713 1560 +-392 1213 +-67 -698 +115 -1338 +-4 -119 +371 1220 +62 1342 +-1953 -288 +-2835 4 +-544 -550 +536 -370 +1069 769 +632 -315 +476 -848 +1433 -1014 +-78 -755 +-1072 -858 +-581 -1022 +-344 -1346 +-949 -1011 +-1428 865 +-872 1438 +-348 1352 +209 983 +-33 736 +-157 42 +47 -1216 +-842 -945 +-484 83 +1245 688 +1341 369 +718 541 +977 868 +1289 -459 +1248 -808 +1140 238 +1186 66 +532 -301 +-425 -274 +-401 -104 +505 -553 +1417 -786 +1129 926 +417 638 +428 -664 +80 541 +197 1732 +1377 416 +478 -1059 +-1068 -112 +-1174 324 +-1053 531 +-91 816 +168 -414 +-867 -1495 +-1145 -173 +-197 815 +17 -834 +-915 -327 +-1059 728 +-755 393 +264 478 +1226 -846 +228 -1977 +-617 -549 +-403 136 +-549 -392 +42 945 +665 848 +1071 617 +911 1002 +-1727 970 +-2322 1707 +-796 -126 +206 -624 +1340 -50 +322 -629 +-126 -961 +570 -1667 +-525 -552 +-1401 -24 +-1091 -451 +-1103 -855 +-1370 -329 +-566 676 +-194 42 +-570 -320 +-299 -68 +79 268 +-155 -640 +321 -846 +284 -1481 +569 -315 +972 978 +-501 -389 +-568 -549 +-105 -490 +345 -261 +-280 -99 +-1630 852 +-369 1564 +-87 -32 +-904 -739 +-690 -318 +-437 -512 +-1001 -1515 +-1642 -1040 +-1260 -11 +-922 163 +-402 862 +390 937 +765 225 +505 317 +802 927 +1444 210 +723 -1304 +-568 -1773 +-315 78 +1155 1367 +1372 534 +1662 -62 +1287 -495 +126 -400 +1453 -779 +1860 -398 +345 413 +-101 121 +-184 1319 +-200 1626 +-240 237 +-788 -721 +-1053 -924 +-211 116 +1298 892 +1264 563 +728 717 +952 56 +-577 -1949 +-1584 -1586 +-331 -116 +-180 410 +-552 -30 +54 -1819 +-214 -1154 +-162 1307 +-139 866 +-971 773 +277 2014 +740 870 +-232 -22 +-645 78 +-274 136 +216 173 +-550 -402 +-291 -849 +-684 -1314 +259 -583 +1371 164 +-649 -5 +-813 -87 +-395 -317 +116 -411 +-289 108 +-896 1704 +394 2178 +847 1696 +690 1270 +713 1581 +840 1325 +813 615 +-382 214 +-1089 -156 +-658 -471 +-367 444 +-140 480 +-617 -450 +-623 4 +-1655 348 +-2171 361 +-151 -4 +576 -634 +350 -1395 +239 -1357 +-1240 -489 +-1343 548 +742 798 +184 16 +-113 1379 +1259 217 +146 -2120 +-1158 -314 +-918 115 +295 383 +1165 801 +558 -289 +-69 132 +-390 969 +-326 502 +514 -1784 +459 -2277 +456 -227 +957 427 +618 504 +1673 -46 +1659 117 +62 1033 +-449 38 +-93 -771 +667 -426 +242 463 +156 282 +-348 -711 +-971 -409 +307 -436 +1468 -1228 +876 -1447 +-509 112 +-332 1126 +-163 -20 +-274 -791 +-136 -383 +-248 -362 +-871 -1128 +-1615 -477 +-991 -353 +-662 -898 +-868 406 +-832 1648 +505 1882 +1640 1121 +1343 611 +1521 854 +1484 545 +1046 -4 +-184 400 +-996 529 +-830 15 +-44 562 +170 448 +-770 7 +-491 373 +-1129 1257 +-1545 1558 +-122 79 +327 -527 +895 244 +1581 -73 +1438 -1255 +554 -990 +-712 -307 +1054 331 +2602 606 +527 -330 +-618 -861 +-531 -536 +-907 133 +-1184 1136 +-698 1408 +108 519 +433 486 +556 673 +858 -449 +210 -980 +-481 -408 +325 -486 +196 -273 +-61 600 +-449 1080 +118 1626 +1654 730 +202 120 +-1456 885 +-1118 114 +-603 -924 +-1313 -104 +-36 679 +515 -424 +-1397 -433 +-604 -660 +-1466 -1401 +-2034 23 +-382 20 +221 153 +139 903 +-1323 559 +-820 1008 +79 1022 +-549 1243 +-191 1029 +1452 -440 +2715 -829 +2172 -601 +636 -1 +-588 929 +-368 431 +-415 -474 +-302 -37 +198 853 +-98 171 +-59 -1047 +-154 -225 +160 -147 +443 -893 +90 219 +345 1008 +429 -24 +-649 -1400 +-1506 -1234 +-915 -290 +775 -244 +1255 -1018 +424 -1819 +-136 -1092 +-766 184 +1041 -362 +2496 -1053 +247 -571 +-1015 -324 +-742 -123 +-663 623 +-306 1579 +261 1516 +397 355 +608 204 +563 928 +-541 732 +-1544 587 +-1411 1739 +-1007 1561 +-475 582 +-16 -729 +1131 -504 +1431 644 +1026 1412 +2272 991 +1569 -1458 +696 -1219 +1083 -147 +201 36 +-1127 57 +-1713 158 +280 535 +1350 -342 +891 138 +797 288 +219 -573 +114 -1412 +-1065 -1296 +-1480 579 +333 747 +776 569 +155 672 +183 -150 +-402 -312 +-19 591 +1054 94 +76 -709 +191 -147 +876 -622 +503 388 +441 1378 +-156 225 +-227 537 +-123 723 +-621 -294 +19 -322 +119 -171 +-168 -412 +920 121 +-219 127 +-2429 -189 +-2162 1035 +-358 1680 +676 -231 +-1156 -1616 +-1814 -568 +-763 529 +-1418 638 +-1128 31 +385 -230 +372 -502 +-318 -1097 +284 -1065 +665 -812 +-508 -235 +-710 -156 +179 -549 +348 -135 +808 790 +90 989 +-865 1388 +-40 2205 +192 1818 +7 749 +-450 -543 +598 -1095 +1564 -403 +1718 435 +2472 -666 +371 -2099 +-215 -1370 +764 -789 +174 -97 +-705 668 +-713 611 +-22 121 +34 20 +-1 30 +-14 1 +-3 3 +-5 5 +-1 4 +3 0 +-2 -3 +-2 -3 +6 -4 +0 -7 +-3 -5 +0 -1 +3 3 +2 2 +-3 4 +-1 -2 +-2 3 +123 51 +159 146 +-21 116 +-76 -5 +75 -30 +361 186 +192 378 +41 -59 +151 -420 +141 -147 +300 -210 +71 -335 +-432 100 +-328 284 +-303 120 +-281 39 +135 44 +180 127 +-5 119 +-68 -5 +82 -50 +384 149 +231 360 +35 -65 +104 -438 +127 -163 +280 -238 +43 -338 +-413 137 +-295 301 +-291 138 +-282 65 +132 28 +186 107 +4 109 +-76 4 +60 -42 +391 113 +265 326 +31 -73 +75 -444 +121 -171 +261 -264 +4 -348 +-409 169 +-269 323 +-280 161 +-276 91 +141 11 +200 91 +24 114 +-69 18 +17 -42 +113 43 +-117 54 +-259 -221 +-491 -169 +-553 64 +-123 85 +-62 92 +-67 138 +317 111 +94 -86 +-276 -245 +64 -264 +173 -122 +51 141 +90 -88 +44 -283 +104 -5 +-71 -19 +-360 -5 +1 84 +294 173 +-75 402 +-220 302 +-4 159 +244 49 +399 94 +132 395 +47 376 +296 169 +308 -243 +412 -301 +396 174 +235 36 +134 -278 +-96 -415 +91 -431 +291 -117 +121 -116 +6 -223 +-266 -309 +-113 -387 +175 -126 +-142 157 +-83 277 +169 33 +112 -44 +82 239 +-147 88 +-82 50 +289 231 +82 254 +-140 193 +81 -241 +0 -402 +-191 -79 +-110 3 +-209 -15 +-344 305 +-245 577 +-135 266 +-82 15 +66 66 +362 -223 +331 -340 +-51 -14 +-171 15 +-239 -163 +-529 20 +-504 223 +-98 114 +-34 110 +-21 147 +337 -2 +66 -109 +-339 -143 +-28 -273 +129 -171 +99 108 +63 -117 +-42 -281 +90 -47 +-77 -1 +-346 111 +35 77 +339 68 +54 398 +-119 351 +44 148 +244 -31 +400 -36 +244 333 +159 339 +332 69 +224 -326 +306 -412 +443 42 +238 -45 +44 -308 +-218 -363 +-43 -445 +238 -210 +70 -152 +-78 -223 +-366 -209 +-232 -314 +122 -169 +-85 185 +5 279 +163 -30 +81 -83 +149 196 +-112 125 +-62 75 +347 129 +151 217 +-77 226 +-1 -243 +-138 -369 +-210 -8 +-100 42 +-200 66 +-227 408 +-35 624 +-31 297 +-71 49 +77 50 +271 -325 +212 -431 +-49 9 +-158 77 +-286 -76 +-508 194 +-421 377 +-56 138 +3 106 +19 146 +311 -113 +25 -119 +-373 -16 +-113 -237 +70 -193 +129 80 +15 -120 +-137 -240 +72 -66 +-66 28 +-291 221 +54 70 +340 -51 +181 353 +-1 366 +92 118 +228 -114 +375 -168 +347 229 +264 263 +334 -45 +97 -381 +138 -504 +478 -142 +413 -42 +229 -34 +82 163 +185 266 +306 245 +209 64 +87 -120 +-1 -135 +207 -134 +318 -194 +-46 -101 +-38 -129 +361 -225 +221 -52 +-45 91 +65 17 +97 -242 +-12 -243 +26 102 +144 -31 +66 -395 +96 -421 +218 -208 +-24 -69 +-191 -136 +-163 -28 +-220 167 +-144 -36 +-26 -233 +178 15 +436 42 +108 -115 +-432 -68 +-341 -397 +-155 -320 +-120 322 +14 336 +-116 359 +12 448 +385 231 +184 141 +68 165 +176 445 +128 272 +254 -168 +281 -4 +-1 31 +-326 -46 +-252 -189 +0 -258 +-275 36 +-417 -20 +-181 -172 +-229 -210 +-397 50 +-330 498 +-109 341 +-100 300 +63 121 +457 -299 +364 130 +130 271 +-129 -169 +-363 -209 +14 -161 +197 -31 +34 162 +237 189 +358 127 +223 -21 +43 -144 +-50 -136 +156 -194 +241 -287 +-80 -86 +-90 -111 +252 -344 +171 -128 +-8 111 +158 53 +367 -55 +312 -110 +232 -32 +433 -49 +344 -210 +145 -143 +-26 -191 +-231 -349 +-59 -191 +-177 -99 +-374 -3 +-185 93 +-111 -110 +-77 -81 +-54 77 +-16 58 +-4 213 +-216 246 +-334 130 +-183 202 +24 -2 +151 -212 +271 71 +250 98 +-56 -31 +-327 129 +-125 -53 +153 -265 +-104 -44 +-158 88 +225 72 +101 45 +-306 78 +-324 -68 +-39 -285 +-4 124 +-1 381 +126 -59 +-115 -251 +-63 -81 +39 -122 +-392 -162 +-414 334 +-349 571 +-250 115 +292 41 +483 183 +261 312 +5 241 +-7 -434 +101 -392 +150 50 +117 -154 +-351 -173 +-534 -187 +-148 -116 +85 300 +89 165 +98 -157 +392 -125 +491 -37 +314 -116 +279 -282 +170 -219 +285 -182 +295 -223 +190 -122 +404 -203 +274 -314 +88 -171 +-85 -168 +-324 -250 +-113 -159 +-198 -34 +-360 113 +-156 131 +-143 -65 +-108 -32 +-45 109 +-44 72 +12 118 +96 107 +257 -82 +314 -190 +191 -156 +109 -261 +87 -363 +-167 -197 +-378 25 +-138 -274 +87 -387 +60 176 +-34 217 +-193 -99 +23 19 +434 206 +212 151 +-76 -23 +22 -4 +86 129 +-101 111 +-368 43 +-206 80 +-73 115 +-120 199 +2 432 +-79 269 +-59 -63 +-88 24 +-256 79 +-105 -11 +-75 -229 +280 -201 +466 273 +-113 149 +-387 -85 +-373 62 +8 -195 +371 -319 +154 -11 +63 35 +-249 -161 +-432 -308 +-179 -130 +-288 326 +-190 543 +38 330 +-29 155 +72 191 +231 200 +214 185 +63 -1 +31 -59 +166 239 +352 4 +311 -434 +143 -196 +302 -219 +201 -579 +-64 -310 +-107 -204 +-373 -420 +-296 -134 +23 78 +26 46 +114 70 +220 -154 +235 -281 +151 -203 +23 -272 +-28 -360 +-224 -132 +-348 144 +-214 -222 +-34 -401 +117 150 +41 228 +-219 -16 +19 23 +426 53 +84 -24 +-100 -283 +7 -300 +-107 20 +62 26 +37 -28 +99 171 +208 23 +-39 -289 +14 -282 +121 -227 +231 -84 +114 -54 +-584 -214 +-758 -141 +-190 69 +-34 490 +-384 866 +-400 526 +-75 145 +147 225 +281 151 +222 -38 +97 -42 +78 -1 +-62 -52 +-244 -224 +-163 -136 +-31 256 +-92 123 +-11 -277 +80 -215 +58 -96 +-39 -20 +-471 -23 +-517 28 +-223 238 +-146 -15 +58 -137 +15 -12 +-21 -206 +143 -106 +-45 -8 +-152 128 +0 399 +192 -107 +229 -300 +306 174 +422 29 +18 -172 +-68 13 +288 -16 +257 -183 +237 -16 +248 36 +187 -250 +97 -53 +2 80 +-49 -238 +-158 -159 +-28 -156 +123 -342 +160 -155 +41 74 +-318 36 +-199 -274 +-67 -273 +-119 58 +60 -3 +13 -40 +157 125 +204 -50 +-140 -251 +-86 -262 +36 -256 +190 -162 +88 -80 +-624 35 +-772 156 +-164 76 +129 95 +-42 87 +-88 -41 +-19 -23 +-18 -4 +-14 -2 +-17 -12 +-23 -13 +-17 -10 +-19 -12 +-19 -11 +-16 -14 +-8 -17 +-11 -19 +-17 -22 +-12 -19 +-10 -6 +-20 -4 +-17 -8 +-10 -8 +-4 -2 +-2 4 +-7 8 +-5 9 +0 6 +-1 12 +-2 17 +-1 9 +1 10 +-2 4 +-3 -2 +-1 -1 +-3 -3 +-5 -7 +-1 -11 +4 -4 +2 1 +4 1 +4 10 +4 12 +3 8 +3 7 +1 0 +-3 -2 +-3 1 +-2 -3 +4 1 +7 6 +6 0 +7 1 +4 7 +-3 2 +-1 -3 +0 3 +1 3 +5 5 +2 -2 +0 -1 +1 -8 +2 -2 +2 1 +0 -3 +2 0 +-2 1 +-3 1 +0 -1 +-1 -4 +0 0 +0 4 +2 4 +2 1 +6 -2 +5 2 +0 -4 +2 -2 +-1 6 +-8 8 +2 5 +5 5 +2 0 +0 0 +-2 -1 +2 -2 +3 -1 +3 6 +4 2 +7 1 +5 0 +6 3 +5 1 +1 -3 +0 1 +4 -3 +8 -2 +3 6 +-5 1 +-8 2 +1 -3 +4 5 +1 6 +7 4 +7 3 +8 -1 +3 -2 +1 1 +4 -1 +7 3 +1 -2 +-3 1 +-4 3 +-6 -1 +0 3 +2 5 +-1 6 +4 8 +2 3 +-3 2 +-4 3 +-4 4 +-6 5 +-5 5 +-6 -1 +-4 -4 +2 -7 +-2 -1 +-5 2 +5 -1 +3 0 +-1 -1 +1 0 +-2 3 +2 3 +-1 3 +-1 -6 +-2 -5 +-4 0 +-3 1 +-2 -1 +1 -5 +-1 7 +-1 4 +-2 -5 +-6 -7 +-4 4 +-2 5 +0 0 +-4 -8 +-3 -9 +2 2 +3 3 +-5 -5 +-4 0 +-8 -1 +-7 -1 +-7 -4 +-6 0 +-10 -3 +-5 6 +0 -1 +3 2 +5 4 +5 3 +3 2 +-3 -2 +0 1 +1 5 +1 9 +0 6 +3 2 +3 -2 +-1 3 +-1 -1 +-3 -8 +-4 -3 +1 3 +-1 3 +-2 0 +2 3 +6 -4 +5 -1 +9 2 +-1 1 +-3 -2 +3 -2 +3 -4 +-1 0 +-4 -3 +-1 -6 +2 0 +6 8 +-2 6 +-2 0 +0 -5 +6 -3 +3 0 +-2 -4 +5 -5 +-3 -8 +-4 -16 +2 -8 +2 -4 +-2 0 +-7 -2 +-1 0 +-2 -3 +0 0 +-3 0 +-2 0 +4 2 +3 1 +-4 0 +-2 1 +-1 3 +-4 1 +-2 -2 +-2 4 +-1 3 +-3 11 +2 -1 +-2 1 +-8 2 +-2 1 +5 -1 +9 -5 +6 1 +3 2 +7 5 +5 0 +-7 -4 +-8 0 +-4 5 +1 2 +2 -4 +6 -2 +6 6 +-1 4 +4 4 +6 2 +-1 0 +1 1 +1 -9 +3 -4 +3 -4 +6 -1 +5 -4 +8 -4 +7 3 +6 2 +6 0 +0 -4 +-2 -8 +1 -6 +3 2 +5 0 +2 -1 +3 7 +0 5 +3 -4 +1 -9 +1 -4 +3 0 +1 0 +0 -1 +3 -5 +6 -4 +7 -1 +0 1 +13 -201 +77 -67 +53 79 +-77 -28 +58 -96 +66 134 +-50 376 +240 536 +295 333 +104 4 +164 49 +99 -123 +-123 -337 +-64 137 +-83 320 +-287 57 +-85 -57 +79 -144 +-188 58 +-169 78 +-50 -97 +62 179 +217 244 +-17 -156 +86 -330 +406 -108 +295 -14 +108 -110 +-157 -248 +-38 -388 +300 -282 +211 -306 +-55 -290 +-457 -44 +-373 -272 +-30 -395 +-189 -157 +-247 131 +-316 372 +-377 64 +-195 -130 +-178 -8 +-116 172 +-103 471 +-273 185 +-100 -37 +275 25 +203 -175 +-116 -76 +-29 -129 +142 -170 +132 158 +78 -88 +19 -358 +245 -139 +146 -10 +-327 120 +-212 262 +68 155 +42 103 +175 205 +529 7 +558 -164 +189 -7 +89 20 +-53 -126 +-488 -146 +-336 -35 +103 58 +47 155 +49 348 +410 408 +376 209 +92 -39 +176 -16 +57 -151 +-226 -275 +-14 147 +31 322 +-248 150 +-91 -27 +28 -164 +-163 119 +-144 133 +-87 -72 +120 143 +295 155 +-69 -141 +-17 -331 +357 -239 +273 -109 +61 -131 +-238 -173 +-168 -349 +201 -354 +105 -354 +-153 -255 +-447 109 +-437 -127 +-151 -352 +-217 -83 +-184 201 +-181 458 +-351 183 +-233 -56 +-176 66 +-56 202 +55 470 +-198 264 +-100 -1 +273 -65 +130 -235 +-138 -37 +-65 -112 +79 -211 +173 99 +38 -107 +-104 -341 +182 -212 +132 -66 +-272 212 +-115 314 +112 116 +74 79 +232 129 +500 -178 +476 -342 +179 -63 +91 -13 +-93 -98 +-513 37 +-340 87 +110 15 +104 125 +174 297 +531 249 +433 75 +87 -58 +159 -65 +6 -149 +-307 -171 +34 152 +142 294 +-193 224 +-102 10 +-25 -166 +-127 162 +-95 165 +-98 -44 +164 102 +323 55 +-117 -105 +-129 -295 +261 -336 +224 -202 +14 -153 +-287 -92 +-277 -280 +70 -401 +-8 -361 +-230 -189 +-410 255 +-461 46 +-266 -272 +-41 -67 +88 -70 +-74 -136 +-135 -24 +-126 4 +-2 -27 +173 194 +18 372 +-85 148 +-267 -122 +-211 -172 +188 -436 +-155 -235 +-478 499 +-337 61 +-480 -515 +-160 40 +213 367 +-137 296 +-212 132 +-43 -260 +-65 -459 +-77 -317 +-36 -20 +100 106 +122 29 +151 -35 +194 40 +-45 -136 +-80 -461 +-70 -208 +-226 73 +-197 70 +-258 105 +-201 177 +-68 432 +-112 285 +102 -4 +200 236 +176 300 +334 155 +280 -15 +214 -141 +193 -45 +44 -136 +-10 -239 +89 -58 +216 262 +164 227 +206 -103 +289 -4 +182 58 +172 -210 +158 -392 +98 -312 +15 -120 +-106 -236 +-172 -323 +-287 -237 +-194 -114 +-178 58 +-249 -25 +108 189 +198 561 +122 246 +150 -79 +-87 -66 +-145 3 +-126 50 +-22 -18 +213 125 +127 346 +-36 159 +-298 -34 +-265 -95 +41 -464 +-235 -167 +-303 629 +-295 182 +-524 -325 +-75 35 +192 7 +-78 -265 +-162 -368 +276 -206 +516 120 +174 148 +-131 157 +-103 -30 +149 -317 +116 57 +80 41 +85 -356 +-257 -115 +-80 -14 +56 -79 +-125 138 +173 225 +-64 44 +-406 -131 +-130 -155 +-41 -218 +29 126 +-181 526 +-450 142 +-178 -174 +-185 -77 +-290 182 +-98 308 +-202 -39 +-356 -43 +-124 191 +-59 32 +-202 -181 +-107 -172 +17 138 +-8 356 +-13 326 +78 253 +115 118 +112 7 +327 -195 +324 -142 +130 -20 +112 -398 +39 -356 +-4 -41 +-204 -177 +-430 -220 +-305 -1 +-305 277 +-408 454 +-231 403 +220 243 +360 84 +127 -11 +250 -66 +134 -67 +-230 -161 +-135 -291 +8 -11 +250 224 +429 -104 +294 -246 +300 -6 +247 -63 +-118 -218 +-278 -277 +213 -255 +538 -41 +203 83 +-85 184 +-112 -3 +36 -341 +132 22 +90 11 +-34 -362 +-269 -17 +-80 5 +33 -110 +-197 107 +-68 2 +-54 -37 +-264 116 +-25 300 +191 348 +121 211 +155 181 +93 -223 +82 -476 +370 -27 +164 69 +-478 -141 +-487 -45 +-119 1 +-96 -303 +-83 -378 +154 0 +77 288 +-281 317 +-203 91 +45 -93 +119 155 +179 329 +219 123 +324 -13 +115 5 +-100 -167 +-48 -260 +-329 -93 +-443 64 +-265 184 +-215 3 +-141 -31 +-120 373 +-22 331 +-222 174 +-303 134 +148 -30 +-51 100 +-361 134 +-309 -28 +-316 154 +107 319 +185 332 +-77 475 +220 174 +370 -438 +235 -258 +96 -9 +-89 -217 +-70 -161 +148 -98 +337 30 +273 56 +-9 -276 +-137 -333 +64 -312 +169 -182 +-26 -134 +-13 -346 +115 -226 +72 -292 +-53 -196 +-197 212 +-84 49 +-50 -4 +-205 209 +76 287 +295 255 +179 150 +205 117 +2 -225 +-93 -467 +330 -163 +171 -6 +-512 32 +-467 154 +-114 60 +-186 -166 +-294 -294 +-213 -494 +153 -182 +257 323 +135 222 +289 76 +173 1 +-199 72 +-269 249 +-128 187 +13 112 +-31 -11 +-212 51 +-125 236 +96 -166 +64 -348 +-236 -230 +-268 -490 +170 -202 +289 308 +153 205 +310 62 +184 -12 +-195 86 +-240 288 +-104 205 +32 116 +-38 -15 +-218 69 +-112 251 +82 -177 +28 -359 +-267 -208 +-318 -454 +149 -211 +318 280 +172 183 +303 26 +177 -38 +-188 88 +-222 295 +-89 211 +41 117 +-38 1 +-205 95 +-83 257 +65 -173 +5 -355 +-283 -184 +-355 -424 +129 -221 +337 263 +192 183 +314 15 +180 -43 +-168 114 +-184 318 +-66 214 +49 107 +-40 4 +-204 107 +-59 262 +54 -194 +-20 -363 +-291 -157 +-393 -395 +107 -233 +357 223 +197 160 +308 -17 +170 -64 +-172 125 +-165 334 +-44 218 +59 94 +-39 -4 +-199 108 +-63 232 +50 -198 +38 -273 +72 184 +26 250 +-24 -98 +-205 17 +-336 345 +-53 217 +-20 336 +-65 255 +-108 295 +-108 295 +91 -42 +167 175 +131 179 +134 279 +208 333 +195 68 +309 116 +165 -47 +-89 -61 +-107 350 +-77 318 +17 -65 +-126 -302 +-42 -480 +-89 -45 +-176 156 +-12 -292 +0 -267 +400 -172 +376 -139 +76 -33 +245 91 +114 49 +-254 -60 +-529 14 +-419 -50 +8 -1 +170 175 +144 279 +253 -45 +205 -246 +-184 -121 +-141 -391 +97 -428 +-277 -167 +-286 -40 +85 150 +-61 331 +-4 98 +384 -281 +400 -237 +138 -156 +24 53 +-22 413 +-16 200 +-118 45 +-312 196 +-279 -220 +-264 -258 +-31 130 +-18 44 +-306 -246 +-210 -433 +-116 -115 +83 222 +151 223 +-48 -77 +-186 68 +-206 431 +28 216 +86 315 +10 261 +-10 312 +-4 311 +76 -63 +224 115 +203 136 +230 222 +305 242 +133 -17 +19 -41 +-112 160 +-285 338 +-459 123 +-48 162 +447 202 +244 -12 +-113 154 +-220 42 +277 -83 +412 -162 +194 -211 +64 171 +-118 193 +208 -166 +240 -386 +-43 -58 +-117 242 +-403 206 +-279 187 +17 163 +-170 246 +-79 39 +-191 -4 +-468 136 +-213 160 +-116 194 +136 31 +270 -34 +87 -380 +119 -483 +-57 -29 +-234 -27 +-326 -198 +-231 -6 +-83 -18 +-136 -351 +74 -198 +433 -28 +462 -32 +219 187 +160 122 +124 -47 +-239 -197 +-310 -312 +-8 -287 +-14 -322 +141 -135 +486 113 +193 5 +19 -16 +237 211 +-7 325 +5 189 +512 29 +324 28 +29 -196 +-4 -226 +-240 93 +-208 250 +-151 321 +-46 150 +-145 36 +-302 169 +-92 117 +-72 203 +-176 384 +-408 232 +-7 153 +479 55 +223 -91 +-74 166 +-198 93 +246 -171 +348 -280 +115 -260 +107 148 +-51 231 +140 -223 +145 -454 +-45 -188 +-74 -89 +-62 12 +86 131 +-3 74 +-43 90 +241 -91 +415 -137 +397 -201 +167 -116 +91 232 +85 -59 +154 81 +208 346 +150 -89 +224 -278 +120 -173 +101 87 +95 336 +99 341 +168 63 +-43 -98 +-209 -193 +76 -240 +330 78 +98 275 +204 117 +170 -307 +-245 -192 +-75 90 +-77 64 +-233 204 +-142 -28 +-382 -83 +-395 345 +1 382 +201 -56 +100 -366 +-280 -361 +-196 -332 +188 -194 +65 -168 +-254 76 +-132 226 +178 56 +46 307 +93 83 +-42 -327 +-31 -22 +384 140 +165 115 +-14 92 +-410 -123 +-441 -208 +-46 34 +-112 277 +-39 294 +-235 211 +-123 -9 +57 -240 +-205 -36 +-257 331 +-148 263 +58 42 +40 22 +-80 -36 +-26 37 +111 83 +12 69 +-7 96 +199 -162 +341 -255 +311 -314 +116 -174 +152 177 +57 -85 +167 23 +313 265 +123 -105 +243 -207 +241 111 +48 98 +127 -137 +-163 -68 +-254 -45 +206 -150 +105 -102 +-160 -247 +-77 -178 +52 54 +-154 2 +-11 26 +174 119 +-191 136 +114 103 +329 268 +151 134 +318 -324 +75 -44 +8 176 +267 3 +-33 121 +-328 164 +-262 -2 +-137 -175 +5 -41 +193 148 +116 -85 +11 -247 +119 -124 +-116 -105 +-254 -9 +-21 200 +125 64 +165 -69 +-26 -34 +-390 91 +-475 339 +-248 153 +-154 -39 +158 18 +459 -8 +204 26 +169 -94 +209 -339 +208 -243 +288 43 +162 71 +205 162 +215 297 +76 57 +112 -263 +83 -249 +65 8 +20 -6 +-155 197 +-233 611 +-576 572 +-652 249 +-165 -237 +93 -282 +300 -52 +23 -80 +-172 -142 +161 -4 +98 95 +43 -145 +-171 -12 +-256 52 +146 -210 +72 -122 +-240 -168 +-137 -140 +62 25 +-147 62 +0 33 +213 51 +-119 163 +151 33 +399 1 +115 51 +-113 119 +-190 298 +-85 492 +-183 210 +-315 -140 +-235 -142 +163 -92 +426 88 +-13 90 +-297 -50 +-408 -59 +-389 93 +-90 255 +-9 17 +344 -100 +597 131 +194 73 +95 -64 +173 -174 +-289 -306 +-332 -140 +247 173 +358 10 +70 -111 +-96 285 +73 122 +173 -23 +131 -4 +-54 -156 +-315 168 +-79 -252 +-35 -512 +-117 77 +-125 37 +-181 15 +173 55 +235 -97 +331 2 +574 28 +302 56 +46 78 +-167 -127 +-363 110 +-360 387 +-132 159 +40 17 +157 -59 +204 2 +-168 -49 +-246 -50 +-191 131 +-191 89 +11 -67 +-25 -398 +-112 -289 +-162 -113 +151 -203 +430 -124 +66 -187 +66 -93 +312 93 +218 167 +62 76 +38 -7 +-58 191 +-100 377 +92 498 +-101 255 +-346 -28 +-269 -49 +121 -136 +440 -54 +17 82 +-303 52 +-404 82 +-320 221 +-1 283 +-10 49 +164 -170 +290 -144 +258 -259 +53 -491 +-221 -314 +-110 59 +-34 -127 +-93 -254 +-61 -99 +72 53 +386 299 +69 205 +-70 -79 +164 -205 +-72 -306 +109 52 +144 321 +-43 111 +-6 227 +46 33 +263 -408 +240 -133 +-73 174 +-130 169 +140 225 +163 152 +-79 212 +-205 278 +34 -173 +172 -384 +-40 -375 +-108 -394 +-116 -242 +66 -215 +20 -115 +-139 35 +151 160 +73 18 +-463 -278 +-568 -263 +-156 -60 +-68 -13 +-31 -71 +123 261 +-124 276 +-241 226 +-256 296 +-381 24 +-217 174 +-53 189 +167 -58 +251 -17 +-71 88 +32 -53 +153 -45 +85 176 +198 -101 +207 -102 +233 97 +213 -88 +275 82 +-20 310 +-125 115 +374 176 +248 349 +145 -23 +249 -343 +-125 -451 +-329 -224 +-87 105 +-86 -101 +-194 -213 +-99 -74 +94 22 +472 140 +128 160 +-101 -55 +89 -255 +-169 -272 +122 14 +262 246 +-10 261 +4 347 +-64 -109 +-206 -337 +-479 71 +-565 62 +-368 -187 +-427 -89 +-304 85 +-97 9 +130 -259 +134 -278 +116 -122 +275 -112 +-167 -84 +-51 55 +137 145 +-224 34 +87 -45 +117 -31 +-43 -49 +176 154 +79 303 +29 51 +313 12 +467 -6 +256 -239 +-20 -98 +-48 68 +84 193 +180 325 +78 37 +172 -243 +267 37 +154 30 +425 -310 +328 -136 +60 -180 +209 -211 +27 -144 +-136 -213 +-182 99 +-304 52 +-117 -230 +177 -89 +83 238 +-185 176 +-244 198 +-184 334 +99 199 +327 426 +442 331 +273 258 +-281 127 +-284 -239 +72 -110 +5 -218 +-183 -140 +-116 -106 +-129 -193 +-135 -128 +136 -246 +204 -34 +11 -14 +19 112 +117 366 +-123 -72 +-302 -245 +-440 218 +-525 237 +-403 -60 +-436 48 +-266 170 +-91 39 +45 -272 +52 -289 +86 -142 +199 -196 +-201 -15 +-196 55 +127 53 +276 124 +177 -147 +189 102 +-110 91 +-396 -499 +-322 -237 +-337 39 +-224 -30 +-173 -57 +62 -60 +19 -78 +239 -6 +601 130 +147 28 +15 -9 +72 -166 +61 -149 +75 -1 +-185 -44 +-156 100 +60 112 +107 259 +207 279 +209 -40 +-48 -234 +-134 -10 +97 414 +253 281 +242 -20 +177 129 +27 382 +-218 -3 +-492 -280 +-388 -245 +262 -418 +792 -140 +657 -135 +22 -189 +-161 104 +136 230 +369 213 +568 -90 +246 -32 +-284 44 +-333 110 +-166 422 +37 261 +-11 14 +-242 -33 +-141 81 +-221 21 +-305 -261 +-141 -35 +-352 155 +-55 -67 +169 -58 +-35 -65 +269 -226 +39 -98 +-341 -46 +-170 -143 +-115 -40 +-320 -36 +-15 -17 +347 28 +101 -199 +233 18 +-63 118 +-544 -322 +-380 -105 +-314 143 +-232 38 +-174 3 +50 -77 +7 -84 +224 -92 +620 -75 +163 -14 +11 56 +0 -92 +-99 -376 +-80 -176 +-151 -82 +-87 -134 +-287 196 +-192 66 +121 -240 +82 -207 +42 -179 +102 151 +141 438 +139 427 +134 307 +201 102 +214 57 +-92 105 +-102 160 +106 173 +79 30 +-89 66 +-41 287 +192 258 +-73 327 +-71 368 +88 186 +-231 33 +-94 -261 +598 -72 +635 113 +115 -184 +114 -162 +41 13 +-126 -30 +-42 -312 +-7 -375 +6 -220 +-28 102 +-9 368 +-12 -72 +-36 -283 +22 -5 +241 25 +324 4 +137 74 +-105 73 +-357 28 +-403 -95 +-430 -193 +-258 10 +113 -58 +271 -286 +20 -10 +-323 187 +-185 84 +128 -37 +45 -11 +-126 60 +-109 -154 +-384 -297 +-123 -180 +258 -87 +-28 -149 +91 -143 +-101 -162 +-287 -373 +-114 -150 +-188 -20 +-131 -102 +-202 277 +-159 122 +32 -270 +17 -221 +-21 -175 +149 107 +282 343 +285 335 +236 240 +225 37 +201 -14 +-142 66 +-177 107 +-5 108 +-345 342 +-141 210 +315 -57 +-18 137 +-23 19 +196 9 +76 107 +-95 -183 +-66 -195 +-98 36 +-211 83 +-115 -209 +-63 -540 +141 -284 +142 63 +36 74 +146 50 +191 40 +109 -40 +64 -136 +316 23 +323 97 +200 133 +436 146 +514 -220 +-33 -334 +-332 -455 +-81 -537 +-24 -240 +134 -127 +27 -160 +-269 -351 +-309 -384 +-298 69 +-57 342 +6 304 +-238 300 +-601 85 +-428 -15 +126 -28 +124 -110 +-9 135 +-184 171 +-246 194 +2 115 +-204 -45 +-374 131 +180 44 +655 -87 +532 -17 +111 90 +118 -26 +257 -177 +-146 -135 +-284 -7 +-157 358 +-62 335 +177 131 +223 15 +120 -99 +-98 -43 +-276 85 +-123 158 +27 124 +-218 424 +-71 236 +278 -159 +26 141 +-27 29 +184 -55 +106 79 +-152 -142 +-140 -165 +-91 64 +-165 149 +-177 -147 +-203 -438 +-92 -272 +-31 -155 +104 -180 +33 5 +-178 -163 +-87 -175 +154 350 +304 389 +157 112 +74 -201 +141 103 +-11 198 +157 -229 +297 -168 +-26 -196 +11 -173 +60 -90 +-428 72 +-623 180 +-307 119 +-178 268 +-104 221 +-62 34 +-94 -68 +46 171 +-86 214 +-273 -172 +71 -203 +416 -367 +165 -419 +-49 27 +184 80 +191 -182 +-44 -227 +-111 140 +85 311 +262 103 +36 297 +-128 139 +15 -135 +-71 17 +7 -29 +61 -14 +-440 -58 +-330 -205 +73 -172 +89 12 +144 -89 +-21 -68 +-138 125 +51 -93 +276 146 +152 263 +102 41 +273 19 +76 -24 +-70 67 +-242 9 +-389 109 +-307 161 +-331 -62 +-215 -159 +108 -105 +235 -110 +49 -191 +-32 -139 +75 -185 +40 -13 +-198 -87 +-131 -136 +262 270 +425 251 +200 53 +1 -211 +161 44 +59 189 +6 5 +-2 -3 +-6 -6 +-13 -7 +-22 -5 +-29 -5 +-22 -8 +-25 -12 +62 -44 +345 140 +9 810 +-537 58 +-469 -1235 +-281 -1460 +-640 -1275 +-948 326 +110 1168 +164 -68 +-1014 226 +70 865 +1488 1 +1442 -383 +1214 -100 +-26 425 +-849 270 +13 21 +165 789 +-531 189 +-712 -1146 +-557 -1416 +-876 -1158 +-898 480 +329 1124 +167 -103 +-968 425 +243 842 +1498 -278 +1376 -651 +1207 -334 +62 424 +-801 425 +4 22 +316 757 +-496 291 +-929 -1005 +-824 -1292 +-1109 -984 +-815 645 +543 1072 +144 -113 +-903 598 +391 795 +1442 -532 +1260 -878 +1149 -537 +132 415 +-724 557 +17 11 +447 691 +-442 374 +-1109 -827 +-1058 -1136 +-1286 -775 +-713 785 +725 953 +112 -158 +-794 743 +526 710 +1347 -783 +1114 -1092 +1059 -727 +205 381 +-629 656 +17 11 +569 617 +-374 439 +-1228 -627 +-1218 -948 +-1394 -570 +-573 891 +884 829 +99 -161 +-673 876 +634 636 +1215 -976 +914 -1235 +918 -877 +274 330 +-491 748 +31 20 +661 511 +-293 475 +-1315 -427 +-1363 -725 +-1472 -317 +-437 975 +1002 682 +77 -178 +-547 953 +722 531 +1068 -1136 +737 -1344 +792 -990 +308 295 +-400 814 +33 11 +728 410 +-227 526 +-1376 -226 +-1477 -525 +-1512 -102 +-278 1018 +1094 519 +39 -180 +-379 1020 +805 373 +854 -1313 +493 -1467 +608 -1125 +350 240 +-254 869 +29 13 +785 290 +-132 559 +-1397 -4 +-1532 -287 +-1514 128 +-135 1041 +1156 347 +13 -187 +-242 1060 +852 279 +700 -1390 +313 -1505 +476 -1175 +392 204 +-144 893 +29 14 +823 192 +-58 572 +-1386 182 +-1562 -70 +-1492 335 +8 1036 +1209 174 +-9 -190 +-82 1075 +887 142 +463 -1492 +55 -1551 +267 -1244 +416 128 +4 892 +23 -2 +850 43 +38 571 +-1348 430 +-1566 206 +-1428 587 +194 1021 +1233 -47 +-44 -191 +107 1058 +913 -10 +220 -1537 +-189 -1516 +64 -1264 +429 52 +297 964 +408 584 +4 988 +-205 1680 +941 1407 +434 325 +-256 -412 +1031 -505 +1331 -1050 +318 -1506 +371 -374 +548 533 +-521 681 +-258 1199 +1295 670 +871 439 +-100 743 +-902 12 +-1021 703 +190 1396 +-233 195 +-177 -542 +776 -1166 +-198 -1193 +-985 -61 +-965 -68 +-53 -996 +402 -1301 +-647 -712 +-737 544 +-702 860 +-823 -566 +-1136 -927 +-1311 738 +75 1691 +89 1143 +-948 85 +-747 242 +185 980 +1313 609 +840 665 +-453 784 +-416 777 +870 845 +1409 90 +240 371 +-385 87 +-900 -894 +-1165 483 +-545 817 +-591 -421 +182 -960 +268 -1360 +-1167 -446 +-823 174 +106 -667 +693 -84 +1541 585 +1724 -606 +1051 -715 +84 401 +-147 -422 +-617 -1419 +-1542 -597 +-669 -440 +834 -700 +699 641 +768 1485 +1585 507 +575 -15 +-473 -159 +523 -1011 +453 -1628 +-671 -1388 +53 -523 +769 83 +-3 845 +514 1101 +1466 -240 +981 -169 +374 644 +-719 557 +-405 1172 +1006 964 +-71 287 +-481 -315 +-63 -1396 +-894 -840 +-860 534 +-849 509 +-648 -773 +-451 -1291 +-980 -186 +-293 885 +-69 1106 +-1023 26 +-1497 -63 +-651 1379 +1073 1300 +753 846 +-738 620 +-480 628 +735 660 +1455 -301 +1099 29 +106 892 +121 866 +1222 148 +1216 -773 +427 156 +-255 311 +-1280 -132 +-648 1098 +70 961 +-730 27 +-431 -846 +-610 -1203 +-1228 375 +-566 631 +-323 -592 +507 -494 +1602 -488 +1008 -1533 +410 -1187 +337 272 +-366 -223 +-1378 -706 +-1631 505 +-821 71 +252 -1060 +967 70 +1544 681 +1628 -588 +472 -371 +-474 148 +-183 -1127 +-620 -1556 +-1401 -679 +-282 -444 +679 -403 +513 670 +1079 533 +1038 -1107 +696 -732 +696 279 +-264 866 +368 1165 +1429 151 +123 269 +-586 34 +-895 -1047 +-1230 -105 +-368 939 +-368 904 +-985 -219 +-1137 -733 +-900 435 +291 853 +612 904 +-813 634 +-1414 748 +-681 1148 +-22 451 +-68 -691 +377 -977 +240 -320 +-1470 -29 +-1737 -159 +-1194 234 +-394 679 +487 -251 +213 -361 +322 173 +867 -575 +1492 -728 +625 -73 +-1134 1628 +805 2375 +2076 600 +-438 240 +-1664 372 +-1037 149 +-92 500 +-172 -85 +-435 -67 +779 73 +1143 -801 +1181 -1386 +1074 -1010 +-451 -62 +-865 -434 +-233 -541 +574 234 +1567 -186 +585 -495 +-200 -546 +1290 -861 +1266 -870 +340 -506 +644 -540 +-496 -1178 +-1781 -374 +-799 238 +261 -391 +690 341 +828 1123 +6 935 +-721 732 +-130 729 +602 729 +779 -72 +947 -669 +981 112 +941 974 +180 626 +-466 -14 +305 -754 +-52 -1818 +-1137 -764 +-739 639 +-489 309 +-1039 1077 +-1028 1082 +-322 -336 +-458 397 +-550 1378 +42 474 +-460 -545 +-273 -973 +-1 -378 +-1225 832 +-1521 883 +-831 883 +82 767 +244 -498 +-57 -434 +351 -69 +364 -967 +786 -1440 +461 -405 +-147 1846 +656 1346 +126 311 +61 730 +856 199 +144 -511 +-823 -606 +-518 -346 +-492 294 +125 357 +-68 -804 +-1375 -940 +-871 626 +109 1493 +1077 896 +1012 293 +183 1095 +1153 1220 +870 473 +4 1072 +612 425 +207 -558 +-631 520 +-692 835 +-145 134 +-55 -526 +366 -897 +739 -775 +-831 -512 +-1000 -572 +-302 -1209 +-170 -818 +648 -153 +449 -378 +617 -253 +586 -587 +104 -882 +526 -620 +-391 -414 +-820 138 +-770 147 +-1000 -423 +-360 -903 +-56 -452 +1710 408 +2795 -69 +1303 -139 +257 754 +-866 905 +-915 282 +-5 -1046 +-418 -1299 +-1283 427 +-1592 1288 +-434 -125 +465 -619 +-177 536 +-637 -296 +-511 -1240 +-158 -175 +-147 628 +296 1211 +1014 909 +577 -229 +26 -283 +53 -92 +112 289 +475 539 +777 -376 +-200 -489 +-1033 45 +-620 66 +-207 535 +319 186 +-567 -571 +-1695 157 +-309 1026 +1032 1061 +1426 -4 +988 -424 +636 619 +294 -294 +-1200 -1343 +-1537 -255 +-1226 1272 +97 1149 +835 324 +745 -428 +763 -1353 +199 -308 +94 1355 +-569 625 +-1770 -195 +-1252 701 +-304 759 +-356 43 +-369 364 +499 446 +1136 32 +303 -111 +-266 59 +863 -379 +1302 -1702 +393 -1608 +-12 -403 +200 798 +951 792 +693 -1208 +-892 -665 +-1280 1223 +-1257 667 +-707 -227 +452 -1134 +105 -1224 +-270 -603 +-56 -1125 +46 -1194 +749 -296 +1135 385 +419 413 +-29 389 +194 356 +47 109 +960 182 +1719 575 +887 1464 +1510 1219 +1200 464 +-189 501 +645 -287 +558 257 +-255 962 +-690 257 +-1262 195 +-1003 -718 +-1082 -824 +-485 -624 +277 -873 +-152 933 +-441 568 +-797 -501 +0 386 +512 -494 +-586 -1174 +-604 -763 +-987 -504 +-1902 -90 +-1371 782 +-184 1732 +812 804 +891 -281 +336 -805 +-246 -1512 +-35 -356 +926 963 +-46 818 +-1529 941 +-574 1293 +223 756 +-260 250 +-123 524 +34 143 +-476 -581 +214 86 +1125 1621 +-522 1512 +-1559 576 +-142 191 +-247 481 +-300 740 +-235 -427 +-1360 -235 +-770 964 +12 187 +-435 -635 +-610 -24 +-348 980 +-318 340 +-559 -647 +-76 -514 +128 -732 +-465 86 +-610 652 +-33 -319 +630 -191 +800 -92 +1030 -521 +64 -363 +-1323 345 +-483 753 +418 -96 +534 207 +565 1107 +-141 93 +-393 -837 +-2 -1028 +311 -929 +627 287 +1027 1082 +752 141 +22 -20 +680 447 +1168 -1587 +-124 -3132 +-1126 -1535 +-414 -151 +227 -496 +-852 -833 +-1376 265 +170 836 +1311 -386 +235 120 +-74 999 +1531 -730 +963 -1291 +44 -217 +226 -100 +-777 453 +-169 970 +-150 507 +-1843 135 +-1387 -272 +-626 -81 +136 217 +1160 -275 +447 -312 +-720 -142 +222 -82 +1936 534 +540 1491 +-902 1423 +-8 249 +106 548 +227 774 +-453 -164 +-1247 669 +-38 1221 +117 126 +-752 -236 +-516 353 +355 911 +363 367 +-11 -21 +-24 -4 +-2 5 +-2 -2 +-7 3 +-7 3 +-5 -2 +-1 3 +0 10 +2 5 +1 -1 +2 0 +-2 4 +-3 2 +2 0 +3 -1 +5 0 +-1 1 +-2 3 +-4 4 +-4 3 +0 -6 +4 -3 +-2 2 +2 6 +5 -1 +1 -2 +-5 2 +-4 8 +2 7 +6 -4 +7 4 +-2 4 +-3 -3 +6 -2 +-4 3 +-9 6 +-5 7 +3 10 +3 11 +3 -1 +1 -3 +-2 -8 +0 -5 +1 6 +-3 10 +-6 2 +-6 -6 +-4 -7 +-1 -3 +0 -3 +-3 -5 +-6 -2 +-4 1 +-7 2 +-3 4 +1 6 +10 0 +7 5 +2 8 +4 7 +0 10 +-5 7 +-2 5 +-4 6 +-7 9 +-7 5 +0 10 +4 3 +7 2 +3 4 +-2 6 +-2 8 +1 2 +0 -2 +-4 0 +-10 4 +-2 3 +-4 0 +-4 -2 +0 1 +-2 0 +0 6 +-6 6 +-5 1 +-4 1 +-3 1 +2 -1 +-5 -2 +-4 -3 +2 6 +3 5 +-6 3 +-11 -1 +-5 -2 +-1 -6 +0 1 +-4 9 +3 5 +3 9 +-2 1 +-7 1 +-2 -7 +2 -3 +-3 3 +7 2 +-1 2 +-5 5 +1 2 +7 0 +6 -4 +0 2 +1 12 +-1 7 +1 1 +-2 7 +-3 10 +2 4 +3 -4 +5 7 +1 4 +-3 -6 +-2 3 +2 4 +-4 5 +-2 5 +6 8 +7 6 +3 -3 +2 -2 +-3 4 +1 3 +1 3 +2 0 +5 -5 +3 -4 +0 0 +-1 0 +1 -4 +1 0 +0 2 +-5 -3 +-4 -6 +-1 -9 +2 -4 +5 -4 +1 5 +-10 9 +-6 3 +1 2 +-1 -5 +2 -3 +-5 -4 +2 -1 +2 3 +4 4 +2 1 +6 11 +5 8 +-4 -3 +-3 -6 +-3 -7 +-1 -10 +0 -3 +3 -5 +6 0 +10 3 +8 2 +14 -2 +12 2 +11 1 +-13 102 +-331 256 +-740 -415 +270 -486 +1391 256 +1463 522 +1488 58 +198 -1023 +-1136 -441 +-12 190 +331 -1031 +-848 -305 +-825 1381 +-456 1496 +-587 1140 +-368 -244 +229 -853 +-36 48 +-826 -233 +130 -550 +1438 -35 +1580 242 +1521 -211 +26 -1043 +-1214 -227 +23 203 +148 -1080 +-907 -156 +-579 1502 +-200 1563 +-387 1245 +-417 -170 +81 -887 +-17 51 +-883 -88 +19 -579 +1437 -303 +1624 -60 +1477 -503 +-174 -1050 +-1268 -10 +49 189 +-54 -1107 +-938 8 +-311 1606 +96 1598 +-166 1305 +-458 -113 +-92 -917 +-20 49 +-894 74 +-72 -578 +1397 -563 +1620 -357 +1392 -758 +-349 -999 +-1256 220 +100 173 +-240 -1090 +-935 167 +-23 1638 +372 1551 +62 1306 +-466 -31 +-235 -876 +-4 56 +-870 223 +-163 -557 +1287 -778 +1548 -597 +1272 -960 +-513 -920 +-1229 438 +119 158 +-420 -1033 +-912 325 +240 1628 +624 1453 +271 1262 +-464 49 +-382 -808 +-9 61 +-826 347 +-252 -514 +1137 -957 +1427 -838 +1089 -1158 +-669 -829 +-1138 613 +150 133 +-579 -947 +-837 463 +504 1543 +849 1336 +478 1198 +-436 115 +-494 -738 +3 61 +-766 464 +-331 -477 +992 -1124 +1301 -1039 +923 -1298 +-775 -721 +-1035 768 +174 114 +-706 -842 +-765 589 +722 1464 +1042 1215 +661 1129 +-424 174 +-613 -653 +2 61 +-696 579 +-397 -412 +820 -1241 +1141 -1206 +729 -1410 +-870 -597 +-925 900 +179 75 +-831 -718 +-670 691 +945 1319 +1227 1012 +826 986 +-391 236 +-703 -553 +23 70 +-588 686 +-451 -340 +620 -1356 +939 -1378 +515 -1508 +-954 -470 +-783 1019 +200 46 +-928 -600 +-589 770 +1114 1176 +1365 846 +959 886 +-345 292 +-767 -457 +32 47 +-503 733 +-497 -305 +449 -1433 +763 -1494 +304 -1570 +-1012 -321 +-626 1129 +210 34 +-1003 -441 +-462 850 +1293 977 +1484 603 +1102 703 +-296 351 +-1017 -214 +-728 79 +-883 -500 +-1398 -1012 +-1769 172 +-509 225 +529 -27 +-110 1211 +229 1736 +1223 1023 +146 508 +-762 214 +-301 -840 +-915 -807 +-1278 858 +-853 527 +-590 -475 +525 -828 +-76 -1264 +-1360 -491 +3 -304 +633 137 +649 1294 +1207 380 +616 -877 +637 -816 +966 485 +981 1016 +1007 -252 +-85 -958 +-348 -1063 +1024 -425 +1491 -572 +48 -1565 +-1564 -771 +-1043 -502 +493 -898 +206 -792 +-992 -294 +-1259 891 +-1037 400 +-435 -817 +-455 -748 +-1218 407 +-816 1211 +-443 -16 +179 -407 +1340 -393 +194 -1284 +-424 -882 +737 -328 +778 652 +1105 880 +1036 -886 +303 -815 +585 437 +-306 665 +-1347 1119 +-322 1843 +101 1254 +-411 -145 +499 85 +1659 109 +1385 -1134 +774 -356 +196 1102 +-959 256 +-1752 -69 +-1303 1185 +-267 488 +439 -339 +634 1031 +1227 1227 +1627 81 +441 328 +-497 642 +-756 -465 +-1234 -74 +-538 1446 +-380 923 +-771 -25 +-72 -962 +-828 -928 +-1409 426 +-182 -251 +587 -277 +1316 612 +1224 -437 +-20 -1049 +25 -1016 +1080 -206 +1423 183 +670 -818 +-644 -694 +-931 -615 +570 -965 +864 -1359 +-912 -1236 +-1734 354 +-1142 210 +-152 -1018 +-317 -738 +-985 379 +-478 1450 +-604 928 +-858 -377 +-838 -306 +-754 1067 +76 1452 +-367 261 +-113 -410 +844 -1117 +-652 -1115 +-894 -412 +393 -690 +1034 30 +1447 -10 +292 -1324 +-260 -806 +737 -10 +170 701 +-406 1685 +874 1634 +861 915 +-419 128 +448 -241 +1418 -916 +447 -1712 +399 -740 +814 755 +-622 789 +-1461 1012 +-349 1708 +84 542 +151 -521 +1143 417 +1743 204 +1372 -927 +562 -16 +0 790 +-891 95 +-1061 693 +445 1462 +267 951 +-635 446 +-638 -713 +-1246 -234 +-898 1187 +-296 -93 +324 -558 +1459 -290 +763 -1061 +-640 -828 +-577 -828 +784 -789 +1301 -679 +86 -1047 +-948 -177 +-1143 48 +-95 -1100 +64 -1606 +-600 -955 +43 55 +-169 1417 +-596 2097 +20 740 +193 -1439 +-431 -1628 +-1082 77 +-915 -56 +587 -570 +1050 809 +581 764 +390 -548 +-842 -581 +-1002 -477 +-539 -827 +-1004 -54 +-741 838 +-1014 248 +-858 252 +356 531 +881 -676 +1132 -488 +157 672 +-908 -188 +-838 -236 +-892 1507 +-353 1350 +290 -909 +278 -2272 +313 -1399 +-79 99 +-687 765 +-196 340 +-450 -728 +-1873 49 +-741 1239 +210 537 +-919 508 +-495 791 +261 612 +1043 230 +1332 -943 +460 -721 +933 -360 +647 -1222 +-72 -975 +1398 -462 +2531 -298 +1475 175 +209 955 +991 967 +1138 76 +650 435 +1418 411 +831 -634 +-108 -711 +-837 -595 +-1155 -146 +11 -382 +-935 -840 +-1826 594 +-305 929 +-245 -227 +-702 -487 +135 -82 +711 1236 +731 1993 +440 567 +-668 -1232 +-1295 -1018 +-841 698 +-778 487 +167 -783 +1350 46 +939 273 +15 -685 +-1040 6 +-1118 180 +-1029 -433 +-1503 451 +-135 1413 +1332 -399 +606 -1028 +24 1236 +-287 1645 +-467 802 +85 785 +-395 542 +-2427 -187 +-2457 530 +-194 744 +329 -363 +71 447 +130 345 +-407 -754 +-750 -162 +-746 153 +173 188 +781 70 +474 -157 +1113 -912 +1403 -2517 +624 -1988 +-87 15 +-70 864 +783 635 +1579 314 +1275 279 +-387 -8 +-322 256 +1504 -267 +1352 -1204 +84 -192 +-772 60 +-404 -697 +95 -1266 +-737 -1698 +108 -771 +932 -278 +-549 99 +-998 1369 +-576 1464 +-162 1011 +258 247 +75 -384 +429 449 +337 354 +-357 -839 +519 -426 +849 431 +-516 404 +-433 535 +748 318 +1092 -765 +603 -1625 +284 -829 +413 321 +-872 -238 +-1700 -48 +-1238 905 +-1465 478 +-269 305 +44 734 +-938 1533 +653 1143 +830 -1210 +-174 -1150 +792 927 +816 1423 +134 898 +560 556 +26 655 +-2052 1367 +-1621 1929 +321 681 +55 -476 +343 299 +315 176 +-813 -314 +-437 194 +567 -218 +369 -805 +-686 72 +-332 945 +349 -80 +1089 -341 +2103 870 +1452 285 +765 -1118 +311 -741 +394 -420 +762 -1918 +-1052 -1822 +-912 529 +598 1082 +808 544 +1176 -251 +-1004 -515 +-1439 1463 +880 1510 +212 -290 +122 398 +906 1098 +1202 321 +2098 395 +1242 1065 +-52 260 +-248 -1146 +-249 -813 +-159 131 +168 -166 +444 -498 +-11 510 +-465 1051 +-937 159 +-825 57 +652 118 +1028 -696 +-252 -528 +-1532 -258 +-1905 -1101 +-1617 -706 +-1042 721 +-218 436 +-147 -531 +-37 -838 +618 -1134 +630 -610 +485 302 +441 581 +38 810 +-562 706 +-676 792 +-871 1895 +-1488 1936 +-982 244 +-32 -453 +614 -391 +522 -602 +-753 495 +-1043 1149 +-210 47 +247 -97 +343 389 +300 -465 +-228 -872 +-498 468 +313 924 +222 -265 +653 -912 +2215 -628 +1343 -684 +-69 -1325 +-199 -750 +62 -568 +-559 -1960 +-1958 -757 +-401 964 +1071 460 +93 -74 +-383 -881 +419 -285 +1023 1127 +994 1379 +1279 903 +776 -801 +-672 -983 +18 230 +977 -763 +-615 -854 +-1621 702 +-1343 1075 +-1190 735 +-153 -404 +735 -716 +3 -107 +-586 -755 +317 -359 +1212 949 +1247 1190 +1435 656 +630 -919 +-839 -839 +52 225 +844 -921 +-763 -745 +-1517 951 +-1179 1266 +-1084 905 +-203 -373 +636 -816 +-6 -125 +-698 -673 +260 -412 +1338 749 +1407 1003 +1525 448 +492 -1000 +-960 -715 +89 198 +690 -1049 +-857 -613 +-1322 1174 +-929 1440 +-891 1064 +-267 -355 +481 -918 +-30 -112 +-806 -529 +178 -434 +1450 503 +1571 724 +1588 173 +330 -1052 +-1059 -520 +121 187 +510 -1133 +-951 -451 +-1118 1374 +-702 1573 +-731 1195 +-323 -292 +329 -964 +-38 -102 +-882 -397 +114 -457 +1527 264 +1671 473 +1600 -66 +147 -1077 +-1159 -336 +144 176 +329 -1181 +-1027 -289 +-901 1532 +-448 1653 +-541 1286 +-357 -249 +259 -982 +935 224 +1307 -50 +564 -1373 +269 -175 +-183 654 +-1287 612 +-374 1424 +950 436 +663 557 +-532 935 +-1032 985 +340 1093 +947 -355 +864 -220 +404 919 +555 1538 +1649 -96 +698 -1651 +462 -793 +901 326 +787 287 +313 -1214 +-979 -1076 +-337 -866 +805 -513 +837 -430 +-358 -1446 +-1249 -665 +-35 -565 +336 244 +519 1364 +1352 57 +923 -455 +264 514 +-695 907 +-902 1148 +815 1122 +853 332 +-403 559 +-596 -297 +-1077 -1397 +-1748 -232 +-1169 38 +114 -408 +-123 555 +-215 1688 +1002 1366 +306 759 +-1020 246 +-157 -957 +129 -1761 +-1077 -1165 +-415 -256 +291 340 +-1075 713 +-1348 1242 +-143 1698 +-303 445 +-581 -354 +536 -793 +33 -1378 +-1463 -444 +-1006 -378 +69 -580 +1041 -183 +1043 -854 +-325 -1444 +121 -285 +230 637 +-702 1249 +518 1329 +1024 -229 +868 55 +122 1046 +-270 1368 +913 635 +555 -849 +571 -686 +874 483 +1169 923 +359 -53 +-718 884 +563 1244 +345 199 +-499 433 +134 1340 +-25 868 +786 -598 +1275 -1105 +153 55 +-251 1262 +-139 1576 +653 408 +278 -208 +-157 544 +990 318 +1794 -291 +1565 -1756 +61 -1409 +-880 -260 +-946 -238 +39 1146 +781 332 +399 -1010 +1268 -1235 +675 -1899 +-342 -781 +1255 1161 +1132 1317 +-916 396 +-863 -262 +-392 -879 +-702 -170 +-283 708 +336 779 +427 114 +-35 33 +672 559 +578 -760 +-499 -561 +-59 195 +-1090 8 +-1685 20 +-358 -250 +-425 214 +-805 1152 +-340 1609 +365 249 +917 302 +54 1678 +-799 1547 +384 397 +204 -1003 +-904 -439 +-287 -504 +-1069 -1306 +-2528 -934 +-1669 -496 +-83 -893 +351 -1349 +43 -231 +227 -198 +478 -500 +-269 103 +-928 602 +-60 1377 +1246 644 +397 -40 +-143 622 +931 940 +523 675 +287 -949 +378 -1632 +171 -52 +565 1116 +852 1289 +774 -89 +96 -329 +206 504 +955 -206 +1001 -207 +-435 -791 +-1799 -880 +-1112 -207 +-659 -1351 +-1590 -1020 +-717 -83 +1130 131 +774 -271 +-187 -833 +384 -236 +763 -64 +-657 -245 +-1196 375 +-871 784 +-1242 614 +-346 631 +2 290 +300 -278 +404 -275 +-261 467 +-365 341 +-1990 82 +-1546 1053 +268 721 +300 287 +-46 411 +-210 306 +572 477 +1082 -9 +2256 -892 +2186 -1355 +683 -1237 +426 -777 +540 217 +-141 -103 +-1515 -601 +-467 -711 +416 -1097 +-372 634 +-980 1152 +-1023 20 +750 -480 +1439 -464 +776 -646 +258 -1342 +185 -82 +1150 363 +1527 -365 +827 449 +399 941 +118 739 +-146 465 +537 -271 +453 -651 +81 820 +500 1451 +-47 21 +-437 -26 +-328 -300 +-1537 -1491 +-1659 656 +311 1855 +1433 -335 +1350 -693 +-665 -361 +-2024 312 +-1042 463 +-1337 -689 +-1941 111 +-664 350 +1013 -556 +492 -661 +-637 -540 +179 -408 +596 -488 +-689 214 +-767 1011 +-250 1134 +-698 1145 +80 313 +723 -345 +-688 189 +-1386 1808 +567 1470 +1112 618 +1354 -83 +1548 -1515 +-141 -474 +-1180 927 +-643 806 +-78 356 +228 -299 +115 -727 +59 -622 +62 -401 +-320 -495 +-1263 -189 +-1723 566 +-1447 1053 +-1781 -265 +-1414 -2552 +-1380 -1597 +-478 -41 +849 -507 +-312 4 +-253 -21 +752 53 +1108 988 +1055 352 +675 -302 +300 -141 +103 33 +797 -674 +125 -1251 +-1283 -35 +-1085 634 +36 -288 +-201 -791 +-299 -428 +733 137 +23 -209 +-1249 -213 +-790 1061 +220 165 +-373 -7 +439 1008 +1255 -268 +90 -654 +187 -575 +-210 323 +-305 1092 +-143 402 +101 773 +677 1362 +96 1768 +-208 430 +75 -921 +1865 -377 +2344 -643 +51 -1292 +-685 -633 +615 290 +592 -314 +-137 -730 +216 -690 +-446 570 +-21 2219 +1352 786 +1276 -194 +1048 -891 +320 -2115 +-404 -274 +-365 1432 +-10 997 +159 308 +-7 -380 +-360 -620 +-338 -495 +-206 -260 +-398 147 +237 92 +1792 -502 +1360 -1513 +-745 -1054 +-176 344 +617 335 +175 787 +276 369 +1681 490 +1490 995 +-1016 1169 +-737 1003 +353 -312 +336 116 +287 674 +386 972 +347 47 +-762 -783 +-1054 1085 +302 542 +1314 -949 +1385 -234 +1460 -773 +-81 -1819 +-467 -539 +1053 -506 +377 -1448 +-236 -442 +-1174 338 +-2496 651 +-2165 179 +-936 313 +-86 1390 +197 672 +972 -392 +561 -437 +-433 -185 +-687 773 +-1124 945 +-1209 -346 +-533 -336 +117 -191 +621 -175 +914 258 +280 -568 +-485 -341 +-1232 1157 +-806 1957 +239 1502 +23 916 +127 617 +111 -173 +-153 248 +-455 344 +-1458 -719 +-800 -450 +501 -864 +-219 -1287 +-128 68 +808 159 +1085 -665 +419 -943 +-917 -239 +-528 686 +307 -46 +1118 -1514 +144 -2012 +-1243 -331 +78 371 +701 -121 +634 490 +454 94 +1651 -703 +1838 -205 +-45 1518 +62 1225 +81 -465 +336 -132 +574 239 +303 -31 +287 -638 +450 -1212 +142 -1090 +842 -238 +972 -724 +-10 -327 +-118 1485 +-115 1262 +864 -524 +1534 -257 +1498 1402 +1196 325 +22 -1035 +-551 -613 +-84 16 +1157 571 +1538 662 +1263 886 +1905 -7 +997 -1541 +-1451 -1429 +-1474 -303 +-179 902 +-151 73 +-1556 -887 +-1620 328 +-327 408 +-1014 395 +-188 742 +805 -469 +791 -471 +1997 894 +1389 580 +-58 -91 +-517 -193 +-288 -1285 +-499 -364 +-291 315 +665 -485 +1181 439 +796 -112 +-691 492 +-778 1708 +-514 635 +38 143 +-52 -810 +-1554 -1142 +-1708 -503 +-902 53 +291 -6 +-375 -135 +-844 684 +-199 650 +-629 478 +4 521 +506 664 +-314 1211 +-424 944 +368 -86 +-664 25 +-1930 927 +-623 681 +723 135 +308 -158 +-200 -640 +-336 -1245 +-524 -950 +549 -695 +368 -1162 +-200 -260 +798 1231 +662 1048 +402 -949 +1106 -1128 +2059 199 +1175 -465 +-601 -819 +-822 -148 +-214 22 +545 -722 +608 -2060 +-119 -1327 +-148 -62 +530 -1038 +-400 -820 +-660 264 +678 -507 +199 -456 +-696 871 +-823 1372 +281 893 +1072 35 +264 154 +338 532 +799 651 +664 743 +889 742 +852 218 +22 32 +767 1044 +1725 189 +1560 -1566 +1157 -1724 +-582 -1071 +-1141 -817 +312 -303 +565 -181 +-687 -1021 +-423 501 +469 1439 +207 816 +-64 1086 +319 183 +627 -1159 +-149 -1381 +429 -865 +169 -392 +-1049 343 +-773 -170 +-503 -635 +1125 533 +1391 993 +170 1049 +-648 561 +-268 144 +708 -604 +-1332 -485 +-1867 500 +212 -202 +1456 -161 +1370 -815 +0 -857 +-58 862 +113 813 +-1277 1230 +-1128 1616 +200 851 +711 174 +690 -519 +-831 -44 +-1497 609 +-1223 892 +-1392 1455 +-686 198 +-755 -1836 +-873 -983 +-165 67 +-192 -1134 +-812 -417 +-378 590 +243 -809 +-122 -474 +-52 1109 +172 1582 +781 526 +896 -634 +307 -50 +603 189 +1013 -200 +421 -1042 +-499 -1011 +219 -279 +-222 -595 +-1649 676 +-874 1274 +-356 -153 +-407 -638 +-763 819 +320 912 +1651 484 +1674 1712 +832 712 +-609 -1044 +-849 -690 +-954 -270 +-1020 -629 +-623 -1178 +316 -1785 +871 -1319 +190 136 +-57 648 +457 -535 +868 -1293 +780 45 +958 613 +1041 386 +1168 -45 +1287 -1075 +1173 -1348 +1009 -1144 +-694 -240 +-867 547 +1112 443 +582 -207 +-436 -328 +163 -81 +-857 -388 +-2397 -232 +-1647 369 +6 628 +594 -61 +-37 -430 +-720 -208 +-223 473 +375 709 +-347 -359 +-421 46 +-43 1037 +-287 1272 +203 1148 +384 145 +-289 242 +435 680 +2022 -1249 +1098 -1380 +-344 -30 +-864 206 +-569 1889 +1193 847 +722 -164 +46 1121 +332 507 +-388 101 +-1144 -692 +-1148 -477 +49 -338 +-545 -302 +-928 1517 +83 1494 +-377 85 +-730 -252 +-132 1094 +804 511 +1627 -604 +2378 359 +1106 65 +-1133 -470 +-1116 -28 +-897 502 +-184 851 +2106 290 +2117 -84 +848 -193 +482 -710 +1086 -154 +949 363 +-234 -174 +-480 313 +-22 1016 +330 -410 +33 -141 +1034 1193 +1774 441 +-39 -891 +-2051 218 +-1540 2415 +-38 1820 +-438 1280 +-957 514 +-1300 -249 +-821 551 +220 -60 +702 -795 +963 -538 +-518 -456 +-1154 -810 +444 -868 +1130 -902 +1083 -220 +1110 -125 +-611 -485 +-1086 1076 +-230 461 +-1135 -591 +-586 -69 +687 -606 +1463 -913 +1049 -597 +-517 -127 +-96 -160 +85 -156 +201 -631 +880 -1391 +164 -962 +-992 -269 +-1443 -323 +-675 -919 +-235 19 +-263 1273 +-87 429 +-381 -297 +-80 -751 +-553 -979 +-977 552 +319 717 +55 -507 +6 -681 +517 186 +-515 725 +-957 116 +-591 1373 +-78 1915 +-111 1344 +706 1044 +2077 -1052 +1699 -1388 +577 -674 +-52 -848 +788 -784 +1003 -308 +-305 -2 +-204 534 +602 792 +21 -538 +-70 -138 +1571 315 +1738 -711 +-564 -669 +-1689 1093 +-945 1232 +-473 -309 +431 -621 +1032 -722 +-300 -239 +-2011 130 +-752 -542 +-103 -56 +180 316 +1239 -777 +1402 -154 +846 -152 +-1066 -433 +-1020 579 +392 511 +29 36 +-1535 636 +-1580 1644 +-15 910 +745 -591 +1257 -616 +609 242 +787 -35 +955 -643 +-918 -91 +-1241 574 +-791 804 +-508 814 +622 528 +1146 -1190 +-294 -1614 +-399 9 +1282 -488 +1154 -973 +1351 -417 +1544 -1136 +654 -231 +1032 988 +1181 -337 +478 -815 +-219 -599 +-54 -168 +804 -427 +206 -343 +277 1262 +338 -247 +-1570 -1531 +-2081 -1270 +-1373 -666 +-465 953 +232 1235 +192 1429 +771 848 +1026 544 +-349 952 +-704 800 +993 678 +1035 -34 +77 -367 +-313 63 +-726 702 +-1030 -130 +-1009 -754 +-601 510 +-1066 972 +-953 128 +-20 -771 +392 -1190 +-396 0 +-1559 1321 +-948 38 +-115 39 +338 156 +549 -1332 +1049 -964 +599 -629 +-1129 307 +-498 1065 +622 170 +41 -161 +-1081 107 +-898 465 +835 59 +2052 -981 +1804 -795 +829 -116 +1080 -280 +912 -223 +-184 1106 +-45 508 +205 -148 +-664 -395 +-1243 -602 +-592 -477 +-551 -1019 +-602 -522 +-5 -1485 +142 -1517 +-44 -102 +-578 -784 +-701 -519 +247 -46 +476 -687 +-1 235 +1372 971 +1706 -340 +471 -445 +254 -144 +403 -300 +1516 -795 +650 -1056 +-1002 173 +-543 -157 +54 94 +-46 1399 +-1221 1681 +-922 1031 +-235 -522 +-106 46 +1151 1115 +1320 226 +786 -547 +571 -190 +182 -60 +-935 39 +-1611 450 +-646 362 +-549 457 +-377 204 +-46 -99 +-591 528 +-226 1022 +-479 638 +60 -179 +-268 -1149 +-2680 -406 +-765 2103 +1919 2557 +957 947 +45 -612 +310 -901 +123 -578 +251 188 +590 980 +-699 922 +-542 860 +743 -487 +1027 -2008 +917 -1728 +576 -615 +668 -888 +573 -741 +563 939 +301 393 +80 -247 +-773 114 +-1377 326 +-762 12 +-1063 -421 +-604 75 +214 -560 +-171 66 +-195 1891 +555 939 +134 1150 +498 1538 +277 -315 +397 -504 +1714 -531 +975 -238 +203 351 +-284 -266 +-1348 -301 +-1195 264 +238 758 +437 78 +18 -172 +445 539 +9 -492 +-238 -1473 +333 -855 +721 -50 +-350 493 +-1016 24 +-989 131 +-1623 1170 +-901 407 +8 -156 +242 -47 +45 -659 +109 -1100 +-212 -631 +299 330 +1035 -537 +-1120 -1685 +-1496 -1532 +-993 -545 +-392 -333 +1241 -653 +963 239 +-280 -167 +-1069 -320 +-131 390 +-144 580 +65 705 +1880 -678 +1708 -2246 +411 -1764 +-124 673 +555 1127 +-2 -563 +-851 -153 +391 1253 +758 1252 +-751 190 +-1675 -159 +-1656 386 +-1745 1472 +-851 1873 +75 464 +542 -435 +794 -337 +545 210 +1079 464 +785 -869 +33 -17 +978 1609 +1038 410 +813 845 +1348 929 +50 -410 +19 -633 +1091 -1455 +668 -760 +387 158 +-380 -42 +-1267 571 +-812 912 +651 451 +375 -162 +-570 -33 +-160 -559 +324 -1210 +838 -757 +364 -1091 +74 516 +711 597 +862 -724 +201 -1100 +-1510 -621 +-1367 1951 +-257 2020 +-358 346 +-382 -18 +270 688 +690 604 +774 134 +1368 439 +116 -896 +-1854 -1519 +-1915 -242 +-1226 666 +-1012 64 +-509 -1008 +829 -1227 +991 -922 +588 -1271 +-519 -1359 +-1018 889 +887 1104 +865 -806 +-662 -779 +-515 -633 +-265 -1683 +-153 -1341 +742 28 +190 -249 +-420 -56 +406 382 +-248 -176 +-495 -307 +205 574 +212 1063 +720 452 +620 818 +352 646 +399 -344 +-1345 -651 +-1885 -391 +16 143 +244 673 +-225 1431 +15 1032 +21 700 +872 850 +1458 382 +931 517 +1085 598 +1262 868 +924 1553 +-476 373 +-999 -776 +908 -631 +338 -625 +-684 193 +-504 -334 +-494 -1149 +208 -1099 +-361 -1065 +381 359 +956 23 +251 -1103 +-501 -980 +-1602 448 +83 2366 +1037 1745 +-65 497 +-314 229 +648 362 +1002 -29 +534 -701 +-243 -687 +-1195 -528 +58 -529 +1490 -482 +1577 -957 +243 -1478 +-588 139 +264 552 +-545 -1298 +-546 -1541 +484 -462 +310 794 +33 887 +-235 769 +263 1141 +7 487 +-744 246 +-203 681 +151 47 +1132 -971 +1612 -1069 +777 -806 +1402 -462 +902 -413 +-395 -502 +-587 547 +-625 1365 +43 60 +-488 -807 +-936 61 +-850 711 +-1969 758 +-2133 427 +-24 228 +1039 70 +352 246 +1076 -8 +965 -905 +-265 -879 +-1610 -5 +-1305 1328 +336 268 +206 -174 +750 1953 +492 1697 +351 964 +1364 517 +729 -127 +-36 252 +277 686 +282 641 +-1040 193 +-808 589 +-953 1324 +-678 1281 +1327 -486 +27 -1926 +-799 -692 +390 -3 +229 53 +-91 924 +392 -85 +261 -1887 +-148 -1724 +-629 -442 +-1349 319 +-281 -469 +971 -1248 +776 -1677 +-651 -1325 +-413 467 +548 291 +-1221 -707 +-1379 -889 +108 -646 +721 448 +563 678 +269 741 +856 613 +-60 -299 +-1594 -241 +-1122 -477 +-166 -1475 +7 -966 +-2 873 +1020 1147 +1132 -532 +-566 -841 +-918 441 +-245 362 +448 98 +943 289 +1221 -1014 +724 -816 +-999 -745 +-1375 -697 +-108 1514 +134 1143 +-252 117 +410 -493 +1050 -925 +538 -219 +-401 -37 +-227 -520 +11 -1174 +-942 178 +-1436 1234 +-624 422 +-705 -422 +-1350 -584 +332 -1064 +1354 -605 +1457 1218 +668 151 +-969 400 +713 1399 +1640 -4 +759 -541 +163 -501 +-35 647 +1113 619 +1060 199 +16 882 +-554 643 +-205 683 +-462 1604 +-710 2590 +892 2001 +889 682 +176 69 +706 -565 +196 -785 +-159 -623 +144 -1434 +-26 -1407 +924 -347 +887 -575 +-909 -104 +-473 956 +524 632 +-136 -743 +-756 -1297 +-914 -818 +-1385 -113 +-1574 888 +-1190 359 +-1074 -985 +-597 -717 +571 668 +1555 219 +557 -1130 +-994 -266 +-438 939 +57 447 +429 -202 +926 -387 +286 -1543 +29 -1052 +-1132 70 +-1618 -140 +-953 234 +-560 -172 +182 -1149 +587 -550 +65 1287 +126 1532 +1027 -209 +2784 -603 +2294 -1710 +-379 -1368 +658 -92 +728 -885 +-395 -469 +180 -623 +175 -520 +178 452 +-94 62 +462 -958 +-213 -1201 +-1627 674 +-985 1893 +-793 1548 +-813 1492 +316 322 +-51 -1325 +-1160 -815 +79 118 +739 760 +649 1548 +-42 955 +-1406 158 +-1285 -27 +-1069 528 +-431 1360 +57 1066 +-144 243 +47 -25 +698 -335 +-26 -1273 +-910 -889 +808 124 +656 -16 +-1180 -18 +-1370 145 +-770 -78 +-622 -170 +-707 66 +254 871 +932 743 +532 -952 +-139 -950 +219 365 +951 -474 +614 -1850 +-159 -849 +-103 -459 +1187 -705 +1110 193 +169 382 +409 1621 +274 1728 +124 92 +132 -369 +-557 -307 +-615 593 +-636 209 +-588 -1038 +131 -778 +838 952 +1049 1096 +706 -799 +1862 -2175 +775 -2699 +-1172 -798 +449 -489 +30 -1135 +-616 -117 +-257 -588 +-312 -555 +53 -47 +19 3 +-11 -8 +2 -8 +-2 3 +-4 2 +-4 4 +-4 3 +-1 0 +-1 -3 +6 -5 +9 -4 +-3 -4 +-5 0 +-3 5 +-3 -2 +2 -5 +-2 1 +0 -4 +-1 -6 +2 3 +-1 2 +-2 -4 +-5 -1 +2 11 +2 2 +5 -2 +4 2 +9 0 +1 -3 +-3 -4 +-3 0 +-6 4 +-17 -2 +-7 2 +2 3 +6 5 +4 1 +-3 -1 +-7 -1 +1 2 +-2 1 +-8 5 +-1 1 +6 0 +3 -3 +0 -6 +1 -2 +-1 -1 +-1 2 +2 1 +-3 2 +-4 3 +-3 0 +-2 5 +-6 3 +-3 6 +2 -2 +-14 -2 +-58 346 +46 18 +168 -344 +444 14 +319 198 +145 -2 +70 -251 +113 -434 +425 -167 +377 -27 +185 -268 +-213 -303 +-355 -445 +206 -506 +308 -360 +146 -206 +160 52 +273 46 +352 -85 +180 -149 +-10 -200 +-106 -143 +19 -279 +107 -402 +-62 -30 +-166 14 +7 -408 +100 -222 +11 91 +7 -42 +-122 -237 +-191 -213 +95 -11 +107 -102 +-250 -256 +-278 -324 +-3 -309 +-64 -5 +-285 62 +-189 61 +-50 256 +-127 88 +-179 -146 +109 -125 +342 -276 +58 -162 +-303 308 +-545 44 +-393 -163 +149 259 +267 226 +168 319 +307 285 +430 -185 +275 -105 +182 -46 +480 36 +346 80 +48 -312 +243 -271 +141 -20 +-192 213 +-310 128 +-193 -169 +-97 247 +-283 384 +-278 90 +-285 72 +-257 303 +96 562 +158 297 +150 236 +143 83 +84 -566 +369 -283 +345 60 +-187 -23 +-423 115 +-144 -144 +125 -199 +153 85 +259 -34 +306 -181 +118 -209 +-75 -189 +-145 -97 +-74 -265 +-31 -410 +-67 -3 +-157 67 +-140 -388 +0 -250 +39 74 +97 -42 +249 -117 +313 64 +245 94 +298 -174 +367 -330 +362 -381 +259 -289 +-81 -197 +-298 -283 +-225 -337 +-61 -273 +-36 -14 +-131 27 +-101 218 +-49 454 +106 17 +234 4 +31 147 +-90 -135 +153 17 +305 168 +-101 51 +-461 36 +-210 -121 +-75 -387 +-207 -151 +-242 373 +-371 296 +-427 249 +-172 307 +60 -74 +92 -96 +150 145 +111 250 +-79 331 +42 189 +353 184 +424 231 +302 25 +148 2 +27 -13 +-47 -116 +89 189 +154 252 +-52 -6 +1 62 +232 -245 +180 -367 +-84 -83 +-308 -285 +-243 -220 +-70 -229 +-215 -136 +-456 426 +-119 24 +238 -217 +-134 150 +-307 -113 +2 -514 +130 -498 +29 231 +-276 402 +-338 -233 +-64 -78 +150 37 +285 -48 +254 41 +200 -256 +227 -440 +217 -472 +154 -358 +-134 -160 +-374 -173 +-329 -236 +-148 -235 +-41 0 +-115 75 +-21 247 +88 423 +78 -70 +171 -236 +129 9 +232 221 +391 372 +-47 236 +-371 -32 +-35 -240 +217 120 +145 386 +-174 228 +-313 161 +23 44 +172 13 +137 84 +139 17 +85 -172 +139 -272 +263 -69 +-35 -5 +-405 -274 +-132 -317 +8 -206 +-172 -157 +-175 27 +-125 57 +89 -10 +-43 62 +-182 -190 +167 -428 +123 -374 +-77 -587 +-164 -536 +-138 -239 +-180 -290 +-526 62 +-286 426 +-76 37 +-162 81 +289 373 +423 -62 +41 -130 +-234 148 +-170 26 +90 183 +62 392 +-23 228 +149 -160 +400 -394 +202 -48 +-262 -94 +-223 -334 +-79 -187 +9 -353 +-44 -174 +-242 72 +-81 -100 +-159 142 +-349 319 +-168 149 +21 -107 +259 67 +200 604 +-6 373 +117 79 +140 65 +74 -68 +314 140 +482 233 +37 229 +-369 100 +-111 -223 +246 44 +264 312 +-84 282 +-238 259 +36 34 +172 -40 +172 26 +136 -44 +-1 -186 +-37 -266 +22 -92 +173 63 +306 155 +161 157 +-111 165 +-210 38 +137 -365 +314 -4 +134 451 +74 -50 +66 -170 +331 189 +476 264 +112 154 +-88 -128 +266 -173 +561 18 +166 80 +-190 114 +-222 14 +-336 -90 +-306 66 +-64 257 +183 303 +68 205 +-3 193 +26 64 +-187 -96 +8 -15 +23 -265 +-237 -270 +30 118 +70 -137 +-200 -71 +-309 191 +-34 -172 +379 -52 +121 -28 +-181 -395 +-153 -270 +-86 -222 +-70 -157 +-224 165 +-108 372 +90 382 +81 140 +104 54 +169 -187 +194 -526 +93 -226 +-131 -45 +-512 -320 +-344 -417 +102 -184 +-256 -10 +-396 -218 +-333 -252 +-597 -38 +-381 123 +-185 148 +-32 197 +158 516 +-55 379 +-86 -36 +23 -7 +190 19 +354 66 +195 118 +-53 188 +-192 102 +8 -400 +300 -106 +274 385 +53 -66 +8 -186 +390 56 +566 71 +180 102 +-152 -57 +-34 -88 +37 72 +-42 19 +-31 1 +-24 6 +-22 -4 +-16 3 +-20 3 +-30 4 +-25 5 +-22 4 +-21 4 +-24 2 +-24 5 +-21 4 +-23 -2 +-21 2 +-23 7 +-25 5 +-17 4 +-3 -2 +0 5 +-2 7 +3 2 +0 5 +3 12 +5 16 +-5 9 +-11 11 +0 7 +4 1 +0 7 +-4 5 +2 3 +6 6 +-3 -1 +0 -9 +1 -3 +-2 0 +-5 2 +-7 -1 +0 -1 +-2 2 +-6 -6 +-6 1 +-5 7 +-1 5 +2 0 +-5 -7 +-1 0 +-1 -6 +1 -2 +1 7 +0 6 +5 1 +-2 2 +-5 -6 +-1 -3 +-3 2 +-9 -1 +-5 -3 +-3 -3 +-4 2 +-7 4 +-2 8 +0 0 +-3 -2 +-2 -5 +1 -1 +-1 -3 +-3 1 +-2 0 +-1 -6 +3 -3 +3 -3 +1 4 +-2 4 +-10 -1 +-8 -2 +-3 -2 +1 -8 +3 -5 +2 1 +1 3 +3 4 +-4 -1 +-8 -1 +-1 -4 +7 1 +1 3 +0 4 +-4 8 +-5 -4 +-1 -2 +-1 2 +-2 4 +-3 5 +-2 2 +1 3 +0 6 +-3 -5 +1 2 +2 5 +8 0 +6 4 +4 10 +0 3 +-2 1 +-2 4 +-4 -1 +-6 -4 +-3 1 +-3 0 +2 -1 +7 -5 +-3 -2 +-3 1 +-1 2 +-3 2 +-1 6 +-5 9 +2 5 +7 8 +8 7 +8 0 +8 0 +-1 4 +0 3 +7 -1 +2 -1 +0 5 +-5 0 +-4 -2 +-7 -3 +-8 -3 +-4 3 +0 1 +1 -2 +1 -7 +6 -1 +0 -1 +2 -1 +5 1 +6 1 +4 0 +-3 1 +1 5 +1 9 +6 1 +6 2 +1 4 +-1 7 +1 4 +-4 4 +-4 5 +-2 7 +-3 1 +4 2 +5 0 +2 2 +1 -3 +0 -1 +3 3 +7 4 +0 3 +-2 3 +-7 6 +-4 5 +-6 1 +4 1 +3 4 +5 -4 +7 -6 +-7 -9 +-1 -3 +8 0 +6 3 +-1 5 +-3 6 +-3 -1 +-2 -2 +1 0 +6 3 +2 5 +3 -2 +2 0 +-4 1 +-1 -1 +2 9 +-5 11 +-1 7 +4 4 +-2 4 +-4 -4 +-3 -4 +-1 -2 +5 -1 +4 6 +6 2 +3 9 +-3 7 +-4 2 +0 2 +0 1 +-3 4 +-1 5 +-4 6 +-2 5 +6 3 +-1 -6 +-4 -3 +-1 -2 +3 1 +2 3 +2 8 +6 2 +-2 1 +-2 -1 +0 -3 +0 -4 +-4 5 +7 4 +8 3 +8 4 +11 -2 +6 0 +2 3 +0 2 +-3 2 +-4 0 +2 0 +9 1 +9 7 +1 3 +2 3 +5 -2 +1 3 +1 3 +-3 0 +-2 -1 +-5 5 +-2 8 +1 3 +10 -2 +4 -4 +0 -3 +3 1 +4 1 +4 3 +-1 -3 +1 0 +1 4 +6 6 +8 4 +1 7 +-3 9 +-7 5 +-6 5 +-4 -1 +0 4 +2 -3 +-1 -1 +-3 -4 +4 -1 +2 3 +-6 6 +-10 3 +-3 1 +2 10 +5 8 +2 4 +-4 2 +-3 3 +-1 15 +-2 8 +-6 1 +0 -1 +2 4 +1 0 +-5 -5 +-2 -4 +-1 8 +-1 3 +-2 -3 +3 4 +4 9 +4 0 +-1 -2 +-7 -4 +-2 -5 +-1 5 +-3 -1 +1 -3 +4 2 +3 3 +-1 -3 +2 1 +2 1 +0 -3 +-1 -3 +0 -2 +-2 1 +-1 -11 +-2 -10 +-1 -1 +6 0 +11 6 +3 7 +-1 3 +1 -5 +1 -4 +0 0 +-2 3 +-3 -2 +0 -5 +4 -9 +-3 -9 +5 1 +4 -2 +6 -9 +5 -9 +6 -3 +1 2 +-3 0 +-6 -1 +-4 5 +-5 7 +-3 -1 +3 -4 +3 -1 +-1 -2 +-1 -3 +-2 1 +-3 -2 +0 -3 +-1 -1 +0 4 +-4 1 +-4 -2 +-1 -7 +5 -5 +5 0 +-1 0 +5 -7 +7 -3 +6 3 +2 1 +2 -5 +-2 -7 +-1 -6 +7 -1 +2 -1 +-2 -3 +0 -1 +0 0 +1 -1 +-8 -3 +-6 -8 +0 -11 +-3 -2 +-2 -5 +6 -3 +7 5 +-2 4 +-5 6 +-5 5 +2 1 +0 -1 +2 -1 +-2 -7 +0 2 +4 9 +5 8 +-2 8 +-1 7 +6 8 +8 4 +3 -1 +1 1 +5 -2 +4 0 +3 1 +4 0 +2 9 +6 7 +0 6 +0 13 +0 3 +0 -3 +-8 -6 +-5 -2 +4 3 +-5 -2 +-4 -13 +2 -14 +7 -9 +1 0 +-3 4 +1 2 +0 -3 +-7 -5 +-3 1 +-4 5 +-5 -6 +-2 -9 +-2 -2 +-3 -4 +-3 -1 +-1 2 +4 6 +4 3 +2 -5 +1 3 +-1 2 +-5 -1 +1 -1 +-2 1 +0 1 +6 1 +-1 -4 +-5 -6 +-6 2 +-2 1 +6 4 +5 5 +5 1 +6 -3 +6 0 +2 3 +-1 2 +-8 -2 +-9 3 +3 2 +1 3 +-1 -6 +4 -3 +2 4 +-5 -1 +-5 -1 +-1 4 +2 6 +-2 6 +-4 1 +-2 1 +-5 3 +-10 3 +-1 1 +6 2 +2 1 +0 1 +-2 -3 +-3 -7 +-6 -1 +-1 0 +-1 1 +-8 0 +-12 5 +-7 3 +0 0 +1 -3 +2 -2 +2 -4 +0 -2 +-2 3 +-4 3 +265 288 +-64 130 +-400 40 +-413 48 +-189 51 +66 13 +73 -65 +-50 -46 +-51 -186 +-6 -108 +-350 107 +-465 -8 +-38 89 +86 210 +100 207 +114 261 +275 86 +463 104 +89 65 +74 -214 +336 -174 +227 -240 +102 -325 +-113 -213 +-131 -245 +-59 -159 +-220 0 +-221 -25 +70 -188 +327 -199 +41 -114 +-141 -278 +75 -286 +-55 -165 +-310 -193 +-385 -145 +-213 -71 +-131 70 +-310 170 +-299 253 +-234 297 +-23 161 +36 286 +-66 135 +396 -230 +486 -113 +22 -144 +-110 -111 +-57 78 +58 59 +39 102 +28 -110 +299 -215 +343 -16 +39 137 +-70 345 +-255 91 +-469 -17 +259 305 +615 261 +-193 503 +-270 398 +68 -182 +-142 -126 +-355 152 +-384 -21 +-34 -486 +228 -388 +156 17 +94 186 +-284 -11 +-228 -243 +193 -78 +-177 -105 +-295 58 +-18 269 +-92 -48 +-7 86 +181 27 +161 -172 +-65 291 +-171 263 +-203 40 +-146 -9 +418 -41 +449 401 +-90 390 +-145 78 +27 236 +310 195 +228 116 +-111 347 +79 280 +166 41 +-52 167 +-219 230 +-91 37 +271 -12 +325 32 +245 -11 +152 -144 +47 -138 +-87 -219 +-217 -423 +8 -229 +-127 -68 +-482 -48 +-187 9 +-69 80 +-261 391 +-182 405 +74 229 +381 332 +444 361 +316 34 +219 -388 +99 -253 +-20 -129 +-51 -283 +-84 92 +-284 260 +-271 51 +130 -75 +122 -337 +-243 -326 +-169 -252 +-26 -323 +-179 -114 +-283 232 +-349 96 +-178 -454 +90 -433 +150 -32 +153 144 +-268 83 +-285 -152 +162 -137 +-192 -40 +-251 152 +83 250 +-114 -26 +-39 96 +30 200 +-98 -8 +22 134 +171 151 +379 -143 +306 -168 +225 -135 +131 -132 +-413 -8 +-319 -205 +142 -378 +-30 197 +-207 614 +-57 280 +-98 66 +-372 135 +-230 -19 +190 -187 +342 122 +207 361 +-104 79 +-61 -128 +300 -203 +270 -201 +30 -273 +31 -317 +-34 24 +-256 51 +-187 94 +-27 441 +121 365 +177 281 +-45 215 +163 132 +428 99 +226 70 +147 365 +10 93 +12 -220 +221 233 +51 305 +15 312 +266 181 +338 -238 +424 -11 +442 31 +-140 -316 +-458 -335 +-48 -219 +-104 -2 +-258 132 +-134 5 +-95 -228 +115 -329 +-62 -134 +-368 113 +-350 54 +-301 -184 +-80 -79 +-235 98 +-327 -33 +-194 -77 +-353 -14 +-34 148 +120 211 +-99 25 +73 100 +209 78 +295 -259 +233 -255 +167 -208 +83 -180 +-390 119 +-373 -90 +11 -403 +39 193 +25 633 +70 263 +-48 96 +-200 228 +-403 295 +-471 56 +131 -311 +383 -169 +172 -168 +82 -307 +-20 0 +135 236 +211 158 +133 50 +108 0 +-42 158 +137 271 +84 50 +-351 -165 +-233 21 +-331 336 +-496 74 +128 -333 +381 -197 +154 -184 +60 -304 +-16 6 +161 229 +229 147 +140 31 +100 -17 +-30 167 +162 264 +99 49 +-358 -122 +-224 53 +-296 377 +-485 125 +103 -335 +362 -221 +146 -185 +36 -307 +-19 -2 +172 200 +237 113 +141 16 +104 -27 +-14 161 +188 242 +106 40 +-372 -96 +-227 64 +-269 396 +-480 162 +62 -350 +334 -261 +119 -202 +6 -315 +-20 0 +187 187 +251 100 +152 11 +98 -29 +-6 163 +206 230 +101 35 +-380 -54 +-217 98 +-236 418 +-467 200 +44 -349 +320 -282 +104 -208 +-15 -306 +-16 4 +206 162 +252 69 +144 -7 +100 -42 +10 163 +209 228 +75 33 +-369 -60 +-63 -93 +341 -97 +116 -11 +-110 31 +217 294 +252 277 +234 0 +326 60 +220 59 +385 162 +88 83 +-9 -145 +209 -163 +171 -144 +406 -179 +252 -154 +74 -233 +107 -293 +-101 -59 +116 44 +440 126 +126 19 +-186 43 +-423 101 +-357 -73 +166 164 +-56 94 +-361 15 +-231 -154 +-124 -502 +-35 -161 +19 -124 +149 -246 +28 40 +-42 398 +-45 586 +-65 236 +85 -129 +260 -105 +160 -129 +-257 -290 +-186 -60 +-244 258 +-498 -57 +-250 -5 +-94 429 +35 117 +279 -31 +251 175 +-152 -167 +-313 -499 +-180 -296 +-124 -103 +235 -34 +383 92 +30 63 +126 236 +35 346 +-385 261 +-97 164 +154 -81 +-64 180 +-395 287 +-360 103 +75 28 +302 -194 +116 -77 +-103 64 +294 186 +338 174 +221 -81 +334 -65 +232 -14 +419 30 +113 52 +-50 -130 +157 -233 +124 -201 +319 -301 +187 -198 +-72 -24 +0 55 +274 165 +227 385 +-1 364 +239 -280 +102 -427 +46 -91 +115 233 +-131 31 +-51 -465 +-217 -297 +-45 -165 +245 79 +-13 63 +-308 -314 +-307 -94 +129 68 +219 254 +124 467 +121 83 +222 17 +193 162 +7 40 +77 377 +71 413 +201 138 +126 52 +47 -225 +-84 -142 +-562 -98 +-305 -96 +30 154 +-189 269 +-193 266 +61 85 +-165 106 +-377 87 +-86 -251 +-6 -483 +126 -375 +229 -163 +85 -183 +-39 18 +-286 352 +-349 163 +-307 -34 +-318 -13 +-3 -368 +176 -414 +-7 6 +84 -111 +314 -160 +266 128 +58 -262 +63 -584 +-37 -149 +-256 -63 +-53 58 +175 285 +299 179 +271 106 +89 29 +133 251 +144 248 +95 74 +314 88 +338 287 +103 334 +123 -326 +-47 -418 +9 -102 +183 184 +-116 75 +-200 -416 +-301 -205 +-103 -134 +259 5 +31 73 +-367 -187 +-243 -106 +112 -24 +-230 -158 +-381 -503 +-144 -355 +-180 -180 +65 -273 +91 -128 +-77 -153 +-6 -348 +15 -147 +-171 62 +-249 109 +-27 195 +-16 -195 +138 -456 +182 -210 +-103 106 +-88 13 +18 -311 +12 -45 +-41 205 +-48 81 +-193 239 +-239 232 +110 40 +144 289 +-83 29 +42 -176 +266 181 +298 86 +153 152 +248 19 +460 -228 +181 111 +-46 225 +-75 -17 +-206 -23 +-241 70 +-130 -52 +79 128 +33 110 +-133 10 +-14 165 +292 -24 +385 5 +130 -50 +114 -268 +230 78 +-58 312 +-168 -18 +132 82 +54 176 +-142 -242 +-165 -141 +146 26 +411 -79 +34 -260 +116 -68 +242 114 +26 -110 +17 254 +-94 371 +-104 216 +-12 122 +153 -68 +-236 -89 +-544 -345 +-271 -284 +-232 -116 +-27 -294 +43 -170 +-121 -122 +-115 -326 +-35 -145 +-144 111 +-211 182 +31 198 +-82 -171 +-47 -488 +47 -275 +-131 2 +87 49 +217 396 +-114 393 +-172 -36 +-84 134 +59 77 +124 24 +76 193 +277 272 +67 264 +-153 -126 +370 -119 +350 -192 +272 -550 +557 -164 +5 -34 +-219 -191 +70 81 +257 -20 +359 5 +-59 77 +-133 -237 +179 -195 +283 -22 +59 214 +-90 245 +65 94 +57 34 +-22 126 +-224 547 +-359 483 +-488 -38 +-427 -305 +-176 -280 +-219 -321 +-116 -260 +66 -62 +174 -93 +64 72 +145 69 +164 4 +-223 45 +-207 -174 +-414 -93 +-414 104 +-188 98 +-226 -93 +236 -203 +220 140 +-362 320 +-457 -49 +-356 -235 +-297 -188 +-8 -116 +344 153 +356 151 +320 -115 +265 -155 +0 -55 +-76 -176 +111 -176 +166 -132 +94 -217 +-35 29 +117 45 +332 286 +32 416 +-166 35 +-28 153 +82 50 +135 -13 +138 158 +352 162 +142 231 +-184 -73 +330 -252 +249 -307 +-12 -563 +10 -74 +-228 337 +204 288 +331 587 +157 252 +56 159 +-226 360 +-114 -120 +-176 -240 +-363 -61 +-44 -14 +151 -151 +10 -312 +87 -279 +172 181 +-26 227 +50 -250 +354 -166 +342 -165 +-64 -224 +-178 -308 +-81 -285 +-172 -32 +-20 -157 +176 -96 +127 11 +-19 142 +-29 202 +-51 -182 +-99 -233 +6 -78 +109 -41 +279 179 +171 20 +66 -377 +147 -329 +-15 -323 +147 -401 +91 -355 +-341 -204 +-258 20 +-298 398 +-336 512 +210 -168 +466 -361 +183 -75 +85 -155 +98 -143 +-47 -96 +139 -16 +148 -27 +-56 -266 +101 -92 +-144 89 +-308 -6 +-180 458 +-240 721 +-29 267 +182 -170 +-18 -178 +-461 -37 +-274 -71 +225 269 +131 316 +-56 335 +-64 474 +309 248 +497 445 +231 186 +91 137 +-102 407 +-152 -78 +-240 -160 +-357 71 +-37 6 +101 -200 +-88 -312 +8 -316 +242 101 +60 268 +-69 118 +24 177 +31 -143 +-5 -36 +-138 280 +-33 236 +302 141 +83 83 +-176 124 +233 98 +169 219 +-145 -5 +-183 -5 +-362 224 +-11 39 +108 352 +-232 557 +-65 252 +-124 -22 +-483 199 +-506 320 +-153 76 +-54 101 +47 -144 +550 -248 +569 -73 +383 -28 +142 -82 +-72 -302 +-169 -259 +-440 89 +-313 85 +-159 -96 +-42 37 +53 -78 +136 -171 +538 -142 +277 -257 +-263 -172 +-94 -85 +152 -177 +208 -178 +201 -110 +-55 -244 +-128 -296 +135 -207 +-10 -53 +-193 -274 +50 -445 +-51 -45 +-268 95 +-231 145 +-401 -196 +-269 -333 +16 -13 +-150 118 +-185 314 +-95 15 +-159 -157 +-117 -81 +19 -107 +93 -211 +411 -282 +600 72 +250 256 +86 153 +47 -141 +-2 -29 +-28 304 +64 234 +337 35 +113 56 +-115 177 +260 11 +243 136 +-136 40 +-177 59 +-267 333 +-2 31 +241 281 +130 550 +208 243 +33 47 +72 -133 +490 -442 +335 -251 +147 139 +195 45 +-270 -47 +-207 100 +264 324 +302 113 +260 -118 +258 -243 +208 -322 +73 -178 +252 -78 +275 188 +8 164 +-270 83 +-265 277 +-76 405 +-321 220 +-306 -76 +-296 51 +-231 -253 +106 -507 +-138 -237 +-434 -246 +-164 -179 +73 16 +-135 175 +-276 457 +-423 574 +-331 191 +-92 -91 +-217 -138 +-132 -168 +-112 -58 +-45 30 +196 346 +284 337 +517 -32 +475 108 +-136 78 +-245 -156 +67 65 +-180 281 +-153 244 +-201 -111 +-444 -384 +-313 -144 +-293 -121 +56 -36 +195 83 +-156 -358 +-132 -389 +174 -130 +133 -213 +-80 -91 +36 86 +179 -44 +304 45 +156 149 +80 41 +264 52 +64 37 +21 -156 +307 -586 +233 -366 +196 80 +200 -13 +-275 49 +-167 159 +357 212 +328 -2 +213 -202 +169 -312 +62 -355 +-43 -142 +-1 27 +232 51 +482 -76 +536 -87 +157 -164 +-240 -13 +-122 -59 +-173 -220 +-88 104 +-67 113 +-208 -266 +-143 -291 +-146 -174 +16 -238 +186 7 +319 159 +324 113 +-130 399 +-212 191 +203 -317 +339 -469 +217 -287 +-26 -23 +7 20 +-34 151 +-156 -110 +247 -267 +-133 19 +-331 -181 +245 -26 +-25 216 +60 -116 +126 26 +-275 202 +158 -52 +270 13 +-43 158 +40 -167 +37 -454 +-82 -199 +-186 -91 +-194 -234 +-238 113 +-369 257 +-273 -67 +52 -66 +238 85 +-250 -48 +-514 -508 +-20 -399 +435 31 +327 -36 +-75 36 +-193 306 +-389 543 +-151 398 +288 245 +289 388 +363 232 +-62 208 +-343 104 +-260 64 +-247 220 +-116 112 +-61 206 +216 39 +454 -243 +489 -255 +90 -206 +-243 66 +-138 -25 +-243 -157 +-56 123 +-26 129 +-288 -178 +-234 -219 +-187 -121 +-42 -238 +180 -53 +347 67 +135 201 +-161 482 +-115 275 +-3 60 +307 -48 +-44 -24 +-291 -116 +67 -152 +-81 -67 +16 -141 +292 35 +419 -92 +394 -168 +131 167 +-21 253 +-9 3 +85 -112 +-148 210 +-167 202 +58 -27 +42 74 +71 167 +3 -62 +75 -108 +258 7 +-17 -53 +-282 50 +-246 -50 +-392 -123 +-410 -62 +-188 -128 +8 -59 +-56 75 +-192 61 +28 -212 +-52 -257 +-95 -76 +-146 -119 +-357 -293 +-396 -246 +-669 -236 +-379 -199 +15 -14 +90 -189 +316 -173 +154 -207 +-72 -246 +-153 -72 +140 71 +270 376 +-7 83 +220 -9 +-40 355 +-375 164 +154 160 +107 477 +-24 294 +312 18 +218 -64 +71 -152 +-82 98 +-229 72 +94 -209 +334 -98 +98 297 +-19 532 +-3 312 +25 62 +289 -160 +-50 -7 +-326 -13 +16 -160 +-95 -35 +-29 -137 +300 -60 +374 -223 +318 -285 +162 118 +51 241 +-2 6 +215 -178 +298 230 +147 467 +157 36 +81 -261 +257 -355 +192 -277 +-154 -166 +-31 -322 +199 -197 +-3 -17 +158 76 +502 333 +127 191 +66 -69 +19 -167 +-35 -122 +30 -65 +-156 -153 +-53 231 +-81 489 +-107 234 +-91 124 +-44 52 +5 -180 +53 -282 +263 -179 +96 -337 +-66 -170 +-67 282 +-91 -11 +-137 -300 +-219 -291 +-185 -46 +89 289 +199 31 +-282 -35 +-512 42 +-307 -2 +-75 255 +329 310 +234 279 +-139 -24 +155 -418 +11 -276 +-342 -173 +-59 51 +-39 105 +-78 -57 +-75 185 +-126 46 +-252 -132 +-164 140 +141 143 +-68 -270 +-60 -336 +60 51 +97 102 +62 375 +-192 595 +-274 172 +-204 129 +8 365 +-81 125 +96 -188 +399 142 +279 371 +143 -31 +-6 -286 +128 -426 +94 -320 +-189 -99 +-130 -293 +132 -257 +-9 -18 +176 14 +592 152 +190 138 +43 -83 +-40 -138 +-70 -64 +44 -95 +-110 -229 +-63 -138 +-95 57 +-214 181 +-110 130 +-159 -122 +-427 -200 +-261 36 +48 249 +202 157 +244 -169 +172 -150 +-92 152 +-233 -8 +249 -287 +659 -252 +305 -130 +-226 -153 +-78 -87 +-105 291 +-436 436 +-445 321 +-560 68 +-138 -107 +73 37 +-306 -130 +-250 -420 +-207 -233 +75 29 +256 167 +45 73 +-36 -192 +-27 -94 +45 -166 +-149 -297 +2 48 +85 239 +-194 -22 +243 -384 +233 -219 +-34 158 +135 462 +-22 440 +128 -4 +177 187 +-140 335 +-161 -151 +-86 -253 +69 57 +272 124 +262 -39 +59 -210 +-14 -147 +-17 94 +123 25 +110 177 +-200 133 +-9 -261 +181 -38 +210 143 +191 160 +22 247 +133 192 +29 10 +-186 -204 +-99 -116 +-84 77 +-147 225 +-65 158 +-200 -73 +-483 -53 +-247 128 +128 224 +249 88 +186 -232 +144 -211 +-23 168 +-239 79 +-41 -211 +70 -83 +1 -3 +-10 1 +-7 4 +-5 7 +4 14 +-3 9 +-11 2 +-10 8 +-12 11 +-13 9 +-6 17 +-2 15 +-2 13 +-6 8 +-10 13 +-8 9 +-7 3 +-4 4 +-3 3 +-2 -13 +-1 -7 +-11 -1 +-12 -1 +-9 1 +-10 -1 +-3 -1 +-4 -1 +-8 -4 +-9 -3 +-4 -4 +-5 -3 +-8 -3 +-1 0 +-4 4 +-5 -7 +-8 -3 +-5 2 +4 3 +0 3 +2 4 +-2 -5 +-10 -7 +-6 -1 +-4 -4 +1 -7 +5 0 +-3 2 +-5 -3 +-6 -6 +-1 -3 +1 7 +3 4 +2 -4 +-3 -6 +-3 -6 +-8 -2 +-4 -4 +-2 -5 +5 -4 +4 0 +3 2 +-2 5 +-4 6 +-2 5 +3 4 +3 0 +-2 -1 +-4 -5 +-2 -6 +-8 -2 +-1 -2 +-2 -1 +-6 7 +1 3 +0 -7 +-3 -6 +-6 -8 +-12 -9 +-21 -19 +-27 -27 +-24 -29 +-15 -25 +-5 -19 +149 62 +8 491 +-687 469 +-143 -747 +599 -1348 +690 -1364 +-91 -1265 +-1113 265 +-213 905 +-85 -381 +-1101 -100 +144 981 +1343 773 +1262 601 +655 638 +-702 78 +-544 -347 +43 347 +-571 637 +-314 -690 +329 -1459 +433 -1489 +-315 -1235 +-1061 466 +-47 937 +-140 -371 +-1123 85 +294 955 +1457 555 +1369 410 +770 540 +-688 188 +-599 -277 +89 335 +-476 740 +-430 -632 +95 -1509 +184 -1549 +-532 -1170 +-975 651 +125 941 +-209 -325 +-1107 303 +481 882 +1569 261 +1443 123 +871 379 +-652 331 +-653 -143 +170 320 +-318 818 +-551 -545 +-205 -1501 +-118 -1558 +-754 -1063 +-863 816 +297 898 +-262 -304 +-1051 482 +640 793 +1625 -12 +1469 -128 +937 215 +-587 430 +-674 -35 +216 282 +-176 864 +-649 -443 +-475 -1441 +-385 -1516 +-927 -907 +-700 955 +462 820 +-328 -248 +-972 674 +770 673 +1604 -297 +1425 -371 +965 58 +-507 524 +-677 85 +255 246 +-42 863 +-724 -338 +-719 -1337 +-646 -1413 +-1081 -714 +-543 1068 +590 734 +-358 -176 +-830 815 +883 511 +1532 -568 +1338 -608 +952 -112 +-413 579 +-641 191 +300 194 +109 846 +-745 -214 +-907 -1203 +-853 -1296 +-1178 -545 +-391 1122 +687 640 +-373 -125 +-714 915 +949 397 +1451 -741 +1263 -772 +932 -244 +-345 626 +-619 273 +309 155 +218 834 +-768 -96 +-1048 -1060 +-1009 -1169 +-1236 -400 +-228 1148 +777 539 +-385 -56 +-581 1005 +980 241 +1310 -954 +1127 -950 +890 -373 +-241 681 +-576 370 +337 104 +347 779 +-783 6 +-1218 -883 +-1188 -987 +-1305 -195 +-75 1169 +856 417 +-397 -21 +-454 1066 +1013 118 +1191 -1118 +996 -1084 +829 -488 +-146 685 +-520 426 +346 54 +442 727 +-787 116 +-1324 -712 +-1319 -827 +-1316 -25 +109 1157 +912 284 +-395 45 +-269 1131 +1040 -42 +1016 -1295 +824 -1226 +758 -608 +-34 713 +-354 838 +-66 715 +-985 823 +-965 1448 +95 1485 +-4 -3 +318 -342 +1401 418 +1576 -396 +952 -891 +362 414 +-167 611 +-1089 201 +-496 1100 +762 1211 +-53 772 +-597 190 +-840 -744 +-1192 493 +-509 1032 +-237 -440 +653 -383 +1347 -620 +109 -1037 +-848 -575 +-298 -798 +1025 -731 +864 -876 +-408 -787 +-1059 238 +-901 -128 +22 -1315 +-656 -1238 +-1479 369 +-788 1500 +-741 380 +-710 -695 +-718 122 +-134 1125 +687 1280 +-247 822 +-938 159 +-599 637 +537 1296 +787 733 +-422 326 +-122 -630 +-406 -1243 +-1290 106 +-636 -23 +74 -815 +1076 -562 +613 -1090 +-953 -850 +-268 -344 +667 -363 +514 899 +1049 1329 +1747 268 +699 204 +-311 260 +472 -861 +88 -1633 +-880 -1227 +365 -513 +968 304 +-345 1242 +-32 1680 +973 1102 +18 -8 +63 -468 +1415 -488 +1080 -1245 +253 -1273 +546 106 +244 582 +-785 814 +232 1170 +1356 530 +413 668 +-381 512 +-1127 -81 +-675 1113 +203 1109 +-475 -206 +289 -715 +709 -1315 +-546 -870 +-1031 65 +-744 -445 +374 -1203 +166 -1212 +-818 -359 +-698 824 +-798 445 +-788 -1027 +-1306 -549 +-988 1209 +292 1643 +-373 730 +-1021 -116 +-514 539 +593 958 +1350 564 +319 783 +-658 705 +-97 873 +1242 655 +1093 60 +-121 521 +-490 -385 +-1103 -652 +-947 908 +-505 376 +-455 -667 +508 -1104 +-199 -1215 +-1303 -32 +-428 -89 +301 -694 +967 357 +1670 342 +1569 -878 +691 -261 +-91 390 +-155 -948 +-941 -1295 +-1475 -404 +-27 -619 +970 -348 +493 1189 +1028 1320 +1488 252 +16 -9 +-234 -405 +864 -1255 +116 -1648 +-584 -1151 +511 -245 +557 310 +-133 1110 +926 747 +1434 -453 +755 251 +21 623 +-964 638 +130 1290 +864 745 +-506 141 +-187 -725 +-219 -1460 +-986 -345 +-807 699 +-882 113 +-426 -1169 +-606 -1049 +-878 223 +-47 1078 +-372 831 +-1276 -336 +-1539 -3 +-943 561 +-22 59 +577 -784 +918 -278 +-143 -302 +-1325 -1177 +-1072 -1065 +-1048 -227 +-303 389 +670 -113 +160 16 +367 318 +1287 75 +1484 489 +-347 217 +-2052 1166 +120 2537 +935 1044 +-1141 -422 +-1301 -793 +-688 -205 +-200 351 +-156 -417 +-142 17 +901 557 +1481 -146 +1835 -262 +888 -88 +-604 -518 +-64 -929 +149 -200 +591 816 +1350 635 +333 -345 +553 -392 +1783 287 +1114 -72 +534 -69 +871 -317 +-172 -1576 +-1286 -983 +-272 -188 +526 -13 +170 1090 +-175 1300 +-790 471 +-918 177 +-309 734 +199 858 +878 166 +1082 132 +462 994 +15 1283 +-373 175 +-110 -334 +1054 -689 +681 -1720 +-829 -768 +-773 160 +-736 -91 +-1720 374 +-954 -35 +55 -444 +-1035 376 +-999 797 +-49 29 +11 -952 +552 -751 +-306 -155 +-1772 -116 +-1507 -185 +-994 457 +-20 492 +494 -494 +139 -89 +475 21 +1091 -710 +1492 -495 +-189 343 +-1222 1449 +130 -71 +-148 -541 +-401 1065 +711 418 +1196 -362 +81 857 +-513 1371 +-120 791 +-230 282 +-13 86 +-449 -310 +-896 -462 +542 -928 +379 -1031 +-320 989 +581 1266 +829 -306 +1270 -423 +1389 -45 +927 795 +414 599 +-654 -970 +-459 -1705 +-509 -1274 +-756 100 +308 249 +-419 -457 +-1077 -201 +212 -378 +16 -834 +-1320 -575 +-1635 -10 +-947 74 +-200 500 +1 827 +103 66 +-107 937 +-292 1531 +399 16 +234 254 +-459 995 +656 26 +840 -665 +-342 336 +434 1323 +1234 329 +753 -424 +441 -9 +-195 571 +-670 955 +-334 605 +144 970 +757 1175 +213 70 +-1789 70 +-1143 82 +613 -1467 +-879 -1733 +-985 -517 +1062 -272 +1643 -515 +1741 -959 +429 -1518 +-1168 -1162 +-1019 -1040 +-558 -247 +291 1072 +812 -122 +767 -1002 +613 632 +451 1381 +397 676 +-14 353 +48 70 +-541 30 +-995 174 +-113 -1076 +-320 -1041 +334 975 +919 824 +-589 168 +-492 164 +-817 -44 +-1936 231 +-1845 419 +-854 298 +635 257 +1383 467 +1124 928 +715 967 +212 221 +115 45 +907 682 +920 -663 +-706 -2106 +-925 -856 +359 -721 +369 -1268 +-188 -118 +-535 418 +-141 252 +947 32 +1296 -261 +1073 -148 +1015 357 +1079 707 +638 75 +-76 -653 +-561 -949 +-906 -836 +-434 -150 +865 -10 +1735 134 +1195 937 +1266 974 +2168 40 +499 -107 +-688 68 +663 -260 +640 651 +-20 940 +-200 94 +-347 477 +-508 -64 +-1001 -811 +-872 -600 +-1002 -336 +-1581 1058 +-1222 646 +-386 -1384 +18 -1195 +204 -717 +617 -1120 +488 -807 +244 23 +-189 103 +-971 -488 +-99 -973 +-171 -1082 +-1621 740 +-839 2697 +183 1262 +91 -586 +-336 377 +-663 1281 +-280 494 +-684 459 +-1398 1372 +-1214 1457 +-491 746 +667 -212 +1406 -502 +1484 18 +1183 308 +315 40 +129 -42 +1161 -31 +355 -1072 +-1849 -1213 +-1328 -106 +-275 469 +-488 1588 +154 1291 +1004 -49 +496 -1190 +-181 -1104 +192 -568 +186 -602 +-62 -53 +111 139 +259 74 +718 165 +191 -232 +-483 -436 +599 -1294 +1580 -2134 +938 -1641 +-682 -582 +-828 177 +-641 72 +-1666 883 +-891 2131 +503 1528 +1479 451 +1859 -125 +62 156 +-43 980 +1160 624 +656 -501 +-245 -164 +-843 1449 +-768 877 +-1138 -244 +-812 871 +677 775 +13 -125 +-1024 605 +-707 1010 +-157 329 +208 -457 +324 -562 +851 104 +356 676 +-716 419 +30 -21 +1425 -381 +1057 -1138 +-520 -819 +-625 55 +-703 -20 +-1011 517 +164 1126 +-331 -109 +-1395 -1320 +-979 -419 +-1062 -103 +-636 -1235 +-405 -631 +-1203 568 +-932 180 +464 -807 +1934 -805 +1661 -100 +-197 -333 +-388 150 +550 1494 +929 927 +792 -627 +-312 -1223 +-823 -761 +-199 -574 +-208 -590 +-86 2 +165 38 +248 -106 +695 -311 +36 -301 +-658 -62 +-318 -1372 +-211 -2028 +-225 -502 +-58 43 +-53 -31 +5 -22 +13 6 +9 6 +-1 3 +-2 3 +-5 0 +-3 -4 +-1 1 +-3 3 +-9 5 +-5 6 +-1 3 +3 4 +-4 4 +-1 5 +2 4 +-2 -4 +-4 0 +-1 6 +-3 0 +-5 -4 +-6 3 +-2 4 +-4 1 +-10 -3 +1 -4 +0 -6 +1 5 +2 6 +-3 9 +-3 12 +2 4 +1 1 +2 0 +3 -2 +-2 -3 +1 -1 +-6 6 +-4 5 +-1 1 +-6 -5 +-4 3 +0 3 +-1 -8 +-1 1 +-4 -1 +-6 0 +-6 6 +-2 8 +-6 3 +-1 1 +-1 10 +-1 2 +0 -5 +1 -7 +5 -3 +2 -5 +2 -2 +-2 0 +-4 -3 +-1 -6 +3 -6 +0 1 +-2 -2 +-1 -1 +-3 6 +-4 11 +-3 2 +-4 1 +-2 -1 +-2 -5 +0 3 +0 1 +-1 -3 +-4 -6 +-3 -6 +-1 -5 +2 -5 +7 -8 +3 -10 +-6 -8 +-6 -2 +-4 6 +1 3 +4 -6 +2 -5 +-3 -1 +-4 -1 +0 0 +0 -2 +7 4 +10 7 +4 6 +6 0 +6 0 +4 2 +0 -1 +0 -6 +6 -3 +0 3 +-8 1 +-5 3 +-4 -1 +-5 -6 +-3 -6 +-6 -5 +-8 -1 +-1 -4 +-3 -11 +-3 -9 +3 0 +2 -3 +0 -7 +0 -10 +1 -12 +-2 -9 +1 -8 +-3 -15 +-2 -12 +15 -8 +208 55 +108 548 +-630 587 +-242 -796 +402 -1483 +480 -1527 +-328 -1213 +-1036 565 +10 904 +-215 -400 +-1125 154 +441 959 +1553 535 +1437 430 +770 577 +-748 209 +-564 -262 +111 398 +-504 724 +-407 -738 +125 -1572 +206 -1624 +-545 -1165 +-936 745 +191 896 +-273 -365 +-1100 371 +620 897 +1661 267 +1532 184 +882 444 +-720 345 +-618 -166 +185 379 +-363 835 +-568 -646 +-186 -1582 +-105 -1643 +-778 -1039 +-814 933 +358 870 +-346 -297 +-1044 582 +785 766 +1704 -57 +1554 -122 +956 261 +-655 485 +-649 -46 +268 330 +-196 886 +-687 -546 +-508 -1543 +-440 -1610 +-976 -886 +-625 1074 +527 779 +-412 -234 +-927 770 +927 603 +1697 -382 +1532 -418 +1015 72 +-544 588 +-643 75 +324 277 +-29 909 +-757 -410 +-749 -1430 +-688 -1522 +-1113 -724 +-451 1154 +655 694 +-428 -156 +-805 902 +998 451 +1602 -639 +1433 -646 +1000 -86 +-441 651 +-621 171 +352 230 +99 889 +-818 -289 +-969 -1272 +-929 -1366 +-1225 -509 +-259 1203 +759 561 +-459 -93 +-645 997 +1079 267 +1496 -887 +1331 -861 +984 -245 +-341 710 +-587 263 +393 174 +239 868 +-848 -152 +-1145 -1108 +-1121 -1224 +-1289 -339 +-99 1223 +832 453 +-454 -28 +-500 1084 +1103 127 +1358 -1066 +1211 -1034 +946 -386 +-248 739 +-562 337 +406 113 +373 819 +-870 -9 +-1322 -895 +-1319 -997 +-1340 -106 +111 1214 +898 309 +-469 72 +-309 1163 +1124 -62 +1173 -1290 +1017 -1225 +876 -533 +-109 782 +-490 439 +436 49 +502 746 +-867 119 +-1457 -688 +-1457 -796 +-1345 87 +283 1175 +936 167 +-458 130 +-149 1173 +1104 -226 +983 -1434 +839 -1347 +790 -642 +-4 778 +-423 488 +427 -23 +595 655 +-847 221 +-1527 -482 +-1548 -591 +-1323 262 +458 1118 +972 30 +-425 188 +13 1200 +1075 -346 +779 -1544 +635 -1442 +699 -744 +134 798 +-165 914 +77 750 +-903 1067 +-646 1704 +411 1465 +-53 -67 +340 -428 +1625 94 +1535 -847 +775 -1110 +501 385 +-57 630 +-1104 488 +-158 1237 +1086 1012 +68 816 +-603 286 +-1101 -557 +-1064 852 +-255 1080 +-370 -457 +660 -576 +1211 -1036 +-185 -1070 +-995 -399 +-448 -810 +929 -1020 +641 -1112 +-612 -662 +-1016 504 +-939 -15 +-284 -1410 +-1034 -1074 +-1418 754 +-448 1673 +-742 447 +-903 -592 +-695 328 +187 1164 +1016 1136 +-130 882 +-957 362 +-425 805 +922 1132 +935 529 +-388 443 +-286 -685 +-783 -1111 +-1273 468 +-641 32 +-109 -887 +1013 -890 +292 -1283 +-1190 -603 +-286 -359 +613 -548 +788 833 +1461 1048 +1868 -163 +707 112 +-274 291 +301 -1069 +-342 -1681 +-1159 -1059 +369 -651 +1068 114 +-87 1402 +427 1706 +1230 865 +-79 -33 +5 -532 +1394 -889 +771 -1585 +-15 -1334 +645 8 +348 541 +-606 1053 +615 1085 +1505 170 +554 621 +-303 589 +-1218 239 +-356 1319 +428 997 +-597 -133 +171 -845 +366 -1528 +-794 -715 +-1049 289 +-859 -363 +131 -1361 +-152 -1255 +-901 -141 +-521 1003 +-785 540 +-1097 -942 +-1499 -218 +-684 1458 +661 1577 +-326 797 +-1095 98 +-377 683 +859 790 +1498 267 +439 776 +-542 861 +144 898 +1458 330 +1100 -156 +-43 582 +-640 -352 +-1309 -376 +-754 1139 +-490 413 +-622 -624 +267 -1304 +-560 -1154 +-1334 279 +-439 -93 +159 -802 +1134 148 +1805 -120 +1387 -1298 +641 -359 +-17 400 +-425 -992 +-1345 -1045 +-1602 -64 +-128 -706 +915 -563 +808 1128 +1409 1040 +1524 -99 +-75 18 +-323 -420 +574 -1527 +-335 -1691 +-836 -1026 +524 -377 +613 222 +134 1186 +1150 478 +1329 -771 +820 149 +102 630 +-884 892 +482 1234 +964 520 +-548 242 +-346 -782 +-595 -1432 +-1089 -89 +-698 868 +-923 224 +-691 -1162 +-866 -908 +-834 422 +162 1109 +-322 898 +-1457 -104 +-1472 401 +-622 452 +501 -184 +1870 294 +1847 328 +-82 -169 +-1833 149 +-1009 744 +355 1145 +-458 476 +-192 -976 +1097 -901 +262 -577 +-674 20 +-441 1168 +-658 752 +-715 743 +397 944 +734 662 +66 1144 +483 387 +174 -609 +-923 -950 +80 -971 +596 333 +-502 974 +379 829 +1808 700 +625 31 +-1642 -178 +-2182 -133 +-818 -241 +449 306 +775 559 +-83 41 +-686 1111 +712 1731 +1090 -33 +362 166 +728 932 +713 85 +554 -499 +-217 -1320 +-1095 -908 +-410 -461 +-688 -1025 +-1303 -101 +-747 -225 +-487 -2022 +-212 -2375 +472 -890 +1149 -422 +601 -1336 +38 -821 +618 -959 +-18 -1421 +-766 -321 +-647 356 +-439 1196 +-52 756 +-712 77 +-393 1626 +1062 1240 +494 -27 +-421 625 +-400 537 +275 -486 +1722 -934 +1706 -862 +-166 -71 +-1404 1247 +-378 1208 +994 674 +-80 651 +-756 -660 +339 -1403 +-160 -621 +-564 438 +350 1189 +-75 994 +-253 1293 +1017 1194 +999 -727 +-1135 -1116 +-270 -283 +1754 -107 +1346 330 +736 272 +829 -196 +280 1100 +-58 2886 +894 1600 +263 -283 +-270 -142 +721 -203 +-172 57 +-654 642 +82 807 +135 521 +172 -579 +-51 -654 +-286 -580 +-1573 -1255 +-2716 -965 +-1252 -239 +500 130 +864 -274 +450 -1176 +282 -1693 +188 -755 +76 723 +252 -405 +-834 -1663 +-1005 -821 +184 259 +-210 832 +-897 147 +-1536 310 +-1511 836 +-503 -675 +-288 -490 +627 868 +1621 663 +1320 351 +825 -119 +-123 -179 +-203 -93 +633 -627 +-106 12 +-893 299 +-56 -903 +473 -424 +451 711 +551 -75 +-44 -986 +-1213 -879 +-1553 -292 +-272 -384 +282 -142 +-387 1455 +378 1497 +845 1271 +255 1230 +410 -206 +990 441 +1678 499 +357 -1108 +-1618 -198 +-382 -62 +1355 -1140 +1283 -555 +755 -237 +551 -654 +887 693 +1718 2268 +1705 702 +34 -367 +-318 50 +450 -596 +-112 166 +-143 881 +194 24 +-550 -652 +-651 111 +562 717 +730 -26 +-447 -474 +99 -1559 +972 -2093 +-294 -1072 +-1160 -585 +-411 -150 +-859 -666 +-2284 -144 +-1016 1479 +996 131 +881 -741 +336 -1074 +-562 -640 +93 1747 +1930 374 +761 -969 +-321 161 +872 -584 +875 -996 +169 -1574 +718 -2098 +1037 -711 +-315 212 +-1249 322 +-400 257 +158 53 +-404 -307 +-245 -341 +922 167 +811 581 +-24 1086 +179 353 +-181 -1018 +-791 -616 +-290 799 +-550 1809 +-1271 1899 +-190 1473 +817 684 +7 92 +-686 250 +-981 -158 +-1100 -657 +-243 -544 +465 -517 +681 -366 +849 175 +621 659 +1123 627 +2172 1060 +1361 1433 +-268 632 +-414 -191 +-517 -723 +-350 -106 +955 1046 +798 753 +-239 -13 +167 -296 +231 -345 +-819 -153 +-511 461 +872 224 +591 -436 +-640 -108 +-822 -1318 +-418 -2246 +-876 -685 +-1313 205 +-438 114 +-1115 -44 +-2010 1197 +-10 1756 +908 -472 +309 -843 +-350 274 +-947 176 +358 -756 +1412 -1063 +1401 -1091 +446 -1301 +-1203 -142 +-465 744 +89 -627 +-1117 -577 +-300 1216 +942 1509 +970 1301 +349 959 +-752 -310 +-407 -616 +-261 366 +-903 399 +204 -774 +1205 -1283 +1218 -1306 +258 -1358 +-1209 31 +-343 800 +4 -623 +-1192 -386 +-111 1259 +1160 1349 +1158 1139 +501 889 +-803 -188 +-500 -555 +-205 398 +-850 546 +68 -779 +997 -1444 +994 -1466 +33 -1361 +-1196 222 +-219 840 +-104 -608 +-1252 -183 +81 1260 +1358 1146 +1325 936 +619 798 +-835 -70 +-581 -464 +-132 431 +-743 668 +-47 -786 +758 -1587 +744 -1598 +-186 -1346 +-1149 404 +-86 853 +-190 -593 +-1269 4 +275 1216 +1544 915 +1472 717 +749 678 +-822 68 +-647 -364 +-75 429 +-635 751 +-163 -776 +513 -1669 +519 -1686 +-367 -1291 +-1074 581 +47 861 +-277 -541 +-1265 197 +445 1152 +1653 669 +1552 494 +845 576 +-814 192 +-603 -488 +501 -1175 +-561 -1138 +-1194 -370 +432 -271 +478 655 +893 1231 +1225 -229 +286 -983 +909 -273 +794 961 +1149 669 +665 -715 +-511 -898 +261 -786 +1318 -227 +1314 -1040 +-836 -1477 +-1484 -349 +-319 -731 +590 -859 +-217 -735 +-1382 235 +-918 1015 +-908 -191 +-233 -937 +-856 -541 +-1333 938 +-514 939 +-547 -292 +882 -279 +1102 -915 +-345 -1311 +-114 -649 +789 -25 +943 996 +1258 323 +848 -1176 +347 -324 +530 545 +-936 712 +-1172 1425 +42 1715 +-253 661 +-231 -218 +1083 346 +1758 -259 +1180 -1055 +655 363 +-169 926 +-1400 -198 +-1720 344 +-881 1091 +-52 -102 +515 82 +796 1442 +1490 877 +1411 -11 +-27 608 +-422 239 +-1140 -621 +-1150 664 +-258 1517 +-599 613 +-426 -402 +-268 -1265 +-1201 -490 +-1181 455 +172 -476 +788 205 +1484 368 +819 -943 +-404 -918 +542 -775 +1221 236 +1335 -203 +89 -956 +-977 -373 +-272 -765 +963 -974 +1068 -1543 +576 -927 +-118 850 +-801 708 +-543 -882 +-311 -710 +527 128 +1238 217 +808 218 +887 -94 +532 -292 +804 210 +1298 -1018 +125 -524 +-362 812 +-876 410 +-292 205 +364 -1409 +296 -959 +369 -33 +-1435 -118 +-807 1110 +1111 1184 +816 728 +725 -190 +932 -863 +369 -841 +-561 -369 +-257 791 +-158 1226 +402 479 +340 -464 +-1935 -470 +-1622 504 +54 692 +-384 -528 +-1225 -510 +-768 -895 +184 -838 +601 263 +1293 -1115 +1075 -1006 +-397 1055 +-1094 1772 +-1019 1181 +-956 527 +-1142 1322 +-165 2356 +1267 1235 +526 -228 +-141 766 +482 555 +-23 -152 +-1011 1024 +-552 902 +271 -340 +576 -201 +578 792 +58 282 +509 -859 +756 -1454 +-608 -1792 +-1705 -1617 +-1230 -732 +39 -804 +452 -1148 +474 664 +-179 1068 +-975 -345 +-698 -354 +494 -226 +1133 -598 +790 -343 +648 -621 +247 -546 +772 -337 +408 -1569 +-227 -475 +214 840 +-435 842 +119 198 +878 -1206 +591 -443 +45 118 +570 692 +384 17 +-309 -962 +-632 -1331 +-1060 -1485 +-808 37 +137 836 +754 644 +490 452 +442 287 +155 264 +-227 659 +497 1195 +-79 956 +-1476 -9 +-1048 -391 +352 57 +382 -483 +98 -374 +486 -418 +410 -578 +793 -145 +655 -1544 +-326 -1772 +-697 229 +14 1370 +185 647 +-1161 28 +-1269 378 +-766 1296 +-550 1132 +495 -873 +849 -1707 +-513 -1178 +-482 -79 +-120 -337 +-1748 -301 +-1327 264 +-766 -740 +-669 -725 +-385 -471 +-1270 982 +110 429 +198 -2483 +-783 -1112 +579 903 +457 706 +-241 453 +784 483 +1544 352 +449 287 +-27 45 +431 -314 +-139 -384 +219 -342 +749 1073 +1037 2376 +1888 1493 +1899 179 +1230 399 +-360 -257 +-648 -1531 +164 -731 +106 87 +873 193 +322 -236 +-839 -553 +-1336 -650 +-1780 -494 +-630 516 +627 542 +994 20 +662 37 +531 -52 +293 103 +203 627 +802 440 +629 132 +543 641 +-672 1186 +-643 690 +-111 212 +-141 273 +-507 -814 +-896 -1132 +-41 -341 +-194 -374 +-169 -684 +-689 -963 +-1110 -740 +-271 -1253 +-625 -1440 +265 -924 +658 -477 +799 -64 +2109 -175 +695 809 +-956 662 +-699 -168 +-760 587 +-165 445 +805 -1002 +56 -898 +-107 792 +886 69 +935 -1126 +1079 -1071 +759 -1100 +124 -116 +674 18 +-607 -1188 +-2402 -680 +-1339 1026 +498 1135 +1232 604 +-352 668 +-1443 229 +-1150 -447 +-1157 449 +162 2237 +1319 1971 +816 752 +-181 1102 +535 624 +622 -608 +-135 -181 +77 -854 +-871 -1189 +-628 164 +416 894 +957 1740 +591 835 +110 -407 +1182 -279 +893 -100 +-42 260 +-256 -53 +995 -86 +1544 -716 +-323 -1164 +-1214 354 +-117 496 +828 239 +160 1364 +-126 947 +25 245 +42 296 +-906 -354 +-1424 -374 +-251 -252 +-389 -171 +-539 -432 +-1134 -342 +-1364 75 +-979 -819 +-1390 -743 +-596 -734 +-104 -968 +-9 -419 +103 387 +968 -475 +671 -929 +-446 -189 +38 -293 +332 -891 +-172 -1120 +621 -257 +2374 -14 +2568 -729 +600 -195 +-821 555 +-564 163 +-83 517 +972 152 +927 -1378 +-55 -195 +540 534 +410 269 +-9 348 +173 -703 +542 -1333 +-42 -1065 +-794 454 +-90 -524 +-584 -2058 +-453 -620 +-667 779 +-796 1923 +843 349 +235 -1707 +273 -423 +1240 613 +-42 439 +-1425 1011 +-707 1553 +-197 1368 +-541 674 +-35 -358 +-137 -341 +-253 -436 +-248 -1063 +400 -887 +547 498 +-302 1465 +1151 1148 +2300 800 +1310 -167 +-43 -69 +-662 -271 +-565 -585 +-541 321 +-1015 -29 +-1045 370 +-262 714 +-1225 898 +-1976 1602 +-389 1640 +567 1375 +431 -148 +344 -441 +97 770 +-355 99 +-266 -343 +338 221 +529 -920 +-3 -1104 +-481 117 +-152 -258 +-259 -897 +-808 -784 +354 -557 +1972 -1390 +1736 -2071 +390 -486 +-366 925 +-391 436 +-187 257 +-687 -26 +-633 -576 +-758 -874 +-959 -489 +-173 -438 +-542 872 +4 1448 +997 -86 +356 -686 +-524 -271 +324 -953 +918 -1943 +-287 -1068 +-183 98 +809 -75 +213 144 +-820 -18 +-628 -522 +637 -70 +440 -645 +-786 -998 +-548 -977 +-397 14 +163 1973 +1955 1232 +2009 -859 +141 -1371 +-1001 -53 +-391 -81 +223 -166 +-124 175 +-152 -1087 +-192 -657 +-452 -126 +-295 -32 +-259 546 +407 499 +570 1143 +81 1908 +-736 392 +-2081 -1642 +-690 -1370 +133 -919 +-521 -201 +-61 507 +-387 820 +509 818 +835 216 +419 615 +1567 806 +1668 1425 +1119 1889 +1718 917 +1548 -113 +497 288 +287 690 +-1060 -229 +-894 195 +763 14 +26 -571 +-740 194 +-608 749 +614 -295 +470 -1430 +-1033 -75 +-927 -2 +-1143 -231 +-1066 206 +-408 -233 +85 999 +891 1101 +760 -697 +-142 -761 +-587 116 +-322 -921 +-455 -2040 +-884 -628 +-73 189 +596 -583 +151 -252 +-317 81 +617 11 +504 493 +-850 864 +-637 503 +-206 202 +-1042 -207 +-1132 572 +741 1259 +1574 -375 +217 -870 +1 354 +398 332 +335 -830 +488 -1154 +687 -469 +707 975 +692 1230 +185 24 +-616 1521 +505 1505 +1350 -983 +1187 -2628 +791 -3089 +-310 -604 +-735 347 +-430 352 +396 385 +13 -287 +109 334 +-409 -130 +-1961 -454 +-485 -683 +661 -360 +838 1136 +1426 1229 +1310 685 +813 -7 +937 -848 +1516 -248 +698 438 +191 -659 +41 -683 +-717 -283 +-536 -37 +72 261 +184 0 +210 716 +-473 366 +-1119 619 +-147 1318 +40 584 +-502 44 +-866 -637 +-1900 -11 +-1879 992 +-1370 392 +-1243 -516 +-46 -428 +250 -549 +-1246 -402 +-173 650 +1558 -33 +94 -375 +-710 253 +489 -369 +735 69 +-163 1188 +-220 772 +-46 286 +-966 474 +-568 1129 +1367 510 +1043 -1256 +-355 -799 +220 278 +532 21 +-242 -832 +-330 -1176 +-12 -933 +-576 -550 +-1216 110 +-1053 568 +-39 598 +912 -550 +566 -1235 +-464 -823 +-774 -1405 +-225 -1678 +107 -352 +438 786 +-723 485 +-525 614 +1516 16 +468 -459 +-950 964 +-312 1065 +-92 704 +-1008 909 +-943 835 +403 215 +33 -838 +-1181 -212 +-552 614 +799 243 +1520 -11 +680 -644 +9 -680 +769 -548 +323 -862 +639 -306 +871 775 +669 1850 +1397 680 +93 -383 +-742 268 +-1039 -171 +-711 -233 +669 -405 +-4 45 +-769 1564 +0 1723 +-42 1528 +-952 2015 +246 1039 +446 -107 +-265 1123 +717 334 +191 -1065 +-289 -928 +816 -1005 +1732 -521 +299 -1066 +-2062 -879 +-2044 54 +-703 538 +-333 225 +75 -1191 +931 -665 +114 -29 +20 -119 +355 -314 +186 -1816 +199 -2071 +-710 -343 +-958 867 +-528 1063 +337 485 +395 -967 +-306 -1289 +-881 -345 +-1493 -594 +-1227 -1144 +-138 -323 +831 338 +-292 817 +-51 790 +1221 -956 +84 -661 +-190 968 +237 -711 +-438 -2377 +-782 -1254 +-198 -630 +-785 -320 +-1197 64 +-214 1007 +1164 853 +1013 -713 +401 -468 +-308 414 +-110 508 +476 -514 +-981 -10 +-999 516 +-1023 -574 +-1446 -412 +-129 464 +99 709 +-338 129 +-175 224 +105 349 +17 326 +184 325 +-13 144 +-592 272 +-405 -64 +-551 130 +-589 -154 +1089 -692 +1521 -666 +-1197 -198 +-1018 750 +1312 617 +1060 366 +325 414 +213 478 +685 278 +933 -686 +504 -1894 +693 -1642 +1590 -235 +1394 -547 +324 -1248 +-142 -856 +-78 -510 +-107 309 +-815 847 +9 -840 +358 -1743 +-833 -640 +-519 -91 +-148 925 +1268 1561 +2159 442 +530 57 +-756 993 +-1433 825 +-253 341 +834 563 +665 1881 +1403 2017 +-142 -574 +-1630 -759 +-1087 -888 +-1751 -1545 +-1431 -481 +-560 -382 +-831 199 +-929 743 +442 914 +1471 -17 +415 -1156 +53 -604 +4 514 +225 466 +68 -693 +-811 591 +-485 1006 +-950 426 +-930 429 +-416 -752 +-554 -690 +-58 -926 +943 -946 +145 -342 +100 1456 +1423 1154 +613 -203 +1040 277 +347 -464 +-1318 -635 +-330 -6 +-416 516 +-520 673 +-167 321 +-79 16 +12 -531 +176 -997 +570 -590 +-210 1539 +481 2109 +1613 804 +701 745 +296 497 +-65 -866 +-517 -131 +-191 1822 +-11 1398 +-102 590 +-404 75 +-812 -67 +-849 476 +-211 841 +400 710 +569 -579 +-763 -1157 +-1860 -559 +-228 -228 +593 -680 +693 -1637 +100 -2004 +-828 -240 +929 427 +1061 -1384 +-115 -627 +-268 881 +-194 259 +-154 -709 +-762 -601 +84 -94 +839 -590 +88 -1033 +175 -837 +-27 -487 +-1897 -600 +-2170 -978 +-771 -688 +-566 726 +-603 1380 +-587 1076 +195 827 +1521 562 +1945 1659 +633 1063 +-811 -393 +-848 -242 +-587 -691 +186 -1300 +-94 -352 +983 1060 +1886 3 +383 -545 +1030 -441 +25 -601 +-1470 283 +-284 184 +-21 649 +-20 827 +-43 358 +-382 837 +378 966 +539 -6 +-1167 -652 +-1137 -980 +-47 -773 +622 216 +654 297 +-13 -817 +-1093 -587 +-1209 936 +-529 1220 +-718 -320 +317 -1137 +1423 -679 +272 -684 +-80 61 +239 598 +-337 885 +1377 982 +2511 163 +628 328 +-849 37 +-921 -323 +279 -450 +636 -58 +1033 873 +556 644 +-683 1651 +260 1476 +337 -467 +-418 -673 +-586 -227 +-535 -182 +-231 -213 +841 -488 +647 -936 +-148 -1082 +387 -1847 +-920 -669 +-261 895 +1140 46 +100 1191 +549 2640 +1466 2308 +1469 765 +238 -524 +-371 146 +818 -322 +1005 -970 +-142 -476 +-13 -420 +215 -503 +-553 -644 +-333 259 +-453 842 +-404 -201 +372 -488 +-180 -513 +-1863 -206 +-1543 44 +-348 -270 +-1699 204 +-1909 462 +-274 926 +934 526 +436 -313 +-1357 183 +-1522 -89 +-528 -582 +637 -222 +726 -182 +-502 -631 +-1243 215 +-424 1460 +306 1267 +-795 183 +-450 -1078 +736 -1409 +-440 -1043 +-1011 -971 +-945 -520 +-793 381 +688 442 +940 -30 +173 151 +-1758 582 +-2044 475 +-430 -358 +-132 317 +-460 1239 +-460 1377 +731 1526 +1713 565 +853 -654 +-1205 -516 +-581 302 +1312 -986 +185 -1186 +-885 255 +75 -183 +782 -105 +106 -65 +882 -735 +1461 -1130 +-233 -1225 +-502 -535 +-446 233 +-1455 441 +-1526 -158 +-398 -454 +761 184 +1802 545 +1782 17 +168 -458 +15 -180 +1342 134 +1780 316 +1558 -160 +228 -1145 +33 -777 +753 -567 +-804 152 +218 1795 +1505 608 +59 -69 +-193 358 +-917 52 +-732 1129 +-331 1371 +-191 663 +623 -81 +-233 -626 +-1379 -804 +-1271 -1081 +-56 -1356 +-142 -1786 +-465 -516 +141 712 +226 309 +-250 612 +136 850 +780 763 +-1150 897 +-1811 139 +-1080 161 +-392 811 +812 -34 +745 -572 +225 19 +-1127 1478 +-1431 1555 +-554 -37 +79 336 +342 1253 +424 1361 +1488 773 +1720 -567 +322 -1036 +-943 48 +84 761 +-227 964 +-264 565 +-348 -711 +-1377 -526 +305 478 +1169 -631 +-711 -751 +-2251 158 +-1581 744 +929 447 +1045 -326 +-44 589 +735 -374 +787 -1287 +-299 141 +-349 926 +639 575 +1210 161 +2196 -124 +1412 -514 +-453 786 +194 442 +-615 -1078 +-953 -614 +667 -592 +-169 -199 +-1093 965 +-63 1911 +477 2384 +240 2176 +232 1427 +-35 1643 +-383 1668 +-294 -114 +626 -1382 +-9 -1270 +-1352 -516 +-437 -639 +251 252 +1143 1039 +1438 151 +475 -227 +102 -978 +-161 -533 +-89 -149 +-48 -913 +316 -486 +63 418 +-819 391 +-1089 -744 +-702 -1687 +-384 -1821 +-1127 -773 +-842 521 +144 975 +543 -90 +-345 -1152 +-897 -633 +350 -118 +130 -45 +205 -401 +344 104 +-368 308 +418 -132 +392 829 +146 620 +-745 -345 +-1453 445 +530 193 +554 -1203 +-1036 -142 +-1706 1492 +-810 1528 +1029 -225 +652 -874 +342 478 +373 -747 +-146 -1458 +148 248 +1381 493 +929 436 +72 1442 +1743 891 +2803 -571 +1621 -1817 +-955 -376 +-796 14 +61 -907 +-353 -172 +-231 -741 +-555 -690 +-620 404 +-191 504 +215 -30 +243 563 +78 1492 +-614 573 +-1858 -405 +-1451 -373 +-112 -329 +-369 69 +-422 791 +674 416 +902 -93 +382 -620 +-515 -410 +64 853 +653 369 +-817 -213 +-145 175 +595 85 +-655 299 +-78 345 +28 -507 +-977 367 +-421 1246 +-339 -588 +-434 -1337 +-734 -609 +-1426 20 +-41 638 +580 413 +314 -142 +997 948 +374 1624 +-599 -379 +-669 -1223 +-633 469 +-404 1673 +-392 1276 +-151 834 +691 -479 +683 -1296 +499 -412 +472 -324 +-5 -472 +-1229 -937 +-2384 -148 +-1253 153 +515 -542 +1827 -689 +1967 -1223 +1349 -120 +1625 -544 +1051 -284 +926 1111 +1961 -329 +1965 -2119 +259 -2395 +-1001 289 +-638 496 +-487 -744 +-394 85 +-642 -442 +-870 -209 +-253 684 +154 492 +166 -184 +643 -58 +813 112 +-675 730 +-901 1311 +482 530 +1594 -939 +1181 -647 +1 -54 +-637 -74 +157 511 +453 761 +-449 1329 +-758 -109 +-679 -567 +-252 -568 +-294 -2315 +-234 -1118 +1022 73 +1473 230 +427 621 +-188 -425 +62 -1522 +-81 -1011 +203 -153 +1030 -869 +-85 -1383 +-1013 -866 +178 -870 +98 -512 +357 176 +1267 -66 +1271 19 +1694 -484 +37 -474 +-1381 1176 +-175 1176 +243 511 +59 137 +-378 -392 +-1251 -246 +-1590 198 +-1612 685 +-1342 1304 +-1013 1341 +153 1105 +2124 1259 +1722 809 +50 694 +588 371 +1403 -585 +-105 -684 +-360 381 +774 1410 +-402 648 +-457 -768 +-681 -1050 +-1082 845 +531 1214 +229 -605 +-457 -812 +-805 -892 +-584 -1052 +-413 -312 +-613 1095 +824 389 +835 -663 +-122 949 +81 1588 +709 121 +726 -1699 +567 -1221 +-45 -61 +-582 310 +435 298 +847 326 +469 1315 +-675 388 +-899 -17 +-537 -285 +-1668 -1621 +-1231 -879 +-1105 -218 +-1313 1007 +152 801 +84 -411 +-35 -9 +466 521 +-235 1382 +-351 1052 +60 -1653 +-735 -2167 +-81 -1461 +859 -1961 +722 -427 +1711 257 +1044 182 +445 334 +132 -730 +-1124 -563 +-980 -208 +-785 533 +-677 554 +-674 66 +-26 136 +245 -167 +295 -82 +694 -644 +-105 -1274 +-200 -1377 +-1268 -578 +-1774 -100 +-67 570 +194 991 +-283 -897 +-445 -1311 +-944 -678 +-1236 17 +77 505 +983 492 +465 1176 +252 201 +182 -670 +809 430 +1396 1405 +1225 1160 +753 523 +589 1121 +1745 944 +2035 -1020 +666 -427 +-130 759 +-347 -686 +-783 -370 +-445 1064 +611 816 +-768 -330 +-2355 -151 +-251 815 +1606 748 +1033 489 +-269 -657 +-318 -917 +377 -160 +71 -163 +-456 -443 +-1266 303 +-502 1565 +638 533 +-168 -344 +-42 26 +689 129 +634 1224 +339 1034 +-953 -1319 +-1916 -1237 +-959 -1091 +-498 -2030 +327 -763 +1531 -842 +938 -457 +510 363 +140 -2 +-11 -45 +15 -2 +-7 11 +-5 7 +-1 2 +1 2 +0 7 +-3 6 +4 3 +8 7 +5 4 +5 -1 +1 2 +-3 -1 +0 -7 +-4 0 +-2 9 +2 7 +2 1 +-1 9 +-2 12 +-4 5 +-2 -4 +0 -6 +1 -7 +3 -4 +3 -1 +-3 -8 +-2 0 +3 -1 +1 0 +3 0 +2 -3 +1 -4 +-3 0 +-6 7 +0 8 +2 -1 +-3 1 +-5 3 +-1 4 +-2 12 +0 3 +6 1 +6 0 +0 4 +-1 6 +-8 0 +7 210 +241 419 +600 291 +353 168 +-20 88 +93 -118 +-91 -437 +-349 -468 +-36 -61 +92 192 +-197 283 +-114 543 +189 588 +101 159 +90 -35 +239 22 +139 -361 +-60 -266 +-135 321 +-241 126 +-240 -78 +133 1 +61 -57 +-285 62 +-107 -36 +-83 6 +-10 410 +113 134 +39 -356 +376 -161 +386 54 +113 -55 +-20 -208 +-56 -433 +343 -360 +386 -162 +96 -297 +-238 -220 +-469 -283 +-25 -530 +-14 -290 +-332 -11 +-344 237 +-494 112 +-289 -272 +-114 -80 +-165 98 +-145 303 +-343 340 +-270 -71 +116 114 +360 132 +113 -164 +-133 -71 +120 -181 +58 4 +-31 186 +127 -291 +197 -288 +279 -5 +-160 -108 +-494 29 +-106 187 +77 73 +86 208 +378 319 +677 80 +401 45 +14 105 +57 -121 +-234 -371 +-496 -314 +-51 -34 +160 155 +-90 333 +76 545 +377 485 +152 116 +71 -60 +240 -60 +7 -381 +-146 -224 +-10 355 +-187 201 +-263 5 +124 -37 +41 -71 +-251 143 +-111 -8 +-78 35 +121 386 +147 83 +-80 -347 +314 -278 +391 -80 +88 -81 +-80 -177 +-197 -380 +202 -447 +303 -280 +-12 -309 +-300 -115 +-553 -94 +-220 -533 +-25 -535 +-67 -301 +166 -71 +317 -90 +313 -278 +124 -205 +-149 -123 +-238 -62 +-194 -169 +-230 -340 +-115 -43 +-50 88 +-234 -326 +-111 -255 +110 111 +48 25 +-243 -75 +-347 9 +5 -9 +-10 -150 +-362 -87 +-380 -59 +-217 -284 +-85 -64 +-142 290 +-98 277 +148 284 +6 201 +-283 19 +-32 -171 +144 -445 +-79 -238 +-47 373 +-354 384 +-541 159 +206 202 +440 32 +387 104 +528 92 +240 -403 +92 -274 +91 -63 +312 -235 +316 -166 +-142 -244 +-11 -372 +59 -209 +-117 175 +-185 319 +-276 35 +53 184 +101 383 +-128 182 +-188 223 +-35 401 +490 415 +404 206 +258 136 +288 -5 +-254 -521 +-22 -494 +314 -185 +-174 66 +-284 426 +-197 74 +-111 -281 +156 -50 +262 -161 +211 -356 +44 -224 +-183 -72 +-246 25 +-242 -97 +-328 -241 +-116 1 +-6 97 +-329 -245 +-208 -217 +143 62 +121 28 +15 68 +-45 107 +-114 -182 +-168 -380 +-105 -205 +173 55 +279 222 +193 477 +116 403 +260 -115 +614 -348 +637 -287 +308 -152 +49 -219 +130 -487 +251 -398 +89 -185 +-174 -92 +-276 47 +-149 65 +-149 16 +-140 95 +-22 219 +52 -72 +169 -315 +119 -4 +206 38 +-17 30 +-439 2 +20 -312 +84 -222 +-180 -221 +118 -313 +-155 -139 +-459 80 +-335 511 +-151 257 +63 -94 +5 364 +169 256 +161 -202 +-136 -10 +113 410 +32 291 +-417 -134 +-118 -185 +236 -170 +9 185 +-207 353 +-228 -108 +34 143 +305 253 +-103 -67 +-233 235 +60 150 +-120 -270 +55 -280 +106 -172 +-449 -43 +-555 -15 +-523 121 +-361 272 +37 248 +215 295 +199 281 +-7 135 +-167 -116 +-259 -286 +-168 -154 +192 8 +336 123 +343 380 +241 327 +210 -195 +477 -530 +516 -480 +237 -254 +-35 -228 +-41 -501 +120 -414 +61 44 +-102 277 +-149 220 +-37 106 +139 119 +227 262 +111 116 +-37 77 +6 -70 +0 -506 +-74 -209 +-51 -59 +-121 -314 +-344 -170 +-290 34 +-41 198 +17 11 +45 -281 +63 -265 +145 -227 +-43 -97 +-658 -228 +-726 -390 +-252 -369 +36 -295 +-101 219 +-268 423 +-66 185 +-158 157 +-288 68 +-167 72 +-75 76 +263 77 +282 436 +189 490 +346 -11 +275 -275 +336 -47 +135 43 +-325 24 +-114 7 +280 -153 +3 92 +-290 204 +95 -4 +84 294 +-197 284 +257 17 +444 -15 +105 -270 +-148 -164 +-61 -121 +126 -260 +60 292 +322 330 +213 -96 +-70 -7 +387 -378 +216 -690 +-146 -179 +-75 176 +-453 203 +-505 83 +-109 53 +44 296 +-21 329 +-90 270 +-4 139 +172 58 +306 173 +143 57 +-9 72 +-22 -76 +-171 -477 +-135 -174 +-59 -35 +-209 -255 +-369 -56 +-268 113 +-27 209 +-242 170 +-283 229 +54 229 +-167 3 +-70 167 +301 -7 +161 -22 +142 442 +109 290 +-64 67 +40 14 +391 -210 +220 -358 +-227 -434 +-99 -339 +-227 -73 +-482 21 +-185 -27 +51 -1 +20 63 +-188 -71 +-236 -437 +44 -410 +-29 -20 +-391 -179 +-280 -523 +-89 -350 +-253 -133 +-367 88 +-401 192 +-109 49 +181 267 +-86 476 +-179 486 +-49 314 +291 -286 +688 -231 +443 282 +152 170 +-208 -165 +-522 -305 +-142 -71 +240 86 +282 -25 +6 128 +-67 118 +74 -147 +-255 -322 +-332 -223 +-199 50 +-212 55 +-124 352 +28 550 +383 147 +338 209 +91 197 +150 -113 +66 -49 +-13 -32 +101 72 +282 57 +31 -83 +-38 123 +373 -23 +-110 -51 +-289 352 +149 178 +-156 61 +-21 193 +273 -129 +143 -72 +287 357 +198 243 +-43 87 +47 3 +306 -323 +88 -397 +-366 -328 +-206 -290 +-225 8 +-385 166 +-114 31 +-24 12 +-41 25 +-32 13 +-34 11 +-22 11 +-19 14 +-7 11 +-7 18 +-16 16 +-18 18 +-15 12 +-17 12 +-16 13 +-17 12 +-10 14 +-7 15 +-8 16 +-7 11 +3 5 +8 6 +9 6 +14 6 +14 -3 +12 -1 +10 2 +13 3 +14 1 +6 0 +2 4 +3 1 +3 2 +-3 6 +-5 8 +-10 3 +-6 2 +-6 6 +-3 7 +-3 5 +2 1 +-3 2 +-6 4 +-6 -3 +-5 1 +-1 -2 +2 -6 +-4 0 +-11 0 +-2 0 +3 1 +-2 4 +4 0 +3 2 +2 3 +3 5 +3 -2 +3 -3 +1 -3 +-11 -3 +-10 -1 +-11 0 +-6 0 +2 3 +1 0 +-3 -1 +-1 0 +-6 5 +-10 -1 +-5 2 +5 7 +8 3 +-2 -3 +-5 4 +2 2 +6 5 +3 5 +-2 0 +-3 -4 +-10 -5 +-8 -2 +-2 2 +-7 -1 +1 -3 +4 6 +1 0 +3 -4 +6 -4 +6 1 +4 4 +1 -1 +2 0 +-4 1 +-4 1 +-1 1 +-5 2 +-4 -2 +4 -2 +-3 0 +-6 0 +-8 1 +-3 0 +-2 3 +-5 1 +-3 5 +-4 6 +-5 -2 +-5 -1 +-5 -1 +-1 -4 +3 0 +-1 3 +0 2 +-2 -4 +-5 -3 +1 -2 +-1 2 +-6 7 +-8 6 +1 8 +2 -2 +7 -5 +-3 -8 +-1 -15 +0 -9 +-3 -4 +-4 -6 +-1 -9 +1 -3 +1 -4 +0 5 +1 2 +3 -9 +5 -2 +1 0 +-6 -5 +-6 1 +-1 10 +0 2 +-1 -3 +-6 2 +-2 2 +1 3 +3 1 +-3 2 +-3 3 +-4 0 +-3 -6 +-5 5 +-7 3 +0 -2 +-1 1 +1 -4 +-2 -1 +-8 -5 +-5 -8 +-4 -6 +-3 -8 +-5 -6 +-9 3 +-6 -3 +4 0 +3 5 +-3 6 +-4 4 +0 5 +5 3 +5 0 +4 1 +1 5 +1 -4 +-1 -4 +-2 -8 +-2 -6 +5 -1 +4 -2 +2 -1 +4 -2 +-2 3 +-8 3 +-2 1 +0 -1 +-4 -1 +2 0 +-5 0 +-10 -2 +-6 1 +-4 0 +-1 -1 +5 3 +-4 1 +-2 3 +2 2 +5 1 +-3 3 +-8 -4 +-4 -4 +1 3 +1 0 +7 1 +8 5 +5 6 +-4 11 +-1 3 +2 2 +-3 0 +-2 3 +0 4 +2 2 +6 5 +4 4 +4 -5 +4 -13 +-2 -2 +0 -7 +-2 0 +-2 1 +-1 -1 +2 3 +7 -1 +4 1 +3 4 +-1 0 +0 -2 +7 -6 +11 -5 +4 2 +3 -1 +1 0 +1 -6 +2 -7 +-3 0 +-5 0 +-7 -3 +-8 -4 +2 -4 +-1 -11 +-3 -5 +-3 -3 +-1 -1 +-6 -4 +-3 0 +-4 -1 +0 -7 +3 -6 +-3 -3 +-3 -4 +-1 -4 +3 1 +2 2 +1 9 +3 7 +1 -1 +0 3 +1 8 +2 7 +-7 3 +-7 5 +-2 4 +-2 6 +0 1 +-5 3 +-3 8 +-4 3 +-1 -4 +4 -4 +7 -2 +11 -3 +0 -6 +-7 2 +-2 5 +-1 4 +-3 2 +2 2 +5 4 +-5 7 +6 4 +8 -3 +10 -1 +4 0 +1 3 +4 2 +0 2 +0 -2 +1 -3 +0 -1 +5 -2 +2 1 +-4 0 +2 7 +-1 2 +-7 -4 +1 -7 +-2 -5 +-1 -2 +-4 6 +-10 2 +-4 -2 +-3 -3 +-2 0 +-1 3 +0 9 +-8 -2 +-5 0 +-2 -2 +-3 -4 +-4 -4 +-3 -6 +-4 -11 +-9 -2 +-10 -2 +-9 -1 +-9 3 +-8 -2 +1 0 +-1 0 +1 -4 +2 -1 +-1 -2 +-3 3 +-1 6 +3 2 +-6 1 +-9 1 +-4 -4 +-3 -2 +-4 7 +2 3 +5 -1 +0 0 +-3 -3 +-2 -6 +-3 -5 +-7 -3 +-7 -1 +1 2 +4 1 +-4 0 +-6 0 +-10 -4 +-8 0 +-5 -3 +-5 -2 +-7 1 +-3 2 +4 2 +-3 0 +-1 0 +0 2 +-2 -2 +1 -2 +-6 4 +-4 -3 +-2 -6 +-6 -3 +3 -1 +4 -6 +2 -6 +-3 1 +0 -3 +-4 2 +-1 -1 +3 2 +0 10 +-2 5 +-2 -2 +3 0 +1 -2 +-1 2 +2 4 +-1 7 +-1 5 +1 -1 +-6 7 +-5 6 +-2 3 +299 -13 +-21 -62 +-370 -119 +-195 158 +118 59 +-62 183 +-60 70 +8 -232 +-71 187 +-110 328 +-313 62 +17 84 +463 -37 +181 -208 +-57 -67 +-78 -134 +-311 -279 +-648 -42 +-500 33 +-78 -97 +-56 62 +178 309 +529 294 +176 90 +-177 -78 +8 -281 +-69 -504 +-414 -503 +-233 -200 +-63 48 +-235 48 +-43 333 +176 309 +-6 -265 +104 -264 +283 -89 +-71 27 +-93 122 +195 -145 +117 -41 +117 10 +-119 -344 +-226 -184 +105 252 +-190 325 +-389 147 +-151 82 +32 115 +254 290 +-56 459 +-282 313 +-61 331 +196 154 +531 -11 +317 390 +125 323 +264 -17 +172 -293 +339 -381 +395 41 +173 63 +126 -74 +0 -255 +96 -475 +281 -110 +-43 -51 +-392 3 +-135 213 +132 17 +8 189 +-29 82 +-66 -219 +-16 193 +-15 344 +-290 163 +43 75 +431 -183 +110 -244 +-81 -47 +-123 -113 +-385 -165 +-640 169 +-471 187 +-106 -65 +-43 75 +262 227 +601 108 +195 24 +-190 -22 +-75 -266 +-224 -447 +-550 -334 +-284 -102 +-44 72 +-211 123 +73 327 +269 240 +-95 -235 +3 -284 +237 -178 +-62 61 +-52 149 +138 -196 +94 -73 +117 -26 +-211 -274 +-268 -98 +182 195 +-70 364 +-321 266 +-119 132 +68 96 +340 184 +100 444 +-164 384 +60 318 +251 63 +510 -210 +440 231 +194 297 +3 14 +-112 112 +0 211 +10 119 +73 100 +-30 -48 +-83 -415 +92 -431 +100 -25 +248 280 +21 265 +-304 421 +328 38 +542 -490 +299 293 +436 506 +9 -322 +-145 -407 +341 -253 +201 -59 +-61 363 +-76 467 +-16 252 +51 -28 +-18 -170 +2 -117 +-136 -59 +-151 -14 +61 387 +-18 567 +111 150 +226 -9 +175 -49 +305 -110 +166 -231 +132 -469 +118 -159 +-178 -60 +-164 -379 +-187 -308 +-304 -159 +-226 27 +-230 92 +-187 88 +-85 266 +-17 242 +-98 -50 +-160 -351 +-105 -177 +-244 68 +-284 -73 +-190 38 +-221 305 +-202 404 +-149 229 +10 137 +86 350 +183 305 +291 231 +149 78 +263 -52 +238 35 +-93 -405 +-18 -587 +-83 -106 +-134 114 +66 166 +41 106 +104 40 +-34 -46 +-221 -359 +-60 -442 +93 -67 +337 158 +115 236 +-134 498 +330 -72 +332 -648 +363 157 +458 335 +-89 -103 +-194 189 +132 465 +43 433 +-463 34 +-421 -276 +-17 -168 +172 -135 +10 229 +-223 338 +-48 -159 +-158 68 +-86 346 +268 72 +-61 63 +82 41 +191 -240 +-178 -284 +222 89 +282 264 +-25 234 +21 215 +35 -335 +371 -514 +404 52 +53 197 +180 66 +252 -228 +108 -257 +268 94 +305 -75 +20 -187 +68 76 +203 186 +45 53 +29 -256 +118 -364 +142 -321 +-9 -208 +-142 -44 +-237 78 +-471 253 +-303 78 +-139 45 +-186 435 +-32 231 +45 42 +300 308 +458 233 +318 -27 +408 -250 +459 -405 +178 -364 +-338 -273 +-396 -152 +-192 -36 +-333 47 +4 124 +282 250 +58 254 +-19 -128 +-256 -253 +-377 119 +-261 97 +-314 -42 +-161 238 +253 379 +179 371 +-433 174 +-492 -112 +-71 -151 +119 -179 +89 206 +-98 377 +-110 -142 +-130 112 +24 345 +262 -31 +-40 89 +83 77 +319 -55 +52 112 +165 42 +245 -110 +-108 -314 +-163 -317 +-76 -234 +-201 -188 +-216 333 +-249 380 +-384 -122 +86 -11 +653 182 +379 55 +40 141 +71 401 +-43 221 +-223 -219 +98 -321 +452 -231 +186 -9 +-60 79 +-152 -221 +-199 -286 +-224 -51 +-323 -30 +-67 20 +-4 284 +1 307 +421 142 +412 -73 +272 -193 +175 21 +91 -142 +158 -453 +91 -227 +393 -136 +227 -97 +-260 21 +167 -113 +319 -33 +258 84 +262 -222 +-161 -432 +-13 -443 +97 -532 +-367 -34 +-422 481 +-237 96 +-78 72 +117 320 +76 124 +-185 19 +-302 -184 +-195 -15 +7 379 +61 385 +-175 357 +-104 109 +73 133 +-110 277 +-151 146 +-73 348 +164 118 +333 -181 +101 71 +148 -19 +195 -192 +-205 -262 +-251 -253 +-144 -190 +-257 -109 +-97 379 +-106 428 +-407 1 +77 -34 +687 -37 +370 -101 +83 94 +189 250 +215 389 +31 519 +-260 -80 +-175 -425 +-184 -227 +-363 -156 +-42 55 +331 -34 +242 -199 +80 -72 +40 -90 +151 -19 +316 -152 +139 -209 +-193 292 +-93 326 +242 347 +73 540 +-291 -76 +-207 -420 +-210 -205 +-382 -134 +-45 58 +323 -52 +224 -209 +68 -73 +28 -96 +152 -39 +302 -175 +121 -206 +-171 317 +-72 338 +265 324 +113 521 +-302 -54 +-250 -398 +-232 -189 +-394 -95 +-43 58 +318 -88 +206 -229 +63 -74 +22 -94 +148 -48 +286 -208 +109 -216 +-136 333 +-32 340 +296 300 +163 512 +-299 -30 +-278 -377 +-244 -167 +-397 -71 +-30 63 +314 -109 +189 -244 +59 -85 +15 -98 +139 -59 +264 -221 +86 -228 +-115 337 +-10 337 +312 273 +202 496 +-303 -7 +-316 -352 +-265 -148 +-406 -32 +-27 60 +302 -138 +158 -270 +48 -98 +10 -109 +144 -79 +262 -235 +76 -210 +-96 318 +-94 176 +-52 -310 +-28 -127 +-36 228 +272 -103 +361 -286 +117 -254 +143 -395 +75 -189 +183 -342 +152 -194 +-74 -50 +-114 -304 +-104 -147 +-119 -371 +-132 -363 +-216 -189 +-332 -164 +-111 173 +48 -7 +155 -434 +39 -192 +-29 222 +71 445 +-108 435 +124 -62 +69 27 +-58 423 +-84 216 +-506 73 +-263 21 +-119 -103 +-275 -160 +-49 19 +315 82 +580 82 +300 64 +-138 -155 +-103 -292 +-53 -256 +-278 152 +-69 262 +293 261 +-46 472 +-131 328 +353 157 +169 -78 +-55 -332 +205 -307 +-53 40 +-514 265 +-366 197 +-62 188 +32 -154 +128 -422 +117 -105 +291 -110 +343 -118 +196 379 +194 205 +-47 -123 +120 141 +274 434 +84 427 +53 13 +-149 -313 +-112 -122 +46 225 +225 -172 +261 -391 +32 -280 +4 -420 +7 -201 +72 -382 +93 -235 +-81 -23 +-212 -248 +-167 -110 +-244 -294 +-230 -269 +-80 -11 +68 -6 +264 -246 +382 -266 +414 32 +-120 -213 +-439 -158 +-88 22 +276 -109 +114 98 +-443 38 +-395 204 +-136 92 +121 -259 +127 -76 +-317 245 +-153 370 +146 -112 +239 -253 +489 -105 +235 -117 +85 -184 +197 -232 +-40 2 +282 -26 +491 -60 +219 -177 +42 -185 +-292 -73 +-220 -11 +-168 475 +-124 364 +210 -51 +252 155 +250 284 +117 49 +26 208 +73 402 +-172 46 +-481 -30 +-417 -127 +-189 -283 +-247 -72 +-134 62 +255 263 +141 390 +-95 345 +19 330 +-267 10 +-478 -199 +-86 -46 +-99 -157 +-207 -348 +151 -276 +-119 -112 +-595 -81 +-217 70 +-77 348 +-5 148 +258 -127 +243 -297 +161 -317 +-57 -54 +179 -52 +295 -155 +136 -109 +185 -306 +279 -355 +409 -89 +-180 -146 +-469 9 +-73 59 +235 -183 +148 58 +-409 192 +-315 325 +-101 133 +27 -279 +103 -117 +-207 328 +-90 311 +-19 -159 +-199 -89 +-183 -14 +-79 -26 +15 132 +165 370 +222 304 +59 -197 +98 161 +106 567 +207 216 +359 115 +251 103 +242 26 +59 7 +130 -149 +100 -105 +-57 -75 +150 -205 +278 164 +528 221 +477 -72 +227 369 +-36 529 +-197 -75 +-110 -333 +-140 -343 +-204 -328 +-451 -64 +-492 -20 +-24 -211 +211 -200 +-99 14 +-69 -16 +-3 69 +-153 209 +57 28 +-103 -50 +-315 -231 +-431 -48 +-242 188 +196 23 +76 -224 +73 -485 +203 -29 +317 147 +248 130 +205 152 +258 -310 +-264 -281 +-388 -163 +-266 31 +-225 103 +205 -194 +-7 39 +-341 351 +-231 139 +-329 -88 +-39 -123 +-27 26 +-369 214 +-65 -141 +32 -121 +-115 63 +-155 -238 +-215 -49 +-181 62 +-60 -1 +78 108 +291 277 +321 201 +-10 -205 +148 113 +298 489 +273 129 +378 -25 +262 -4 +237 -76 +68 -9 +82 -22 +233 110 +-34 257 +-166 14 +-23 -305 +-137 -233 +-122 38 +-28 63 +-266 214 +-314 356 +-37 145 +310 16 +160 123 +-162 337 +-17 544 +-74 372 +-198 -90 +-115 -144 +32 -28 +-43 -20 +-150 -356 +-19 -446 +43 -67 +307 -311 +284 -82 +355 206 +473 -7 +236 79 +674 -146 +694 -223 +168 -209 +-17 -182 +-206 131 +-262 240 +-242 136 +-262 180 +-406 355 +-198 -40 +337 -163 +254 -65 +67 -167 +170 235 +-84 489 +-259 480 +-275 241 +-384 -6 +-79 -62 +96 -258 +69 -186 +316 -102 +193 -169 +-159 -128 +-43 -209 +8 -276 +-386 52 +-499 264 +-159 -91 +110 -211 +-93 -73 +-206 -369 +171 -568 +131 -428 +-242 -71 +-356 324 +-77 152 +272 52 +64 269 +-167 61 +-133 -299 +-216 -181 +-109 78 +-12 77 +-184 296 +-187 431 +11 146 +304 -85 +192 71 +-64 385 +147 519 +64 363 +-84 -130 +178 -255 +79 46 +80 159 +253 -171 +-24 -244 +206 -26 +226 -190 +7 -4 +-171 -105 +-456 -373 +-91 -72 +-182 32 +-180 -200 +-36 -113 +-281 26 +-328 -102 +-286 207 +312 117 +77 -46 +-439 331 +30 282 +130 209 +95 212 +116 68 +138 7 +277 79 +97 345 +5 261 +360 -377 +210 -422 +-244 -541 +14 -675 +52 -53 +-284 -121 +-229 -30 +-5 171 +259 124 +198 317 +-287 54 +-444 129 +-134 241 +235 295 +522 395 +415 -303 +180 -747 +-62 -105 +-338 344 +-96 277 +20 398 +-123 454 +-202 296 +-529 -70 +-427 29 +-145 -44 +-4 -415 +148 -116 +127 -26 +423 37 +416 -247 +168 -435 +52 59 +-231 220 +-290 183 +-222 -61 +63 -228 +108 6 +141 109 +189 -254 +-99 -217 +195 -79 +153 -243 +10 -4 +-194 -46 +-557 -196 +-99 -46 +-167 98 +-270 -102 +-46 -126 +-201 73 +120 -228 +328 68 +-123 739 +-18 520 +-92 306 +-51 187 +385 -66 +-95 -286 +-230 -307 +89 24 +139 399 +101 306 +-326 -56 +-404 338 +-155 567 +7 349 +250 31 +236 -351 +-215 -51 +-458 139 +-273 282 +-344 418 +-147 468 +369 332 +367 -157 +111 -228 +-112 -233 +-78 35 +-47 89 +-104 -46 +71 180 +18 196 +-94 -80 +49 -549 +-77 -120 +-231 124 +-130 -463 +-77 -226 +-170 355 +-490 219 +-392 -152 +42 -129 +-80 -382 +38 -192 +17 215 +-355 13 +97 97 +361 -141 +154 -206 +9 183 +2 -93 +277 -431 +111 -239 +-22 -46 +134 -270 +260 -417 +383 -312 +157 -310 +-31 -256 +58 -90 +22 12 +-352 -55 +-543 95 +-234 178 +195 -372 +351 -94 +139 708 +164 480 +6 322 +10 191 +346 -197 +-190 -239 +-330 -213 +84 -11 +256 328 +198 263 +-319 59 +-260 414 +36 578 +83 385 +72 475 +-41 538 +-44 284 +28 -38 +115 -225 +-26 46 +-45 171 +-189 109 +-425 313 +-511 477 +-522 408 +-219 -162 +105 -307 +-73 -90 +-130 -117 +82 -84 +167 -143 +453 -158 +430 -492 +123 -430 +189 134 +474 118 +362 42 +-7 -117 +-143 -77 +-124 -45 +107 -141 +187 3 +157 -175 +-74 -190 +-144 -150 +-76 -54 +-411 174 +-288 234 +-124 347 +-43 116 +131 -306 +3 -258 +-46 261 +139 236 +49 -43 +-193 -177 +-116 -349 +-168 -87 +215 -45 +312 88 +-89 -78 +50 -279 +-109 316 +-59 142 +118 -116 +-9 41 +-225 75 +-323 -53 +-113 -275 +-158 -50 +-115 -74 +9 -76 +54 167 +166 128 +533 51 +485 136 +-149 210 +-251 70 +-76 389 +78 590 +46 289 +-12 -43 +33 -262 +-16 51 +16 173 +-149 168 +-305 438 +-328 611 +-367 555 +-263 -68 +-3 -319 +-87 -40 +-155 -64 +41 -140 +-6 -246 +-95 -518 +-63 -249 +192 128 +135 -167 +121 -77 +410 -267 +195 -364 +-92 220 +-266 392 +-62 52 +32 -134 +-169 -120 +-346 161 +-468 399 +-117 -183 +-197 -235 +-273 416 +138 556 +312 350 +111 65 +-281 -92 +-409 -222 +-6 -66 +585 324 +493 228 +436 201 +324 421 +-219 -49 +-589 -332 +-591 106 +-154 292 +-257 390 +-227 320 +20 64 +-75 -130 +192 -220 +237 -48 +39 -140 +43 -265 +111 -144 +-69 -166 +-140 9 +-244 152 +-270 -90 +-12 1 +-218 -15 +-243 -335 +-109 -436 +-33 -371 +116 -161 +45 -336 +-37 -134 +-96 292 +87 31 +55 0 +-119 50 +-58 80 +-99 208 +10 34 +249 -91 +230 335 +330 397 +569 119 +300 75 +-182 -475 +-107 -280 +236 86 +57 -199 +99 -103 +314 -381 +71 -409 +-18 240 +-127 456 +-40 72 +-8 -133 +-201 -59 +-279 282 +-302 537 +-126 -159 +-150 -471 +-240 -167 +-252 86 +-163 214 +-124 132 +-187 91 +-180 -133 +-90 -213 +82 -90 +206 -138 +53 -92 +-181 -84 +288 -215 +273 -60 +-85 179 +124 375 +-233 129 +-328 -551 +-177 -166 +-232 324 +-106 -141 +101 -164 +303 91 +124 -223 +27 -501 +-150 -208 +-134 221 +195 506 +91 300 +143 -195 +53 -60 +-263 308 +-143 348 +-98 266 +-189 229 +-100 159 +-111 -164 +53 -442 +-114 -224 +-501 24 +-112 85 +27 53 +-93 -29 +-7 154 +167 294 +505 268 +393 183 +220 67 +227 -128 +188 -135 +134 195 +-103 87 +-205 -15 +118 33 +127 50 +-285 290 +-137 341 +224 166 +65 -245 +-28 -331 +318 -279 +273 -312 +38 -30 +80 41 +-112 -58 +-246 -47 +-167 168 +-69 263 +-71 183 +-150 156 +-226 -70 +-164 -170 +45 -109 +147 -195 +25 -102 +-196 -18 +191 -295 +210 -160 +-34 173 +273 315 +261 147 +280 -94 +161 177 +357 1 +445 21 +-339 98 +-587 -172 +-287 -17 +95 -55 +-33 -2 +-314 307 +35 171 +-35 -268 +-135 -479 +-112 -249 +-105 128 +220 396 +378 170 +269 -150 +319 -117 +575 113 +409 226 +421 100 +448 76 +-219 -277 +-462 -663 +-291 -438 +-268 38 +-441 75 +-535 -145 +-258 240 +23 263 +179 -203 +1 -76 +-275 78 +-152 30 +-150 67 +-162 -173 +-72 -427 +-310 -215 +-127 149 +243 295 +62 129 +117 231 +363 394 +42 144 +-100 130 +224 80 +160 29 +253 28 +280 -39 +-162 -66 +-136 -287 +-179 -191 +-333 -71 +64 -9 +327 105 +414 -94 +606 -44 +242 -9 +-228 141 +-180 451 +-242 267 +-324 367 +-108 185 +204 -179 +181 118 +334 -136 +435 -139 +-305 202 +-619 19 +-281 71 +71 -92 +-30 2 +-205 384 +83 149 +-116 -241 +-257 -415 +-163 -202 +-85 152 +167 368 +-39 203 +-142 -155 +276 -104 +199 119 +5 325 +-93 -12 +-220 -143 +-181 9 +-208 -124 +-52 299 +73 129 +-32 -359 +-294 -101 +-301 21 +-348 180 +-359 174 +-193 10 +-40 -73 +-95 -182 +-410 -56 +-136 -59 +40 -78 +144 35 +254 116 +77 91 +206 -74 +22 -106 +-238 -150 +-376 54 +-261 307 +-116 57 +-103 138 +260 149 +237 -476 +-178 -453 +-157 125 +334 127 +410 80 +-103 214 +-142 32 +-1 9 +-3 -1 +-2 2 +-8 -3 +2 5 +0 6 +-6 -2 +0 1 +0 -2 +-3 -1 +2 -4 +2 1 +5 9 +2 8 +-5 7 +-5 2 +-3 -2 +-2 -4 +2 -3 +3 4 +5 2 +6 3 +6 4 +3 -1 +6 4 +3 6 +-2 1 +-1 3 +12 7 +24 5 +25 -1 +28 2 +24 4 +6 27 +-214 94 +-380 -471 +234 -703 +757 648 +672 1304 +727 1321 +1047 301 +150 -1190 +-549 -349 +657 -4 +485 -930 +-1148 -123 +-1393 715 +-1268 604 +-710 -37 +568 -645 +425 -81 +-406 -350 +44 -757 +895 457 +954 1160 +1003 1159 +1109 93 +-91 -1198 +-625 -231 +648 -138 +278 -1025 +-1184 110 +-1234 991 +-1142 860 +-721 106 +418 -778 +405 -187 +-495 -253 +-152 -757 +991 219 +1212 891 +1269 883 +1132 -178 +-372 -1156 +-673 -90 +618 -295 +48 -1069 +-1142 383 +-1011 1251 +-944 1093 +-694 255 +249 -851 +371 -262 +-527 -147 +-291 -715 +1041 39 +1412 657 +1463 620 +1100 -405 +-609 -1063 +-691 63 +559 -397 +-172 -1055 +-1072 598 +-766 1426 +-733 1259 +-638 389 +103 -883 +334 -332 +-551 -55 +-428 -650 +1039 -155 +1509 399 +1555 377 +1019 -578 +-786 -939 +-685 171 +487 -492 +-337 -1019 +-970 755 +-537 1527 +-528 1355 +-572 476 +-36 -892 +267 -376 +-562 25 +-513 -579 +1009 -302 +1537 164 +1575 132 +913 -732 +-918 -816 +-654 265 +408 -548 +-483 -936 +-847 891 +-306 1570 +-326 1394 +-494 550 +-158 -853 +208 -394 +-548 115 +-592 -490 +940 -442 +1554 -60 +1598 -91 +823 -836 +-1011 -684 +-621 354 +339 -590 +-599 -865 +-734 982 +-104 1577 +-139 1418 +-412 601 +-264 -831 +157 -422 +-538 180 +-669 -408 +872 -562 +1534 -269 +1574 -318 +700 -947 +-1112 -534 +-579 427 +245 -636 +-718 -771 +-595 1069 +98 1578 +42 1417 +-336 647 +-384 -780 +91 -430 +-506 250 +-708 -312 +802 -668 +1495 -465 +1522 -515 +579 -1032 +-1178 -384 +-511 506 +178 -658 +-811 -666 +-448 1148 +329 1556 +257 1390 +-232 686 +-482 -713 +44 -444 +-470 306 +-757 -218 +698 -766 +1418 -649 +1455 -692 +455 -1067 +-1202 -221 +-438 562 +92 -671 +-901 -573 +-318 1181 +514 1493 +420 1347 +-144 714 +-608 -662 +-461 -645 +-487 -572 +-11 -1452 +-840 -1474 +-1092 -583 +258 100 +-242 551 +-1296 1036 +-385 1587 +45 1035 +-708 -140 +-151 -568 +446 -1141 +-940 -810 +-1343 -41 +-415 -671 +427 -456 +1156 -432 +-31 -1369 +-333 -734 +578 194 +-316 947 +-73 1425 +874 368 +981 -360 +703 481 +-159 1344 +390 1006 +808 -155 +420 -1071 +786 -317 +1112 882 +1396 -209 +276 -1516 +-685 -1375 +509 -636 +972 -158 +128 -782 +-1059 -592 +-1389 -234 +-225 -803 +465 -834 +-436 -823 +-1468 -84 +-823 61 +126 -556 +751 499 +1245 25 +510 -1153 +520 -197 +468 666 +-253 1323 +793 823 +1134 -437 +286 273 +-248 662 +-1289 -224 +-1699 392 +-1157 1251 +-491 68 +58 -245 +553 1093 +1434 714 +1335 -2 +-139 802 +-879 308 +-855 -1204 +-1512 -692 +-1252 200 +268 -65 +142 577 +-459 1567 +611 1469 +651 781 +-664 301 +-469 -352 +-311 -1166 +-1265 -91 +-1140 753 +-759 -297 +59 -617 +674 -1028 +-852 -1038 +-718 -363 +603 -209 +317 929 +810 1147 +940 -260 +573 -872 +879 -46 +712 1153 +959 545 +581 -618 +-325 -1077 +439 -720 +1462 -3 +1012 -1036 +-734 -1361 +-1419 -647 +15 -806 +687 -718 +-380 -685 +-1231 180 +-1277 643 +-689 -509 +-137 -947 +-856 -390 +-1262 830 +-645 545 +-248 -515 +902 -73 +1017 -746 +-290 -1217 +315 -467 +802 226 +613 1178 +1160 150 +675 -1037 +391 45 +198 672 +-1181 616 +-1144 1353 +-181 1689 +-359 350 +-100 -235 +1120 505 +1609 -342 +1091 -826 +383 715 +-525 774 +-1437 -411 +-1660 404 +-892 935 +177 -220 +469 362 +605 1501 +1408 756 +1013 210 +-355 646 +-595 14 +-970 -704 +-1071 731 +-439 1299 +-783 254 +-344 -505 +-92 -1216 +-1337 -280 +-819 155 +363 -523 +839 533 +1363 398 +601 -762 +-82 -1024 +678 -576 +1293 447 +1113 -172 +72 -828 +-946 -628 +-102 -827 +1210 -895 +748 -1300 +21 -864 +82 210 +220 1011 +-399 746 +707 -132 +1818 -356 +1369 -280 +651 -782 +-272 -165 +-236 642 +-172 -31 +-546 329 +-1001 1070 +-1221 729 +406 -900 +-119 -2425 +-2345 -887 +-822 29 +1307 -802 +1347 -455 +367 -475 +-105 -216 +532 97 +-232 -105 +-1040 638 +-751 1412 +-970 1575 +-199 434 +916 -136 +661 574 +-140 78 +-1245 207 +-1128 750 +293 392 +-372 858 +-1364 1283 +-413 789 +-403 500 +-15 979 +1652 480 +1299 -570 +144 150 +-450 307 +-1106 -659 +-760 -888 +303 -933 +316 -803 +-562 -640 +-792 -165 +-684 773 +-858 615 +-1175 -408 +-877 -706 +299 -333 +182 290 +43 1484 +1148 1228 +907 -534 +317 -561 +605 -748 +755 -1646 +566 -325 +305 139 +320 -1312 +-112 -1006 +208 118 +771 673 +113 810 +508 -539 +1271 -1379 +942 -1045 +52 -1005 +-318 38 +195 653 +-149 90 +-237 590 +-174 1431 +-543 1286 +-144 -964 +-484 -1976 +311 -398 +776 -34 +449 -119 +142 -511 +-1405 -1220 +-1212 -414 +-406 789 +-1390 555 +-566 242 +348 748 +1239 139 +2391 -1400 +886 -174 +167 1999 +95 1066 +130 -474 +1467 -845 +-32 -315 +-1418 1835 +-135 2874 +-60 1629 +-322 837 +277 715 +1005 255 +1156 -324 +342 -313 +-239 740 +-377 1328 +239 882 +1385 144 +916 -958 +-95 -1201 +781 -952 +904 -1478 +-950 -389 +-918 300 +187 -1974 +-134 -1747 +192 475 +855 -492 +-63 -1224 +-1095 125 +-1028 1010 +-711 1476 +-719 1043 +-731 -111 +-195 -563 +649 -249 +341 430 +-489 587 +-882 108 +-1339 -362 +-900 34 +-618 819 +-1186 108 +-417 -560 +155 -281 +-700 -88 +-560 800 +145 801 +-106 190 +195 390 +731 -672 +323 -1536 +497 -979 +559 -549 +310 -395 +-195 -482 +-1901 -31 +-1259 453 +152 870 +-770 1287 +-321 539 +737 379 +1084 -654 +1055 -2560 +603 -693 +1362 1433 +730 793 +-386 -49 +-147 -45 +-273 113 +-166 -243 +350 -87 +38 53 +-942 788 +-586 623 +-217 -692 +-1308 -876 +-1850 -715 +-249 511 +1010 619 +432 -1159 +381 -275 +-171 845 +-1670 484 +-944 762 +837 538 +1196 249 +617 263 +-77 343 +-83 416 +-73 -597 +-941 -1264 +-739 -263 +450 995 +-594 921 +-1538 472 +47 570 +413 -5 +-336 -4 +-497 762 +-1158 617 +-988 439 +-87 -356 +268 -1372 +698 -536 +1033 36 +757 -457 +244 117 +585 847 +831 430 +-18 -365 +-48 -911 +299 -746 +-35 -112 +36 -443 +-260 -1608 +-423 -1367 +655 474 +1289 893 +1353 -498 +1315 -539 +1067 675 +1058 730 +397 199 +9 -636 +361 -2263 +-241 -1836 +-471 232 +-442 633 +-738 1284 +10 1600 +287 67 +-23 -128 +-192 282 +-307 -88 +235 -284 +58 8 +-291 1179 +-91 842 +-588 -416 +-1593 91 +-1950 534 +77 549 +1190 -114 +-337 -1162 +143 -425 +370 786 +-895 1424 +-106 1629 +638 796 +434 -787 +370 -1401 +-143 -585 +-1188 -496 +-1150 -578 +-138 170 +-485 309 +-1201 -132 +-1131 354 +-252 957 +952 368 +641 375 +-123 916 +-562 1261 +-439 1445 +360 229 +553 -351 +720 -409 +-403 -1912 +-1071 -2475 +1262 -1555 +1848 -359 +747 494 +694 863 +-441 470 +-1070 -591 +202 -673 +-148 -471 +-1506 149 +-813 436 +-474 -694 +-901 32 +-102 261 +313 -1208 +82 -849 +-307 -681 +-55 -885 +639 -376 +23 57 +49 753 +739 911 +1158 374 +1419 -994 +99 -1585 +-523 -128 +217 721 +335 791 +10 1139 +-408 1372 +43 1203 +282 522 +18 182 +443 427 +480 457 +472 225 +655 -258 +337 -1549 +-255 -1078 +-154 1531 +681 879 +282 -878 +-71 357 +964 1052 +971 232 +-184 -883 +-573 -1293 +-456 -350 +-1237 360 +-1268 274 +-1 216 +-193 532 +-1052 635 +-691 969 +404 889 +1002 -296 +746 -94 +469 730 +262 541 +93 39 +39 -14 +19 9 +-2 6 +-11 -3 +-5 -6 +3 -2 +2 -4 +3 -2 +7 4 +6 3 +5 2 +4 6 +-1 -2 +1 -5 +0 -8 +-3 -12 +3 -3 +1 5 +-4 0 +-5 -4 +-2 -1 +0 -1 +-6 -4 +-6 -5 +-1 -2 +-1 8 +2 0 +-2 -2 +-4 -6 +-2 -5 +-2 1 +-1 0 +8 0 +7 2 +5 -6 +3 -9 +0 -6 +-2 5 +0 2 +-1 -1 +-1 -3 +7 3 +0 -4 +0 -3 +4 -10 +5 -9 +2 -6 +6 -7 +-3 -7 +2 -1 +3 -1 +1 -2 +-1 -1 +1 0 +1 3 +0 1 +0 -2 +-2 -1 +0 2 +1 0 +-2 0 +-3 9 +-5 7 +-2 -2 +3 1 +6 1 +1 -5 +0 1 +1 7 +0 10 +2 3 +6 -1 +4 -6 +7 -3 +4 5 +-3 2 +0 2 +0 0 +2 -1 +2 3 +-5 8 +4 5 +6 0 +2 0 +-1 -1 +0 1 +-5 3 +-6 3 +-5 -3 +-1 -4 +-4 -2 +-2 -1 +5 -8 +1 1 +-2 2 +2 5 +0 -1 +0 -7 +3 -6 +0 -4 +-1 3 +3 5 +3 -1 +4 -6 +-1 -4 +2 1 +0 -1 +5 0 +7 11 +6 10 +-2 7 +-4 2 +-2 -3 +-5 -3 +-4 -9 +-4 -5 +1 -4 +-3 -3 +-5 0 +-8 -1 +-2 3 +4 1 +5 1 +3 1 +2 3 +1 8 +-3 6 +0 1 +5 4 +6 3 +3 1 +3 -6 +4 -2 +0 5 +-4 2 +5 2 +7 4 +8 -5 +10 -6 +6 -1 +2 3 +-3 10 +2 10 +-1 5 +-2 9 +-30 5 +-257 -129 +93 -671 +647 -313 +-10 1065 +-510 1453 +-427 1538 +597 911 +916 -874 +-203 -602 +517 488 +953 -442 +-822 -956 +-1521 -474 +-1336 -487 +-402 -521 +866 -7 +256 222 +-9 -621 +594 -458 +224 1062 +-227 1548 +-126 1617 +768 807 +767 -1020 +-314 -557 +608 380 +879 -624 +-1012 -799 +-1621 -196 +-1440 -245 +-497 -444 +879 -173 +314 167 +-124 -619 +508 -575 +426 1004 +60 1580 +164 1616 +911 656 +579 -1159 +-408 -495 +682 272 +781 -761 +-1142 -610 +-1655 80 +-1477 1 +-582 -371 +851 -327 +346 115 +-233 -593 +400 -649 +592 936 +334 1551 +442 1550 +1024 481 +382 -1251 +-503 -424 +719 151 +636 -887 +-1258 -392 +-1635 392 +-1473 275 +-649 -248 +778 -468 +358 57 +-343 -539 +278 -713 +770 808 +627 1475 +743 1476 +1117 288 +125 -1290 +-586 -304 +761 13 +472 -1000 +-1323 -167 +-1549 683 +-1408 538 +-684 -125 +676 -590 +354 -25 +-425 -478 +153 -738 +879 664 +848 1327 +963 1319 +1159 106 +-49 -1306 +-622 -234 +751 -89 +340 -1033 +-1326 25 +-1440 877 +-1316 711 +-698 -40 +590 -676 +344 -66 +-497 -410 +51 -758 +974 524 +1039 1188 +1147 1154 +1161 -65 +-244 -1261 +-653 -122 +733 -197 +171 -1065 +-1314 218 +-1307 1071 +-1211 900 +-692 81 +504 -740 +353 -116 +-538 -341 +-56 -759 +1039 379 +1192 1025 +1296 977 +1146 -221 +-421 -1206 +-658 -39 +691 -323 +8 -1082 +-1274 428 +-1129 1267 +-1055 1086 +-669 181 +376 -824 +323 -169 +-592 -232 +-182 -720 +1089 214 +1356 807 +1449 748 +1102 -399 +-616 -1120 +-661 78 +640 -413 +-161 -1064 +-1206 617 +-941 1419 +-901 1214 +-643 268 +265 -849 +309 -197 +-614 -163 +-270 -686 +1106 80 +1440 647 +1531 584 +1053 -529 +-747 -1026 +-660 162 +571 -495 +-300 -1029 +-1114 766 +-739 1520 +-719 1318 +-605 350 +100 -939 +107 -775 +115 -780 +1095 -1103 +428 -1739 +-325 -1142 +105 343 +-655 201 +-1680 -119 +-1390 1002 +-707 757 +-384 -640 +364 -455 +1127 -543 +-188 -1299 +-885 -957 +251 -753 +637 63 +1150 451 +922 -1124 +291 -672 +227 606 +-992 493 +-1020 1078 +432 909 +952 486 +59 906 +-1109 934 +-348 1103 +738 426 +1074 -517 +743 417 +226 1515 +1276 750 +1233 -1083 +446 -1502 +818 -34 +819 509 +622 -618 +-442 -1201 +-822 -1109 +463 -707 +915 -327 +175 -1002 +-1084 -1046 +-572 -479 +473 -271 +175 1010 +969 817 +1195 -551 +515 313 +-179 855 +-1116 908 +128 1241 +1137 468 +-56 457 +-643 277 +-782 -1128 +-1571 -810 +-1713 184 +-308 -282 +189 -51 +-401 1317 +616 1587 +927 959 +-760 499 +-772 -426 +282 -1539 +-690 -1583 +-1015 -664 +292 204 +-404 555 +-1460 951 +-520 1652 +-109 1032 +-712 -257 +8 -580 +575 -1122 +-965 -891 +-1320 -202 +-270 -743 +552 -333 +1220 -347 +72 -1441 +-167 -691 +580 348 +-501 993 +-172 1470 +918 452 +1078 -199 +619 680 +-330 1410 +387 1081 +883 -114 +585 -1053 +874 -99 +1099 1083 +1513 -166 +393 -1610 +-509 -1465 +688 -507 +1010 -72 +173 -857 +-1077 -711 +-1340 -417 +-30 -860 +579 -805 +-441 -906 +-1538 -183 +-769 -40 +238 -507 +767 683 +1292 48 +644 -1148 +605 -42 +383 777 +-350 1366 +872 881 +1218 -325 +223 389 +-355 612 +-1328 -401 +-1792 329 +-1312 1186 +-436 -35 +127 -149 +459 1274 +1473 866 +1355 195 +-316 841 +-886 113 +-681 -1387 +-1515 -837 +-1244 71 +367 1 +-6 686 +-676 1597 +514 1620 +512 886 +-741 192 +-325 -473 +-149 -1245 +-1332 -173 +-1238 587 +-647 -446 +276 -575 +838 -975 +-780 -1200 +-554 -467 +676 -57 +154 1100 +708 1287 +1030 -180 +778 -787 +912 197 +547 1335 +957 643 +671 -607 +-124 -1181 +679 -581 +1561 259 +1357 -506 +413 -231 +-835 76 +-1989 -563 +-1483 -287 +608 257 +1895 -145 +622 -846 +-194 -1163 +640 -42 +-275 1075 +-1125 666 +70 573 +709 -261 +508 -1156 +785 -555 +592 -835 +-555 -906 +-496 -804 +24 -1121 +-581 -114 +102 711 +794 1108 +-445 706 +-262 -641 +618 -866 +-786 -882 +-1723 -702 +-70 112 +1939 295 +1913 281 +433 165 +-564 -505 +-632 -450 +381 -70 +586 -1457 +-919 -1476 +-903 207 +-334 -502 +-736 -847 +-673 50 +-529 661 +441 1420 +982 726 +254 632 +846 1002 +1240 -13 +573 658 +259 2322 +-59 2091 +-667 509 +-1178 552 +-478 1325 +-206 670 +-726 1117 +171 1278 +754 184 +664 -459 +434 -1247 +151 -404 +887 -261 +214 -1845 +-1052 -941 +-193 -19 +549 -701 +357 -278 +-661 571 +-2005 717 +-1410 636 +643 -138 +1496 -1204 +30 -1040 +-840 -816 +497 -385 +377 1041 +-564 1199 +383 426 +445 -615 +-233 -1211 +375 -919 +194 -1404 +-1179 -971 +-639 995 +1201 1035 +-345 147 +-1798 -171 +-1030 -499 +-713 -181 +-790 15 +88 -1621 +146 -2847 +-868 -1073 +14 367 +39 72 +-707 155 +496 -157 +538 -646 +-64 -820 +-110 -299 +-218 710 +51 546 +380 777 +1849 1492 +2474 1030 +733 159 +-649 -188 +-823 396 +-491 1327 +-438 1592 +-123 296 +-61 -712 +-208 873 +919 1685 +677 580 +-171 -500 +478 -703 +1011 85 +1698 -442 +1304 -498 +294 919 +213 104 +-840 -1011 +-1560 -689 +-1174 -357 +-653 172 +287 130 +-34 214 +-658 547 +457 -196 +802 28 +-252 992 +-445 12 +-403 -715 +-534 317 +205 1061 +1358 869 +1326 329 +-79 442 +-76 -190 +531 -1594 +-515 -1383 +-593 -1424 +-137 -919 +-534 251 +-1089 -836 +-1597 -203 +146 1169 +1615 66 +-197 316 +-1552 968 +-1141 255 +-679 312 +-620 507 +-913 -1309 +-1628 -2299 +-1367 -314 +230 272 +77 39 +-479 548 +306 -432 +79 -758 +-109 207 +641 668 +467 -339 +-727 -676 +-467 137 +470 683 +-603 1791 +-976 1823 +610 971 +1010 576 +294 194 +1182 912 +2311 -144 +536 -1390 +-1139 158 +-800 670 +-250 1188 +650 110 +-426 -1895 +-1969 85 +-336 710 +150 -140 +-1123 686 +-726 955 +-324 1821 +-1040 1802 +-836 309 +718 -191 +1178 -230 +165 -230 +-69 -11 +487 417 +-21 227 +-1028 -350 +-494 -735 +136 -1066 +-221 9 +303 1139 +731 357 +294 -1095 +906 -1825 +1283 -1715 +-109 -1350 +-707 -549 +239 -80 +753 -186 +1019 405 +908 726 +-26 493 +-553 470 +-750 225 +-777 -377 +-558 -709 +-1346 -767 +-2056 -1414 +-806 -1360 +422 -350 +309 366 +521 741 +100 -218 +-1019 -1186 +-466 -552 +228 150 +-352 270 +-36 331 +931 104 +212 -530 +-1000 -96 +-315 367 +772 258 +557 1783 +278 2017 +1074 408 +1176 -137 +358 -31 +1496 -1 +1778 -1500 +-411 -1389 +-823 792 +-202 552 +605 -367 +763 66 +-789 841 +-1477 939 +-1350 1078 +-82 1190 +1273 -137 +142 -552 +77 886 +1131 242 +34 -1465 +-893 -1495 +-789 -1327 +-25 -682 +749 597 +187 416 +509 -483 +797 -91 +-637 932 +-1336 1155 +-1188 1285 +91 1187 +1233 -321 +53 -541 +229 864 +1174 41 +-196 -1452 +-1122 -1321 +-1004 -1184 +-136 -669 +848 462 +266 381 +433 -561 +785 -239 +-463 1011 +-1113 1348 +-948 1446 +297 1146 +1181 -523 +-29 -562 +391 795 +1188 -147 +-427 -1395 +-1327 -1129 +-1178 -1007 +-228 -630 +924 334 +322 330 +329 -629 +728 -358 +-293 1077 +-872 1516 +-681 1583 +489 1074 +1063 -725 +-141 -532 +514 722 +1137 -358 +-673 -1290 +-1521 -859 +-1360 -771 +-353 -584 +962 147 +370 266 +215 -667 +656 -460 +-118 1127 +-625 1649 +-438 1676 +658 981 +947 -880 +-224 -514 +628 626 +1086 -524 +-863 -1159 +-1635 -604 +-1447 -548 +-439 -518 +963 23 +211 645 +-499 1237 +917 972 +862 330 +-629 126 +-346 -985 +-1107 -1045 +-1005 530 +-376 878 +-964 -83 +-700 -1113 +-1225 -352 +-337 845 +406 901 +-555 614 +-1471 160 +-980 1301 +1173 1277 +1214 370 +-24 834 +-628 775 +603 690 +1370 -497 +914 -804 +770 505 +128 944 +1161 300 +1173 -1100 +526 -567 +313 382 +-1197 234 +-803 1087 +402 1217 +-168 490 +-827 -271 +-898 -1123 +-1289 59 +-713 1122 +-415 -33 +-261 -588 +1293 -731 +944 -1533 +-3 -1594 +393 -305 +-27 132 +-1332 -490 +-1683 500 +-1047 829 +-465 -739 +493 -651 +1559 362 +1599 -624 +684 -900 +-127 282 +-546 -475 +-821 -1544 +-1639 -623 +-1093 -94 +253 -682 +479 104 +1264 564 +950 -1014 +329 -1373 +665 -297 +246 656 +355 1285 +1370 174 +895 -270 +-436 490 +-885 -540 +-1542 -111 +-465 1021 +259 906 +-830 540 +-1266 -425 +-1198 495 +275 843 +902 428 +-63 827 +-1103 996 +-292 922 +272 -1044 +-1534 -1950 +-1999 -974 +-101 -1125 +-204 -435 +-1126 1788 +78 773 +982 -320 +1370 1209 +2156 2203 +1225 1191 +-210 -391 +-338 -321 +626 711 +1501 -508 +879 -351 +1528 669 +1105 -675 +-288 -191 +493 414 +515 563 +599 503 +625 -565 +38 -797 +-161 44 +267 560 +-130 -692 +-1897 -473 +-1503 -139 +-405 -363 +380 31 +714 -807 +-502 -215 +-441 688 +579 600 +493 -90 +-534 -1393 +-890 -827 +-315 616 +461 293 +979 -294 +656 670 +569 1689 +426 846 +-25 -336 +-353 565 +-918 286 +-790 -313 +-743 862 +-795 353 +-491 -198 +-1040 102 +-1481 -277 +-1045 -144 +316 867 +749 510 +-11 -631 +-334 -762 +-866 -755 +-322 -17 +565 737 +1154 934 +1198 221 +52 -908 +-956 -1294 +-2430 -605 +-2240 411 +-756 -815 +-412 -209 +150 2058 +519 533 +607 -845 +1843 133 +3101 418 +1728 186 +-404 -185 +-475 -53 +929 163 +865 -1321 +-337 -785 +-1152 1181 +-232 1887 +1477 620 +859 -938 +494 -1046 +103 -238 +-413 73 +108 -693 +-230 -885 +-78 -1243 +673 -1622 +1061 -160 +664 -580 +-235 -1034 +933 -580 +645 -1265 +53 -358 +1299 -426 +1037 -864 +1092 393 +351 771 +-1519 -104 +-1719 -564 +-345 13 +230 433 +-137 924 +259 1188 +618 482 +1031 -323 +410 -87 +130 -308 +844 -1024 +69 -653 +-818 469 +151 1107 +905 -122 +-135 567 +250 1073 +588 -1273 +-722 -791 +-1323 446 +-566 -307 +259 -15 +-617 1172 +-1126 859 +-1452 258 +-1307 366 +-772 729 +-272 987 +1286 -207 +1194 -1252 +810 -1830 +586 -1116 +96 533 +-243 1203 +-1006 1936 +103 1405 +670 -271 +-702 -565 +-1590 847 +-996 1420 +511 749 +990 118 +334 50 +-166 1575 +982 1574 +1588 -444 +114 -1237 +-247 -1106 +-56 -238 +-280 309 +-328 -606 +-723 -550 +-826 -918 +-457 -1665 +766 -755 +202 -836 +-817 -669 +441 -1054 +-9 -1905 +-64 -1282 +986 468 +482 793 +-1215 302 +-488 1063 +1142 840 +156 363 +-750 -59 +-1251 -274 +-525 696 +1503 -510 +1300 -1942 +829 -585 +1432 -474 +435 -1454 +-425 86 +293 1727 +390 1407 +559 1224 +356 947 +111 223 +-39 683 +155 1179 +1097 299 +-275 -207 +-609 -555 +-373 -521 +-1528 173 +-1759 -324 +-589 54 +973 382 +-403 14 +-360 256 +984 -229 +692 -241 +103 -366 +-1010 -587 +-898 -474 +-587 -814 +586 -893 +352 -1158 +-806 -1099 +64 -277 +-541 164 +-890 -586 +-758 -1228 +-1047 -1249 +-938 -1042 +-1181 541 +-538 2417 +500 2081 +150 160 +-413 -1093 +-359 -979 +84 368 +1138 580 +720 115 +-69 1282 +614 1351 +1433 -91 +1192 -781 +53 -503 +-123 94 +-598 -432 +-688 -1050 +1073 -219 +899 363 +-820 963 +222 1115 +1422 -17 +345 199 +-637 395 +-1170 528 +-11 865 +931 -1281 +-105 -2278 +312 -957 +874 -1222 +-527 -1420 +-650 12 +-238 836 +-182 166 +636 -1172 +514 -662 +-400 227 +154 732 +1018 809 +141 -84 +-1104 165 +-649 783 +69 140 +-329 -173 +-909 493 +-737 585 +-565 -406 +-630 -643 +-53 -505 +209 -104 +296 -7 +47 -810 +76 -738 +28 152 +-223 805 +397 1525 +185 1909 +196 752 +329 1044 +60 962 +-241 -834 +421 -1071 +1129 -1093 +-97 607 +132 1258 +-406 450 +-1357 1870 +461 2537 +1465 1453 +747 108 +200 62 +479 -1103 +-218 -1497 +232 -14 +1066 -334 +-1089 -269 +-1966 -721 +62 -1359 +1165 -1420 +-688 -1842 +-1805 -779 +-966 601 +-584 1265 +98 960 +498 -621 +61 -1005 +-599 -305 +-1449 305 +-838 1490 +377 148 +-30 -1589 +-60 -845 +249 -910 +416 -744 +1012 -832 +565 -1028 +149 431 +-56 239 +-212 -1337 +-1 -820 +-211 446 +571 487 +1345 7 +72 -147 +-784 806 +-28 1001 +158 66 +-374 56 +-441 939 +-233 899 +-667 49 +-536 95 +240 131 +966 578 +2209 1133 +1448 472 +357 -165 +-161 -408 +-849 392 +-309 930 +-348 653 +-550 896 +19 1078 +830 447 +1742 -42 +1123 646 +-385 -64 +-740 -452 +539 853 +556 412 +-1126 -585 +-967 367 +1138 1179 +1360 161 +233 609 +877 68 +145 -1163 +-550 533 +180 528 +-289 3 +-788 223 +-1216 -179 +-687 -59 +8 -710 +-300 -1070 +-1125 -303 +-1304 -710 +-39 -1038 +1420 -864 +1487 -1832 +-419 -1453 +-1034 -602 +-1066 131 +-1297 929 +-588 627 +-805 487 +-1026 -652 +-521 -669 +-61 980 +10 1753 +889 1455 +651 1249 +-225 912 +575 -1441 +-161 -1530 +72 345 +1422 681 +589 69 +-961 -773 +-1547 -35 +-61 304 +187 -503 +-1080 -851 +-1293 -614 +-886 28 +226 -50 +455 -310 +1108 -147 +2498 -463 +1504 -518 +218 -344 +-368 -208 +-452 825 +312 921 +110 734 +95 1036 +670 833 +944 -153 +1394 -1069 +1294 -162 +-385 135 +-1433 -456 +-479 -1105 +-343 49 +-1208 2338 +-675 2496 +-759 1271 +-344 774 +859 374 +751 -559 +-11 -352 +570 646 +1148 876 +-344 466 +-991 -114 +382 -376 +909 -511 +60 -766 +-844 -586 +-1604 412 +-684 257 +292 -351 +47 -526 +247 -787 +-362 359 +-194 702 +933 212 +1120 -299 +862 -1417 +204 -861 +-28 224 +152 -601 +-559 -1343 +-2118 -121 +-2104 897 +-345 121 +-742 -1094 +-1252 -39 +151 204 +-208 -1084 +-268 -347 +112 -382 +-350 459 +47 1279 +-398 972 +-264 1358 +-329 153 +-761 -12 +-125 195 +-273 -54 +158 727 +1096 768 +1526 -571 +692 -1175 +-634 233 +-1511 491 +-764 -593 +984 -1658 +-73 -1415 +160 -292 +1189 -304 +408 77 +1267 255 +2153 -869 +1279 -906 +-651 197 +-1148 -433 +-285 246 +511 2537 +1005 2362 +166 1461 +194 814 +934 -238 +284 -896 +-223 -263 +854 147 +1469 -35 +4 563 +-879 513 +88 -518 +467 -926 +-112 -354 +-211 960 +-151 1829 +32 566 +-90 -1086 +171 -1009 +849 -186 +274 53 +-137 193 +-811 355 +-1053 530 +-377 93 +-589 -429 +-211 -468 +-52 -1257 +-148 -1583 +-202 -1191 +277 -748 +158 -27 +-563 524 +1066 555 +1419 706 +759 438 +272 71 +-336 881 +500 595 +823 -94 +334 -182 +-538 -143 +-343 324 +1129 162 +1246 -74 +-1089 246 +-1691 1195 +352 782 +-55 -62 +270 -102 +2262 -1606 +1174 -1780 +-709 451 +-51 405 +890 -1636 +659 -1317 +-59 -482 +-86 373 +517 1577 +340 589 +1108 -165 +742 -744 +-227 -862 +237 -728 +-921 -612 +-613 523 +563 286 +686 413 +1019 -543 +-235 -1377 +-1616 1004 +-1854 1516 +-2009 683 +-1861 886 +-981 1300 +-116 1478 +91 486 +-16 -275 +535 692 +1028 1535 +366 414 +-728 -801 +-469 -884 +583 -657 +258 -122 +1 247 +-448 788 +-525 1071 +-247 316 +-746 37 +-448 -240 +-785 -964 +-1042 -1139 +-728 -361 +-745 215 +-1084 -389 +-474 -690 +98 -177 +77 17 +-159 -1064 +-109 -1820 +69 -590 +327 300 +580 -404 +897 -269 +914 206 +374 149 +111 -249 +-451 492 +-42 1010 +-616 98 +-1327 866 +-324 476 +-354 -1046 +83 -413 +233 1162 +186 986 +-740 -841 +-2595 -173 +-2260 758 +-933 502 +-58 600 +-587 521 +-904 1440 +-138 1560 +713 -273 +489 -1932 +-520 -1793 +-391 -197 +-235 1225 +136 677 +775 -483 +796 51 +-257 -336 +-854 -278 +-272 639 +604 -579 +2167 -1015 +1445 -1163 +-500 -663 +227 398 +522 -870 +-837 375 +-72 1641 +279 -200 +-1351 -622 +-684 -920 +80 -788 +-187 50 +571 787 +1084 1247 +674 890 +1135 641 +2044 152 +1588 37 +906 -791 +376 -1459 +320 -291 +-158 514 +-1156 310 +-813 -261 +-29 -189 +76 -34 +-745 -736 +-1165 -1365 +-299 -511 +439 38 +228 -674 +579 -748 +876 -368 +393 -93 +-56 -256 +-113 664 +279 960 +-422 863 +36 1234 +824 -28 +951 216 +830 815 +-398 498 +-330 1340 +-54 -340 +-486 -1712 +-14 -428 +-236 256 +754 63 +1634 -1519 +200 -1548 +-103 -280 +127 -811 +-736 -188 +120 517 +1615 434 +1287 -124 +373 -1683 +-99 -1215 +433 -431 +560 -1198 +-512 -1412 +-1268 -105 +-895 786 +3 1282 +637 2055 +937 773 +584 -209 +233 269 +-9 1692 +-610 1920 +-1147 135 +-738 -385 +-660 -710 +-702 -76 +36 408 +-1227 547 +-1626 1620 +385 1131 +802 -530 +113 -1130 +261 261 +31 670 +-583 915 +708 1471 +1395 930 +132 1354 +-593 738 +-395 -1119 +-332 -974 +-284 -272 +518 -1514 +782 -1149 +454 32 +86 -575 +-464 -965 +-1006 -850 +-740 509 +-50 143 +-242 -932 +-454 146 +-68 1204 +795 932 +626 -538 +888 -423 +1193 109 +17 630 +576 1227 +-262 -219 +-1468 -997 +-279 -321 +-24 342 +646 -437 +351 -2198 +-812 -1294 +-249 -126 +-451 -868 +-1048 -1347 +165 -1089 +1980 -1003 +1166 -1869 +-687 -745 +-199 1061 +1021 545 +-385 -380 +-501 -730 +760 -647 +521 442 +355 1510 +318 1517 +137 505 +-77 293 +886 988 +879 92 +-484 -1377 +-141 -467 +758 134 +820 -298 +-477 86 +-1286 -94 +-628 138 +-413 58 +-605 -58 +-1282 843 +-1122 1114 +-38 383 +-293 -137 +-563 494 +-478 332 +-88 -473 +692 -390 +1307 118 +1760 -1053 +181 -1785 +-1326 52 +-1423 1520 +-202 2182 +1355 1380 +46 1263 +-389 896 +238 -1 +-184 820 +-409 -361 +-382 -374 +-311 887 +-729 625 +308 692 +984 769 +635 826 +647 -589 +-536 -2048 +-562 -1525 +680 -1086 +479 -1189 +380 -830 +584 -1102 +-448 -719 +-284 -139 +7 -765 +-611 573 +-488 1243 +-1280 -120 +-529 -979 +999 -1980 +-212 -2126 +-1014 -135 +483 927 +1152 -223 +-537 -63 +-856 -250 +211 -971 +694 9 +1211 934 +1182 974 +418 305 +40 277 +605 442 +210 448 +-284 74 +33 572 +1487 1020 +2139 -22 +1180 -559 +294 -664 +1094 -63 +728 329 +-97 -364 +495 -794 +-374 -649 +-982 -592 +-1915 -459 +-1657 682 +1174 570 +1560 -1411 +835 -1607 +1137 -699 +705 -461 +37 -140 +307 -625 +374 -144 +814 158 +425 -1115 +158 203 +1519 1067 +262 -195 +-431 161 +723 1487 +442 1447 +-511 355 +-465 37 +-218 -749 +-1096 -524 +-388 1137 +676 831 +796 -592 +-90 -405 +-981 -79 +-1005 -411 +-1141 477 +-159 166 +-442 -223 +-296 768 +1097 860 +1181 513 +192 -770 +-1095 -914 +-559 372 +-1137 665 +-2085 -106 +-1585 -987 +-969 -126 +816 733 +890 397 +-840 -196 +-1420 -1226 +-637 -830 +347 573 +406 629 +452 1096 +280 1782 +-84 1359 +200 743 +-114 237 +386 450 +1814 -115 +1710 -1336 +605 -1162 +-177 -696 +832 -722 +777 -202 +-320 -236 +-106 -920 +-702 -270 +-1159 157 +-1825 834 +-986 1544 +773 -76 +-398 -977 +-942 -774 +-376 -476 +547 276 +208 795 +260 948 +1510 203 +1150 -847 +766 -1101 +217 -395 +586 356 +1016 -320 +-276 -673 +-951 -78 +-759 174 +294 281 +664 -297 +840 -719 +376 -726 +-1052 64 +340 1415 +1728 -383 +288 -1466 +-250 645 +219 514 +-417 -752 +-936 -868 +-345 -694 +698 -1467 +258 -1966 +-993 -999 +-1622 -326 +-644 297 +1218 252 +879 460 +377 631 +48 329 +-293 136 +-115 -875 +287 -541 +1045 163 +-255 717 +-1278 1247 +-626 1427 +743 2431 +769 2520 +-981 1832 +-238 902 +761 312 +-207 -115 +-501 -739 +-22 -1106 +47 -464 +936 961 +857 -100 +-473 -539 +-407 -473 +-986 -1625 +-998 361 +-172 1526 +512 294 +907 791 +-400 549 +-1335 -484 +-1304 -157 +-1203 -24 +-626 -121 +599 -107 +645 498 +783 589 +1363 -750 +432 -1350 +-41 -1302 +-62 -425 +688 -71 +634 -855 +-632 -355 +-826 506 +-535 558 +98 -148 +12 404 +690 1607 +991 585 +404 477 +-182 42 +-388 -95 +315 1204 +1118 974 +275 -679 +-1551 -501 +-386 785 +1432 -124 +277 -816 +-1261 -454 +173 -949 +1792 -813 +1732 -472 +1721 -971 +1091 -703 +970 -235 +422 -85 +-51 -2 +285 -797 +-140 -835 +-689 0 +-974 658 +163 860 +947 330 +984 206 +632 -185 +-343 -668 +-686 -54 +-722 342 +256 219 +314 122 +-679 171 +-535 -455 +-791 -687 +-385 1202 +1517 1117 +1620 204 +191 603 +-1405 -221 +-2608 732 +-1931 1226 +-1322 -379 +-416 597 +726 2153 +277 808 +-37 -497 +375 -230 +191 -1037 +-1002 -1223 +-947 -1221 +359 -659 +731 102 +-132 -925 +-162 -256 +61 -317 +-797 -313 +-645 1126 +-762 1412 +-901 1232 +-862 -10 +-101 394 +1541 871 +1169 -131 +599 125 +-129 128 +-385 159 +977 757 +1511 99 +-175 -681 +-1595 551 +127 853 +1102 -963 +-264 -822 +-1321 374 +-467 -890 +517 -1850 +-882 -521 +-950 626 +592 391 +777 999 +413 601 +194 -823 +-1 -693 +14 -526 +228 -1024 +-582 -825 +182 159 +904 33 +377 -1501 +-486 -1465 +-1520 210 +-418 522 +-450 374 +-1067 188 +-329 116 +281 469 +-598 -52 +-1683 245 +-469 102 +-79 -956 +134 -694 +-160 -107 +-1603 893 +-1159 947 +-860 174 +-808 821 +245 854 +752 523 +899 446 +1220 -612 +972 -909 +812 -507 +969 -900 +155 -1263 +-390 185 +749 367 +559 -844 +-849 1027 +-467 1283 +-255 -318 +-523 -922 +78 -1864 +799 -1399 +26 -514 +-572 712 +916 1007 +1404 516 +1822 1276 +2154 774 +662 94 +-270 491 +501 501 +903 273 +53 -243 +-557 -631 +-401 992 +1102 2085 +233 591 +-1798 -1240 +-1303 -1885 +-1148 133 +-422 1060 +689 -80 +1226 299 +710 212 +-343 -754 +-420 -533 +-296 -421 +-434 -934 +-994 -290 +212 17 +751 -519 +-591 -1394 +-1271 -835 +-1113 1081 +167 435 +1243 -626 +1075 -294 +978 353 +1218 -502 +-332 -977 +336 -738 +933 -1219 +-39 277 +-194 1201 +-149 773 +735 261 +725 -376 +682 -665 +136 -1062 +-1699 103 +-1750 588 +510 1226 +1602 2320 +869 1184 +140 353 +-1275 524 +-646 1326 +142 -110 +-1011 -2349 +-528 -910 +617 472 +833 200 +214 57 +-681 -254 +-982 -233 +-208 -511 +-1059 -657 +-1586 610 +8 962 +-464 581 +-502 -155 +-205 -1184 +-813 -19 +-499 825 +-330 237 +-151 525 +-295 1055 +-1156 373 +-1637 -150 +-169 525 +1210 80 +343 -314 +199 486 +1346 166 +1460 -101 +1455 843 +1345 88 +287 -1240 +196 -137 +727 428 +536 -506 +-853 -433 +-2283 206 +-1653 460 +-15 332 +42 334 +-96 77 +805 -1010 +563 -1362 +520 -1341 +641 -889 +987 -351 +691 -1121 +-865 -547 +-183 -772 +6 -1521 +122 244 +573 1051 +335 687 +755 -235 +372 -726 +161 -928 +-524 -907 +-1270 1089 +-785 1434 +-38 239 +34 -1 +18 39 +-12 0 +-3 -7 +-6 -7 +-2 0 +0 4 +2 8 +7 0 +7 3 +2 4 +0 4 +2 -3 +6 -4 +0 -3 +-2 0 +2 3 +6 5 +6 1 +2 0 +10 -2 +6 -2 +4 -6 +4 -1 +1 5 +0 5 +-1 2 +-3 -2 +3 -6 +4 -2 +2 6 +-1 6 +-3 -5 +-1 -3 +-6 5 +-4 7 +-8 7 +-8 3 +-8 -5 +-8 -4 +-1 1 +6 2 +2 3 +-5 9 +-1 5 +4 7 +6 -2 +2 -4 +3 0 +3 2 +-3 0 +9 3 +10 1 +-2 8 +-3 6 +-1 9 +3 11 +43 -283 +112 -135 +-52 30 +-344 -88 +-253 -13 +70 19 +-73 140 +-143 265 +-69 172 +-123 147 +-121 -11 +14 -88 +333 258 +248 273 +64 -75 +246 -125 +107 27 +-41 2 +-32 32 +-20 277 +74 187 +225 -157 +421 -85 +318 -161 +-2 -322 +-386 80 +-608 31 +-338 -202 +-44 94 +118 292 +99 303 +-109 273 +-205 244 +-136 191 +220 16 +182 -155 +-180 -145 +106 -93 +55 -400 +-106 -202 +159 331 +-142 62 +-135 -234 +207 -464 +61 -461 +-78 29 +-211 -133 +-239 -358 +-197 -95 +-172 117 +-75 260 +-164 129 +20 82 +129 41 +-222 -130 +-88 20 +141 50 +-55 -16 +-73 117 +15 41 +-22 -103 +-72 22 +-69 73 +-42 -79 +-162 -22 +-256 127 +-104 223 +0 216 +86 81 +209 137 +205 40 +259 -32 +135 185 +-37 -63 +249 -270 +350 40 +5 233 +-146 52 +45 -234 +190 -180 +79 24 +-47 -3 +-46 50 +77 265 +138 155 +160 -224 +377 -210 +260 -247 +-103 -296 +-342 199 +-567 231 +-388 -64 +-13 115 +196 234 +187 170 +10 38 +4 -2 +14 28 +-6 5 +0 5 +-11 4 +-7 4 +-12 0 +-8 6 +-8 7 +-7 0 +-9 2 +-10 -1 +-8 -6 +-11 2 +-6 1 +-2 2 +-5 10 +-8 3 +4 -1 +12 3 +9 11 +12 6 +9 -1 +5 -2 +15 5 +15 7 +11 7 +4 9 +-5 7 +3 2 +3 -1 +2 0 +6 4 +2 -1 +4 -6 +3 -4 +4 7 +5 6 +-2 -8 +-1 -6 +3 0 +-7 0 +-8 1 +-2 2 +-2 1 +-4 1 +-3 -3 +-4 -4 +1 -4 +2 3 +-6 -2 +-5 -7 +4 -8 +1 -2 +2 0 +2 7 +0 5 +0 1 +6 -3 +5 -4 +2 -4 +-1 -6 +7 -3 +4 2 +0 2 +2 -2 +4 -1 +2 -5 +2 -2 +-2 0 +-6 -4 +-3 -3 +-1 0 +-4 0 +1 -4 +-2 -4 +-2 -6 +3 -3 +6 -5 +-3 -4 +-1 -4 +3 -1 +1 -8 +-3 -3 +-2 -6 +-3 3 +-1 -1 +-3 -6 +-4 -2 +-4 -1 +-6 -2 +-4 0 +-9 -2 +-6 -3 +3 -5 +0 -4 +2 -3 +2 -5 +-4 0 +-3 0 +3 3 +2 7 +-3 6 +-4 0 +-3 -3 +-5 1 +-3 5 +-2 -1 +0 -7 +-2 -6 +1 1 +0 2 +3 3 +4 0 +3 -8 +1 -2 +-4 2 +-4 3 +1 -1 +3 0 +4 -4 +-2 -6 +0 -3 +0 6 +3 6 +6 -1 +8 -1 +5 -3 +3 9 +4 11 +2 6 +0 -4 +4 -9 +-2 -4 +0 0 +1 -1 +2 2 +-1 -1 +-6 -7 +-4 -6 +4 0 +10 -5 +1 3 +0 1 +1 -5 +-2 1 +1 8 +2 4 +3 4 +1 2 +2 1 +-5 7 +-7 5 +0 0 +4 -2 +-7 3 +-4 3 +-2 0 +4 -7 +2 -8 +2 -5 +-2 2 +-3 1 +-1 4 +2 5 +5 7 +-2 5 +4 3 +5 8 +7 5 +1 4 +0 8 +-2 0 +0 4 +0 1 +0 -6 +0 -4 +0 4 +-2 2 +-6 -2 +-3 4 +2 8 +4 3 +2 -2 +-1 -3 +-4 2 +1 7 +1 2 +1 -1 +-2 4 +-3 1 +0 5 +-3 11 +1 1 +-2 -2 +-4 -2 +3 4 +7 5 +3 4 +-3 5 +-1 5 +-1 -1 +-2 -4 +0 -4 +1 -3 +-3 -2 +-2 -6 +0 -7 +-1 -8 +-3 2 +-7 2 +-5 -3 +-2 -4 +2 -5 +2 -4 +-1 -2 +4 5 +3 -4 +1 -5 +2 1 +-4 4 +-6 1 +-3 -5 +-4 -9 +-2 -4 +3 0 +2 2 +-4 0 +5 9 +2 3 +-2 -1 +-8 1 +-4 1 +1 0 +2 -1 +-1 -3 +2 -5 +-1 -2 +1 5 +2 2 +5 1 +4 -5 +-1 -7 +-6 -10 +-3 -5 +-1 0 +-3 5 +-5 5 +-1 0 +-2 0 +-9 4 +-1 0 +5 1 +4 -1 +5 0 +3 6 +0 7 +1 6 +-2 1 +-3 -5 +-3 1 +3 1 +6 3 +-1 -9 +2 -11 +-1 1 +-1 0 +-1 2 +7 3 +7 -1 +4 -2 +7 -4 +5 0 +0 1 +0 -4 +2 -6 +2 -5 +1 4 +7 6 +10 -2 +3 0 +-2 -2 +1 4 +-3 0 +-4 -2 +2 6 +4 3 +1 7 +4 8 +9 2 +8 0 +2 -3 +-2 -1 +3 4 +10 2 +6 -5 +1 3 +1 1 +-1 2 +-3 4 +0 2 +5 3 +4 3 +7 -2 +9 7 +8 11 +2 4 +-2 3 +3 1 +3 5 +3 5 +0 5 +9 3 +7 5 +2 1 +0 -7 +6 -4 +3 0 +3 -4 +6 5 +-1 6 +2 -2 +5 -4 +0 -2 +1 -5 +2 -2 +1 -2 +-1 3 +0 8 +2 0 +0 3 +2 5 +9 7 +12 4 +1 1 +2 4 +0 6 +2 3 +1 1 +-3 -3 +-2 -1 +5 3 +6 5 +3 0 +4 1 +3 3 +-2 -1 +-3 3 +3 5 +5 0 +3 3 +5 3 +2 0 +-4 2 +-5 3 +-3 0 +4 -1 +7 1 +4 -1 +12 1 +4 0 +2 1 +12 3 +11 0 +5 1 +-1 -9 +-2 -1 +3 9 +8 8 +-1 3 +3 2 +4 6 +6 2 +6 1 +5 6 +3 7 +0 6 +1 -4 +4 -1 +6 7 +0 3 +8 3 +11 -1 +2 4 +0 8 +1 7 +1 7 +1 1 +-4 -1 +0 1 +4 4 +0 1 +-6 -3 +0 -1 +0 -3 +0 0 +-2 3 +0 2 +0 -2 +4 3 +1 7 +-3 1 +2 3 +9 2 +6 3 +11 9 +7 14 +7 4 +2 5 +-7 5 +-2 0 +5 5 +4 8 +8 5 +8 -6 +6 -2 +2 7 +2 2 +7 2 +9 2 +10 9 +2 6 +-1 0 +2 4 +3 7 +0 3 +5 1 +5 3 +1 5 +8 6 +8 1 +5 8 +7 6 +7 6 +7 3 +3 4 +-2 7 +-2 -1 +-2 -1 +-1 6 +6 5 +2 5 +1 4 +3 3 +4 4 +1 6 +4 3 +1 -1 +2 -5 +6 1 +-1 1 +-1 0 +-4 0 +-2 2 +2 -1 +6 3 +4 5 +-3 1 +-7 5 +2 7 +2 8 +2 0 +6 -1 +2 3 +3 0 +7 0 +8 4 +8 2 +4 0 +0 -4 +-4 3 +-3 0 +-3 0 +-1 1 +2 -1 +6 -1 +-2 -1 +-4 2 +-3 -5 +0 -4 +1 7 +-6 5 +-5 -2 +0 -1 +2 3 +1 2 +7 7 +5 5 +-1 2 +0 7 +4 6 +3 6 +3 11 +9 15 +6 5 +0 -5 +8 3 +4 8 +1 4 +0 0 +-1 -3 +3 2 +9 5 +7 6 +0 2 +-7 5 +-1 7 +1 -1 +-4 -2 +-3 2 +-1 1 +-4 0 +-9 -2 +1 -1 +5 3 +2 -1 +-1 -6 +1 -5 +6 -1 +6 2 +2 5 +-1 3 +-3 2 +-3 0 +1 6 +-1 4 +0 6 +-3 5 +-2 9 +2 8 +5 4 +2 8 +0 4 +2 6 +1 6 +-2 2 +-3 -2 +0 -3 +2 2 +-2 1 +1 -1 +0 -1 +3 -5 +4 -1 +2 0 +11 -4 +4 -4 +7 0 +-2 5 +-2 5 +-1 3 +4 -1 +1 2 +0 2 +-1 4 +-6 8 +-3 4 +2 0 +0 -4 +2 -1 +7 0 +10 -7 +8 -9 +8 -2 +7 2 +6 -1 +3 3 +1 8 +-6 0 +0 -9 +7 -3 +0 4 +-2 0 +-2 -1 +2 -3 +3 -3 +4 0 +1 1 +-4 2 +-2 -1 +4 1 +5 -4 +-1 -4 +0 6 +4 9 +9 12 +7 2 +3 -5 +-1 -1 +1 -3 +2 -3 +2 -1 +-2 1 +1 5 +6 1 +1 -7 +4 4 +3 3 +7 -7 +5 -8 +0 -2 +7 -6 +1 -7 +2 -6 +-1 -4 +0 4 +-3 6 +-2 -2 +5 -4 +7 0 +5 1 +6 -4 +6 -1 +7 8 +1 8 +2 -1 +0 -4 +0 -2 +-5 3 +2 5 +0 0 +2 -2 +9 1 +3 6 +3 2 +0 0 +-6 -7 +-5 -4 +0 1 +3 3 +0 4 +-2 2 +-1 0 +7 -1 +5 0 +6 2 +4 7 +1 4 +-3 1 +3 5 +5 4 +3 -1 +3 -7 +1 -1 +5 -2 +5 0 +1 -4 +4 -13 +6 -3 +1 2 +-3 -7 +3 -6 +7 -4 +3 0 +2 2 +3 2 +-3 3 +-7 5 +-8 1 +-5 -3 +2 -1 +7 0 +4 -1 +-1 0 +0 -1 +6 -5 +4 2 +-2 0 +-7 -11 +-2 -10 +8 -2 +4 -4 +-2 -3 +4 0 +3 1 +-4 5 +-5 10 +5 1 +9 -1 +3 -2 +-1 -8 +1 0 +5 7 +6 1 +-4 2 +-3 1 +3 3 +1 -7 +2 -3 +3 4 +5 1 +-3 -2 +1 -2 +-3 5 +-8 4 +-4 -1 +-2 -1 +3 1 +6 1 +-4 5 +-6 1 +-7 -7 +-2 -1 +-245 177 +-1 -6 +-109 -103 +-230 37 +-108 92 +-54 151 +45 -21 +292 -147 +313 32 +-8 51 +-225 237 +-158 93 +-349 -268 +-127 197 +389 411 +-174 258 +-446 328 +137 -43 +303 -128 +238 232 +38 113 +-289 -77 +-383 -51 +-256 32 +46 51 +209 -47 +113 -73 +8 -187 +13 -138 +-233 80 +-415 24 +-119 74 +39 172 +55 152 +27 212 +107 101 +367 105 +138 117 +12 -153 +254 -134 +224 -97 +175 -229 +20 -185 +-95 -183 +-36 -151 +-131 -5 +-177 27 +9 -84 +317 -139 +199 -72 +-78 -195 +49 -239 +33 -103 +-173 -144 +-271 -174 +-186 -110 +-110 -6 +-230 64 +-238 120 +-239 190 +-77 88 +53 184 +-64 183 +283 -111 +507 -46 +142 -83 +-114 -130 +-172 93 +-73 117 +21 167 +33 -23 +223 -226 +297 -64 +4 53 +-142 294 +-125 134 +-420 -137 +-63 217 +507 226 +-80 281 +-336 409 +29 -33 +-69 -93 +-273 160 +-308 81 +-15 -311 +241 -372 +146 -81 +97 159 +-155 67 +-241 -180 +170 -61 +-8 -132 +-320 -104 +-102 215 +-40 -1 +-9 39 +193 94 +209 -189 +-86 128 +-222 251 +-182 65 +-195 38 +230 -47 +401 248 +-68 344 +-198 21 +-121 138 +121 243 +231 75 +-87 167 +-50 206 +89 10 +-23 55 +-147 129 +-143 9 +157 -6 +308 78 +272 101 +190 -69 +49 -97 +-32 -104 +-187 -324 +-25 -217 +17 -101 +-347 -131 +-205 -39 +-31 -7 +-196 222 +-184 348 +-50 222 +196 288 +327 376 +261 170 +221 -311 +103 -332 +-42 -96 +-81 -233 +-35 -2 +-150 206 +-246 39 +92 -2 +267 -212 +-32 -344 +-104 -239 +10 -236 +-87 -103 +-198 193 +-246 172 +-101 -291 +107 -425 +114 -121 +150 111 +-117 116 +-279 -67 +152 -108 +-40 -114 +-325 9 +-6 220 +-41 20 +-46 76 +76 244 +-27 41 +-16 84 +32 203 +250 -4 +277 -77 +165 -77 +177 -198 +-270 -109 +-375 -112 +118 -320 +96 -2 +-176 457 +-118 306 +-51 41 +-257 25 +-244 -47 +75 -186 +230 48 +245 339 +27 137 +-100 -73 +177 -119 +258 -77 +83 -133 +51 -291 +-13 -52 +-237 46 +-252 29 +-109 332 +28 339 +138 198 +-47 89 +-6 74 +288 141 +190 47 +121 285 +45 179 +-62 -221 +82 124 +-12 271 +-111 241 +162 257 +306 -146 +308 -96 +408 75 +49 -237 +-378 -315 +-93 -203 +-27 -37 +-192 130 +-46 36 +0 -155 +159 -273 +66 -216 +-279 26 +-307 98 +-247 -94 +-8 -102 +-71 -5 +-255 -85 +-122 -119 +-258 -64 +-75 108 +184 241 +3 63 +31 63 +113 162 +232 -93 +237 -167 +134 -122 +99 -242 +-307 -4 +-405 27 +-6 -330 +84 -31 +-6 477 +22 304 +-17 64 +-159 131 +-287 202 +-437 79 +-22 -215 +310 -188 +159 -127 +77 -248 +-35 -44 +35 227 +119 224 +96 129 +175 -6 +28 36 +104 211 +192 86 +-236 -168 +-261 -92 +-238 200 +-460 128 +-16 -225 +308 -213 +136 -147 +52 -255 +-45 -35 +56 225 +144 211 +102 130 +171 -6 +35 35 +112 195 +191 74 +-247 -138 +-263 -67 +-213 224 +-438 167 +-43 -222 +287 -238 +131 -155 +29 -258 +-47 -39 +80 218 +166 193 +125 118 +173 -27 +28 30 +126 194 +197 64 +-261 -121 +-268 -44 +-201 242 +-440 198 +-68 -223 +268 -264 +120 -170 +16 -257 +-52 -28 +95 207 +179 184 +131 105 +173 -40 +36 24 +147 172 +209 38 +-268 -102 +-271 -28 +-175 258 +-412 232 +-80 -214 +246 -281 +105 -172 +-12 -259 +-55 -30 +110 191 +197 163 +135 90 +164 -61 +36 33 +151 183 +188 34 +-268 -80 +-182 -59 +195 -58 +100 25 +-152 5 +30 212 +257 340 +208 31 +268 54 +133 37 +256 154 +214 120 +17 -121 +190 -119 +99 -100 +293 -72 +331 -149 +62 -190 +92 -240 +-117 -101 +-71 83 +310 139 +144 77 +-123 27 +-304 100 +-428 -79 +-5 99 +4 139 +-298 6 +-158 -19 +-32 -425 +13 -290 +-10 -101 +73 -242 +14 -76 +-107 229 +-82 444 +-72 224 +36 -98 +198 -87 +248 -51 +-91 -193 +-160 -111 +-87 184 +-355 -28 +-284 -172 +-114 238 +-13 128 +162 -96 +249 171 +12 -24 +-219 -391 +-128 -258 +-117 -62 +86 5 +387 114 +138 57 +80 103 +124 237 +-338 150 +-247 175 +67 13 +-46 115 +-268 259 +-392 70 +-50 62 +201 -82 +95 -38 +-159 58 +77 193 +358 249 +217 -27 +278 -35 +144 -9 +290 58 +235 39 +-25 -122 +142 -173 +62 -142 +244 -173 +262 -230 +-4 -79 +5 21 +174 103 +187 260 +-79 399 +147 -33 +183 -306 +26 -72 +125 185 +-64 168 +-4 -334 +-76 -284 +-67 -124 +214 18 +114 139 +-125 -252 +-218 -168 +75 22 +171 83 +57 406 +86 213 +159 -5 +160 134 +-24 -36 +-38 202 +10 421 +112 119 +91 55 +42 -169 +21 -210 +-368 -95 +-302 -113 +43 68 +-116 161 +-287 231 +-80 136 +-83 78 +-289 121 +-66 -89 +74 -384 +97 -382 +158 -152 +37 -182 +-36 -112 +-259 207 +-352 188 +-229 -8 +-265 42 +-33 -175 +207 -422 +38 -115 +26 -95 +207 -200 +212 147 +108 -30 +64 -447 +-13 -125 +-208 -25 +-174 -24 +74 245 +206 180 +188 110 +35 -23 +-16 155 +107 286 +95 97 +195 70 +265 180 +40 401 +125 -67 +78 -339 +0 -79 +175 136 +-7 190 +-116 -296 +-174 -243 +-106 -88 +200 -54 +133 95 +-224 -170 +-196 -91 +422 99 +607 57 +233 -117 +162 -4 +165 252 +27 -134 +300 39 +241 327 +-59 50 +133 182 +176 12 +-27 -344 +-111 -159 +-207 -116 +-182 -19 +20 127 +92 -140 +-67 -116 +-38 -58 +144 -87 +-1 -260 +-82 -379 +-27 7 +22 -39 +91 -1 +-227 81 +-232 -48 +27 -144 +-3 -168 +-38 293 +56 192 +173 30 +14 69 +86 60 +150 228 +104 79 +133 74 +-106 80 +-222 139 +-492 164 +-318 136 +145 7 +68 -187 +6 -101 +-213 -107 +-135 189 +-117 117 +-135 -30 +398 245 +309 3 +21 -96 +-15 18 +-132 -68 +63 -94 +141 -85 +-156 -142 +-280 12 +-254 151 +-201 47 +-108 -67 +-113 -38 +-201 182 +-129 114 +151 -42 +503 -103 +604 -174 +194 -209 +135 -46 +243 176 +-24 -129 +290 -70 +345 226 +-44 67 +180 122 +166 -54 +-135 -319 +-147 -125 +-236 -59 +-204 33 +-88 168 +-133 208 +-153 267 +-6 221 +234 255 +99 -235 +15 -269 +-134 197 +-62 -204 +46 -170 +-137 95 +63 78 +238 243 +131 223 +1 69 +-283 -91 +-73 -195 +314 -339 +220 -172 +396 22 +177 26 +-101 108 +52 9 +-64 0 +-15 -111 +-193 -182 +-280 89 +8 -41 +37 -219 +145 -25 +281 -121 +130 -244 +-73 -210 +-144 -197 +-286 -163 +-340 -212 +-273 -181 +3 -108 +-67 212 +-263 332 +92 169 +62 104 +14 -132 +113 11 +112 58 +55 -183 +-137 -192 +69 -79 +76 33 +-4 -17 +72 105 +-162 43 +-244 -49 +-89 -5 +-109 -69 +-50 4 +159 146 +-75 138 +-139 -166 +351 17 +444 356 +91 196 +181 34 +136 84 +-346 163 +-123 199 +-12 311 +38 230 +324 156 +15 -241 +-76 -250 +-64 229 +-122 -166 +-3 -172 +-95 131 +84 51 +307 152 +205 162 +18 61 +-300 21 +-259 6 +120 -56 +212 -83 +83 -10 +80 -72 +54 -197 +198 55 +326 212 +46 -144 +-99 -120 +-302 20 +-516 -142 +-226 49 +76 259 +70 426 +-55 528 +-183 343 +-141 141 +97 -117 +3 -86 +-70 -36 +39 -191 +-69 -180 +-98 -94 +-39 -61 +-89 -115 +72 -35 +230 0 +117 8 +310 43 +280 3 +151 -35 +149 -178 +-86 -12 +-158 55 +-245 -67 +64 -152 +152 -267 +-68 -28 +-177 136 +-293 164 +-219 7 +-304 -234 +3 -118 +194 5 +295 175 +277 176 +-60 -3 +-81 -15 +-159 -107 +292 21 +225 202 +-165 -157 +89 -258 +120 -101 +216 -144 +109 36 +-131 25 +-150 -29 +225 0 +53 -23 +-393 -88 +1 -213 +-189 185 +-343 344 +137 -65 +186 -135 +80 -28 +57 -98 +-28 -188 +200 -12 +381 86 +-6 -152 +-135 -79 +-280 122 +-546 36 +-210 123 +156 226 +228 372 +145 487 +-2 254 +-161 44 +-321 188 +-10 122 +300 -240 +175 -81 +49 -40 +6 -79 +-60 106 +-65 53 +44 -70 +-179 -12 +-146 240 +-47 97 +-151 -163 +212 134 +137 298 +96 148 +307 280 +-5 296 +-99 32 +-162 12 +-380 -91 +-260 -41 +-94 65 +-120 104 +-23 119 +79 -362 +-153 -125 +-386 261 +-81 -268 +209 -293 +-3 -46 +97 -94 +186 -115 +-30 -92 +89 44 +58 205 +-127 269 +165 146 +183 56 +-43 171 +202 129 +-25 159 +-169 -80 +323 -710 +223 -388 +-78 -22 +-91 -154 +-175 -102 +-239 -249 +-145 -157 +-6 -83 +228 -135 +220 -18 +-49 -171 +-78 -237 +-214 -177 +-403 -12 +-210 217 +79 222 +139 210 +219 31 +353 16 +203 131 +-117 14 +-236 242 +36 121 +208 -331 +148 -134 +38 -63 +-23 -86 +-24 118 +-45 61 +16 -93 +-182 38 +-71 269 +-11 110 +-193 -93 +257 49 +238 178 +67 189 +129 93 +-74 -48 +-55 70 +-170 58 +-269 38 +-150 -97 +-275 -262 +-328 -413 +-427 -424 +-317 145 +160 163 +229 49 +-2 111 +-47 -67 +18 63 +-170 151 +-21 53 +294 14 +-30 194 +-193 179 +-266 -20 +-220 -51 +156 144 +83 303 +90 -11 +155 70 +-163 172 +-198 48 +-227 189 +-107 133 +309 129 +23 149 +20 -22 +478 -177 +290 -18 +146 100 +9 -72 +-86 -52 +210 -213 +345 -417 +65 -106 +-69 298 +168 34 +95 -171 +10 -79 +121 -361 +119 -270 +-60 -52 +-218 7 +-79 185 +-85 63 +-6 26 +74 172 +-3 82 +62 -12 +83 22 +94 -18 +-90 -81 +-91 -113 +65 -239 +-98 -286 +-103 -188 +-62 -96 +107 101 +138 78 +-100 -41 +-29 79 +-153 104 +-245 114 +-175 -44 +-350 -155 +-450 -287 +-545 -257 +-255 238 +217 90 +239 -42 +24 101 +-82 -30 +-25 5 +-28 11 +-23 14 +-24 9 +-27 0 +77 -134 +475 11 +374 715 +-684 88 +-1179 -751 +-1166 -883 +-1276 -220 +-107 1070 +858 423 +-295 -38 +-423 1037 +920 142 +1066 -1140 +860 -1134 +811 -614 +3 611 +-472 503 +296 0 +545 643 +-655 254 +-1343 -524 +-1358 -658 +-1333 25 +93 1074 +941 250 +-305 2 +-226 1093 +952 -24 +879 -1304 +673 -1284 +715 -762 +124 602 +-371 592 +293 -42 +666 542 +-608 376 +-1441 -275 +-1483 -405 +-1334 267 +286 1047 +983 90 +-298 76 +-28 1139 +946 -183 +630 -1451 +445 -1384 +579 -867 +228 594 +-280 656 +277 -96 +763 422 +-550 472 +-1502 -23 +-1553 -142 +-1288 504 +479 993 +1012 -92 +-296 119 +167 1132 +916 -355 +380 -1563 +189 -1468 +412 -964 +324 551 +-172 693 +264 -145 +831 297 +-468 566 +-1486 224 +-1571 99 +-1215 689 +627 898 +990 -257 +-270 167 +358 1097 +863 -491 +125 -1586 +-50 -1453 +249 -1004 +405 473 +-40 695 +245 -175 +860 167 +-375 617 +-1431 453 +-1528 351 +-1078 877 +767 781 +934 -407 +-237 219 +530 1007 +757 -645 +-159 -1582 +-302 -1410 +77 -1019 +482 407 +76 686 +214 -223 +880 9 +-258 666 +-1335 684 +-1462 604 +-919 1036 +890 634 +853 -556 +-202 240 +678 892 +646 -749 +-382 -1528 +-502 -1352 +-77 -1018 +534 326 +170 668 +174 -242 +875 -122 +-157 692 +-1227 859 +-1358 797 +-769 1154 +968 507 +782 -657 +-164 264 +801 789 +539 -809 +-608 -1434 +-704 -1255 +-231 -996 +579 246 +280 636 +143 -270 +845 -251 +-63 701 +-1096 1028 +-1232 985 +-600 1246 +1041 357 +681 -770 +-135 285 +916 652 +423 -898 +-815 -1339 +-888 -1135 +-382 -947 +600 149 +352 583 +91 -281 +803 -370 +35 707 +-940 1179 +-1087 1154 +-419 1320 +1090 194 +565 -855 +-82 309 +1011 516 +310 -950 +-995 -1218 +-1038 -1008 +-500 -884 +635 77 +748 495 +696 152 +594 1024 +1137 1227 +1539 213 +114 2 +-312 -315 +710 -1233 +47 -1613 +-700 -1147 +399 -349 +607 190 +-37 1035 +903 739 +1392 -527 +804 96 +150 614 +-860 678 +137 1233 +956 697 +-426 208 +-302 -606 +-301 -1412 +-1002 -363 +-746 708 +-850 255 +-546 -1031 +-649 -1028 +-891 190 +-18 1008 +-242 906 +-1223 -174 +-1388 374 +-111 1507 +1275 1030 +336 795 +-829 613 +-113 725 +1020 372 +1411 -497 +845 267 +14 919 +447 703 +1344 -284 +918 -734 +295 407 +-545 89 +-1300 171 +-212 1271 +-79 688 +-795 -116 +-370 -1068 +-902 -841 +-1053 759 +-411 296 +-291 -687 +847 -406 +1511 -806 +662 -1679 +363 -773 +246 340 +-664 -512 +-1537 -361 +-1426 701 +-527 -326 +411 -951 +1095 425 +1627 328 +1358 -793 +94 -69 +-460 -47 +-190 -1399 +-957 -1299 +-1280 -457 +113 -509 +630 -220 +609 842 +1184 26 +817 -1259 +731 -398 +515 384 +-286 1050 +861 874 +1206 -43 +-217 425 +-623 -279 +-1110 -904 +-1041 339 +-166 1002 +-523 730 +-1087 -448 +-1168 -379 +-609 713 +608 787 +370 843 +-1097 628 +-892 1152 +859 1217 +1679 -3 +757 407 +-293 983 +349 628 +1054 -338 +842 -1236 +860 -291 +584 720 +803 289 +945 -1031 +306 -1123 +492 141 +-372 400 +-934 921 +617 1108 +360 575 +-713 400 +-943 -593 +-1241 -81 +-387 1257 +-135 484 +-647 -363 +442 -834 +743 -1541 +-483 -1709 +-181 -809 +408 120 +-837 -3 +-1473 649 +-745 1420 +-639 63 +-264 -996 +1151 -353 +1524 -767 +614 -1457 +37 -124 +-402 225 +-1010 -972 +-1563 -417 +-1317 417 +-219 -471 +375 -555 +996 286 +993 -691 +-81 -1469 +349 -735 +652 4 +393 988 +1233 169 +971 -753 +66 461 +-684 140 +-1455 -57 +-658 876 +456 886 +-7 885 +-1161 290 +-1186 388 +-86 906 +969 253 +816 451 +-526 1150 +-382 1481 +319 1064 +89 99 +-637 -618 +-137 -928 +-246 2 +-1375 1073 +-1302 892 +-514 978 +267 443 +49 -651 +2 -188 +374 -252 +309 -1190 +771 -1400 +198 187 +581 2140 +2468 450 +1400 -801 +-540 952 +-1038 1196 +-408 681 +306 297 +-402 89 +-95 169 +713 -740 +157 -1433 +62 -1830 +112 -1007 +-571 472 +-942 -21 +-257 -192 +837 -416 +930 -1251 +-199 -439 +-337 -525 +601 -1670 +181 -1162 +6 -543 +-54 -898 +-1505 -157 +-1303 1080 +-277 320 +47 -514 +1026 -31 +1270 349 +365 801 +-35 940 +605 468 +909 -4 +393 -776 +360 -1047 +1043 -341 +1313 173 +203 386 +-345 116 +-376 -1057 +-1473 -1016 +-1022 591 +-31 796 +-236 651 +7 1699 +-190 1042 +-431 -117 +120 990 +583 1157 +98 107 +-900 -105 +-685 -617 +-208 164 +-422 1662 +-472 1473 +208 1065 +495 170 +-363 -540 +-121 -160 +138 -432 +-490 -1111 +-242 -1550 +260 51 +1338 1534 +548 809 +-302 892 +108 532 +-806 594 +-34 356 +540 -337 +-334 564 +110 792 +367 177 +1678 564 +2579 6 +563 -171 +-707 191 +-1447 -1240 +-1983 -1695 +-493 -855 +1145 -979 +907 -1563 +-701 -1735 +-1253 -779 +-688 123 +-182 -429 +-10 -1072 +161 -281 +1085 446 +716 -524 +112 -426 +636 500 +-360 -283 +-779 -360 +-367 -96 +-702 -657 +258 123 +657 726 +-274 16 +-375 -378 +-284 -201 +-280 427 +60 703 +177 313 +32 565 +667 1127 +1489 532 +1230 -88 +845 729 +329 1194 +-746 397 +-1085 599 +-254 1608 +606 699 +404 -770 +171 -272 +467 -99 +-408 -441 +-1017 456 +-152 30 +-459 -1181 +-726 -312 +175 1036 +73 767 +573 -1137 +856 -1715 +-686 -284 +-896 180 +-167 704 +358 999 +375 389 +-303 970 +200 283 +246 -613 +93 615 +587 540 +397 -75 +1697 -600 +2105 -1593 +353 -475 +-470 591 +-1941 -47 +-2655 -67 +-1072 -110 +-370 -324 +-581 -157 +-572 593 +-634 1068 +-415 302 +-912 349 +-27 236 +1841 -1421 +1235 -1607 +540 -582 +-221 -286 +-683 -65 +255 -397 +-475 -950 +-466 -337 +939 665 +1029 -89 +1002 -1396 +1130 -425 +704 1158 +151 1229 +574 825 +722 1070 +357 646 +608 -1335 +-793 -1692 +-899 -365 +1130 -203 +159 -320 +-671 -442 +86 -1139 +-515 -1171 +-73 -333 +1107 233 +435 399 +-829 725 +-1321 819 +-1082 543 +-581 401 +-476 216 +-309 -300 +-28 103 +-2 572 +-33 -1191 +-617 -1501 +-1197 439 +-1026 442 +57 -45 +1108 -384 +-252 -378 +-1386 404 +-891 -375 +-1217 -527 +-729 375 +34 1071 +348 2090 +1154 1214 +740 175 +330 777 +492 462 +744 -355 +1154 -345 +384 12 +-197 61 +-300 62 +-534 178 +-124 805 +119 1229 +-167 484 +-550 805 +113 179 +675 -2241 +47 -2012 +94 -783 +-348 -92 +-597 350 +-27 -468 +-946 -451 +-578 13 +857 -220 +-161 -870 +-21 -564 +1030 587 +525 41 +-341 -1503 +-1423 -1078 +-1533 -310 +-1085 -548 +-775 -177 +-295 -64 +-135 268 +1042 768 +576 -527 +-1597 -450 +-823 731 +-271 -152 +-1064 -482 +10 23 +1021 168 +367 703 +-627 180 +-744 -690 +-254 32 +596 -517 +847 -1841 +-7 25 +-110 961 +-102 -459 +-144 344 +647 744 +734 -323 +-326 -264 +-963 548 +611 888 +712 -551 +-1537 -1186 +-206 -196 +1300 489 +-115 1409 +-252 815 +84 -459 +142 -50 +215 330 +-353 180 +-445 -260 +-394 230 +-91 1166 +585 689 +829 75 +1479 -683 +1830 -1238 +1206 -525 +1453 -395 +1731 -1538 +780 -2171 +-44 -496 +-618 1446 +-46 1233 +788 877 +-253 1029 +-650 893 +-408 700 +-887 3 +-1160 -237 +-1500 26 +-395 -344 +1206 -176 +435 -287 +-1202 -942 +-1791 53 +-1412 706 +-1211 255 +-733 351 +-285 141 +52 283 +1315 -91 +136 -762 +-1554 662 +-167 1056 +131 13 +-149 5 +-24 40 +-15 6 +-3 -12 +3 -18 +-3 -9 +-3 -1 +2 2 +4 -4 +3 -5 +2 4 +3 -2 +2 -2 +-1 -10 +2 -4 +1 6 +-1 6 +-4 0 +1 3 +1 0 +3 -3 +1 -6 +-4 -8 +-10 -7 +-7 -3 +-11 -2 +-9 -2 +-4 3 +1 8 +-1 2 +-1 -4 +-1 -7 +1 -2 +4 -6 +3 -4 +-3 1 +-7 -3 +-3 -2 +0 -9 +2 -2 +1 0 +7 -1 +7 4 +2 2 +2 -5 +8 3 +10 1 +5 1 +1 0 +5 3 +-4 1 +-10 2 +-9 1 +-6 3 +-2 -3 +0 -5 +3 0 +0 5 +2 3 +-2 1 +-8 6 +-3 5 +0 0 +-7 0 +-5 -1 +5 -1 +5 -1 +1 8 +3 4 +-3 4 +4 3 +3 9 +0 7 +1 4 +4 2 +1 -2 +-4 -1 +-5 2 +0 3 +-5 1 +-6 1 +0 1 +2 -1 +3 1 +2 1 +-7 -5 +-5 1 +-2 6 +-5 -6 +-1 -3 +-1 1 +-3 2 +-11 -3 +-6 -3 +2 -1 +-2 2 +-2 -2 +4 -6 +4 -6 +2 -2 +-4 -1 +-5 -2 +-2 -4 +-4 -9 +-7 -3 +0 2 +-3 -6 +3 2 +2 5 +4 1 +-1 2 +-7 4 +-11 0 +-8 0 +-3 -2 +-4 0 +-1 3 +-2 1 +-3 -1 +2 -2 +2 1 +-5 2 +-5 -1 +-2 -4 +-2 -6 +0 -4 +1 1 +2 3 +2 2 +-3 4 +2 7 +1 -3 +5 -8 +0 -2 +0 -2 +2 0 +1 3 +-2 -2 +4 9 +8 11 +-5 3 +-1 -2 +-2 -8 +-2 -3 +-7 -1 +-5 0 +0 -6 +1 -10 +-3 3 +-8 6 +-4 5 +8 8 +2 1 +-6 -4 diff --git a/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_ht_unsupport_openwifi.txt b/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_ht_unsupport_openwifi.txt new file mode 100644 index 0000000..8aae27d --- /dev/null +++ b/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_ht_unsupport_openwifi.txt @@ -0,0 +1,2140 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +-3072 0 +-2612 -4040 +1017 857 +1910 8 +280 -1260 +836 -1025 +-667 -1711 +-760 -1796 +-2048 724 +927 1635 +7879 -3133 +1201 -1396 +-2956 -1186 +385 -626 +-3075 5331 +-2034 1647 +-1024 1024 +-2489 1870 +2551 3403 +3310 8464 +-1388 862 +-2565 -696 +1633 1251 +2309 -2702 +-2048 724 +-21 547 +1043 1474 +1207 1004 +4064 -3308 +-491 4634 +-2190 5921 +-1112 -2929 +-3072 0 +-1112 2929 +-2190 -5921 +-491 -4634 +4064 3308 +1207 -1004 +1043 -1474 +-21 -547 +-2048 -724 +2309 2702 +1633 -1251 +-2565 696 +-1388 -862 +3310 -8464 +2551 -3403 +-2489 -1870 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +-2048 0 +-128 -3798 +-3820 -4291 +-2241 928 +-1586 -1244 +-4379 -1269 +1266 421 +29 -338 +-3196 -1024 +-1028 -129 +-1316 -2935 +1625 -927 +692 4873 +1435 -1490 +4015 1133 +-2772 5922 +1024 0 +4355 4116 +-3616 2836 +-2400 -1289 +-2584 2981 +-3585 656 +178 -2535 +1228 -2089 +4644 424 +2009 256 +437 -1587 +4973 31 +802 -461 +434 -1111 +1811 -3153 +-1171 -2833 +2048 -2048 +1120 -2015 +-940 4771 +484 -694 +-1910 -6596 +-219 2445 +3274 5411 +3371 4223 +1148 -1024 +-1573 -3756 +560 1198 +697 -249 +-92 -1128 +3728 1256 +2313 2483 +-2237 -108 +-1024 -2048 +654 1698 +-2712 780 +-2762 1055 +1984 764 +1263 -1833 +578 799 +1373 -1796 +-2596 -2472 +-5409 3628 +-4977 7420 +-376 1146 +2694 -7380 +1322 1345 +2949 3633 +180 -2981 +-2048 0 +-128 -3798 +-3820 -4291 +-2241 928 +-1586 -1244 +-4379 -1269 +1266 421 +29 -338 +-3196 -1024 +-1028 -129 +-1316 -2935 +1625 -927 +692 4873 +1435 -1490 +4015 1133 +-2772 5922 +-2048 0 +-971 -595 +-3184 -1530 +-1764 567 +-438 1592 +-1334 1465 +1376 421 +-1940 -537 +-1324 -4344 +-650 -321 +-6365 3401 +693 -1913 +3888 -640 +-798 2138 +1899 6174 +1022 4276 +1024 -4096 +561 2470 +-1500 7877 +-168 2339 +-5780 -2532 +-2653 -329 +5227 3801 +851 -2281 +2772 -2896 +3978 57 +326 -1587 +1929 2764 +-346 2376 +-42 -1471 +1176 -392 +-328 371 +2048 -2048 +-661 -355 +-2479 4702 +-576 -1422 +-762 -2192 +2069 754 +-1065 -4389 +-5985 2153 +-2772 4344 +-1923 273 +-1515 1767 +2259 -4091 +3104 -2856 +675 2501 +629 -2354 +2698 -4894 +-1024 -2048 +-4281 -3088 +-1029 -4056 +291 2300 +-1212 -964 +-299 -5674 +2653 1367 +1722 2233 +1324 2896 +3947 1559 +-638 -2381 +-2664 -545 +1546 -2976 +2382 616 +4488 3564 +1960 -1320 +-2048 0 +-971 -595 +-3184 -1530 +-1764 567 +-438 1592 +-1334 1465 +1376 421 +-1940 -537 +-1324 -4344 +-650 -321 +-6365 3401 +693 -1913 +3888 -640 +-798 2138 +1899 6174 +1022 4276 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +234 1106 +-2127 4038 +-381 1363 +-1114 351 +-5456 188 +2193 -378 +-1904 -3770 +-1967 494 +462 -256 +-3998 -2231 +-611 -3337 +2597 -3432 +1348 4382 +-1516 1328 +-1170 -1864 +-2479 -1290 +-1422 398 +-4009 478 +-3692 -2275 +3454 -4004 +3583 526 +801 3490 +-2525 -1227 +-1366 1871 +610 -1025 +2837 102 +-1820 1942 +2161 3228 +6818 4665 +-290 -4117 +884 -559 +-5108 -1097 +1030 2054 +1004 2401 +-402 -3161 +9466 1763 +39 -1082 +2152 -825 +-776 3108 +-928 2308 +802 -7961 +-6649 -5081 +3876 2948 +3329 -1985 +79 336 +631 1179 +-2132 482 +-126 -1457 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +-2920 316 +3562 1685 +2560 3249 +-1982 5130 +2434 1670 +3085 1423 +380 -1729 +892 -3746 +1221 1908 +1188 -4947 +6338 -2585 +3931 2350 +-4587 -150 +-3535 6968 +-218 -165 +595 -518 +-316 240 +278 -845 +-3972 2167 +-5574 -2931 +1166 3948 +-1206 -4043 +-581 -6119 +-338 1979 +-5013 -1568 +-2738 -2620 +-2264 -4816 +426 720 +1333 -2013 +-2103 -767 +28 2154 +2454 535 +1656 3476 +-1646 -4212 +277 -5442 +6020 -1808 +895 2508 +-3488 1729 +420 127 +-2049 1626 +-4697 1568 +1542 476 +5764 -7645 +591 -1781 +-2241 -945 +727 -2886 +262 3927 +-2568 -917 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-2130 -1422 +4283 -1563 +-157 -1265 +-3984 -2200 +-92 1500 +-473 -319 +-1927 -21 +3566 5084 +-1442 1283 +-2032 1365 +-1278 -177 +-2890 -2854 +1490 152 +1200 -1517 +3163 -5124 +-218 303 +-1580 -4664 +1289 -4550 +1130 8820 +1914 -164 +1394 -3401 +1464 -3269 +-386 66 +-1055 4911 +-3298 -5453 +-4061 908 +-1096 3028 +-2167 1185 +-2945 -1238 +-83 -5792 +-833 604 +-678 857 +2762 1738 +-2719 -192 +-4005 626 +-220 802 +555 -644 +1667 2033 +1763 -515 +254 2106 +-2666 -1283 +1233 -2020 +2105 2677 +-356 115 +1839 1782 +563 162 +-2884 -889 +1855 1048 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +82 316 +2516 -3780 +2157 1746 +2025 5076 +2653 -4031 +-694 -2248 +-2781 30 +-4010 -2582 +-1738 1541 +1385 -531 +-2009 1252 +1089 4218 +4916 4117 +-1520 816 +-182 1608 +1110 3214 +3002 556 +-410 3452 +-8717 997 +1088 1070 +-1319 -27 +-5202 1670 +1615 4427 +-2233 -2607 +528 -2427 +1124 740 +-3229 1220 +1828 -597 +3083 -2048 +-377 -2904 +3602 -1923 +3791 -5590 +2130 -4108 +5297 2072 +3014 -2255 +1876 2438 +-2129 609 +-6110 -5795 +-3394 -3230 +-900 639 +157 987 +-1300 -1683 +1421 1195 +2592 -1131 +1142 1169 +2053 -2345 +-1223 -3991 +-2505 3131 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_openwifi.txt b/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_openwifi.txt new file mode 100644 index 0000000..3f16665 --- /dev/null +++ b/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_openwifi.txt @@ -0,0 +1,2140 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +-3072 0 +-2612 -4040 +1017 857 +1910 8 +280 -1260 +836 -1025 +-667 -1711 +-760 -1796 +-2048 724 +927 1635 +7879 -3133 +1201 -1396 +-2956 -1186 +385 -626 +-3075 5331 +-2034 1647 +-1024 1024 +-2489 1870 +2551 3403 +3310 8464 +-1388 862 +-2565 -696 +1633 1251 +2309 -2702 +-2048 724 +-21 547 +1043 1474 +1207 1004 +4064 -3308 +-491 4634 +-2190 5921 +-1112 -2929 +-3072 0 +-1112 2929 +-2190 -5921 +-491 -4634 +4064 3308 +1207 -1004 +1043 -1474 +-21 -547 +-2048 -724 +2309 2702 +1633 -1251 +-2565 696 +-1388 -862 +3310 -8464 +2551 -3403 +-2489 -1870 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +-1024 -1024 +-2486 -5242 +14 -4350 +298 771 +834 -78 +-2629 345 +-1114 -809 +-183 -3152 +-3920 -724 +-3731 1041 +-1946 2085 +4470 1717 +834 476 +-724 1958 +4125 1470 +-5619 778 +1024 0 +7202 -1028 +-3725 3173 +-241 2159 +-2726 -1416 +-6430 3300 +808 2485 +3932 -919 +5368 724 +2222 -2558 +2817 -2817 +3223 1645 +-1618 706 +-2104 -1267 +-2022 -3212 +1187 -4277 +1024 -3072 +856 -1954 +2987 1166 +-962 776 +3510 -1970 +3941 494 +-2346 2737 +2092 3757 +1872 724 +-1929 -3654 +-1514 2978 +-23 4804 +3510 -2524 +3195 720 +-1124 2914 +-3580 -3158 +-1024 -4096 +1996 -1352 +725 1211 +-2230 519 +-1618 -632 +1983 3221 +2651 2579 +1728 -1694 +-3320 -724 +-4130 3162 +643 4746 +-4535 -805 +-2726 -2754 +2768 2814 +-978 28 +443 -2920 +-1024 -1024 +-2486 -5242 +14 -4350 +298 771 +834 -78 +-2629 345 +-1114 -809 +-183 -3152 +-3920 -724 +-3731 1041 +-1946 2085 +4470 1717 +834 476 +-724 1958 +4125 1470 +-5619 778 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +1024 -4096 +-376 5265 +-1686 7869 +2661 -1165 +424 -802 +-1150 938 +1576 2758 +-480 -2361 +1448 -3196 +3086 1546 +1049 -3014 +1865 -2925 +1688 876 +-1360 80 +-3656 998 +1139 3143 +3072 1024 +-1556 690 +909 3651 +-307 -330 +-2472 -692 +2360 -1747 +-1881 -7086 +-3991 -866 +0 2596 +-1935 3892 +-1410 4037 +332 -4527 +1468 -4586 +1306 -864 +-2425 212 +-2169 -1929 +-1024 -4096 +586 -123 +2026 -1491 +-340 -1064 +424 -2694 +1628 -6111 +2548 3637 +1734 5852 +-1448 1148 +1953 -1461 +178 -5078 +-2954 -3046 +3256 -1476 +2114 1708 +1100 2513 +2855 -276 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +234 1106 +-2127 4038 +-381 1363 +-1114 351 +-5456 188 +2193 -378 +-1904 -3770 +-1967 494 +462 -256 +-3998 -2231 +-611 -3337 +2597 -3432 +1348 4382 +-1516 1328 +-1170 -1864 +-2479 -1290 +-1422 398 +-4009 478 +-3692 -2275 +3454 -4004 +3583 526 +801 3490 +-2525 -1227 +-1366 1871 +610 -1025 +2837 102 +-1820 1942 +2161 3228 +6818 4665 +-290 -4117 +884 -559 +-5108 -1097 +1030 2054 +1004 2401 +-402 -3161 +9466 1763 +39 -1082 +2152 -825 +-776 3108 +-928 2308 +802 -7961 +-6649 -5081 +3876 2948 +3329 -1985 +79 336 +631 1179 +-2132 482 +-126 -1457 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +-2920 316 +3562 1685 +2560 3249 +-1982 5130 +2434 1670 +3085 1423 +380 -1729 +892 -3746 +1221 1908 +1188 -4947 +6338 -2585 +3931 2350 +-4587 -150 +-3535 6968 +-218 -165 +595 -518 +-316 240 +278 -845 +-3972 2167 +-5574 -2931 +1166 3948 +-1206 -4043 +-581 -6119 +-338 1979 +-5013 -1568 +-2738 -2620 +-2264 -4816 +426 720 +1333 -2013 +-2103 -767 +28 2154 +2454 535 +1656 3476 +-1646 -4212 +277 -5442 +6020 -1808 +895 2508 +-3488 1729 +420 127 +-2049 1626 +-4697 1568 +1542 476 +5764 -7645 +591 -1781 +-2241 -945 +727 -2886 +262 3927 +-2568 -917 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-2130 -1422 +4283 -1563 +-157 -1265 +-3984 -2200 +-92 1500 +-473 -319 +-1927 -21 +3566 5084 +-1442 1283 +-2032 1365 +-1278 -177 +-2890 -2854 +1490 152 +1200 -1517 +3163 -5124 +-218 303 +-1580 -4664 +1289 -4550 +1130 8820 +1914 -164 +1394 -3401 +1464 -3269 +-386 66 +-1055 4911 +-3298 -5453 +-4061 908 +-1096 3028 +-2167 1185 +-2945 -1238 +-83 -5792 +-833 604 +-678 857 +2762 1738 +-2719 -192 +-4005 626 +-220 802 +555 -644 +1667 2033 +1763 -515 +254 2106 +-2666 -1283 +1233 -2020 +2105 2677 +-356 115 +1839 1782 +563 162 +-2884 -889 +1855 1048 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +82 316 +2516 -3780 +2157 1746 +2025 5076 +2653 -4031 +-694 -2248 +-2781 30 +-4010 -2582 +-1738 1541 +1385 -531 +-2009 1252 +1089 4218 +4916 4117 +-1520 816 +-182 1608 +1110 3214 +3002 556 +-410 3452 +-8717 997 +1088 1070 +-1319 -27 +-5202 1670 +1615 4427 +-2233 -2607 +528 -2427 +1124 740 +-3229 1220 +1828 -597 +3083 -2048 +-377 -2904 +3602 -1923 +3791 -5590 +2130 -4108 +5297 2072 +3014 -2255 +1876 2438 +-2129 609 +-6110 -5795 +-3394 -3230 +-900 639 +157 987 +-1300 -1683 +1421 1195 +2592 -1131 +1142 1169 +2053 -2345 +-1223 -3991 +-2505 3131 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_wrong_ht_sig_openwifi.txt b/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_wrong_ht_sig_openwifi.txt new file mode 100644 index 0000000..f9dab59 --- /dev/null +++ b/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_wrong_ht_sig_openwifi.txt @@ -0,0 +1,2140 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +-3072 0 +-2612 -4040 +1017 857 +1910 8 +280 -1260 +836 -1025 +-667 -1711 +-760 -1796 +-2048 724 +927 1635 +7879 -3133 +1201 -1396 +-2956 -1186 +385 -626 +-3075 5331 +-2034 1647 +-1024 1024 +-2489 1870 +2551 3403 +3310 8464 +-1388 862 +-2565 -696 +1633 1251 +2309 -2702 +-2048 724 +-21 547 +1043 1474 +1207 1004 +4064 -3308 +-491 4634 +-2190 5921 +-1112 -2929 +-3072 0 +-1112 2929 +-2190 -5921 +-491 -4634 +4064 3308 +1207 -1004 +1043 -1474 +-21 -547 +-2048 -724 +2309 2702 +1633 -1251 +-2565 696 +-1388 -862 +3310 -8464 +2551 -3403 +-2489 -1870 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +3072 -1024 +-1571 -5166 +-4434 -270 +-1411 3120 +3476 536 +322 1567 +-1576 -455 +1862 -3694 +1448 -1148 +-751 2209 +-3019 -804 +-218 -2221 +645 1754 +1611 1235 +3656 1063 +-2905 1446 +1024 -2048 +4489 -361 +-3256 2766 +-2576 1435 +-2537 -138 +-1741 -638 +1881 -404 +952 249 +0 300 +176 -3100 +3279 -2463 +273 2866 +-4260 1320 +-395 1082 +2425 868 +272 -4200 +-3072 -3072 +2160 -1544 +4094 1534 +1366 2893 +7261 -4032 +2606 -178 +-2548 4395 +900 1771 +-1448 3196 +-270 -726 +1791 960 +4714 4409 +5996 -2354 +-89 761 +-1100 1769 +-290 -5880 +-1024 -6144 +-1293 -4074 +700 66 +1054 561 +-4104 -462 +-2754 2825 +-654 560 +69 1233 +0 1748 +-2939 1177 +845 6403 +-3201 -1478 +-6477 -4816 +440 4931 +-2085 396 +-861 -2510 +3072 -1024 +-1571 -5166 +-4434 -270 +-1411 3120 +3476 536 +322 1567 +-1576 -455 +1862 -3694 +1448 -1148 +-751 2209 +-3019 -804 +-218 -2221 +645 1754 +1611 1235 +3656 1063 +-2905 1446 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +1024 -4096 +-376 5265 +-1686 7869 +2661 -1165 +424 -802 +-1150 938 +1576 2758 +-480 -2361 +1448 -3196 +3086 1546 +1049 -3014 +1865 -2925 +1688 876 +-1360 80 +-3656 998 +1139 3143 +3072 1024 +-1556 690 +909 3651 +-307 -330 +-2472 -692 +2360 -1747 +-1881 -7086 +-3991 -866 +0 2596 +-1935 3892 +-1410 4037 +332 -4527 +1468 -4586 +1306 -864 +-2425 212 +-2169 -1929 +-1024 -4096 +586 -123 +2026 -1491 +-340 -1064 +424 -2694 +1628 -6111 +2548 3637 +1734 5852 +-1448 1148 +1953 -1461 +178 -5078 +-2954 -3046 +3256 -1476 +2114 1708 +1100 2513 +2855 -276 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +234 1106 +-2127 4038 +-381 1363 +-1114 351 +-5456 188 +2193 -378 +-1904 -3770 +-1967 494 +462 -256 +-3998 -2231 +-611 -3337 +2597 -3432 +1348 4382 +-1516 1328 +-1170 -1864 +-2479 -1290 +-1422 398 +-4009 478 +-3692 -2275 +3454 -4004 +3583 526 +801 3490 +-2525 -1227 +-1366 1871 +610 -1025 +2837 102 +-1820 1942 +2161 3228 +6818 4665 +-290 -4117 +884 -559 +-5108 -1097 +1030 2054 +1004 2401 +-402 -3161 +9466 1763 +39 -1082 +2152 -825 +-776 3108 +-928 2308 +802 -7961 +-6649 -5081 +3876 2948 +3329 -1985 +79 336 +631 1179 +-2132 482 +-126 -1457 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +-2920 316 +3562 1685 +2560 3249 +-1982 5130 +2434 1670 +3085 1423 +380 -1729 +892 -3746 +1221 1908 +1188 -4947 +6338 -2585 +3931 2350 +-4587 -150 +-3535 6968 +-218 -165 +595 -518 +-316 240 +278 -845 +-3972 2167 +-5574 -2931 +1166 3948 +-1206 -4043 +-581 -6119 +-338 1979 +-5013 -1568 +-2738 -2620 +-2264 -4816 +426 720 +1333 -2013 +-2103 -767 +28 2154 +2454 535 +1656 3476 +-1646 -4212 +277 -5442 +6020 -1808 +895 2508 +-3488 1729 +420 127 +-2049 1626 +-4697 1568 +1542 476 +5764 -7645 +591 -1781 +-2241 -945 +727 -2886 +262 3927 +-2568 -917 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-2130 -1422 +4283 -1563 +-157 -1265 +-3984 -2200 +-92 1500 +-473 -319 +-1927 -21 +3566 5084 +-1442 1283 +-2032 1365 +-1278 -177 +-2890 -2854 +1490 152 +1200 -1517 +3163 -5124 +-218 303 +-1580 -4664 +1289 -4550 +1130 8820 +1914 -164 +1394 -3401 +1464 -3269 +-386 66 +-1055 4911 +-3298 -5453 +-4061 908 +-1096 3028 +-2167 1185 +-2945 -1238 +-83 -5792 +-833 604 +-678 857 +2762 1738 +-2719 -192 +-4005 626 +-220 802 +555 -644 +1667 2033 +1763 -515 +254 2106 +-2666 -1283 +1233 -2020 +2105 2677 +-356 115 +1839 1782 +563 162 +-2884 -889 +1855 1048 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +82 316 +2516 -3780 +2157 1746 +2025 5076 +2653 -4031 +-694 -2248 +-2781 30 +-4010 -2582 +-1738 1541 +1385 -531 +-2009 1252 +1089 4218 +4916 4117 +-1520 816 +-182 1608 +1110 3214 +3002 556 +-410 3452 +-8717 997 +1088 1070 +-1319 -27 +-5202 1670 +1615 4427 +-2233 -2607 +528 -2427 +1124 740 +-3229 1220 +1828 -597 +3083 -2048 +-377 -2904 +3602 -1923 +3791 -5590 +2130 -4108 +5297 2072 +3014 -2255 +1876 2438 +-2129 609 +-6110 -5795 +-3394 -3230 +-900 639 +157 987 +-1300 -1683 +1421 1195 +2592 -1131 +1142 1169 +2053 -2345 +-1223 -3991 +-2505 3131 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_wrong_sig_openwifi.txt b/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_wrong_sig_openwifi.txt new file mode 100644 index 0000000..76cc94d --- /dev/null +++ b/testing_inputs/simulated/iq_11n_mcs7_gi0_100B_wrong_sig_openwifi.txt @@ -0,0 +1,2140 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 -1024 +-1675 2650 +1506 -5968 +4788 -1369 +554 1441 +-2646 -2054 +2717 1921 +5479 -2204 +424 -3196 +-2564 936 +-1446 -1165 +-1428 1077 +-1338 4346 +-343 -721 +-690 -1456 +-1183 4583 +-1024 0 +-1183 -4583 +-690 1456 +-343 721 +-1338 -4346 +-1428 -1077 +-1446 1165 +-2564 -936 +424 3196 +5479 2204 +2717 -1921 +-2646 2054 +554 -1441 +4788 1369 +1506 5968 +-1675 -2650 +-1024 1024 +-886 2777 +202 2028 +1631 7234 +-554 8 +-774 -604 +3455 910 +2653 -1512 +-2472 1148 +-1544 -1756 +2266 -99 +1744 -838 +1338 -2898 +2822 3690 +181 1611 +-6072 -2053 +-9216 0 +-6072 2053 +181 -1611 +2822 -3690 +1338 2898 +1744 838 +2266 99 +-1544 1756 +-2472 -1148 +2653 1512 +3455 -910 +-774 604 +-554 -8 +1631 -7234 +202 -2028 +-886 -2777 +-1024 -1024 +-1675 2650 +1506 -5968 +4788 -1369 +554 1441 +-2646 -2054 +2717 1921 +5479 -2204 +424 -3196 +-2564 936 +-1446 -1165 +-1428 1077 +-1338 4346 +-343 -721 +-690 -1456 +-1183 4583 +-1024 -1024 +-2486 -5242 +14 -4350 +298 771 +834 -78 +-2629 345 +-1114 -809 +-183 -3152 +-3920 -724 +-3731 1041 +-1946 2085 +4470 1717 +834 476 +-724 1958 +4125 1470 +-5619 778 +1024 0 +7202 -1028 +-3725 3173 +-241 2159 +-2726 -1416 +-6430 3300 +808 2485 +3932 -919 +5368 724 +2222 -2558 +2817 -2817 +3223 1645 +-1618 706 +-2104 -1267 +-2022 -3212 +1187 -4277 +1024 -3072 +856 -1954 +2987 1166 +-962 776 +3510 -1970 +3941 494 +-2346 2737 +2092 3757 +1872 724 +-1929 -3654 +-1514 2978 +-23 4804 +3510 -2524 +3195 720 +-1124 2914 +-3580 -3158 +-1024 -4096 +1996 -1352 +725 1211 +-2230 519 +-1618 -632 +1983 3221 +2651 2579 +1728 -1694 +-3320 -724 +-4130 3162 +643 4746 +-4535 -805 +-2726 -2754 +2768 2814 +-978 28 +443 -2920 +-1024 -1024 +-2486 -5242 +14 -4350 +298 771 +834 -78 +-2629 345 +-1114 -809 +-183 -3152 +-3920 -724 +-3731 1041 +-1946 2085 +4470 1717 +834 476 +-724 1958 +4125 1470 +-5619 778 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +1024 -4096 +-376 5265 +-1686 7869 +2661 -1165 +424 -802 +-1150 938 +1576 2758 +-480 -2361 +1448 -3196 +3086 1546 +1049 -3014 +1865 -2925 +1688 876 +-1360 80 +-3656 998 +1139 3143 +3072 1024 +-1556 690 +909 3651 +-307 -330 +-2472 -692 +2360 -1747 +-1881 -7086 +-3991 -866 +0 2596 +-1935 3892 +-1410 4037 +332 -4527 +1468 -4586 +1306 -864 +-2425 212 +-2169 -1929 +-1024 -4096 +586 -123 +2026 -1491 +-340 -1064 +424 -2694 +1628 -6111 +2548 3637 +1734 5852 +-1448 1148 +1953 -1461 +178 -5078 +-2954 -3046 +3256 -1476 +2114 1708 +1100 2513 +2855 -276 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +234 1106 +-2127 4038 +-381 1363 +-1114 351 +-5456 188 +2193 -378 +-1904 -3770 +-1967 494 +462 -256 +-3998 -2231 +-611 -3337 +2597 -3432 +1348 4382 +-1516 1328 +-1170 -1864 +-2479 -1290 +-1422 398 +-4009 478 +-3692 -2275 +3454 -4004 +3583 526 +801 3490 +-2525 -1227 +-1366 1871 +610 -1025 +2837 102 +-1820 1942 +2161 3228 +6818 4665 +-290 -4117 +884 -559 +-5108 -1097 +1030 2054 +1004 2401 +-402 -3161 +9466 1763 +39 -1082 +2152 -825 +-776 3108 +-928 2308 +802 -7961 +-6649 -5081 +3876 2948 +3329 -1985 +79 336 +631 1179 +-2132 482 +-126 -1457 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +-2920 316 +3562 1685 +2560 3249 +-1982 5130 +2434 1670 +3085 1423 +380 -1729 +892 -3746 +1221 1908 +1188 -4947 +6338 -2585 +3931 2350 +-4587 -150 +-3535 6968 +-218 -165 +595 -518 +-316 240 +278 -845 +-3972 2167 +-5574 -2931 +1166 3948 +-1206 -4043 +-581 -6119 +-338 1979 +-5013 -1568 +-2738 -2620 +-2264 -4816 +426 720 +1333 -2013 +-2103 -767 +28 2154 +2454 535 +1656 3476 +-1646 -4212 +277 -5442 +6020 -1808 +895 2508 +-3488 1729 +420 127 +-2049 1626 +-4697 1568 +1542 476 +5764 -7645 +591 -1781 +-2241 -945 +727 -2886 +262 3927 +-2568 -917 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-2130 -1422 +4283 -1563 +-157 -1265 +-3984 -2200 +-92 1500 +-473 -319 +-1927 -21 +3566 5084 +-1442 1283 +-2032 1365 +-1278 -177 +-2890 -2854 +1490 152 +1200 -1517 +3163 -5124 +-218 303 +-1580 -4664 +1289 -4550 +1130 8820 +1914 -164 +1394 -3401 +1464 -3269 +-386 66 +-1055 4911 +-3298 -5453 +-4061 908 +-1096 3028 +-2167 1185 +-2945 -1238 +-83 -5792 +-833 604 +-678 857 +2762 1738 +-2719 -192 +-4005 626 +-220 802 +555 -644 +1667 2033 +1763 -515 +254 2106 +-2666 -1283 +1233 -2020 +2105 2677 +-356 115 +1839 1782 +563 162 +-2884 -889 +1855 1048 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +82 316 +2516 -3780 +2157 1746 +2025 5076 +2653 -4031 +-694 -2248 +-2781 30 +-4010 -2582 +-1738 1541 +1385 -531 +-2009 1252 +1089 4218 +4916 4117 +-1520 816 +-182 1608 +1110 3214 +3002 556 +-410 3452 +-8717 997 +1088 1070 +-1319 -27 +-5202 1670 +1615 4427 +-2233 -2607 +528 -2427 +1124 740 +-3229 1220 +1828 -597 +3083 -2048 +-377 -2904 +3602 -1923 +3791 -5590 +2130 -4108 +5297 2072 +3014 -2255 +1876 2438 +-2129 609 +-6110 -5795 +-3394 -3230 +-900 639 +157 987 +-1300 -1683 +1421 1195 +2592 -1131 +1142 1169 +2053 -2345 +-1223 -3991 +-2505 3131 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/iq_mixed_for_side_ch_openwifi.txt b/testing_inputs/simulated/iq_mixed_for_side_ch_openwifi.txt new file mode 100644 index 0000000..b5b6248 --- /dev/null +++ b/testing_inputs/simulated/iq_mixed_for_side_ch_openwifi.txt @@ -0,0 +1,15659 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 -1024 +-1675 2650 +1506 -5968 +4788 -1369 +554 1441 +-2646 -2054 +2717 1921 +5479 -2204 +424 -3196 +-2564 936 +-1446 -1165 +-1428 1077 +-1338 4346 +-343 -721 +-690 -1456 +-1183 4583 +-1024 0 +-1183 -4583 +-690 1456 +-343 721 +-1338 -4346 +-1428 -1077 +-1446 1165 +-2564 -936 +424 3196 +5479 2204 +2717 -1921 +-2646 2054 +554 -1441 +4788 1369 +1506 5968 +-1675 -2650 +-1024 1024 +-886 2777 +202 2028 +1631 7234 +-554 8 +-774 -604 +3455 910 +2653 -1512 +-2472 1148 +-1544 -1756 +2266 -99 +1744 -838 +1338 -2898 +2822 3690 +181 1611 +-6072 -2053 +-9216 0 +-6072 2053 +181 -1611 +2822 -3690 +1338 2898 +1744 838 +2266 99 +-1544 1756 +-2472 -1148 +2653 1512 +3455 -910 +-774 604 +-554 -8 +1631 -7234 +202 -2028 +-886 -2777 +-1024 -1024 +-1675 2650 +1506 -5968 +4788 -1369 +554 1441 +-2646 -2054 +2717 1921 +5479 -2204 +424 -3196 +-2564 936 +-1446 -1165 +-1428 1077 +-1338 4346 +-343 -721 +-690 -1456 +-1183 4583 +-1024 -1024 +-2486 -5242 +14 -4350 +298 771 +834 -78 +-2629 345 +-1114 -809 +-183 -3152 +-3920 -724 +-3731 1041 +-1946 2085 +4470 1717 +834 476 +-724 1958 +4125 1470 +-5619 778 +1024 0 +7202 -1028 +-3725 3173 +-241 2159 +-2726 -1416 +-6430 3300 +808 2485 +3932 -919 +5368 724 +2222 -2558 +2817 -2817 +3223 1645 +-1618 706 +-2104 -1267 +-2022 -3212 +1187 -4277 +1024 -3072 +856 -1954 +2987 1166 +-962 776 +3510 -1970 +3941 494 +-2346 2737 +2092 3757 +1872 724 +-1929 -3654 +-1514 2978 +-23 4804 +3510 -2524 +3195 720 +-1124 2914 +-3580 -3158 +-1024 -4096 +1996 -1352 +725 1211 +-2230 519 +-1618 -632 +1983 3221 +2651 2579 +1728 -1694 +-3320 -724 +-4130 3162 +643 4746 +-4535 -805 +-2726 -2754 +2768 2814 +-978 28 +443 -2920 +-1024 -1024 +-2486 -5242 +14 -4350 +298 771 +834 -78 +-2629 345 +-1114 -809 +-183 -3152 +-3920 -724 +-3731 1041 +-1946 2085 +4470 1717 +834 476 +-724 1958 +4125 1470 +-5619 778 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +1024 -4096 +-376 5265 +-1686 7869 +2661 -1165 +424 -802 +-1150 938 +1576 2758 +-480 -2361 +1448 -3196 +3086 1546 +1049 -3014 +1865 -2925 +1688 876 +-1360 80 +-3656 998 +1139 3143 +3072 1024 +-1556 690 +909 3651 +-307 -330 +-2472 -692 +2360 -1747 +-1881 -7086 +-3991 -866 +0 2596 +-1935 3892 +-1410 4037 +332 -4527 +1468 -4586 +1306 -864 +-2425 212 +-2169 -1929 +-1024 -4096 +586 -123 +2026 -1491 +-340 -1064 +424 -2694 +1628 -6111 +2548 3637 +1734 5852 +-1448 1148 +1953 -1461 +178 -5078 +-2954 -3046 +3256 -1476 +2114 1708 +1100 2513 +2855 -276 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +234 1106 +-2127 4038 +-381 1363 +-1114 351 +-5456 188 +2193 -378 +-1904 -3770 +-1967 494 +462 -256 +-3998 -2231 +-611 -3337 +2597 -3432 +1348 4382 +-1516 1328 +-1170 -1864 +-2479 -1290 +-1422 398 +-4009 478 +-3692 -2275 +3454 -4004 +3583 526 +801 3490 +-2525 -1227 +-1366 1871 +610 -1025 +2837 102 +-1820 1942 +2161 3228 +6818 4665 +-290 -4117 +884 -559 +-5108 -1097 +1030 2054 +1004 2401 +-402 -3161 +9466 1763 +39 -1082 +2152 -825 +-776 3108 +-928 2308 +802 -7961 +-6649 -5081 +3876 2948 +3329 -1985 +79 336 +631 1179 +-2132 482 +-126 -1457 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +-2920 316 +3562 1685 +2560 3249 +-1982 5130 +2434 1670 +3085 1423 +380 -1729 +892 -3746 +1221 1908 +1188 -4947 +6338 -2585 +3931 2350 +-4587 -150 +-3535 6968 +-218 -165 +595 -518 +-316 240 +278 -845 +-3972 2167 +-5574 -2931 +1166 3948 +-1206 -4043 +-581 -6119 +-338 1979 +-5013 -1568 +-2738 -2620 +-2264 -4816 +426 720 +1333 -2013 +-2103 -767 +28 2154 +2454 535 +1656 3476 +-1646 -4212 +277 -5442 +6020 -1808 +895 2508 +-3488 1729 +420 127 +-2049 1626 +-4697 1568 +1542 476 +5764 -7645 +591 -1781 +-2241 -945 +727 -2886 +262 3927 +-2568 -917 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-2130 -1422 +4283 -1563 +-157 -1265 +-3984 -2200 +-92 1500 +-473 -319 +-1927 -21 +3566 5084 +-1442 1283 +-2032 1365 +-1278 -177 +-2890 -2854 +1490 152 +1200 -1517 +3163 -5124 +-218 303 +-1580 -4664 +1289 -4550 +1130 8820 +1914 -164 +1394 -3401 +1464 -3269 +-386 66 +-1055 4911 +-3298 -5453 +-4061 908 +-1096 3028 +-2167 1185 +-2945 -1238 +-83 -5792 +-833 604 +-678 857 +2762 1738 +-2719 -192 +-4005 626 +-220 802 +555 -644 +1667 2033 +1763 -515 +254 2106 +-2666 -1283 +1233 -2020 +2105 2677 +-356 115 +1839 1782 +563 162 +-2884 -889 +1855 1048 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +82 316 +2516 -3780 +2157 1746 +2025 5076 +2653 -4031 +-694 -2248 +-2781 30 +-4010 -2582 +-1738 1541 +1385 -531 +-2009 1252 +1089 4218 +4916 4117 +-1520 816 +-182 1608 +1110 3214 +3002 556 +-410 3452 +-8717 997 +1088 1070 +-1319 -27 +-5202 1670 +1615 4427 +-2233 -2607 +528 -2427 +1124 740 +-3229 1220 +1828 -597 +3083 -2048 +-377 -2904 +3602 -1923 +3791 -5590 +2130 -4108 +5297 2072 +3014 -2255 +1876 2438 +-2129 609 +-6110 -5795 +-3394 -3230 +-900 639 +157 987 +-1300 -1683 +1421 1195 +2592 -1131 +1142 1169 +2053 -2345 +-1223 -3991 +-2505 3131 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +39 -3 +-68 5 +14 -16 +52 27 +-72 -66 +115 74 +-133 -39 +173 78 +-217 -68 +219 34 +-209 8 +138 -91 +-7 194 +-291 -368 +3995 556 +-3905 -367 +-5948 -532 +5709 -118 +-401 -4055 +-1310 -2187 +5146 4080 +-431 2796 +-153 -682 +1012 243 +-489 348 +602 -298 +116 -66 +241 157 +215 -82 +199 -115 +125 -116 +371 -316 +588 -625 +-4 -460 +-108 666 +401 283 +443 25 +205 328 +150 265 +-111 722 +-106 335 +190 -198 +76 -20 +104 51 +307 -6 +142 122 +471 213 +160 346 +300 -44 +300 -44 +782 -76 +373 474 +-50 217 +175 -230 +4 -56 +-5 -109 +-78 101 +305 80 +661 -210 +63 229 +145 337 +415 277 +-93 323 +-64 345 +317 529 +358 474 +327 17 +12 8 +37 230 +-37 194 +-278 444 +-6 -55 +-380 67 +-302 764 +153 189 +19 -272 +88 -457 +117 -374 +181 41 +155 345 +-74 411 +-58 -321 +273 22 +216 680 +27 202 +-128 666 +-308 297 +145 -300 +61 -185 +-135 82 +69 706 +-228 1 +103 10 +255 191 +275 -180 +562 18 +288 -315 +223 -324 +-207 132 +-31 -147 +589 88 +99 703 +-134 549 +-123 456 +54 81 +368 543 +-70 420 +-41 -163 +470 -8 +445 -279 +380 46 +317 33 +342 60 +436 103 +257 -255 +291 -189 +104 -267 +63 -137 +460 118 +290 -151 +713 218 +773 41 +190 -235 +330 630 +229 -73 +411 372 +100 79 +133 148 +91 125 +91 125 +107 22 +203 -29 +-32 310 +496 46 +561 -306 +366 144 +313 188 +326 152 +732 -229 +333 -261 +324 119 +332 780 +-80 610 +-162 -423 +-125 264 +253 509 +449 70 +149 222 +-302 240 +-168 191 +126 -31 +226 -42 +-114 20 +-575 268 +-10 714 +278 565 +-235 452 +-542 472 +-214 216 +174 188 +-41 166 +172 85 +198 -36 +-128 46 +20 281 +-330 464 +-273 84 +-58 -184 +-255 513 +31 383 +266 111 +374 263 +168 -159 +3 -2 +151 311 +116 197 +-154 216 +-70 217 +271 36 +-219 76 +-106 383 +185 -89 +-100 -266 +434 -38 +5 -296 +-113 102 +457 131 +-19 -39 +-141 180 +-127 236 +-106 178 +-40 -38 +10 -196 +-163 -420 +-217 163 +163 377 +391 12 +310 85 +215 -32 +231 207 +-15 233 +46 481 +-239 348 +103 47 +207 202 +208 366 +351 33 +43 41 +-174 206 +-174 206 +-188 250 +17 -163 +-82 126 +59 373 +82 -258 +72 198 +50 -148 +-93 -318 +-77 -171 +311 -114 +520 201 +331 22 +209 588 +182 163 +33 139 +204 726 +382 -276 +318 -227 +541 199 +447 38 +214 300 +139 42 +58 -150 +-195 3 +164 -230 +750 -411 +520 -239 +289 379 +-71 399 +-142 379 +209 692 +256 57 +42 -116 +76 237 +231 221 +207 258 +366 352 +-11 273 +185 233 +464 101 +287 -46 +70 -302 +-365 -292 +252 196 +241 267 +122 390 +106 -85 +-247 -117 +282 195 +542 -201 +334 -166 +195 152 +-185 468 +3 23 +503 60 +-87 501 +142 79 +145 -163 +-351 -349 +682 -293 +527 195 +90 154 +471 122 +-149 263 +-76 220 +346 333 +112 -26 +30 216 +123 438 +168 113 +-145 -25 +-74 -218 +133 247 +242 -322 +101 -399 +453 -605 +311 -218 +367 -123 +415 -289 +415 -289 +4 -327 +-91 242 +383 -30 +263 -308 +316 -19 +194 -97 +-58 67 +294 -57 +124 262 +103 78 +-524 -286 +-112 165 +601 232 +-89 456 +-56 136 +-310 -186 +-201 -58 +513 -329 +322 91 +-335 263 +-31 258 +473 304 +-81 -310 +-40 -127 +261 2 +218 -544 +480 -59 +203 437 +-25 16 +-52 186 +-409 230 +-91 -382 +255 204 +413 376 +334 -138 +-99 117 +-261 -133 +-119 93 +28 -32 +-282 -133 +57 392 +-90 374 +-440 7 +220 -438 +217 41 +74 -72 +111 -115 +439 -292 +169 -422 +131 400 +303 213 +-183 80 +472 75 +529 232 +-104 247 +-323 -215 +-276 196 +174 368 +-17 -166 +-98 36 +-72 42 +-345 -53 +-153 390 +-16 -146 +205 -106 +171 569 +139 308 +369 254 +209 417 +-106 649 +189 536 +-30 289 +-597 19 +450 -174 +398 412 +309 309 +17 496 +240 -115 +151 208 +151 208 +-329 103 +420 -296 +686 172 +190 585 +170 191 +135 179 +151 510 +83 524 +-193 76 +451 57 +114 -130 +-190 490 +125 291 +-123 -155 +-215 224 +-6 237 +-128 282 +83 158 +465 -161 +50 327 +527 59 +-25 511 +83 -235 +-80 495 +1253 -831 +4283 4136 +-7263 11740 +-6361 -9784 +5148 -18354 +2138 -11468 +-2135 -13504 +-10112 8169 +853 11102 +925 -9043 +-16313 -184 +6267 11332 +18200 5550 +11504 4750 +10037 9075 +-12658 1821 +-7912 -7976 +6921 4616 +-10851 10746 +-3338 -11644 +9391 -16074 +5251 -10580 +1392 -12884 +-12181 5120 +-1472 11293 +2312 -7408 +-16133 -3857 +3333 12136 +16159 9424 +9715 7012 +7873 11170 +-12721 -270 +-6184 -8736 +5548 7105 +-13209 8305 +-870 -12062 +12727 -13210 +6852 -9421 +4081 -12218 +-12498 2715 +-3995 10801 +4730 -6936 +-14559 -7127 +401 13009 +14113 13200 +7487 9419 +4601 12420 +-11987 -3996 +-3291 -10531 +3732 7797 +-15163 4699 +2836 -11866 +16156 -9969 +8942 -7051 +7261 -10934 +-13132 -1350 +-6978 8925 +6009 -5573 +-12564 -10510 +-2791 12212 +10013 16403 +4837 10750 +1743 12807 +-10863 -6491 +-952 -10959 +1786 8121 +-15874 676 +4980 -10352 +17685 -5723 +10518 -4985 +9224 -8442 +-12574 -4439 +-8463 6704 +7448 -3857 +-9837 -12966 +-5912 11203 +6267 17885 +2300 11761 +-1601 13250 +-9061 -9040 +1146 -11025 +-451 8889 +-15438 -2379 +7164 -9366 +18089 -1621 +11390 -2019 +11387 -6208 +-11450 -6897 +-10247 4892 +8366 -2309 +-5934 -15267 +-8396 9117 +1585 19015 +-326 12244 +-4584 11956 +-6773 -10935 +4573 -9770 +-1904 8162 +-14668 -6567 +9879 -6908 +18412 3437 +11848 977 +13478 -3028 +-9389 -9452 +-10747 2030 +8609 -483 +-2934 -16320 +-10092 7093 +-2647 18583 +-2546 11192 +-7177 10374 +-4590 -11809 +6442 -8462 +-3745 7255 +-12610 -9896 +10733 -4821 +17649 7545 +11689 3527 +13173 -234 +-7301 -11447 +-11594 -301 +8256 1756 +921 -16421 +-12315 4517 +-7078 16795 +-5434 9669 +-10050 8106 +-1100 -12511 +8683 -6140 +-6011 6091 +-10244 -12589 +11788 -1675 +15146 11632 +10091 6524 +12499 3381 +-3701 -12645 +-11119 -3069 +7261 4190 +5130 -15563 +-12953 953 +-11193 14566 +-8022 7951 +-11078 5538 +2104 -12816 +9818 -4885 +-6924 4610 +-7026 -14583 +11810 514 +12428 14208 +8874 7617 +11524 5944 +-1557 -13063 +-10339 -5955 +6609 6084 +8581 -14155 +-12337 -1596 +-14190 10833 +-9256 6456 +-12898 2386 +4579 -11625 +8886 -6619 +-2104 -9185 +15358 -4756 +16466 -3448 +4904 -16849 +2559 3958 +-9378 3567 +-12221 -16741 +-14971 -5483 +-17242 1714 +158 -9622 +7637 -6232 +9236 7443 +12156 -5489 +763 -17929 +7510 -2773 +9325 5575 +-2948 12506 +12602 6735 +13867 -5653 +-4899 9124 +-8747 -2663 +-13376 -10583 +-10238 7994 +1235 8175 +-7470 7407 +-14661 -8005 +-8825 -5679 +-4942 10893 +7770 4942 +2494 11537 +-17679 4969 +-7549 8385 +10519 16428 +17087 -1187 +5349 9702 +-9683 10549 +4999 3417 +12346 771 +9012 -13472 +10988 2642 +1765 8575 +5352 642 +11763 -4799 +4357 -9315 +3221 6814 +-6377 2276 +-12712 244 +2742 12509 +-549 3913 +-10995 -2022 +-3677 -9999 +-8588 -7435 +-10075 7824 +-3476 -706 +-3697 -9356 +9683 -3004 +13627 -3942 +4066 -12941 +6167 -4557 +612 5780 +-9096 -8782 +-12392 -8369 +-13645 2592 +-3425 -8215 +8682 -7292 +8779 10257 +10151 6732 +12200 -3809 +-2136 1903 +-6602 -4656 +4774 -15284 +-3755 -11856 +-9304 -10483 +6195 -4357 +6595 2122 +-1033 8043 +8467 4797 +11191 -7576 +4803 3041 +617 7817 +-9637 3271 +1577 10224 +9922 5615 +-9144 148 +-2969 -7035 +-268 -13100 +-10651 -2905 +-5006 3916 +-8442 -2211 +-1847 -12909 +-1320 -8570 +-9741 1472 +540 6862 +-6250 5421 +-12046 -9667 +-9509 -1918 +-5364 12813 +8432 9595 +-3773 6829 +-11175 -1933 +96 3623 +5448 7433 +12487 -709 +2384 8411 +-6999 5863 +1128 4352 +10445 6776 +9619 -1111 +-3911 6155 +-6217 -4338 +-8279 -10672 +-9014 9387 +-3651 1285 +-5607 -10318 +4939 -8447 +897 -11213 +-12448 -3776 +-2222 -3417 +4398 -8335 +8374 6194 +11956 8531 +12690 -4202 +6618 2152 +-5229 3565 +832 -12294 +-1369 -14591 +-11086 -9253 +3575 -7680 +10367 2565 +-3760 12576 +85 12182 +9923 8075 +-3497 -771 +-716 -7642 +14537 -4684 +6920 -9991 +1865 -13434 +6261 2957 +2536 6983 +-7445 3908 +590 9699 +12438 5143 +-192 5790 +-5986 4534 +-8489 -5916 +-7903 6902 +1461 11564 +-5795 -6365 +3423 -6466 +10165 -7799 +-4757 -10436 +-6932 -1865 +-4434 -8174 +9117 -9768 +5334 -5983 +-7218 -6817 +-6139 3329 +-8483 -953 +-457 -15795 +-254 -6483 +5445 2282 +7000 4246 +2615 11183 +9093 5480 +-5420 -2789 +-10971 -13240 +-2557 -7668 +-1207 5541 +-17 -3842 +2030 -6389 +2397 226 +-202 -1390 +2123 -14489 +-4809 -6119 +-4968 7752 +12815 -510 +9664 7413 +1037 -856 +-3093 -9426 +-11522 10237 +-2474 3577 +3522 -2189 +-2319 5779 +-1774 770 +2316 -3473 +-3747 -1171 +-16869 1221 +-7361 -4049 +9349 757 +11387 1376 +6983 -3454 +3556 7629 +10876 -3415 +4722 -15187 +1835 -9495 +13352 -10389 +-5032 -1425 +-9872 -624 +9628 -4073 +5997 1780 +-4589 -1287 +-10347 36 +-993 -4387 +7835 -11092 +-878 -3968 +2640 -7132 +6949 -4121 +5310 12113 +9191 10762 +-2113 3355 +-11611 1686 +-3719 -1701 +-8674 780 +-8793 -404 +5318 -9701 +902 -5007 +-2041 5243 +-1411 5715 +-1062 -5823 +5654 -10218 +-9313 7136 +-14024 4969 +-10172 -4074 +-14270 9402 +3375 10046 +-33 4814 +-7986 10929 +1919 9483 +-1919 -5622 +4578 -16377 +2781 -6470 +-5247 2033 +2282 -2081 +6143 -1725 +1221 1930 +14 -980 +12645 -6144 +1073 -7618 +-8806 -149 +8181 7745 +10538 2439 +1196 4051 +3027 4501 +13631 -1591 +-52 -2512 +-5738 -3520 +520 2947 +-12810 994 +-6164 1901 +4275 10065 +1744 7321 +5920 10100 +3013 11557 +-4427 4972 +-12141 -2817 +-11866 -6216 +8975 -3275 +14801 -400 +1279 4877 +-4793 10010 +-7715 9748 +-4265 6798 +-2898 6821 +651 4763 +12129 1770 +2644 3260 +-1380 -2109 +4887 -3536 +-11912 -2262 +-10202 -771 +362 6340 +-11034 -380 +-3918 2505 +10077 -530 +5497 -17133 +1860 -59 +771 3072 +2801 -11124 +15099 -8219 +6587 -4051 +-13542 5070 +-169 -1152 +7266 -5245 +-5428 -2151 +-7066 -7937 +-9368 147 +-9155 -2943 +-7019 -2233 +945 8862 +9559 4546 +2257 7139 +6094 3790 +6164 109 +-2285 -1861 +1847 -3991 +-18 13138 +-546 1766 +203 -19169 +3044 -5619 +1228 771 +-5590 -5033 +9703 -12100 +3974 -11871 +-8279 3104 +6777 9993 +-860 2564 +-2246 3184 +9129 11364 +138 -1258 +-346 -5897 +-1925 1633 +-8675 -10454 +-5752 -4052 +-6600 9266 +-6282 6316 +-5438 10753 +-7515 7873 +-6286 -991 +-6182 -11833 +-3424 -11231 +-3904 -521 +401 -8548 +4204 -2694 +-1370 8942 +2035 -3966 +-8112 -10184 +-9255 -532 +-1739 -2482 +-8027 -6627 +4901 2109 +-1367 3980 +-16951 -142 +-5938 -11646 +-1710 -11531 +2015 2036 +8011 -1666 +8171 -5861 +6081 -4930 +3523 4823 +823 14336 +-13355 5861 +-11536 -422 +4249 -2049 +-4486 7387 +-6491 9717 +-2696 -617 +-5865 8669 +4699 4343 +-1439 -8617 +-3606 -1713 +8553 5720 +-1953 3421 +4944 -7062 +12014 -5594 +-3286 -8706 +2002 -15518 +-2600 -5686 +-13389 -1717 +-1265 5558 +3361 3899 +1993 -6950 +3013 3743 +7103 8276 +11437 -3341 +1944 -10401 +-5517 1097 +1985 5917 +9725 -4758 +6950 4817 +2925 1380 +-1365 -8515 +-3903 8118 +7700 9942 +12128 -3293 +3994 -5006 +-217 4582 +1119 1058 +2010 -9361 +-10280 -3812 +-9451 2031 +364 12569 +-5953 11321 +3557 4011 +7774 9377 +-3373 -3190 +7013 -5555 +3120 3185 +-9223 2473 +4193 962 +3021 -12318 +-5923 -6966 +300 -3142 +-176 -10710 +750 5241 +-4662 1621 +-11669 -14051 +5155 -11473 +7929 -7777 +-1312 2323 +4485 3050 +3638 -5521 +-9687 -2001 +-7489 -1105 +10592 -348 +4877 -6370 +-1936 -8989 +3116 1908 +2523 -6589 +7329 -4368 +-4817 6360 +-14934 4613 +-5212 10572 +-2728 4655 +885 2704 +-2596 8218 +-11612 -4743 +-3379 -13337 +8461 -3112 +5095 4117 +2169 -2338 +11719 -3648 +10531 -8259 +4726 -7900 +-2418 7700 +-10659 2491 +-828 -1340 +-3027 1039 +-10682 -1158 +-2048 9838 +-128 -2131 +715 -14288 +-3629 1351 +-2658 5856 +11870 3608 +12933 2238 +7405 -2238 +1137 -8723 +6978 -8955 +7071 -1164 +-9899 603 +5892 -4499 +10492 -10376 +-5331 1666 +11368 9733 +13303 2852 +1206 6815 +532 -2583 +-4451 -15521 +-1901 -14626 +-984 -7265 +-1634 7920 +-5594 9326 +-12187 10708 +1402 7536 +-5328 -7476 +-15804 -3486 +6718 -191 +-1740 -2463 +-16671 -4735 +-3394 -8948 +-6927 -307 +-13296 6299 +-9187 6567 +793 4405 +5869 -735 +-4818 -9319 +-2445 -7345 +5810 9727 +6798 1241 +5264 -6049 +-20 2539 +7482 -2036 +7280 3830 +-8582 -871 +-13507 -9602 +-12246 1430 +-6202 -324 +-1881 1954 +-8995 2395 +-3398 -10407 +7230 -7088 +1701 447 +1964 -379 +-2194 -5428 +-6419 -6943 +7107 -7224 +5163 -8589 +-9398 -93 +-11087 -1115 +-1669 -1405 +-14 17745 +4492 4334 +13132 -13650 +-706 7130 +2649 7531 +12674 -2062 +-4436 -6702 +-8755 -6636 +-5816 5359 +1563 -12690 +1452 -13534 +-15407 8444 +-2196 -598 +9324 2396 +-411 10082 +1946 -5070 +3905 -11712 +6222 -1041 +6899 1936 +-2496 -5713 +-3817 -2034 +2109 -5643 +4155 -5777 +8395 3516 +12118 -7746 +10323 -5073 +5593 7210 +-7239 5627 +-11555 4259 +-4612 -364 +-5823 2021 +-7117 1948 +-11333 -849 +-9138 -2224 +808 -10673 +-53 -932 +-1627 6049 +-11414 2206 +-12601 8444 +-2292 303 +-2432 -3659 +6884 6048 +1685 7066 +-10030 8480 +-3065 5457 +-5179 2084 +-4476 5041 +-7454 5645 +-11689 2921 +-1047 -8168 +7182 -11333 +12612 -5421 +3142 -9347 +776 -4133 +9627 3588 +-20 274 +3 1970 +3098 -4657 +454 -8958 +9560 1879 +9088 -436 +-5521 -7917 +-5593 -9829 +-573 -2816 +-15519 9191 +-2109 6065 +18485 4046 +-6628 3286 +-5204 6890 +5402 9320 +-11370 -4887 +-6469 -11441 +-832 -11465 +2866 -4228 +10932 511 +6472 2840 +7316 10100 +13080 -1855 +-3245 -6036 +-18298 3381 +6144 6669 +3789 8831 +-16546 -896 +2377 -2770 +2488 7134 +923 4745 +8457 -3381 +363 -8004 +1985 -392 +-9417 -1833 +-3147 -11033 +13297 -4142 +-11112 -7299 +-10558 -3390 +13226 8195 +10937 -6341 +4972 -7222 +7926 6510 +4781 4960 +-5882 7651 +-9374 7950 +-12919 -2711 +-9429 -5278 +-3775 471 +-10398 7747 +-3148 12970 +2285 14394 +-665 7907 +-710 -4602 +-4014 -2181 +5153 4355 +2283 5101 +-6169 12923 +3354 3550 +6668 -7504 +5885 1427 +-6166 -2359 +-14397 -7285 +-6264 -9719 +-4324 -9472 +894 6528 +-1797 7874 +-43 315 +14553 -5654 +7356 -3379 +1534 7115 +583 -2578 +-4955 -4079 +5903 915 +15321 -3773 +11484 -4071 +4487 -12069 +-1560 -8281 +-6130 -4555 +-5580 -14436 +4157 -11216 +8596 -6281 +5013 1926 +6226 8150 +415 6839 +-5331 11383 +8289 10500 +2366 -4488 +-14090 -13133 +-2709 8450 +-6561 6836 +-9843 -13712 +2495 105 +-4883 6203 +-6092 1987 +-1886 7780 +627 7265 +3219 -11579 +4402 -12687 +2761 5488 +-7789 1423 +3689 3377 +12164 5116 +2562 2159 +-903 2911 +-12911 -2628 +-13281 2331 +-988 74 +-6556 -2267 +-8109 11061 +2147 13904 +5193 11831 +10162 11069 +8874 400 +734 -2468 +10453 639 +14065 -6678 +566 -1839 +-3349 6605 +-5951 5988 +-4363 7873 +7626 13 +1274 536 +-11402 -3377 +-6990 -15069 +-275 5325 +4957 9585 +-2968 -4452 +-14421 -6583 +464 -12074 +4719 -8583 +-7644 -13084 +4439 -4625 +12635 9301 +103 -8860 +-48 -5632 +5577 4346 +554 2542 +-10 6029 +-2011 -1506 +-10404 -2600 +-2098 -4901 +5946 -6889 +-907 -5280 +2000 -6545 +8450 4645 +3148 3419 +-4790 1271 +-3758 4355 +-3654 -3462 +-2439 -4119 +4111 -6842 +-11324 -2890 +-11579 -1745 +12767 -9065 +3900 -6977 +-78 -10719 +14634 -51 +5043 5193 +-9915 -1689 +-6250 6496 +11305 -3769 +12932 -3041 +-2478 5760 +-6261 -5675 +-1462 5465 +2391 12138 +-1188 3740 +-3588 323 +-5731 -12005 +-10191 -10029 +-1153 -977 +-2378 -6185 +-16522 869 +-10297 8614 +1092 -301 +-925 -1182 +-1598 956 +-5 -930 +305 -427 +337 -877 +-795 -512 +-508 -477 +-592 -528 +-265 -348 +-249 -527 +-503 -653 +-467 -838 +-782 -1239 +-299 -918 +-141 -349 +-543 -425 +-594 -372 +-160 -792 +-456 -594 +-418 -349 +-621 -584 +-852 -822 +-521 -494 +-464 -166 +50 -602 +-164 -320 +-580 -504 +-287 -679 +-254 -429 +-110 -421 +-175 -398 +-264 -923 +-12 -604 +-590 -597 +-827 -1027 +-526 -789 +-470 -305 +-244 -205 +-156 -896 +-124 -883 +-447 -432 +-499 -332 +-382 -717 +-304 -945 +-102 -864 +-475 -702 +-353 -401 +-43 -218 +25 -155 +-60 -698 +-520 -800 +-461 -315 +-408 -758 +-314 -1006 +-170 -766 +-186 -855 +-152 -497 +-527 -872 +-496 -945 +-212 -319 +-18 -776 +281 -738 +-162 -549 +-398 -461 +-509 -481 +-811 -854 +-212 -330 +-165 -245 +-663 -506 +-545 -479 +-282 -599 +-186 -605 +-235 -567 +-185 -277 +-265 -326 +-436 -524 +-533 -606 +-790 -834 +-347 -913 +258 -416 +-266 -371 +-328 -897 +-61 -1003 +-530 -715 +-331 -298 +36 -298 +-355 -479 +-400 -684 +-498 -270 +-548 -381 +-51 -576 +-186 -393 +-15 -839 +72 -577 +-468 -655 +-274 -603 +-371 -593 +-362 -826 +-176 -370 +-335 -648 +-284 -760 +-557 -491 +-329 -320 +-149 -480 +-288 -466 +-307 -140 +-660 -420 +-240 -538 +-519 -780 +-800 -690 +-401 -157 +-353 -256 +-3 -553 +-285 -297 +-189 -245 +-245 -635 +-519 -669 +6 -387 +-69 -419 +-520 -645 +-601 -480 +-559 -514 +-555 -213 +-464 -277 +-898 -604 +-817 -1036 +-596 -1353 +-946 -874 +-620 -680 +-420 -181 +-294 -360 +-345 -864 +-85 -859 +96 -518 +-449 -211 +-37 -364 +179 -328 +-367 -516 +-249 -400 +-129 -423 +-672 -1092 +-868 -1101 +-671 -501 +-468 -245 +-267 -400 +-344 -671 +-365 -675 +-527 -474 +-309 -657 +-275 -792 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +-3072 0 +-2612 -4040 +1017 857 +1910 8 +280 -1260 +836 -1025 +-667 -1711 +-760 -1796 +-2048 724 +927 1635 +7879 -3133 +1201 -1396 +-2956 -1186 +385 -626 +-3075 5331 +-2034 1647 +-1024 1024 +-2489 1870 +2551 3403 +3310 8464 +-1388 862 +-2565 -696 +1633 1251 +2309 -2702 +-2048 724 +-21 547 +1043 1474 +1207 1004 +4064 -3308 +-491 4634 +-2190 5921 +-1112 -2929 +-3072 0 +-1112 2929 +-2190 -5921 +-491 -4634 +4064 3308 +1207 -1004 +1043 -1474 +-21 -547 +-2048 -724 +2309 2702 +1633 -1251 +-2565 696 +-1388 -862 +3310 -8464 +2551 -3403 +-2489 -1870 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +3072 -1024 +-1571 -5166 +-4434 -270 +-1411 3120 +3476 536 +322 1567 +-1576 -455 +1862 -3694 +1448 -1148 +-751 2209 +-3019 -804 +-218 -2221 +645 1754 +1611 1235 +3656 1063 +-2905 1446 +1024 -2048 +4489 -361 +-3256 2766 +-2576 1435 +-2537 -138 +-1741 -638 +1881 -404 +952 249 +0 300 +176 -3100 +3279 -2463 +273 2866 +-4260 1320 +-395 1082 +2425 868 +272 -4200 +-3072 -3072 +2160 -1544 +4094 1534 +1366 2893 +7261 -4032 +2606 -178 +-2548 4395 +900 1771 +-1448 3196 +-270 -726 +1791 960 +4714 4409 +5996 -2354 +-89 761 +-1100 1769 +-290 -5880 +-1024 -6144 +-1293 -4074 +700 66 +1054 561 +-4104 -462 +-2754 2825 +-654 560 +69 1233 +0 1748 +-2939 1177 +845 6403 +-3201 -1478 +-6477 -4816 +440 4931 +-2085 396 +-861 -2510 +3072 -1024 +-1571 -5166 +-4434 -270 +-1411 3120 +3476 536 +322 1567 +-1576 -455 +1862 -3694 +1448 -1148 +-751 2209 +-3019 -804 +-218 -2221 +645 1754 +1611 1235 +3656 1063 +-2905 1446 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +1024 -4096 +-376 5265 +-1686 7869 +2661 -1165 +424 -802 +-1150 938 +1576 2758 +-480 -2361 +1448 -3196 +3086 1546 +1049 -3014 +1865 -2925 +1688 876 +-1360 80 +-3656 998 +1139 3143 +3072 1024 +-1556 690 +909 3651 +-307 -330 +-2472 -692 +2360 -1747 +-1881 -7086 +-3991 -866 +0 2596 +-1935 3892 +-1410 4037 +332 -4527 +1468 -4586 +1306 -864 +-2425 212 +-2169 -1929 +-1024 -4096 +586 -123 +2026 -1491 +-340 -1064 +424 -2694 +1628 -6111 +2548 3637 +1734 5852 +-1448 1148 +1953 -1461 +178 -5078 +-2954 -3046 +3256 -1476 +2114 1708 +1100 2513 +2855 -276 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +234 1106 +-2127 4038 +-381 1363 +-1114 351 +-5456 188 +2193 -378 +-1904 -3770 +-1967 494 +462 -256 +-3998 -2231 +-611 -3337 +2597 -3432 +1348 4382 +-1516 1328 +-1170 -1864 +-2479 -1290 +-1422 398 +-4009 478 +-3692 -2275 +3454 -4004 +3583 526 +801 3490 +-2525 -1227 +-1366 1871 +610 -1025 +2837 102 +-1820 1942 +2161 3228 +6818 4665 +-290 -4117 +884 -559 +-5108 -1097 +1030 2054 +1004 2401 +-402 -3161 +9466 1763 +39 -1082 +2152 -825 +-776 3108 +-928 2308 +802 -7961 +-6649 -5081 +3876 2948 +3329 -1985 +79 336 +631 1179 +-2132 482 +-126 -1457 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +-2920 316 +3562 1685 +2560 3249 +-1982 5130 +2434 1670 +3085 1423 +380 -1729 +892 -3746 +1221 1908 +1188 -4947 +6338 -2585 +3931 2350 +-4587 -150 +-3535 6968 +-218 -165 +595 -518 +-316 240 +278 -845 +-3972 2167 +-5574 -2931 +1166 3948 +-1206 -4043 +-581 -6119 +-338 1979 +-5013 -1568 +-2738 -2620 +-2264 -4816 +426 720 +1333 -2013 +-2103 -767 +28 2154 +2454 535 +1656 3476 +-1646 -4212 +277 -5442 +6020 -1808 +895 2508 +-3488 1729 +420 127 +-2049 1626 +-4697 1568 +1542 476 +5764 -7645 +591 -1781 +-2241 -945 +727 -2886 +262 3927 +-2568 -917 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-2130 -1422 +4283 -1563 +-157 -1265 +-3984 -2200 +-92 1500 +-473 -319 +-1927 -21 +3566 5084 +-1442 1283 +-2032 1365 +-1278 -177 +-2890 -2854 +1490 152 +1200 -1517 +3163 -5124 +-218 303 +-1580 -4664 +1289 -4550 +1130 8820 +1914 -164 +1394 -3401 +1464 -3269 +-386 66 +-1055 4911 +-3298 -5453 +-4061 908 +-1096 3028 +-2167 1185 +-2945 -1238 +-83 -5792 +-833 604 +-678 857 +2762 1738 +-2719 -192 +-4005 626 +-220 802 +555 -644 +1667 2033 +1763 -515 +254 2106 +-2666 -1283 +1233 -2020 +2105 2677 +-356 115 +1839 1782 +563 162 +-2884 -889 +1855 1048 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +82 316 +2516 -3780 +2157 1746 +2025 5076 +2653 -4031 +-694 -2248 +-2781 30 +-4010 -2582 +-1738 1541 +1385 -531 +-2009 1252 +1089 4218 +4916 4117 +-1520 816 +-182 1608 +1110 3214 +3002 556 +-410 3452 +-8717 997 +1088 1070 +-1319 -27 +-5202 1670 +1615 4427 +-2233 -2607 +528 -2427 +1124 740 +-3229 1220 +1828 -597 +3083 -2048 +-377 -2904 +3602 -1923 +3791 -5590 +2130 -4108 +5297 2072 +3014 -2255 +1876 2438 +-2129 609 +-6110 -5795 +-3394 -3230 +-900 639 +157 987 +-1300 -1683 +1421 1195 +2592 -1131 +1142 1169 +2053 -2345 +-1223 -3991 +-2505 3131 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +-3072 0 +-2612 -4040 +1017 857 +1910 8 +280 -1260 +836 -1025 +-667 -1711 +-760 -1796 +-2048 724 +927 1635 +7879 -3133 +1201 -1396 +-2956 -1186 +385 -626 +-3075 5331 +-2034 1647 +-1024 1024 +-2489 1870 +2551 3403 +3310 8464 +-1388 862 +-2565 -696 +1633 1251 +2309 -2702 +-2048 724 +-21 547 +1043 1474 +1207 1004 +4064 -3308 +-491 4634 +-2190 5921 +-1112 -2929 +-3072 0 +-1112 2929 +-2190 -5921 +-491 -4634 +4064 3308 +1207 -1004 +1043 -1474 +-21 -547 +-2048 -724 +2309 2702 +1633 -1251 +-2565 696 +-1388 -862 +3310 -8464 +2551 -3403 +-2489 -1870 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +-1024 -1024 +-2486 -5242 +14 -4350 +298 771 +834 -78 +-2629 345 +-1114 -809 +-183 -3152 +-3920 -724 +-3731 1041 +-1946 2085 +4470 1717 +834 476 +-724 1958 +4125 1470 +-5619 778 +1024 0 +7202 -1028 +-3725 3173 +-241 2159 +-2726 -1416 +-6430 3300 +808 2485 +3932 -919 +5368 724 +2222 -2558 +2817 -2817 +3223 1645 +-1618 706 +-2104 -1267 +-2022 -3212 +1187 -4277 +1024 -3072 +856 -1954 +2987 1166 +-962 776 +3510 -1970 +3941 494 +-2346 2737 +2092 3757 +1872 724 +-1929 -3654 +-1514 2978 +-23 4804 +3510 -2524 +3195 720 +-1124 2914 +-3580 -3158 +-1024 -4096 +1996 -1352 +725 1211 +-2230 519 +-1618 -632 +1983 3221 +2651 2579 +1728 -1694 +-3320 -724 +-4130 3162 +643 4746 +-4535 -805 +-2726 -2754 +2768 2814 +-978 28 +443 -2920 +-1024 -1024 +-2486 -5242 +14 -4350 +298 771 +834 -78 +-2629 345 +-1114 -809 +-183 -3152 +-3920 -724 +-3731 1041 +-1946 2085 +4470 1717 +834 476 +-724 1958 +4125 1470 +-5619 778 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +1024 -4096 +-376 5265 +-1686 7869 +2661 -1165 +424 -802 +-1150 938 +1576 2758 +-480 -2361 +1448 -3196 +3086 1546 +1049 -3014 +1865 -2925 +1688 876 +-1360 80 +-3656 998 +1139 3143 +3072 1024 +-1556 690 +909 3651 +-307 -330 +-2472 -692 +2360 -1747 +-1881 -7086 +-3991 -866 +0 2596 +-1935 3892 +-1410 4037 +332 -4527 +1468 -4586 +1306 -864 +-2425 212 +-2169 -1929 +-1024 -4096 +586 -123 +2026 -1491 +-340 -1064 +424 -2694 +1628 -6111 +2548 3637 +1734 5852 +-1448 1148 +1953 -1461 +178 -5078 +-2954 -3046 +3256 -1476 +2114 1708 +1100 2513 +2855 -276 +-3072 3072 +-2438 2177 +1647 -140 +-446 2119 +-2472 92 +-1270 -4225 +654 -1006 +-1047 952 +0 -4644 +681 -402 +-2714 2359 +-810 -645 +-2316 1090 +-3627 -1365 +2085 6166 +1959 7071 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +234 1106 +-2127 4038 +-381 1363 +-1114 351 +-5456 188 +2193 -378 +-1904 -3770 +-1967 494 +462 -256 +-3998 -2231 +-611 -3337 +2597 -3432 +1348 4382 +-1516 1328 +-1170 -1864 +-2479 -1290 +-1422 398 +-4009 478 +-3692 -2275 +3454 -4004 +3583 526 +801 3490 +-2525 -1227 +-1366 1871 +610 -1025 +2837 102 +-1820 1942 +2161 3228 +6818 4665 +-290 -4117 +884 -559 +-5108 -1097 +1030 2054 +1004 2401 +-402 -3161 +9466 1763 +39 -1082 +2152 -825 +-776 3108 +-928 2308 +802 -7961 +-6649 -5081 +3876 2948 +3329 -1985 +79 336 +631 1179 +-2132 482 +-126 -1457 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +-2920 316 +3562 1685 +2560 3249 +-1982 5130 +2434 1670 +3085 1423 +380 -1729 +892 -3746 +1221 1908 +1188 -4947 +6338 -2585 +3931 2350 +-4587 -150 +-3535 6968 +-218 -165 +595 -518 +-316 240 +278 -845 +-3972 2167 +-5574 -2931 +1166 3948 +-1206 -4043 +-581 -6119 +-338 1979 +-5013 -1568 +-2738 -2620 +-2264 -4816 +426 720 +1333 -2013 +-2103 -767 +28 2154 +2454 535 +1656 3476 +-1646 -4212 +277 -5442 +6020 -1808 +895 2508 +-3488 1729 +420 127 +-2049 1626 +-4697 1568 +1542 476 +5764 -7645 +591 -1781 +-2241 -945 +727 -2886 +262 3927 +-2568 -917 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-2130 -1422 +4283 -1563 +-157 -1265 +-3984 -2200 +-92 1500 +-473 -319 +-1927 -21 +3566 5084 +-1442 1283 +-2032 1365 +-1278 -177 +-2890 -2854 +1490 152 +1200 -1517 +3163 -5124 +-218 303 +-1580 -4664 +1289 -4550 +1130 8820 +1914 -164 +1394 -3401 +1464 -3269 +-386 66 +-1055 4911 +-3298 -5453 +-4061 908 +-1096 3028 +-2167 1185 +-2945 -1238 +-83 -5792 +-833 604 +-678 857 +2762 1738 +-2719 -192 +-4005 626 +-220 802 +555 -644 +1667 2033 +1763 -515 +254 2106 +-2666 -1283 +1233 -2020 +2105 2677 +-356 115 +1839 1782 +563 162 +-2884 -889 +1855 1048 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +82 316 +2516 -3780 +2157 1746 +2025 5076 +2653 -4031 +-694 -2248 +-2781 30 +-4010 -2582 +-1738 1541 +1385 -531 +-2009 1252 +1089 4218 +4916 4117 +-1520 816 +-182 1608 +1110 3214 +3002 556 +-410 3452 +-8717 997 +1088 1070 +-1319 -27 +-5202 1670 +1615 4427 +-2233 -2607 +528 -2427 +1124 740 +-3229 1220 +1828 -597 +3083 -2048 +-377 -2904 +3602 -1923 +3791 -5590 +2130 -4108 +5297 2072 +3014 -2255 +1876 2438 +-2129 609 +-6110 -5795 +-3394 -3230 +-900 639 +157 987 +-1300 -1683 +1421 1195 +2592 -1131 +1142 1169 +2053 -2345 +-1223 -3991 +-2505 3131 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +-3072 0 +-2612 -4040 +1017 857 +1910 8 +280 -1260 +836 -1025 +-667 -1711 +-760 -1796 +-2048 724 +927 1635 +7879 -3133 +1201 -1396 +-2956 -1186 +385 -626 +-3075 5331 +-2034 1647 +-1024 1024 +-2489 1870 +2551 3403 +3310 8464 +-1388 862 +-2565 -696 +1633 1251 +2309 -2702 +-2048 724 +-21 547 +1043 1474 +1207 1004 +4064 -3308 +-491 4634 +-2190 5921 +-1112 -2929 +-3072 0 +-1112 2929 +-2190 -5921 +-491 -4634 +4064 3308 +1207 -1004 +1043 -1474 +-21 -547 +-2048 -724 +2309 2702 +1633 -1251 +-2565 696 +-1388 -862 +3310 -8464 +2551 -3403 +-2489 -1870 +-1024 -1024 +-2034 -1647 +-3075 -5331 +385 626 +-2956 1186 +1201 1396 +7879 3133 +927 -1635 +-2048 -724 +-760 1796 +-667 1711 +836 1025 +280 1260 +1910 -8 +1017 -857 +-2612 4040 +-2048 0 +-128 -3798 +-3820 -4291 +-2241 928 +-1586 -1244 +-4379 -1269 +1266 421 +29 -338 +-3196 -1024 +-1028 -129 +-1316 -2935 +1625 -927 +692 4873 +1435 -1490 +4015 1133 +-2772 5922 +1024 0 +4355 4116 +-3616 2836 +-2400 -1289 +-2584 2981 +-3585 656 +178 -2535 +1228 -2089 +4644 424 +2009 256 +437 -1587 +4973 31 +802 -461 +434 -1111 +1811 -3153 +-1171 -2833 +2048 -2048 +1120 -2015 +-940 4771 +484 -694 +-1910 -6596 +-219 2445 +3274 5411 +3371 4223 +1148 -1024 +-1573 -3756 +560 1198 +697 -249 +-92 -1128 +3728 1256 +2313 2483 +-2237 -108 +-1024 -2048 +654 1698 +-2712 780 +-2762 1055 +1984 764 +1263 -1833 +578 799 +1373 -1796 +-2596 -2472 +-5409 3628 +-4977 7420 +-376 1146 +2694 -7380 +1322 1345 +2949 3633 +180 -2981 +-2048 0 +-128 -3798 +-3820 -4291 +-2241 928 +-1586 -1244 +-4379 -1269 +1266 421 +29 -338 +-3196 -1024 +-1028 -129 +-1316 -2935 +1625 -927 +692 4873 +1435 -1490 +4015 1133 +-2772 5922 +-2048 0 +-971 -595 +-3184 -1530 +-1764 567 +-438 1592 +-1334 1465 +1376 421 +-1940 -537 +-1324 -4344 +-650 -321 +-6365 3401 +693 -1913 +3888 -640 +-798 2138 +1899 6174 +1022 4276 +1024 -4096 +561 2470 +-1500 7877 +-168 2339 +-5780 -2532 +-2653 -329 +5227 3801 +851 -2281 +2772 -2896 +3978 57 +326 -1587 +1929 2764 +-346 2376 +-42 -1471 +1176 -392 +-328 371 +2048 -2048 +-661 -355 +-2479 4702 +-576 -1422 +-762 -2192 +2069 754 +-1065 -4389 +-5985 2153 +-2772 4344 +-1923 273 +-1515 1767 +2259 -4091 +3104 -2856 +675 2501 +629 -2354 +2698 -4894 +-1024 -2048 +-4281 -3088 +-1029 -4056 +291 2300 +-1212 -964 +-299 -5674 +2653 1367 +1722 2233 +1324 2896 +3947 1559 +-638 -2381 +-2664 -545 +1546 -2976 +2382 616 +4488 3564 +1960 -1320 +-2048 0 +-971 -595 +-3184 -1530 +-1764 567 +-438 1592 +-1334 1465 +1376 421 +-1940 -537 +-1324 -4344 +-650 -321 +-6365 3401 +693 -1913 +3888 -640 +-798 2138 +1899 6174 +1022 4276 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +234 1106 +-2127 4038 +-381 1363 +-1114 351 +-5456 188 +2193 -378 +-1904 -3770 +-1967 494 +462 -256 +-3998 -2231 +-611 -3337 +2597 -3432 +1348 4382 +-1516 1328 +-1170 -1864 +-2479 -1290 +-1422 398 +-4009 478 +-3692 -2275 +3454 -4004 +3583 526 +801 3490 +-2525 -1227 +-1366 1871 +610 -1025 +2837 102 +-1820 1942 +2161 3228 +6818 4665 +-290 -4117 +884 -559 +-5108 -1097 +1030 2054 +1004 2401 +-402 -3161 +9466 1763 +39 -1082 +2152 -825 +-776 3108 +-928 2308 +802 -7961 +-6649 -5081 +3876 2948 +3329 -1985 +79 336 +631 1179 +-2132 482 +-126 -1457 +-2370 2130 +-3604 920 +-1704 -690 +-3485 6073 +-62 368 +4402 -3059 +-297 -1087 +4070 1111 +6974 -239 +3663 267 +2207 -1847 +-1736 -2887 +-29 4522 +1982 1488 +-2191 -140 +-4239 -1048 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +-2920 316 +3562 1685 +2560 3249 +-1982 5130 +2434 1670 +3085 1423 +380 -1729 +892 -3746 +1221 1908 +1188 -4947 +6338 -2585 +3931 2350 +-4587 -150 +-3535 6968 +-218 -165 +595 -518 +-316 240 +278 -845 +-3972 2167 +-5574 -2931 +1166 3948 +-1206 -4043 +-581 -6119 +-338 1979 +-5013 -1568 +-2738 -2620 +-2264 -4816 +426 720 +1333 -2013 +-2103 -767 +28 2154 +2454 535 +1656 3476 +-1646 -4212 +277 -5442 +6020 -1808 +895 2508 +-3488 1729 +420 127 +-2049 1626 +-4697 1568 +1542 476 +5764 -7645 +591 -1781 +-2241 -945 +727 -2886 +262 3927 +-2568 -917 +-3476 2288 +2816 6615 +4065 -332 +-1197 -1029 +-71 5146 +-2187 3643 +-1822 2281 +1365 2191 +905 -1908 +3567 -1144 +1136 -2294 +-1842 -2810 +-1457 -1316 +-2389 1455 +2794 2051 +1807 -1521 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-2130 -1422 +4283 -1563 +-157 -1265 +-3984 -2200 +-92 1500 +-473 -319 +-1927 -21 +3566 5084 +-1442 1283 +-2032 1365 +-1278 -177 +-2890 -2854 +1490 152 +1200 -1517 +3163 -5124 +-218 303 +-1580 -4664 +1289 -4550 +1130 8820 +1914 -164 +1394 -3401 +1464 -3269 +-386 66 +-1055 4911 +-3298 -5453 +-4061 908 +-1096 3028 +-2167 1185 +-2945 -1238 +-83 -5792 +-833 604 +-678 857 +2762 1738 +-2719 -192 +-4005 626 +-220 802 +555 -644 +1667 2033 +1763 -515 +254 2106 +-2666 -1283 +1233 -2020 +2105 2677 +-356 115 +1839 1782 +563 162 +-2884 -889 +1855 1048 +2844 -3236 +-4962 -3415 +-1546 -74 +-6 2687 +1304 3809 +522 850 +-1129 100 +3816 -3887 +-2074 -868 +-552 4040 +1055 -995 +2716 2278 +4040 1831 +132 638 +6025 3251 +-18 368 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +82 316 +2516 -3780 +2157 1746 +2025 5076 +2653 -4031 +-694 -2248 +-2781 30 +-4010 -2582 +-1738 1541 +1385 -531 +-2009 1252 +1089 4218 +4916 4117 +-1520 816 +-182 1608 +1110 3214 +3002 556 +-410 3452 +-8717 997 +1088 1070 +-1319 -27 +-5202 1670 +1615 4427 +-2233 -2607 +528 -2427 +1124 740 +-3229 1220 +1828 -597 +3083 -2048 +-377 -2904 +3602 -1923 +3791 -5590 +2130 -4108 +5297 2072 +3014 -2255 +1876 2438 +-2129 609 +-6110 -5795 +-3394 -3230 +-900 639 +157 987 +-1300 -1683 +1421 1195 +2592 -1131 +1142 1169 +2053 -2345 +-1223 -3991 +-2505 3131 +-790 -1188 +-1622 -1492 +-693 1856 +-2374 4570 +-1733 -1607 +4729 -1407 +-1467 5 +-5938 -2845 +420 6851 +2319 4428 +472 -2218 +443 -6335 +4763 -710 +341 6479 +-3755 -718 +-413 -141 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +-27 73 +-16 77 +-52 11 +-1221 -1701 +-4720 -5995 +-5958 -3016 +-290 5909 +3637 2732 +-2893 -216 +-3787 5596 +5543 628 +8380 -5679 +7448 -5776 +6655 -1494 +-1289 6206 +-4496 2171 +3201 148 +2728 6385 +-6100 395 +-7680 -6273 +-6724 -5899 +-6409 -1525 +854 5949 +4199 2078 +-2983 386 +-2780 6267 +5646 -365 +7380 -7132 +6477 -7058 +6437 -2605 +-158 6406 +-4108 2977 +3208 -440 +3903 5741 +-6027 1423 +-8878 -4883 +-7872 -4676 +-6757 -372 +1875 5735 +4561 1273 +-2964 881 +-1665 6708 +5622 -1369 +6122 -8457 +5158 -8199 +5927 -3773 +1069 6323 +-3545 3648 +3100 -1075 +4995 4959 +-5763 2612 +-9781 -3056 +-8757 -3128 +-6824 915 +3017 5275 +4835 355 +-2727 1446 +-325 6940 +5349 -2395 +4457 -9452 +3599 -8990 +5237 -4813 +2220 6029 +-2838 4255 +2946 -1588 +5874 4023 +-5246 3532 +-10271 -1339 +-9284 -1549 +-6628 2140 +3979 4728 +4884 -458 +-2511 1938 +849 6917 +4841 -3402 +2665 -10183 +1875 -9518 +4277 -5709 +3410 5553 +-2005 4746 +2596 -2169 +6623 2796 +-4518 4515 +-10522 699 +-9537 336 +-6144 3377 +4812 3775 +4694 -1459 +-2041 2346 +2272 6476 +4097 -4288 +605 -10363 +-61 -9644 +3063 -6389 +4399 4766 +-1058 4978 +2146 -2581 +7078 1491 +-3598 5240 +-10270 2558 +-9363 1997 +-5518 4364 +5399 2870 +4459 -2207 +-1599 2674 +3332 5993 +3373 -4834 +-1074 -10262 +-1635 -9462 +2017 -6777 +5203 3958 +-219 5064 +1662 -2910 +7263 265 +-2658 5765 +-9693 4341 +-8869 3626 +-4679 5260 +5848 1873 +4002 -2947 +-1219 2896 +4314 5338 +2579 -5328 +-2758 -10004 +-3148 -9115 +905 -6997 +5750 3074 +553 5038 +1186 -3120 +7281 -843 +-1784 6114 +-9015 5726 +-8371 4929 +-3934 5936 +6180 977 +3610 -3529 +-740 3041 +5147 4622 +1758 -5609 +-4350 -9324 +-4595 -8379 +-264 -7007 +6208 2059 +1439 4815 +720 -3295 +7081 -2008 +-764 6264 +-7995 7049 +-7512 6147 +-2928 6425 +6293 -22 +3009 -3990 +-247 3111 +5802 3681 +746 -5839 +-5901 -8469 +-5959 -7472 +-1416 -6853 +6525 1043 +2208 4532 +111 -3355 +6661 -3097 +259 6282 +-5875 7634 +-5135 2919 +-2075 -3816 +5943 -8731 +1078 -9425 +-3214 -8184 +4430 -2569 +4280 2640 +-640 7106 +5397 3643 +9323 -3413 +5517 2171 +-521 5179 +-6577 4270 +1348 7004 +5340 5296 +-4178 1533 +-2175 -5620 +-1471 -8658 +-5242 -1414 +-4247 4563 +-6122 73 +-2905 -9052 +-2997 -6081 +-4684 1529 +974 5988 +-3083 5297 +-9290 -2930 +-7673 1785 +211 8476 +7912 5808 +-188 5890 +-7086 2810 +51 3633 +6450 1623 +9034 -3393 +5116 3784 +-1345 6734 +2678 3984 +8833 -1817 +6721 -3742 +1895 4138 +-5395 794 +-7989 531 +-581 7952 +-1770 4329 +-5890 -2251 +-2189 -8416 +-4933 -4965 +-6803 4545 +-4177 396 +-1488 -5842 +7282 -3117 +9220 -4019 +4231 -9716 +4436 -3291 +1061 3632 +-5859 -4027 +-8940 -3096 +-9355 3076 +-4053 -5128 +4755 -6918 +8291 4203 +8887 2435 +8104 -3799 +290 1945 +-4283 -1098 +-772 -10394 +-5032 -7861 +-7753 -4177 +1810 -4752 +5032 -761 +4014 5773 +6592 -664 +5129 -8466 +5728 -1887 +2924 4265 +-2487 7507 +5542 4442 +7690 540 +-2267 3813 +-5424 -2873 +-6873 -5546 +-5053 2385 +-258 6162 +-4672 4135 +-8269 -4714 +-6394 -2498 +-2608 4191 +4806 3736 +1267 5937 +-9120 4015 +-4823 6405 +5773 6119 +10064 -892 +3903 4421 +-3558 6705 +2466 2649 +6104 -3038 +4746 -8425 +6419 -570 +3360 5817 +4658 1135 +5639 -7181 +2759 -7172 +4283 1795 +-3598 4219 +-5849 5696 +4860 6144 +1565 4354 +-6059 2393 +-7440 -4547 +-7142 -248 +-2100 7807 +-2907 3070 +-5109 -3206 +3404 -7143 +4368 -9099 +-3266 -9826 +1185 -5304 +3303 1940 +-7203 899 +-9052 3656 +-5184 8413 +-6549 -1096 +-1028 -8196 +9169 -2438 +8542 -4159 +3652 -8126 +1491 1336 +-4003 2156 +-7623 -6904 +-9189 -2215 +-8766 2241 +-1866 -4665 +3324 -3945 +7026 1447 +4646 -4974 +-1796 -9587 +3122 -5228 +5165 1069 +3193 7033 +7182 -581 +6173 -4735 +914 4314 +-5979 1574 +-8948 634 +-2239 5162 +3924 4697 +-820 6169 +-9550 2058 +-6678 2455 +751 4854 +6115 -402 +4877 3592 +-4432 8977 +500 7920 +8648 703 +7237 -7259 +5923 770 +598 7189 +-1700 2127 +-3311 2843 +-4711 3636 +220 2999 +2777 3709 +2834 1303 +-154 3565 +-9447 3529 +-13238 -5936 +-5002 -2725 +2360 7544 +-4214 1770 +-4489 -4937 +6882 -3247 +-582 -2178 +-13516 -5327 +-5634 -9821 +3644 -4423 +2935 1859 +3783 -153 +3641 -1654 +470 -4912 +513 -5639 +-684 -2608 +-3862 -7285 +-8996 -9853 +-6924 -2670 +5661 2552 +6452 4741 +3683 8719 +317 8083 +-8624 4472 +-1034 8035 +6698 8554 +5575 52 +2026 -1965 +-7670 -172 +-3066 -3606 +4049 -3511 +2600 -2554 +4830 -1714 +5638 4862 +6400 352 +625 -6409 +-2617 3949 +5395 4132 +7488 -4571 +5099 726 +1879 3829 +2100 3253 +-1248 3888 +-8173 -515 +-220 666 +5930 2389 +7258 -5390 +3717 -9082 +-7367 -6214 +-490 -7013 +7537 -8665 +6553 -4992 +7031 -1414 +-4348 202 +-12194 6160 +-6788 7788 +-1655 2576 +-572 4267 +-1432 5772 +2075 2100 +4544 1034 +3064 -820 +2210 2813 +-5016 8778 +-14104 4201 +-5674 1253 +6739 4023 +-2114 4038 +-6779 -735 +3248 -6841 +-1794 -1097 +-12676 5927 +-7858 1780 +-536 -1381 +2824 -410 +7392 -1765 +6749 -2585 +672 -2781 +3528 -8500 +3586 -5670 +-924 3972 +5220 2114 +7140 -481 +655 -1963 +57 -8011 +7013 -1982 +9598 6888 +-51 4190 +-3183 6269 +-3240 9575 +-10512 773 +-1833 -3366 +9920 1533 +4923 1103 +-3542 -1005 +-9330 -5022 +-6445 -9100 +-4613 -3831 +-9026 -955 +-2916 -4391 +4867 -8955 +4765 -10005 +-696 925 +-7051 8509 +-4459 6654 +-3572 3722 +-7244 343 +-3436 2344 +-95 430 +-735 -2185 +-1991 3043 +-6285 -2041 +-5845 -8052 +-382 -2433 +571 4354 +4577 6724 +8729 -378 +1205 -2958 +1705 5592 +9917 4114 +3791 -5617 +-2901 -4192 +-3118 6074 +-2818 7973 +2123 3828 +6052 3586 +5491 3773 +-1920 4380 +-4776 2673 +6070 -1406 +7136 -386 +5657 151 +11517 -1400 +-1662 -1493 +-15939 -886 +-9231 3120 +-2690 4083 +-1297 -303 +1841 -2162 +4589 -6128 +3559 -6311 +-1265 -2492 +-2768 -8553 +-892 -6490 +1875 3514 +5462 -1887 +5288 -5014 +-744 -1918 +-5213 -6015 +4036 -6028 +11928 -1209 +3061 1111 +-872 -670 +6397 5428 +7047 9966 +3085 2273 +13498 1755 +10307 7789 +1556 8465 +2977 7898 +661 3580 +1979 -5506 +2099 -6382 +-719 -4871 +-3853 -9398 +-6888 -7741 +-3335 -4427 +-4474 -2199 +-5634 9247 +-643 14820 +1019 6595 +1562 107 +-897 -1967 +-1080 -5587 +6401 -6538 +8753 1295 +1272 4726 +-5817 -4179 +-7054 -4091 +-4014 4328 +3834 -76 +6397 -6058 +88 -2725 +-3696 -819 +-2332 368 +1141 3051 +1617 4764 +3913 6361 +4006 3004 +-8469 -1442 +-9718 164 +1752 -335 +-1715 -2178 +-10815 846 +-7014 -489 +80 -4649 +-1354 -3150 +-5160 -1863 +-7951 -1265 +-7141 -2818 +-4289 -3044 +-6826 3271 +-2028 -374 +3904 -2109 +-954 7320 +-3999 430 +-4357 -4802 +1450 5662 +6550 3898 +1429 -2636 +854 -578 +4099 48 +95 848 +-4904 4410 +3820 149 +9125 -6816 +857 -4137 +8174 -122 +12182 2520 +3915 -453 +11508 -7855 +13284 -1431 +7025 5009 +7638 3700 +2966 2118 +-2229 -5325 +-2736 -6061 +-3834 -3074 +-9331 -4168 +-10515 -925 +-5544 -1102 +-5871 -1319 +-9200 -1187 +-4070 -1790 +6582 -2870 +1983 -3009 +3922 4304 +-249 5209 +-7566 -1028 +1121 1232 +726 7465 +2664 4522 +11616 -5675 +6404 -6897 +-4034 3917 +-5996 10786 +-1241 3274 +-4491 -4207 +-7039 473 +-80 5247 +-2180 5548 +-7345 -4233 +-4278 -10337 +-2206 5398 +-6343 7624 +-8337 -3475 +-4916 717 +-4286 5745 +-3398 9518 +-1247 11640 +-1965 4830 +-1244 -1984 +83 -3254 +-1034 -2357 +2385 -8482 +8750 -8689 +6481 -88 +7165 -1208 +8204 1586 +-2450 7053 +-4285 101 +-7278 -4444 +-12421 -1485 +-1152 3826 +1096 7732 +-2441 5203 +-363 426 +-2789 -4551 +-4937 -8176 +-8981 -6193 +-5483 -3393 +4141 -4042 +5111 -1167 +6328 211 +9194 -6089 +6077 -2744 +1065 5853 +5392 659 +6683 -3372 +-1227 2532 +-98 5029 +-137 -2012 +-780 -10617 +4864 -7193 +6398 -3959 +3129 -6452 +-6812 1292 +-4558 1789 +3034 -6631 +-589 -3537 +5919 199 +3531 3719 +-6318 4438 +1602 66 +5672 4686 +5129 1197 +4725 -12162 +7 -9336 +-299 5609 +2934 11735 +1375 3050 +-4558 -828 +1249 657 +7586 -3501 +3256 -3136 +-1657 1185 +-4034 4119 +-2707 -869 +1906 -8937 +4031 -5059 +348 1191 +-3887 -1707 +2511 -5332 +11024 991 +3989 4046 +-6174 -6658 +-4075 -4416 +61 7353 +-3153 7015 +-7494 6334 +-8157 6258 +-2394 -84 +5588 -4562 +1860 2047 +-7139 10031 +-10308 6339 +-8510 -2730 +-3474 -5354 +-1305 4459 +1784 5412 +3953 -3217 +1529 2818 +2360 -1249 +1251 -10502 +3156 -1099 +4695 84 +-3880 -1735 +-5776 5749 +-2643 2575 +3547 -7801 +8116 -8859 +864 -5578 +6076 -2287 +13861 4566 +8126 3165 +6015 4043 +1117 9443 +-2923 836 +-1603 4309 +-2124 8741 +518 -2620 +684 524 +-1829 -1062 +-6742 -11074 +-10026 -7155 +-3740 -1604 +-3409 -1178 +-3328 -4542 +4605 -1165 +4066 4976 +2058 3224 +4655 4379 +5838 895 +3404 -5850 +-4511 -365 +-4887 5008 +2661 -1352 +3329 -7722 +256 -4342 +-425 1973 +-201 5645 +-2595 1229 +-4680 -7572 +-479 -6272 +1071 623 +-4070 1448 +-1812 -5466 +8971 -6724 +5849 212 +-9100 -660 +-6097 -426 +3926 5548 +4793 4549 +7992 -1235 +-363 -3870 +-2399 -4168 +-1493 -218 +-4849 -1853 +2962 -4050 +4436 -37 +-3409 1261 +-8626 -369 +-6227 -6043 +2634 -4415 +1675 -976 +-3675 -6565 +423 -5292 +3939 -2326 +-2614 1831 +-4666 4877 +5949 1307 +9754 2656 +3391 -754 +2271 1497 +5023 6289 +-323 -4458 +-7843 -2815 +-4875 2914 +-470 -1363 +1998 -719 +3884 268 +-965 5414 +-4978 6124 +-932 -4188 +6724 -6498 +1792 -942 +-11051 5049 +-7263 10263 +1895 3832 +5175 -10589 +8427 -11095 +6760 -6714 +5690 -5359 +7271 373 +4170 -3671 +385 -3218 +2967 5500 +2273 1116 +-6571 -2345 +-4486 -3764 +-93 -2563 +-3753 3675 +1436 1963 +3508 258 +902 -329 +7416 -1621 +2411 -3833 +-8717 -6017 +-1029 -1013 +2546 2364 +-6552 4601 +907 4763 +8611 4005 +-2271 9818 +-5143 12933 +-58 13677 +3810 4405 +5751 -6366 +-2710 -2709 +-4602 -1616 +-1354 838 +-5041 1752 +-291 -4892 +3485 -2869 +-1927 3040 +-7098 5160 +-8808 -587 +-689 -4960 +808 -1806 +-7038 -2711 +-3042 -4199 +-75 -2742 +-5185 1157 +-729 -4441 +-3933 -5281 +-6268 -1804 +4787 -4667 +5610 -1025 +-274 2531 +-573 -2655 +1024 -3659 +-3138 -2726 +-3339 963 +8540 -677 +9257 -8216 +-1726 -2064 +567 1177 +11300 -215 +9247 5993 +6147 2497 +11730 -5356 +10086 -4188 +9345 935 +6127 -800 +-4754 -6623 +-3095 -129 +-2824 6964 +-3656 3129 +4021 -259 +2942 -553 +120 -1111 +-76 -4068 +-1312 -538 +-1960 6496 +-4167 3289 +-3878 5850 +-3348 9466 +-4646 -2439 +-5430 -6599 +-2781 285 +90 2879 +-621 353 +-642 -6466 +-3028 -8704 +159 -6992 +6290 -2867 +-190 4059 +-9395 4667 +-11585 4854 +-3012 485 +1935 -2455 +-1778 9663 +3395 9210 +1475 3036 +-173 8245 +9755 6593 +3712 5501 +-5062 906 +-785 -7439 +-1085 -1334 +-7343 223 +-6515 -5642 +-1959 -4058 +-770 -3529 +5742 -1050 +6049 10073 +-2149 10173 +-3739 -3098 +-6504 -1293 +-6033 2810 +514 -6601 +3594 -4496 +1453 1967 +-2234 -1583 +-1651 -3325 +-4257 82 +-2016 2883 +6004 -6177 +1595 -12073 +-2691 -325 +1060 426 +4744 -6849 +1454 -1830 +-242 266 +-4 -53 +7 -13 +4 -8 +-5 -7 +-2 -1 +-2 5 +-3 12 +-1 9 +5 1 +2 -2 +-5 2 +-8 1 +-6 -3 +2 2 +-2 1 +-3 5 +3 4 +0 9 +-7 10 +-2 -1 +4 -1 +3 4 +4 4 +-5 -5 +1 -2 +-4 3 +-3 5 +0 7 +0 -4 +3 -1 +3 13 +5 7 +-2 0 +-2 -3 +-5 -3 +0 8 +8 10 +3 4 +-2 6 +0 2 +2 -2 +6 -5 +9 2 +-1 2 +2 2 +5 2 +1 -5 +1 -4 +1 3 +2 2 +4 -4 +3 -1 +5 -4 +4 -2 +2 3 +3 4 +2 3 +6 -7 +5 3 +-1 2 +0 -3 +-4 -4 +-9 1 +-2 -4 +2 -3 +-1 1 +-7 1 +0 3 +3 10 +0 6 +-1 6 +5 0 +-4 -2 +3 4 +-2 2 +0 9 +4 7 +1 2 +0 -4 +-5 0 +-2 3 +-1 5 +-3 7 +-2 6 +-4 6 +-2 2 +-1 1 +-2 4 +-4 2 +-2 2 +-3 -1 +-6 -4 +-7 -2 +-2 2 +3 2 +4 4 +3 5 +-1 6 +-4 10 +1 3 +-2 4 +-1 2 +1 7 +2 4 +2 1 +-5 -1 +-6 2 +-3 10 +-1 9 +-7 8 +-4 12 +-3 9 +-1 6 +-1 2 +-5 5 +-1 5 +4 -2 +3 -4 +-3 -1 +-2 4 +-1 2 +-6 -10 +-3 -3 +-4 3 +-6 4 +-9 2 +-8 -2 +-1 -3 +7 -1 +3 2 +-5 0 +0 0 +1 -2 +-2 -5 +-1 2 +1 1 +17 -12 +46 -51 +46 -63 +41 -42 +45 -49 +41 -37 +835 1026 +4262 5127 +6475 4243 +1617 -4805 +-3974 -4103 +1387 708 +4585 -5133 +-3889 -2217 +-8218 5505 +-7088 6321 +-7153 3302 +-868 -5252 +4833 -4008 +-1649 792 +-4367 -5443 +4480 -2631 +8339 5299 +7040 5863 +7119 2643 +641 -5041 +-4822 -3287 +1559 368 +3653 -5977 +-4300 -1447 +-7227 7061 +-5920 7681 +-6562 4651 +-1896 -5101 +4054 -4910 +-1497 1086 +-5405 -4556 +3994 -3439 +9389 3627 +8229 4373 +7708 1169 +-306 -5160 +-5466 -2297 +1654 114 +2477 -6614 +-4594 -603 +-5832 8463 +-4416 8819 +-5626 5905 +-2960 -4618 +3047 -5648 +-1239 1401 +-6401 -3356 +3276 -4247 +10145 1576 +9130 2620 +7904 -431 +-1475 -4967 +-5989 -1056 +1619 -285 +994 -7064 +-4764 371 +-4001 9504 +-2492 9487 +-4332 6937 +-3894 -3903 +1824 -6158 +-1016 1617 +-7032 -2068 +2392 -4766 +10353 -355 +9561 848 +7769 -1967 +-2428 -4653 +-6135 55 +1587 -599 +-324 -7055 +-4595 1348 +-2118 10057 +-666 9722 +-2972 7546 +-4568 -3155 +711 -6390 +-615 1798 +-7277 -697 +1476 -5102 +10237 -2230 +9713 -865 +7410 -3273 +-3176 -4138 +-6045 1134 +1480 -822 +-1524 -6895 +-4366 2062 +-491 10216 +915 9739 +-1697 7979 +-5029 -2323 +-370 -6363 +-351 1840 +-7402 513 +574 -5285 +9766 -3928 +9401 -2501 +6740 -4442 +-3842 -3489 +-5867 2146 +1275 -1097 +-2735 -6514 +-3933 2791 +1384 10119 +2677 9357 +-270 8078 +-5413 -1455 +-1465 -6180 +-34 1876 +-7209 1714 +-285 -5269 +9020 -5448 +8898 -4016 +5978 -5517 +-4343 -2868 +-5469 3009 +1155 -1264 +-3666 -5914 +-3537 3360 +2869 9751 +4069 8819 +979 7963 +-5545 -564 +-2401 -5827 +310 1843 +-6864 2836 +-1143 -5163 +7996 -6834 +8139 -5418 +4981 -6386 +-4804 -1965 +-4855 3948 +930 -1430 +-4691 -5183 +-2960 3910 +4557 9097 +5578 7931 +2384 7684 +-5617 445 +-3501 -5298 +575 1771 +-6331 3978 +-2068 -4875 +6746 -8121 +7195 -6729 +3856 -7142 +-5227 -1157 +-4277 4707 +719 -1576 +-5469 -4421 +-2286 4292 +5974 8206 +6751 6932 +3520 7194 +-5500 1249 +-4232 -4732 +878 1692 +-5727 4836 +-2764 -4490 +4954 -8589 +4888 -4428 +3639 2046 +-4310 8602 +-2191 9619 +4309 8492 +-2568 4062 +-5527 -1193 +-178 -6453 +-4163 -4905 +-9100 3721 +-6468 4 +-1484 -5301 +5845 -4585 +482 -6766 +-6793 -5426 +2480 -2712 +3690 3762 +1431 8968 +5299 2765 +3901 -4386 +5727 -2371 +4586 7461 +2952 7357 +5119 -853 +-654 -5131 +816 -6425 +8836 907 +8450 -519 +1133 -8357 +-7966 -5517 +-2928 -5703 +6682 -4097 +1453 -3201 +-5807 -2363 +-8512 4115 +-6708 -1430 +1 -6953 +-1572 -4436 +-8230 1205 +-7131 5277 +-3298 -2690 +3618 -2619 +8623 -269 +1120 -7330 +-115 -5716 +6063 302 +3702 7138 +4722 6358 +6663 -4218 +4246 -2675 +3046 5072 +-5073 4411 +-9561 3996 +-3954 9528 +-3704 5971 +-2694 -3361 +4948 1861 +9038 3772 +9285 -3821 +5960 2381 +-2416 8438 +-8297 -1509 +-9122 -3207 +-8396 4421 +-2211 -534 +4260 -1160 +2155 9175 +4409 8630 +8853 3664 +572 4691 +-5168 2564 +-4220 -4843 +-6438 -1125 +-4881 8541 +-5079 4106 +-4487 -3080 +1896 -7193 +-3745 -5507 +-8801 55 +271 -3559 +5287 636 +6755 5989 +5992 -1206 +384 -5832 +3104 -5433 +8347 2826 +7004 3809 +3577 -3827 +-3792 -3496 +-3539 -5447 +7550 -4904 +6446 -5723 +-4423 -6975 +-9889 923 +-6067 -2396 +2564 -7330 +-920 -3340 +-6121 1972 +-4017 8538 +-6174 3258 +-4485 -5222 +-4074 -2336 +-5722 6143 +-2238 8426 +-4328 69 +1110 -4266 +6722 -5680 +-3708 -6216 +-3753 -4227 +4972 -3637 +7496 3019 +7796 1786 +2620 -7384 +1736 -4663 +5707 1910 +-1207 6555 +-5104 9131 +2934 9661 +894 6800 +-4354 -766 +5035 -1722 +9588 -2941 +4901 -8740 +6200 -1906 +3516 7925 +-7491 4090 +-9375 3325 +-3886 8645 +-2052 930 +2618 -3652 +7471 5627 +8953 3862 +9391 -2735 +3430 3218 +-2500 5213 +-6472 -1018 +-5924 3218 +1461 9573 +-1539 6295 +-5619 518 +-3111 -6619 +-6509 -1716 +-6941 5650 +-2121 -2906 +4560 -2940 +9204 71 +3927 -4832 +-3471 -4568 +-1100 -6022 +8372 -3297 +8008 -1744 +347 -5218 +-5183 -172 +-6267 -1837 +2809 -8629 +1411 -8491 +-8092 -2415 +-7329 6964 +-6380 1922 +-2633 -7888 +-461 -7753 +3035 -8567 +7369 -10515 +5250 -10242 +2082 -4826 +6900 1279 +7385 5608 +-3410 5430 +-4837 -1260 +-308 173 +294 5621 +-4422 -768 +-8262 -3736 +98 -2462 +4113 -2743 +-2643 -124 +-3086 -4616 +5392 -4470 +9405 3891 +2174 4403 +-4329 6736 +-4178 12473 +-3064 11563 +-5415 5791 +-1912 1983 +6988 -1146 +1131 -2381 +-4683 8616 +-2130 9972 +-5445 -2617 +-7932 -483 +-7051 4363 +1316 7872 +6188 11014 +1712 -2689 +6586 -10999 +4827 -4091 +-1818 -149 +2550 -570 +-639 -2348 +-4069 -3079 +2665 -6047 +3485 -7014 +-3590 -1466 +-6379 139 +-1171 3408 +3497 8109 +-515 4570 +-5086 1946 +931 1828 +1509 833 +-1271 1872 +5922 7710 +3566 10410 +2732 -3300 +7526 -11529 +-1598 -5057 +-7856 -2956 +-7586 1577 +-3592 -1326 +-243 -12334 +-3110 -4363 +3682 4598 +5212 210 +-4087 -4099 +-3714 -8491 +-1000 -12501 +-2527 -10932 +-1544 -4928 +6152 -3529 +9390 -586 +859 6266 +-4584 2189 +-85 387 +3927 4045 +-3947 2351 +-8922 2629 +-1536 -1924 +1451 -4637 +-404 1666 +2157 560 +536 -3460 +-5331 -3529 +-6260 8 +-2801 -3450 +2404 -11192 +1809 -9720 +1035 -5863 +8064 -9018 +7878 -4054 +-2714 5321 +-9504 -387 +-10630 -3027 +-5107 -1204 +908 -6470 +3942 -2575 +10298 2362 +11932 -749 +5759 -2250 +-438 -3495 +-2663 -124 +1269 5287 +8141 -194 +8692 -8912 +287 -5331 +-7165 1713 +-8191 627 +-252 268 +6407 4631 +2465 8546 +6795 2681 +8993 -6953 +219 -6683 +1164 -4343 +-974 -238 +-5625 2602 +-3199 830 +-3372 -1462 +-2589 -7113 +-4469 2402 +-2657 12725 +862 5240 +-4962 8454 +128 5460 +7243 -6296 +-464 -185 +-3987 3113 +544 3205 +4762 7187 +1406 7954 +-7001 9813 +-4549 4760 +4657 -2119 +4151 1147 +-552 2066 +-633 -3088 +-4980 -2092 +-6603 2194 +1848 3790 +4037 4575 +3622 722 +7340 1064 +4919 6420 +3206 505 +4385 -5693 +-1531 -3047 +-6556 985 +-4869 4008 +-4467 -811 +-5525 -9830 +-5028 -8326 +-3088 -4979 +296 -11855 +3439 -8049 +1447 5727 +-7616 5989 +-10292 4800 +-4734 2449 +-3595 -5398 +47 -5008 +737 -5450 +-7547 -2942 +-5869 3457 +-1750 699 +-4099 -6579 +-3078 -4656 +419 -712 +1917 -425 +-193 -999 +2577 -3884 +1823 1360 +-2862 6231 +3663 3159 +3691 4764 +-2369 3960 +-929 -230 +3617 -6178 +7861 -7587 +3481 6202 +354 6913 +3704 -263 +2821 5419 +4197 -3462 +8024 -14819 +7961 -5432 +2238 4134 +-2315 2795 +664 -3144 +2525 -3848 +-417 808 +3957 962 +13109 -2811 +5861 -5088 +-6133 -4196 +-4674 374 +-1874 2343 +3906 -2236 +7778 -267 +-3408 4479 +-12957 1065 +-5581 1853 +4610 2145 +2691 -859 +1598 1293 +-286 -4399 +-4691 -12950 +1870 -10093 +-116 -2450 +-3442 906 +62 -1071 +-7809 2625 +-4749 9271 +2815 4149 +-1079 -2366 +534 926 +2591 2992 +4445 5613 +4447 13271 +1910 13119 +2789 8560 +-265 2081 +-2824 -4707 +-5555 1011 +-8187 1532 +-7455 -4111 +-7891 2473 +-2252 6331 +-910 1655 +-7450 -2273 +-5445 -1497 +-178 -833 +1232 -1573 +-759 -601 +-521 -4589 +2351 -282 +1956 6404 +4912 -134 +6013 1028 +871 4452 +-549 1253 +107 -101 +40 -85 +16 -43 +3 -9 +5 4 +8 10 +8 7 +7 -1 +5 -6 +5 -4 +-3 -3 +-4 -9 +4 -7 +4 -3 +7 -8 +6 -12 +6 -4 +5 0 +-1 0 +-3 4 +-6 11 +0 4 +1 -5 +3 -4 +5 -4 +5 -5 +3 1 +4 4 +2 2 +-2 9 +-3 9 +1 6 +-1 9 +1 3 +1 -3 +1 2 +4 7 +6 9 +8 0 +4 -6 +3 -2 +8 3 +3 -2 +2 0 +0 -1 +-1 -8 +4 0 +8 0 +5 -2 +2 -1 +6 1 +8 -2 +10 -9 +4 -10 +6 -5 +7 0 +8 3 +4 -1 +5 -3 +-1 1 +-4 2 +3 4 +0 2 +-6 0 +-2 3 +-4 0 +-2 6 +-2 3 +0 -1 +3 -3 +0 4 +-3 -3 +-2 -7 +-2 2 +1 0 +1 -2 +-2 -4 +-6 2 +2 4 +-3 -2 +-3 -2 +0 -3 +2 2 +1 2 +1 4 +-1 8 +-3 0 +0 6 +1 12 +2 1 +1 -1 +-1 -3 +5 0 +3 6 +3 7 +3 3 +4 0 +1 2 +2 4 +-1 1 +-2 7 +-4 4 +-7 -5 +-9 0 +-4 1 +0 -2 +1 1 +-5 6 +-4 2 +10 -3 +5 -2 +5 6 +4 0 +0 -6 +-5 -2 +-10 -4 +-4 -2 +2 2 +3 4 +4 5 +0 2 +2 4 +5 2 +4 0 +7 -5 +4 -6 +-2 -6 +4 -7 +1 1 +1 1 +0 2 +-6 5 +0 5 +2 1 +2 -1 +3 2 +-2 -7 +-1 -3 +-4 -4 +0 -5 +-6 6 +1 12 +-1 3 +4 -3 +2 -3 +-5 -1 +-3 3 +-2 -2 +-2 -3 +7 5 +8 0 +3 2 +-3 9 +-4 6 +-3 5 +-3 3 +6 4 +5 7 +-2 5 +-4 4 +-4 -2 +-4 -3 +0 3 +4 1 +4 0 +-1 -2 +-2 -4 +0 -3 +-4 0 +-2 -5 +-7 -9 +-6 -2 +-3 -5 +-2 5 +-5 0 +3 -4 +-1 -6 +-1 -3 +1 -2 +0 0 +-1 1 +4 -6 +6 -1 +1 3 +2 9 +3 46 +-3 68 +-2 72 +-5 97 +-9 85 +-293 -73 +-3515 -1752 +-8090 -2927 +-4216 2492 +4786 4797 +2132 -695 +-3595 3755 +1535 5116 +5949 -5387 +4535 -8740 +4755 -7792 +4914 -579 +-814 7269 +-2180 910 +5443 209 +2302 5348 +-8289 740 +-9318 -2798 +-8706 -1562 +-3670 3290 +5723 3950 +2069 -980 +-2948 4454 +2450 4846 +5004 -6437 +2979 -9518 +3415 -8591 +4871 -1404 +485 7410 +-2035 1300 +5472 -805 +3288 4851 +-8182 2262 +-9892 -993 +-9006 155 +-3045 3963 +6461 2754 +1838 -1400 +-2106 4952 +3434 4294 +3704 -7384 +1011 -10062 +1590 -9224 +4546 -2443 +2080 7163 +-1745 1700 +5308 -1898 +4271 4137 +-7672 3920 +-10053 1040 +-9051 1950 +-2287 4524 +7035 1451 +1590 -1760 +-1140 5305 +4245 3632 +2276 -7951 +-945 -10057 +-170 -9316 +4054 -3259 +3425 6648 +-1383 2002 +4932 -2870 +5046 3266 +-6891 5308 +-9798 2916 +-8591 3619 +-1369 4862 +7254 91 +1223 -1997 +-145 5407 +4899 2664 +774 -8295 +-2781 -9712 +-1842 -9116 +3465 -3893 +4555 6006 +-1038 2150 +4408 -3663 +5525 2431 +-6074 6327 +-9417 4403 +-8068 4881 +-667 5000 +7242 -1014 +901 -2187 +667 5334 +5290 1882 +-419 -8287 +-4197 -9151 +-3270 -8735 +2790 -4382 +5453 5151 +-702 2292 +3807 -4313 +5946 1455 +-4928 7181 +-8534 5827 +-7099 6096 +189 5025 +7032 -2167 +581 -2267 +1547 5185 +5578 1075 +-1695 -8056 +-5561 -8347 +-4532 -8090 +2143 -4686 +6167 4303 +-354 2371 +3133 -4743 +6073 624 +-3832 7791 +-7576 7026 +-6124 7106 +939 4916 +6608 -3256 +169 -2310 +2346 4802 +5699 90 +-3146 -7585 +-7117 -7256 +-6022 -7160 +1229 -5035 +6915 3023 +92 2412 +2150 -5277 +6134 -598 +-2243 8343 +-6118 8248 +-4739 8044 +1845 4586 +5938 -4390 +-259 -2302 +3183 4248 +5694 -921 +-4357 -6840 +-8211 -5764 +-7150 -5879 +327 -5079 +7412 1842 +558 2334 +1265 -5521 +5932 -1562 +-926 8537 +-4781 9071 +-3437 8655 +2617 4196 +5255 -5240 +-602 -2201 +3825 3741 +5508 -1733 +-5429 -6116 +-9064 -4480 +-7961 -4727 +-437 -5089 +7591 652 +846 2169 +351 -5636 +5640 -2455 +548 8393 +-2561 7938 +-4209 2872 +-1688 -5891 +1501 -10699 +-5778 -7527 +-3950 -5379 +5216 -3122 +3641 2985 +3496 6328 +7374 -3046 +6471 -6019 +5450 2258 +-1066 5420 +-2780 7028 +7507 4100 +3873 2723 +-4848 1697 +-3971 -6788 +-5975 -4761 +-3893 3382 +-2427 5920 +-6757 -616 +-5866 -7736 +-5410 -778 +-1124 4070 +4031 5651 +-4412 4702 +-10071 1143 +-2931 7259 +6968 5255 +8837 2075 +-1532 6451 +-4095 4452 +4394 2454 +6564 -4223 +7013 -5414 +4825 4318 +1464 5753 +6113 -238 +6317 -7469 +4214 -3266 +1822 4106 +-7374 2593 +-3611 5751 +4293 6607 +-2741 3626 +-6502 -1672 +-6161 -6769 +-6326 2039 +-2970 6836 +-4484 -654 +-1536 -5337 +7495 -6303 +3804 -8799 +-706 -9826 +4030 -1347 +-460 2335 +-9190 -1787 +-8537 4121 +-6888 5057 +-4345 -6515 +4630 -5816 +10234 1371 +7974 -4668 +4450 -4894 +-1045 3620 +-5169 -2996 +-5739 -9021 +-9402 -1957 +-6600 -1501 +2041 -5694 +4787 -83 +6906 2466 +3802 -7045 +1069 -8643 +5670 -1852 +2659 4787 +2381 7104 +8536 -1811 +4820 -476 +-2655 4350 +-7550 -2510 +-7811 354 +-829 5027 +2028 5945 +-5632 3955 +-9672 -1888 +-4805 2952 +1747 3755 +6886 1524 +-164 6325 +-7047 7426 +2442 7287 +8873 -637 +8259 -4214 +3134 5698 +-246 5944 +5063 -1023 +2447 -7412 +1931 -8601 +6553 98 +4832 3351 +4621 -4085 +240 -9564 +1247 -5070 +4099 1942 +-4174 6628 +720 6616 +7558 2284 +130 4468 +-6211 2815 +-9218 -1234 +-3764 5485 +1976 6972 +-3943 2358 +-4646 -3043 +1868 -9579 +-2631 -9108 +-6853 -6933 +2369 -3619 +1199 1982 +-8440 4532 +-4147 8613 +-2227 8124 +-7697 -2226 +-197 -7326 +8965 -5504 +3349 -8632 +332 -6467 +1567 3443 +-5907 1114 +-10331 -3033 +-8645 4566 +-6135 3080 +-2102 -5613 +3672 -3172 +7055 -2610 +-1521 -7728 +-4715 -7176 +3306 -5074 +5179 1798 +6436 3675 +5486 -6868 +3498 -3448 +855 4963 +-7464 3061 +-5891 5348 +2651 4324 +5464 3213 +-1860 6620 +-8908 4810 +-1916 5329 +3762 1700 +6318 -3177 +3858 4940 +-877 10091 +6632 3923 +6739 -6136 +3897 -8319 +5935 2455 +1479 5545 +-1144 2063 +-2571 5039 +-1960 4183 +2976 2364 +3783 1526 +2902 27 +-684 5545 +-10735 5502 +-13519 -1553 +-438 2015 +4319 5878 +-6630 1249 +-2435 -4167 +5517 -5237 +-7089 426 +-14909 -1052 +-5055 -7734 +3081 -2910 +3261 315 +3611 -2596 +1289 -3193 +-2332 -5458 +-1341 -4057 +-2860 -2296 +-8850 -6212 +-12550 -3240 +-2617 901 +8462 -209 +6896 3486 +7050 6952 +-437 7120 +-5589 7606 +6672 7274 +8478 2779 +4047 -3837 +-1710 -277 +-8067 2370 +-1219 -4162 +2257 -3998 +1598 -3823 +5122 -1854 +7681 2169 +3523 -5439 +-3569 -2805 +2412 5989 +6770 -2727 +4546 -6492 +4937 923 +2677 2335 +3595 2471 +-2969 4224 +-6891 1855 +3736 621 +5850 -2268 +3591 -10118 +-3960 -7140 +-9266 -2191 +120 -8746 +3176 -10064 +5100 -5939 +3691 -2835 +-7309 5288 +-6883 11664 +-1663 7207 +173 2239 +1414 5393 +1172 4362 +3847 -203 +3959 -1274 +2297 -1781 +3051 4645 +-4808 11019 +-11555 7553 +930 1790 +7255 1595 +-4370 5247 +-4690 -1565 +853 -7447 +-4901 4707 +-9091 5517 +-10437 -10317 +-9550 -8084 +-3005 213 +-3150 -4335 +2816 -5200 +3863 -4837 +-390 -5727 +2675 228 +-3548 -1444 +-6434 -6623 +2572 -4783 +4347 -3311 +1425 -2175 +340 3460 +2599 5993 +2866 -339 +-1350 1403 +-1172 4448 +3178 -3316 +3976 -2416 +-1730 -868 +-2046 -4046 +180 -1009 +-5905 -1325 +-8775 -2097 +-6457 1975 +534 6965 +6019 4542 +8210 -5112 +17208 -3835 +9452 4474 +-3869 5085 +2310 2652 +2006 6766 +-939 10692 +-3949 2568 +-10269 -3173 +-5705 -2603 +-5042 -2691 +-1831 733 +7835 490 +2157 -1429 +-4994 2554 +-4317 9040 +-3335 10102 +-568 6135 +1589 6235 +1879 556 +3801 -3644 +7964 3823 +5029 2872 +-3356 -1723 +-995 -2972 +8557 -2949 +12560 261 +10138 -2580 +1883 -1313 +-7383 1476 +-8002 -6470 +-1827 -7772 +-966 -1969 +-4885 2177 +536 4002 +1917 -804 +-13500 -2968 +-12990 786 +-2193 2061 +-5292 -1181 +-1224 -5692 +-122 -6072 +-4051 -3935 +2180 -1590 +-3649 1229 +-9359 -732 +-1214 -5235 +1187 -5293 +-288 -2530 +2530 2335 +5696 2609 +5 -4119 +1772 -1495 +10165 -262 +5331 -10675 +2248 -3068 +2194 874 +3858 -4444 +4441 5025 +6911 9954 +11413 5002 +5314 923 +2738 -2471 +5748 -5116 +263 -8275 +-2036 -7245 +3812 725 +6425 7777 +942 3899 +-4785 -2266 +-5975 128 +-4205 -1483 +-1237 -4337 +-310 -2711 +1466 -4474 +7 1595 +-4617 6866 +-4283 -1215 +-4567 -2767 +-5448 -281 +-3040 -1060 +1463 -39 +3962 315 +2516 5707 +2173 6799 +1078 -776 +3148 11 +3405 7463 +-2479 12458 +3556 5704 +6717 -2723 +-5503 3883 +-9479 5391 +-2559 1689 +3991 953 +7954 -5541 +6558 -1901 +-5094 3356 +-13686 -3463 +-10325 -1608 +-10385 2674 +-7734 -1585 +1211 -274 +-785 4461 +-7266 -124 +-2559 -7258 +5597 -3192 +4216 3385 +7021 205 +7831 -3817 +-4452 -48 +-5903 3435 +-1733 384 +1874 2515 +828 8622 +-11280 4521 +-1729 -1960 +7819 -7257 +-3241 -11243 +-412 -3711 +2237 -883 +-139 -5768 +6817 690 +12065 2506 +12035 -4078 +4650 -2880 +449 -3617 +977 -7565 +-5304 -6258 +-6370 -3953 +3224 -1946 +7707 2733 +-468 6011 +-6861 -1422 +-8067 -6696 +-7329 -825 +-8170 -3201 +-10084 -7756 +-6441 -4097 +2483 -2070 +1837 248 +-4325 4396 +-1585 207 +-2425 -6508 +-8906 -4202 +-4762 -322 +4441 1675 +3230 -147 +-2875 -2272 +-4915 3659 +-2813 3223 +6099 -3803 +6266 -4367 +-4130 105 +-1536 2364 +7541 -2103 +10790 541 +1855 8560 +-8233 8151 +972 676 +4279 -6407 +-542 1465 +4759 8765 +2179 2439 +-2998 -3280 +1114 -7454 +4347 -5453 +1806 -3046 +392 -2137 +6834 -1730 +8558 -8854 +1149 -3456 +-3853 3085 +1360 727 +9392 4503 +7036 -3269 +1587 -6628 +-6024 3460 +-13015 2528 +-8072 -2033 +-357 -7123 +3560 -5965 +526 3208 +-1913 4675 +3995 2265 +6026 -191 +4073 2098 +888 3399 +-508 -1108 +-2042 5983 +-5609 11909 +2523 2000 +8518 -3355 +5043 -314 +6469 -1311 +7072 -459 +2858 5261 +-6168 3275 +-10664 315 +-6224 4160 +-8402 2979 +-12812 924 +-7601 1181 +628 -3147 +1593 -933 +-512 6107 +-1105 1201 +-6180 -3164 +-9739 2702 +-3919 2836 +4576 -1625 +2991 -3019 +-2698 -3083 +894 1029 +3764 -618 +2960 -1951 +2605 4298 +-6675 8681 +-9321 10595 +-1246 4134 +2018 -3064 +3751 -2914 +6123 -1163 +6071 379 +2728 -3387 +-413 -2909 +-1868 -2036 +-1531 -6549 +3269 2767 +8907 10396 +12355 5899 +4792 3918 +-4947 2267 +2129 4250 +7813 4309 +5889 -555 +5394 3403 +894 8857 +-3165 5625 +-4991 -2603 +-271 -2752 +6749 8572 +3895 7191 +3607 -4719 +4577 -1888 +-1775 4592 +-6429 2356 +-7557 -242 +-6226 -2564 +-3974 -4743 +-540 -4690 +1406 -1405 +-5077 -1784 +-10454 -8317 +-6583 -5384 +-1773 1455 +-1190 3875 +-2093 6948 +-1894 3108 +-3124 508 +-6498 -937 +-3862 -5606 +-203 -1020 +-2845 -1734 +2340 -2306 +4540 2209 +-9624 -10309 +-9215 -13093 +8540 -575 +11069 -1465 +-280 -1976 +-1234 -59 +2584 -2976 +-5972 2982 +-6733 7278 +-1441 1071 +-3712 -860 +1376 146 +2556 -2873 +1037 -3359 +4902 1488 +526 10749 +-321 13884 +1743 3827 +-437 -3561 +1003 -4570 +3984 -4840 +4978 -3687 +-66 -4282 +-2211 -1858 +-2639 -173 +-5393 -4002 +1825 -8331 +7525 -8078 +-23 -1133 +-7421 -447 +-4408 3599 +197 2476 +2083 -4448 +4093 717 +6024 2189 +3273 -12 +3923 -2194 +9768 -13030 +5722 -14399 +5000 -6463 +7481 -7150 +-992 -5953 +2262 2646 +6066 4345 +-2127 3227 +441 2863 +373 -3624 +-4999 -3680 +-1380 1999 +1498 1132 +-3034 -2473 +-7070 -3883 +584 252 +3661 2375 +-4984 343 +-2965 -263 +5824 -1331 +8904 -503 +5693 -477 +2039 1376 +2016 -413 +-366 -8622 +-2208 -2267 +-2970 1124 +-2063 -4783 +-1866 597 +-3937 357 +1551 1428 +-59 8788 +-7286 2521 +-2568 -2626 +-1121 -1126 +-985 -2401 +1082 -3401 +-3413 -4302 +-1784 -2570 +3610 2829 +4304 7091 +5105 10816 +4092 15454 +5186 13283 +9795 7243 +1279 8398 +-9617 5412 +396 -3218 +7288 504 +-2956 9626 +-8482 6504 +-5938 947 +-3658 1175 +-3015 -6669 +89 -10843 +-761 -795 +-5910 4711 +-924 5546 +1821 1608 +-1423 -4614 +3539 -2236 +6008 -2451 +2456 -2194 +1453 -4189 +-1421 -15912 +-5402 -14115 +-568 -7999 +1068 -10077 +-4345 -2295 +-1122 -1507 +1957 -9548 +-1301 357 +-4117 7657 +-429 3248 +7487 -8173 +7330 -15496 +130 -3348 +-5012 1500 +-1301 -3760 +5877 -2750 +5328 -1213 +4333 783 +718 -3624 +-2082 -2053 +6193 8882 +11043 4917 +6045 2272 +-2047 7471 +-1312 2139 +827 -1530 +-2711 2195 +4615 2263 +5558 -4631 +-451 -5586 +5358 7415 +3463 7476 +-5520 -1787 +-6689 4386 +-1857 6473 +1426 4460 +130 1533 +-2715 -9423 +-8222 -1224 +-6105 7147 +48 -5362 +247 -8567 +-3017 -7496 +-4739 -7901 +3606 -3309 +1623 -1078 +-6169 2461 +1437 6430 +1379 5973 +-488 3104 +2388 1063 +-170 -5432 +-50 -10219 +-9250 -2929 +-16765 -1426 +-6935 -294 +-1994 4070 +-1030 -3763 +7675 -1586 +8258 7198 +-4687 5525 +-10343 1269 +-5259 -1514 +3378 961 +9897 1180 +4159 4822 +677 8540 +6485 1359 +6808 2411 +-352 -523 +-5139 -8231 +-757 1146 +1897 8298 +1797 2656 +296 -10805 +-4717 -16045 +-2139 -2530 +-2776 4326 +-3480 -1901 +2644 -5891 +3246 -4419 +3797 -2312 +-1846 -3107 +-3768 -151 +2905 2285 +604 152 +-185 -149 +1 -13 +-9 -1 +-7 -2 +-6 -3 +-7 6 +-5 7 +2 5 +4 9 +0 4 +-6 -3 +2 -1 +1 5 +-7 -2 +-2 -10 +-4 -1 +-1 7 +5 4 +6 3 +0 3 +-3 1 +0 1 +3 6 +-6 6 +-10 0 +-1 -2 +3 1 +2 7 +-3 4 +4 2 +3 -1 +-2 -2 +5 4 +5 -1 +-2 2 +-7 1 +-3 0 +-2 -1 +-8 -4 +-10 1 +-2 7 +-1 1 +1 -3 +-1 1 +3 2 +6 5 +-4 2 +-6 -1 +-7 1 +-4 1 +0 3 +-3 7 +-9 1 +-7 0 +-6 -4 +-4 1 +-4 2 +-4 -3 +3 6 +2 1 +5 -5 +9 -3 +6 -4 +6 -2 +4 -1 +5 -1 +7 0 +1 -2 +-6 0 +-1 -3 +5 -5 +8 -7 +5 -12 +4 -8 +2 1 +-1 3 +-6 -2 +0 -1 +-2 3 +6 -2 +3 1 +-6 1 +-1 -4 +1 -4 +-2 -5 +-3 -7 +1 -4 +6 0 +1 -4 +1 -8 +2 -5 +6 -4 +10 5 +6 1 +3 -8 +7 1 +1 -1 +-1 -1 +1 4 +4 7 +5 1 +4 -3 +1 -5 +-2 -5 +-5 1 +3 3 +21 -21 +37 -37 +49 -51 +72 -45 +65 -31 +185 581 +1051 4976 +3126 7961 +4265 -542 +-293 -6718 +-960 128 +5981 -777 +947 -4540 +-8880 -19 +-9301 2452 +-8659 946 +-1465 -4225 +6510 -3204 +342 955 +-2762 -5640 +3852 -3560 +5479 7207 +3503 8732 +4616 7000 +4126 -1512 +-1716 -6639 +-953 261 +5875 -2048 +61 -4692 +-8865 1849 +-8765 4417 +-8494 2757 +1419 -994 +99 -1585 +-523 -128 +217 721 +335 791 +10 1139 +-408 1372 +43 1203 +282 522 +18 182 +443 427 +480 457 +472 225 +655 -258 +337 -1549 +-255 -1078 +-154 1531 +681 879 +282 -878 +-71 357 +964 1052 +971 232 +-184 -883 +-573 -1293 +-456 -350 +-1237 360 +-1268 274 +-1 216 +-193 532 +-1052 635 +-691 969 +404 889 +1002 -296 +746 -94 +469 730 +262 541 +93 39 +39 -14 +19 9 +-2 6 +-11 -3 +-5 -6 +3 -2 +2 -4 +3 -2 +7 4 +6 3 +5 2 +4 6 +-1 -2 +1 -5 +0 -8 +-3 -12 +3 -3 +1 5 +-4 0 +-5 -4 +-2 -1 +0 -1 +-6 -4 +-6 -5 +-1 -2 +-1 8 +2 0 +-2 -2 +-4 -6 +-2 -5 +-2 1 +-1 0 +8 0 +7 2 +5 -6 +3 -9 +0 -6 +-2 5 +0 2 +-1 -1 +-1 -3 +7 3 +0 -4 +0 -3 +4 -10 +5 -9 +2 -6 +6 -7 +-3 -7 +2 -1 +3 -1 +1 -2 +-1 -1 +1 0 +1 3 +0 1 +0 -2 +-2 -1 +0 2 +1 0 +-2 0 +-3 9 +-5 7 +-2 -2 +3 1 +6 1 +1 -5 +0 1 +1 7 +0 10 +2 3 +6 -1 +4 -6 +7 -3 +4 5 +-3 2 +0 2 +0 0 +2 -1 +2 3 +-5 8 +4 5 +6 0 +2 0 +-1 -1 +0 1 +-5 3 +-6 3 +-5 -3 +-1 -4 +-4 -2 +-2 -1 +5 -8 +1 1 +-2 2 +2 5 +0 -1 +0 -7 +3 -6 +0 -4 +-1 3 +3 5 +3 -1 +4 -6 +-1 -4 +2 1 +0 -1 +5 0 +7 11 +6 10 +-2 7 +-4 2 +-2 -3 +-5 -3 +-4 -9 +-4 -5 +1 -4 +-3 -3 +-5 0 +-8 -1 +-2 3 +4 1 +5 1 +3 1 +2 3 +1 8 +-3 6 +0 1 +5 4 +6 3 +3 1 +3 -6 +4 -2 +0 5 +-4 2 +5 2 +7 4 +8 -5 +10 -6 +6 -1 +2 3 +-3 10 +2 10 +-1 5 +-2 9 +-30 5 +-257 -129 +93 -671 +647 -313 +-10 1065 +-510 1453 +-427 1538 +597 911 +916 -874 +-203 -602 +517 488 +953 -442 +-822 -956 +-1521 -474 +-1336 -487 +-402 -521 +866 -7 +256 222 +-9 -621 +594 -458 +224 1062 +-227 1548 +-126 1617 +768 807 +767 -1020 +-314 -557 +608 380 +879 -624 +-1012 -799 +-1621 -196 +-1440 -245 +-497 -444 +879 -173 +314 167 +-124 -619 +508 -575 +426 1004 +60 1580 +164 1616 +911 656 +579 -1159 +-408 -495 +682 272 +781 -761 +-1142 -610 +-1655 80 +-1477 1 +-582 -371 +851 -327 +346 115 +-233 -593 +400 -649 +592 936 +334 1551 +442 1550 +1024 481 +382 -1251 +-503 -424 +719 151 +636 -887 +-1258 -392 +-1635 392 +-1473 275 +-649 -248 +778 -468 +358 57 +-343 -539 +278 -713 +770 808 +627 1475 +743 1476 +1117 288 +125 -1290 +-586 -304 +761 13 +472 -1000 +-1323 -167 +-1549 683 +-1408 538 +-684 -125 +676 -590 +354 -25 +-425 -478 +153 -738 +879 664 +848 1327 +963 1319 +1159 106 +-49 -1306 +-622 -234 +751 -89 +340 -1033 +-1326 25 +-1440 877 +-1316 711 +-698 -40 +590 -676 +344 -66 +-497 -410 +51 -758 +974 524 +1039 1188 +1147 1154 +1161 -65 +-244 -1261 +-653 -122 +733 -197 +171 -1065 +-1314 218 +-1307 1071 +-1211 900 +-692 81 +504 -740 +353 -116 +-538 -341 +-56 -759 +1039 379 +1192 1025 +1296 977 +1146 -221 +-421 -1206 +-658 -39 +691 -323 +8 -1082 +-1274 428 +-1129 1267 +-1055 1086 +-669 181 +376 -824 +323 -169 +-592 -232 +-182 -720 +1089 214 +1356 807 +1449 748 +1102 -399 +-616 -1120 +-661 78 +640 -413 +-161 -1064 +-1206 617 +-941 1419 +-901 1214 +-643 268 +265 -849 +309 -197 +-614 -163 +-270 -686 +1106 80 +1440 647 +1531 584 +1053 -529 +-747 -1026 +-660 162 +571 -495 +-300 -1029 +-1114 766 +-739 1520 +-719 1318 +-605 350 +100 -939 +107 -775 +115 -780 +1095 -1103 +428 -1739 +-325 -1142 +105 343 +-655 201 +-1680 -119 +-1390 1002 +-707 757 +-384 -640 +364 -455 +1127 -543 +-188 -1299 +-885 -957 +251 -753 +637 63 +1150 451 +922 -1124 +291 -672 +227 606 +-992 493 +-1020 1078 +432 909 +952 486 +59 906 +-1109 934 +-348 1103 +738 426 +1074 -517 +743 417 +226 1515 +1276 750 +1233 -1083 +446 -1502 +818 -34 +819 509 +622 -618 +-442 -1201 +-822 -1109 +463 -707 +915 -327 +175 -1002 +-1084 -1046 +-572 -479 +473 -271 +175 1010 +969 817 +1195 -551 +515 313 +-179 855 +-1116 908 +128 1241 +1137 468 +-56 457 +-643 277 +-782 -1128 +-1571 -810 +-1713 184 +-308 -282 +189 -51 +-401 1317 +616 1587 +927 959 +-760 499 +-772 -426 +282 -1539 +-690 -1583 +-1015 -664 +292 204 +-404 555 +-1460 951 +-520 1652 +-109 1032 +-712 -257 +8 -580 +575 -1122 +-965 -891 +-1320 -202 +-270 -743 +552 -333 +1220 -347 +72 -1441 +-167 -691 +580 348 +-501 993 +-172 1470 +918 452 +1078 -199 +619 680 +-330 1410 +387 1081 +883 -114 +585 -1053 +874 -99 +1099 1083 +1513 -166 +393 -1610 +-509 -1465 +688 -507 +1010 -72 +173 -857 +-1077 -711 +-1340 -417 +-30 -860 +579 -805 +-441 -906 +-1538 -183 +-769 -40 +238 -507 +767 683 +1292 48 +644 -1148 +605 -42 +383 777 +-350 1366 +872 881 +1218 -325 +223 389 +-355 612 +-1328 -401 +-1792 329 +-1312 1186 +-436 -35 +127 -149 +459 1274 +1473 866 +1355 195 +-316 841 +-886 113 +-681 -1387 +-1515 -837 +-1244 71 +367 1 +-6 686 +-676 1597 +514 1620 +512 886 +-741 192 +-325 -473 +-149 -1245 +-1332 -173 +-1238 587 +-647 -446 +276 -575 +838 -975 +-780 -1200 +-554 -467 +676 -57 +154 1100 +708 1287 +1030 -180 +778 -787 +912 197 +547 1335 +957 643 +671 -607 +-124 -1181 +679 -581 +1561 259 +1357 -506 +413 -231 +-835 76 +-1989 -563 +-1483 -287 +608 257 +1895 -145 +622 -846 +-194 -1163 +640 -42 +-275 1075 +-1125 666 +70 573 +709 -261 +508 -1156 +785 -555 +592 -835 +-555 -906 +-496 -804 +24 -1121 +-581 -114 +102 711 +794 1108 +-445 706 +-262 -641 +618 -866 +-786 -882 +-1723 -702 +-70 112 +1939 295 +1913 281 +433 165 +-564 -505 +-632 -450 +381 -70 +586 -1457 +-919 -1476 +-903 207 +-334 -502 +-736 -847 +-673 50 +-529 661 +441 1420 +982 726 +254 632 +846 1002 +1240 -13 +573 658 +259 2322 +-59 2091 +-667 509 +-1178 552 +-478 1325 +-206 670 +-726 1117 +171 1278 +754 184 +664 -459 +434 -1247 +151 -404 +887 -261 +214 -1845 +-1052 -941 +-193 -19 +549 -701 +357 -278 +-661 571 +-2005 717 +-1410 636 +643 -138 +1496 -1204 +30 -1040 +-840 -816 +497 -385 +377 1041 +-564 1199 +383 426 +445 -615 +-233 -1211 +375 -919 +194 -1404 +-1179 -971 +-639 995 +1201 1035 +-345 147 +-1798 -171 +-1030 -499 +-713 -181 +-790 15 +88 -1621 +146 -2847 +-868 -1073 +14 367 +39 72 +-707 155 +496 -157 +538 -646 +-64 -820 +-110 -299 +-218 710 +51 546 +380 777 +1849 1492 +2474 1030 +733 159 +-649 -188 +-823 396 +-491 1327 +-438 1592 +-123 296 +-61 -712 +-208 873 +919 1685 +677 580 +-171 -500 +478 -703 +1011 85 +1698 -442 +1304 -498 +294 919 +213 104 +-840 -1011 +-1560 -689 +-1174 -357 +-653 172 +287 130 +-34 214 +-658 547 +457 -196 +802 28 +-252 992 +-445 12 +-403 -715 +-534 317 +205 1061 +1358 869 +1326 329 +-79 442 +-76 -190 +531 -1594 +-515 -1383 +-593 -1424 +-137 -919 +-534 251 +-1089 -836 +-1597 -203 +146 1169 +1615 66 +-197 316 +-1552 968 +-1141 255 +-679 312 +-620 507 +-913 -1309 +-1628 -2299 +-1367 -314 +230 272 +77 39 +-479 548 +306 -432 +79 -758 +-109 207 +641 668 +467 -339 +-727 -676 +-467 137 +470 683 +-603 1791 +-976 1823 +610 971 +1010 576 +294 194 +1182 912 +2311 -144 +536 -1390 +-1139 158 +-800 670 +-250 1188 +650 110 +-426 -1895 +-1969 85 +-336 710 +150 -140 +-1123 686 +-726 955 +-324 1821 +-1040 1802 +-836 309 +718 -191 +1178 -230 +165 -230 +-69 -11 +487 417 +-21 227 +-1028 -350 +-494 -735 +136 -1066 +-221 9 +303 1139 +731 357 +294 -1095 +906 -1825 +1283 -1715 +-109 -1350 +-707 -549 +239 -80 +753 -186 +1019 405 +908 726 +-26 493 +-553 470 +-750 225 +-777 -377 +-558 -709 +-1346 -767 +-2056 -1414 +-806 -1360 +422 -350 +309 366 +521 741 +100 -218 +-1019 -1186 +-466 -552 +228 150 +-352 270 +-36 331 +931 104 +212 -530 +-1000 -96 +-315 367 +772 258 +557 1783 +278 2017 +1074 408 +1176 -137 +358 -31 +1496 -1 +1778 -1500 +-411 -1389 +-823 792 +-202 552 +605 -367 +763 66 +-789 841 +-1477 939 +-1350 1078 +-82 1190 +1273 -137 +142 -552 +77 886 +1131 242 +34 -1465 +-893 -1495 +-789 -1327 +-25 -682 +749 597 +187 416 +509 -483 +797 -91 +-637 932 +-1336 1155 +-1188 1285 +91 1187 +1233 -321 +53 -541 +229 864 +1174 41 +-196 -1452 +-1122 -1321 +-1004 -1184 +-136 -669 +848 462 +266 381 +433 -561 +785 -239 +-463 1011 +-1113 1348 +-948 1446 +297 1146 +1181 -523 +-29 -562 +391 795 +1188 -147 +-427 -1395 +-1327 -1129 +-1178 -1007 +-228 -630 +924 334 +322 330 +329 -629 +728 -358 +-293 1077 +-872 1516 +-681 1583 +489 1074 +1063 -725 +-141 -532 +514 722 +1137 -358 +-673 -1290 +-1521 -859 +-1360 -771 +-353 -584 +962 147 +370 266 +215 -667 +656 -460 +-118 1127 +-625 1649 +-438 1676 +658 981 +947 -880 +-224 -514 +628 626 +1086 -524 +-863 -1159 +-1635 -604 +-1447 -548 +-439 -518 +963 23 +211 645 +-499 1237 +917 972 +862 330 +-629 126 +-346 -985 +-1107 -1045 +-1005 530 +-376 878 +-964 -83 +-700 -1113 +-1225 -352 +-337 845 +406 901 +-555 614 +-1471 160 +-980 1301 +1173 1277 +1214 370 +-24 834 +-628 775 +603 690 +1370 -497 +914 -804 +770 505 +128 944 +1161 300 +1173 -1100 +526 -567 +313 382 +-1197 234 +-803 1087 +402 1217 +-168 490 +-827 -271 +-898 -1123 +-1289 59 +-713 1122 +-415 -33 +-261 -588 +1293 -731 +944 -1533 +-3 -1594 +393 -305 +-27 132 +-1332 -490 +-1683 500 +-1047 829 +-465 -739 +493 -651 +1559 362 +1599 -624 +684 -900 +-127 282 +-546 -475 +-821 -1544 +-1639 -623 +-1093 -94 +253 -682 +479 104 +1264 564 +950 -1014 +329 -1373 +665 -297 +246 656 +355 1285 +1370 174 +895 -270 +-436 490 +-885 -540 +-1542 -111 +-465 1021 +259 906 +-830 540 +-1266 -425 +-1198 495 +275 843 +902 428 +-63 827 +-1103 996 +-292 922 +272 -1044 +-1534 -1950 +-1999 -974 +-101 -1125 +-204 -435 +-1126 1788 +78 773 +982 -320 +1370 1209 +2156 2203 +1225 1191 +-210 -391 +-338 -321 +626 711 +1501 -508 +879 -351 +1528 669 +1105 -675 +-288 -191 +493 414 +515 563 +599 503 +625 -565 +38 -797 +-161 44 +267 560 +-130 -692 +-1897 -473 +-1503 -139 +-405 -363 +380 31 +714 -807 +-502 -215 +-441 688 +579 600 +493 -90 +-534 -1393 +-890 -827 +-315 616 +461 293 +979 -294 +656 670 +569 1689 +426 846 +-25 -336 +-353 565 +-918 286 +-790 -313 +-743 862 +-795 353 +-491 -198 +-1040 102 +-1481 -277 +-1045 -144 +316 867 +749 510 +-11 -631 +-334 -762 +-866 -755 +-322 -17 +565 737 +1154 934 +1198 221 +52 -908 +-956 -1294 +-2430 -605 +-2240 411 +-756 -815 +-412 -209 +150 2058 +519 533 +607 -845 +1843 133 +3101 418 +1728 186 +-404 -185 +-475 -53 +929 163 +865 -1321 +-337 -785 +-1152 1181 +-232 1887 +1477 620 +859 -938 +494 -1046 +103 -238 +-413 73 +108 -693 +-230 -885 +-78 -1243 +673 -1622 +1061 -160 +664 -580 +-235 -1034 +933 -580 +645 -1265 +53 -358 +1299 -426 +1037 -864 +1092 393 +351 771 +-1519 -104 +-1719 -564 +-345 13 +230 433 +-137 924 +259 1188 +618 482 +1031 -323 +410 -87 +130 -308 +844 -1024 +69 -653 +-818 469 +151 1107 +905 -122 +-135 567 +250 1073 +588 -1273 +-722 -791 +-1323 446 +-566 -307 +259 -15 +-617 1172 +-1126 859 +-1452 258 +-1307 366 +-772 729 +-272 987 +1286 -207 +1194 -1252 +810 -1830 +586 -1116 +96 533 +-243 1203 +-1006 1936 +103 1405 +670 -271 +-702 -565 +-1590 847 +-996 1420 +511 749 +990 118 +334 50 +-166 1575 +982 1574 +1588 -444 +114 -1237 +-247 -1106 +-56 -238 +-280 309 +-328 -606 +-723 -550 +-826 -918 +-457 -1665 +766 -755 +202 -836 +-817 -669 +441 -1054 +-9 -1905 +-64 -1282 +986 468 +482 793 +-1215 302 +-488 1063 +1142 840 +156 363 +-750 -59 +-1251 -274 +-525 696 +1503 -510 +1300 -1942 +829 -585 +1432 -474 +435 -1454 +-425 86 +293 1727 +390 1407 +559 1224 +356 947 +111 223 +-39 683 +155 1179 +1097 299 +-275 -207 +-609 -555 +-373 -521 +-1528 173 +-1759 -324 +-589 54 +973 382 +-403 14 +-360 256 +984 -229 +692 -241 +103 -366 +-1010 -587 +-898 -474 +-587 -814 +586 -893 +352 -1158 +-806 -1099 +64 -277 +-541 164 +-890 -586 +-758 -1228 +-1047 -1249 +-938 -1042 +-1181 541 +-538 2417 +500 2081 +150 160 +-413 -1093 +-359 -979 +84 368 +1138 580 +720 115 +-69 1282 +614 1351 +1433 -91 +1192 -781 +53 -503 +-123 94 +-598 -432 +-688 -1050 +1073 -219 +899 363 +-820 963 +222 1115 +1422 -17 +345 199 +-637 395 +-1170 528 +-11 865 +931 -1281 +-105 -2278 +312 -957 +874 -1222 +-527 -1420 +-650 12 +-238 836 +-182 166 +636 -1172 +514 -662 +-400 227 +154 732 +1018 809 +141 -84 +-1104 165 +-649 783 +69 140 +-329 -173 +-909 493 +-737 585 +-565 -406 +-630 -643 +-53 -505 +209 -104 +296 -7 +47 -810 +76 -738 +28 152 +-223 805 +397 1525 +185 1909 +196 752 +329 1044 +60 962 +-241 -834 +421 -1071 +1129 -1093 +-97 607 +132 1258 +-406 450 +-1357 1870 +461 2537 +1465 1453 +747 108 +200 62 +479 -1103 +-218 -1497 +232 -14 +1066 -334 +-1089 -269 +-1966 -721 +62 -1359 +1165 -1420 +-688 -1842 +-1805 -779 +-966 601 +-584 1265 +98 960 +498 -621 +61 -1005 +-599 -305 +-1449 305 +-838 1490 +377 148 +-30 -1589 +-60 -845 +249 -910 +416 -744 +1012 -832 +565 -1028 +149 431 +-56 239 +-212 -1337 +-1 -820 +-211 446 +571 487 +1345 7 +72 -147 +-784 806 +-28 1001 +158 66 +-374 56 +-441 939 +-233 899 +-667 49 +-536 95 +240 131 +966 578 +2209 1133 +1448 472 +357 -165 +-161 -408 +-849 392 +-309 930 +-348 653 +-550 896 +19 1078 +830 447 +1742 -42 +1123 646 +-385 -64 +-740 -452 +539 853 +556 412 +-1126 -585 +-967 367 +1138 1179 +1360 161 +233 609 +877 68 +145 -1163 +-550 533 +180 528 +-289 3 +-788 223 +-1216 -179 +-687 -59 +8 -710 +-300 -1070 +-1125 -303 +-1304 -710 +-39 -1038 +1420 -864 +1487 -1832 +-419 -1453 +-1034 -602 +-1066 131 +-1297 929 +-588 627 +-805 487 +-1026 -652 +-521 -669 +-61 980 +10 1753 +889 1455 +651 1249 +-225 912 +575 -1441 +-161 -1530 +72 345 +1422 681 +589 69 +-961 -773 +-1547 -35 +-61 304 +187 -503 +-1080 -851 +-1293 -614 +-886 28 +226 -50 +455 -310 +1108 -147 +2498 -463 +1504 -518 +218 -344 +-368 -208 +-452 825 +312 921 +110 734 +95 1036 +670 833 +944 -153 +1394 -1069 +1294 -162 +-385 135 +-1433 -456 +-479 -1105 +-343 49 +-1208 2338 +-675 2496 +-759 1271 +-344 774 +859 374 +751 -559 +-11 -352 +570 646 +1148 876 +-344 466 +-991 -114 +382 -376 +909 -511 +60 -766 +-844 -586 +-1604 412 +-684 257 +292 -351 +47 -526 +247 -787 +-362 359 +-194 702 +933 212 +1120 -299 +862 -1417 +204 -861 +-28 224 +152 -601 +-559 -1343 +-2118 -121 +-2104 897 +-345 121 +-742 -1094 +-1252 -39 +151 204 +-208 -1084 +-268 -347 +112 -382 +-350 459 +47 1279 +-398 972 +-264 1358 +-329 153 +-761 -12 +-125 195 +-273 -54 +158 727 +1096 768 +1526 -571 +692 -1175 +-634 233 +-1511 491 +-764 -593 +984 -1658 +-73 -1415 +160 -292 +1189 -304 +408 77 +1267 255 +2153 -869 +1279 -906 +-651 197 +-1148 -433 +-285 246 +511 2537 +1005 2362 +166 1461 +194 814 +934 -238 +284 -896 +-223 -263 +854 147 +1469 -35 +4 563 +-879 513 +88 -518 +467 -926 +-112 -354 +-211 960 +-151 1829 +32 566 +-90 -1086 +171 -1009 +849 -186 +274 53 +-137 193 +-811 355 +-1053 530 +-377 93 +-589 -429 +-211 -468 +-52 -1257 +-148 -1583 +-202 -1191 +277 -748 +158 -27 +-563 524 +1066 555 +1419 706 +759 438 +272 71 +-336 881 +500 595 +823 -94 +334 -182 +-538 -143 +-343 324 +1129 162 +1246 -74 +-1089 246 +-1691 1195 +352 782 +-55 -62 +270 -102 +2262 -1606 +1174 -1780 +-709 451 +-51 405 +890 -1636 +659 -1317 +-59 -482 +-86 373 +517 1577 +340 589 +1108 -165 +742 -744 +-227 -862 +237 -728 +-921 -612 +-613 523 +563 286 +686 413 +1019 -543 +-235 -1377 +-1616 1004 +-1854 1516 +-2009 683 +-1861 886 +-981 1300 +-116 1478 +91 486 +-16 -275 +535 692 +1028 1535 +366 414 +-728 -801 +-469 -884 +583 -657 +258 -122 +1 247 +-448 788 +-525 1071 +-247 316 +-746 37 +-448 -240 +-785 -964 +-1042 -1139 +-728 -361 +-745 215 +-1084 -389 +-474 -690 +98 -177 +77 17 +-159 -1064 +-109 -1820 +69 -590 +327 300 +580 -404 +897 -269 +914 206 +374 149 +111 -249 +-451 492 +-42 1010 +-616 98 +-1327 866 +-324 476 +-354 -1046 +83 -413 +233 1162 +186 986 +-740 -841 +-2595 -173 +-2260 758 +-933 502 +-58 600 +-587 521 +-904 1440 +-138 1560 +713 -273 +489 -1932 +-520 -1793 +-391 -197 +-235 1225 +136 677 +775 -483 +796 51 +-257 -336 +-854 -278 +-272 639 +604 -579 +2167 -1015 +1445 -1163 +-500 -663 +227 398 +522 -870 +-837 375 +-72 1641 +279 -200 +-1351 -622 +-684 -920 +80 -788 +-187 50 +571 787 +1084 1247 +674 890 +1135 641 +2044 152 +1588 37 +906 -791 +376 -1459 +320 -291 +-158 514 +-1156 310 +-813 -261 +-29 -189 +76 -34 +-745 -736 +-1165 -1365 +-299 -511 +439 38 +228 -674 +579 -748 +876 -368 +393 -93 +-56 -256 +-113 664 +279 960 +-422 863 +36 1234 +824 -28 +951 216 +830 815 +-398 498 +-330 1340 +-54 -340 +-486 -1712 +-14 -428 +-236 256 +754 63 +1634 -1519 +200 -1548 +-103 -280 +127 -811 +-736 -188 +120 517 +1615 434 +1287 -124 +373 -1683 +-99 -1215 +433 -431 +560 -1198 +-512 -1412 +-1268 -105 +-895 786 +3 1282 +637 2055 +937 773 +584 -209 +233 269 +-9 1692 +-610 1920 +-1147 135 +-738 -385 +-660 -710 +-702 -76 +36 408 +-1227 547 +-1626 1620 +385 1131 +802 -530 +113 -1130 +261 261 +31 670 +-583 915 +708 1471 +1395 930 +132 1354 +-593 738 +-395 -1119 +-332 -974 +-284 -272 +518 -1514 +782 -1149 +454 32 +86 -575 +-464 -965 +-1006 -850 +-740 509 +-50 143 +-242 -932 +-454 146 +-68 1204 +795 932 +626 -538 +888 -423 +1193 109 +17 630 +576 1227 +-262 -219 +-1468 -997 +-279 -321 +-24 342 +646 -437 +351 -2198 +-812 -1294 +-249 -126 +-451 -868 +-1048 -1347 +165 -1089 +1980 -1003 +1166 -1869 +-687 -745 +-199 1061 +1021 545 +-385 -380 +-501 -730 +760 -647 +521 442 +355 1510 +318 1517 +137 505 +-77 293 +886 988 +879 92 +-484 -1377 +-141 -467 +758 134 +820 -298 +-477 86 +-1286 -94 +-628 138 +-413 58 +-605 -58 +-1282 843 +-1122 1114 +-38 383 +-293 -137 +-563 494 +-478 332 +-88 -473 +692 -390 +1307 118 +1760 -1053 +181 -1785 +-1326 52 +-1423 1520 +-202 2182 +1355 1380 +46 1263 +-389 896 +238 -1 +-184 820 +-409 -361 +-382 -374 +-311 887 +-729 625 +308 692 +984 769 +635 826 +647 -589 +-536 -2048 +-562 -1525 +680 -1086 +479 -1189 +380 -830 +584 -1102 +-448 -719 +-284 -139 +7 -765 +-611 573 +-488 1243 +-1280 -120 +-529 -979 +999 -1980 +-212 -2126 +-1014 -135 +483 927 +1152 -223 +-537 -63 +-856 -250 +211 -971 +694 9 +1211 934 +1182 974 +418 305 +40 277 +605 442 +210 448 +-284 74 +33 572 +1487 1020 +2139 -22 +1180 -559 +294 -664 +1094 -63 +728 329 +-97 -364 +495 -794 +-374 -649 +-982 -592 +-1915 -459 +-1657 682 +1174 570 +1560 -1411 +835 -1607 +1137 -699 +705 -461 +37 -140 +307 -625 +374 -144 +814 158 +425 -1115 +158 203 +1519 1067 +262 -195 +-431 161 +723 1487 +442 1447 +-511 355 +-465 37 +-218 -749 +-1096 -524 +-388 1137 +676 831 +796 -592 +-90 -405 +-981 -79 +-1005 -411 +-1141 477 +-159 166 +-442 -223 +-296 768 +1097 860 +1181 513 +192 -770 +-1095 -914 +-559 372 +-1137 665 +-2085 -106 +-1585 -987 +-969 -126 +816 733 +890 397 +-840 -196 +-1420 -1226 +-637 -830 +347 573 +406 629 +452 1096 +280 1782 +-84 1359 +200 743 +-114 237 +386 450 +1814 -115 +1710 -1336 +605 -1162 +-177 -696 +832 -722 +777 -202 +-320 -236 +-106 -920 +-702 -270 +-1159 157 +-1825 834 +-986 1544 +773 -76 +-398 -977 +-942 -774 +-376 -476 +547 276 +208 795 +260 948 +1510 203 +1150 -847 +766 -1101 +217 -395 +586 356 +1016 -320 +-276 -673 +-951 -78 +-759 174 +294 281 +664 -297 +840 -719 +376 -726 +-1052 64 +340 1415 +1728 -383 +288 -1466 +-250 645 +219 514 +-417 -752 +-936 -868 +-345 -694 +698 -1467 +258 -1966 +-993 -999 +-1622 -326 +-644 297 +1218 252 +879 460 +377 631 +48 329 +-293 136 +-115 -875 +287 -541 +1045 163 +-255 717 +-1278 1247 +-626 1427 +743 2431 +769 2520 +-981 1832 +-238 902 +761 312 +-207 -115 +-501 -739 +-22 -1106 +47 -464 +936 961 +857 -100 +-473 -539 +-407 -473 +-986 -1625 +-998 361 +-172 1526 +512 294 +907 791 +-400 549 +-1335 -484 +-1304 -157 +-1203 -24 +-626 -121 +599 -107 +645 498 +783 589 +1363 -750 +432 -1350 +-41 -1302 +-62 -425 +688 -71 +634 -855 +-632 -355 +-826 506 +-535 558 +98 -148 +12 404 +690 1607 +991 585 +404 477 +-182 42 +-388 -95 +315 1204 +1118 974 +275 -679 +-1551 -501 +-386 785 +1432 -124 +277 -816 +-1261 -454 +173 -949 +1792 -813 +1732 -472 +1721 -971 +1091 -703 +970 -235 +422 -85 +-51 -2 +285 -797 +-140 -835 +-689 0 +-974 658 +163 860 +947 330 +984 206 +632 -185 +-343 -668 +-686 -54 +-722 342 +256 219 +314 122 +-679 171 +-535 -455 +-791 -687 +-385 1202 +1517 1117 +1620 204 +191 603 +-1405 -221 +-2608 732 +-1931 1226 +-1322 -379 +-416 597 +726 2153 +277 808 +-37 -497 +375 -230 +191 -1037 +-1002 -1223 +-947 -1221 +359 -659 +731 102 +-132 -925 +-162 -256 +61 -317 +-797 -313 +-645 1126 +-762 1412 +-901 1232 +-862 -10 +-101 394 +1541 871 +1169 -131 +599 125 +-129 128 +-385 159 +977 757 +1511 99 +-175 -681 +-1595 551 +127 853 +1102 -963 +-264 -822 +-1321 374 +-467 -890 +517 -1850 +-882 -521 +-950 626 +592 391 +777 999 +413 601 +194 -823 +-1 -693 +14 -526 +228 -1024 +-582 -825 +182 159 +904 33 +377 -1501 +-486 -1465 +-1520 210 +-418 522 +-450 374 +-1067 188 +-329 116 +281 469 +-598 -52 +-1683 245 +-469 102 +-79 -956 +134 -694 +-160 -107 +-1603 893 +-1159 947 +-860 174 +-808 821 +245 854 +752 523 +899 446 +1220 -612 +972 -909 +812 -507 +969 -900 +155 -1263 +-390 185 +749 367 +559 -844 +-849 1027 +-467 1283 +-255 -318 +-523 -922 +78 -1864 +799 -1399 +26 -514 +-572 712 +916 1007 +1404 516 +1822 1276 +2154 774 +662 94 +-270 491 +501 501 +903 273 +53 -243 +-557 -631 +-401 992 +1102 2085 +233 591 +-1798 -1240 +-1303 -1885 +-1148 133 +-422 1060 +689 -80 +1226 299 +710 212 +-343 -754 +-420 -533 +-296 -421 +-434 -934 +-994 -290 +212 17 +751 -519 +-591 -1394 +-1271 -835 +-1113 1081 +167 435 +1243 -626 +1075 -294 +978 353 +1218 -502 +-332 -977 +336 -738 +933 -1219 +-39 277 +-194 1201 +-149 773 +735 261 +725 -376 +682 -665 +136 -1062 +-1699 103 +-1750 588 +510 1226 +1602 2320 +869 1184 +140 353 +-1275 524 +-646 1326 +142 -110 +-1011 -2349 +-528 -910 +617 472 +833 200 +214 57 +-681 -254 +-982 -233 +-208 -511 +-1059 -657 +-1586 610 +8 962 +-464 581 +-502 -155 +-205 -1184 +-813 -19 +-499 825 +-330 237 +-151 525 +-295 1055 +-1156 373 +-1637 -150 +-169 525 +1210 80 +343 -314 +199 486 +1346 166 +1460 -101 +1455 843 +1345 88 +287 -1240 +196 -137 +727 428 +536 -506 +-853 -433 +-2283 206 +-1653 460 +-15 332 +42 334 +-96 77 +805 -1010 +563 -1362 +520 -1341 +641 -889 +987 -351 +691 -1121 +-865 -547 +-183 -772 +6 -1521 +122 244 +573 1051 +335 687 +755 -235 +372 -726 +161 -928 +-524 -907 +-1270 1089 +-785 1434 +-38 239 +34 -1 +18 39 +-12 0 +-3 -7 +-6 -7 +-2 0 +0 4 +2 8 +7 0 +7 3 +2 4 +0 4 +2 -3 +6 -4 +0 -3 +-2 0 +2 3 +6 5 +6 1 +2 0 +10 -2 +6 -2 +4 -6 +4 -1 +1 5 +0 5 +-1 2 +-3 -2 +3 -6 +4 -2 +2 6 +-1 6 +-3 -5 +-1 -3 +-6 5 +-4 7 +-8 7 +-8 3 +-8 -5 +-8 -4 +-1 1 +6 2 +2 3 +-5 9 +-1 5 +4 7 +6 -2 +2 -4 +3 0 +3 2 +-3 0 +9 3 +10 1 +-2 8 +-3 6 +-1 9 +3 11 +43 -283 +112 -135 +-52 30 +-344 -88 +-253 -13 +70 19 +-73 140 +-143 265 +-69 172 +-123 147 +-121 -11 +14 -88 +333 258 +248 273 +64 -75 +246 -125 +107 27 +-41 2 +-32 32 +-20 277 +74 187 +225 -157 +421 -85 +318 -161 +-2 -322 +-386 80 +-608 31 +-338 -202 +-44 94 +118 292 +99 303 +-109 273 +-205 244 +-136 191 +220 16 +182 -155 +-180 -145 +106 -93 +55 -400 +-106 -202 +159 331 +-142 62 +-135 -234 +207 -464 +61 -461 +-78 29 +-211 -133 +-239 -358 +-197 -95 +-172 117 +-75 260 +-164 129 +20 82 +129 41 +-222 -130 +-88 20 +141 50 +-55 -16 +-73 117 +15 41 +-22 -103 +-72 22 +-69 73 +-42 -79 +-162 -22 +-256 127 +-104 223 +0 216 +86 81 +209 137 +205 40 +259 -32 +135 185 +-37 -63 +249 -270 +350 40 +5 233 +-146 52 +45 -234 +190 -180 +79 24 +-47 -3 +-46 50 +77 265 +138 155 +160 -224 +377 -210 +260 -247 +-103 -296 +-342 199 +-567 231 +-388 -64 +-13 115 +196 234 +187 170 +10 38 +4 -2 +14 28 +-6 5 +0 5 +-11 4 +-7 4 +-12 0 +-8 6 +-8 7 +-7 0 +-9 2 +-10 -1 +-8 -6 +-11 2 +-6 1 +-2 2 +-5 10 +-8 3 +4 -1 +12 3 +9 11 +12 6 +9 -1 +5 -2 +15 5 +15 7 +11 7 +4 9 +-5 7 +3 2 +3 -1 +2 0 +6 4 +2 -1 +4 -6 +3 -4 +4 7 +5 6 +-2 -8 +-1 -6 +3 0 +-7 0 +-8 1 +-2 2 +-2 1 +-4 1 +-3 -3 +-4 -4 +1 -4 +2 3 +-6 -2 +-5 -7 +4 -8 +1 -2 +2 0 +2 7 +0 5 +0 1 +6 -3 +5 -4 +2 -4 +-1 -6 +7 -3 +4 2 +0 2 +2 -2 +4 -1 +2 -5 +2 -2 +-2 0 +-6 -4 +-3 -3 +-1 0 +-4 0 +1 -4 +-2 -4 +-2 -6 +3 -3 +6 -5 +-3 -4 +-1 -4 +3 -1 +1 -8 +-3 -3 +-2 -6 +-3 3 +-1 -1 +-3 -6 +-4 -2 +-4 -1 +-6 -2 +-4 0 +-9 -2 +-6 -3 +3 -5 +0 -4 +2 -3 +2 -5 +-4 0 +-3 0 +3 3 +2 7 +-3 6 +-4 0 +-3 -3 +-5 1 +-3 5 +-2 -1 +0 -7 +-2 -6 +1 1 +0 2 +3 3 +4 0 +3 -8 +1 -2 +-4 2 +-4 3 +1 -1 +3 0 +4 -4 +-2 -6 +0 -3 +0 6 +3 6 +6 -1 +8 -1 +5 -3 +3 9 +4 11 +2 6 +0 -4 +4 -9 +-2 -4 +0 0 +1 -1 +2 2 +-1 -1 +-6 -7 +-4 -6 +4 0 +10 -5 +1 3 +0 1 +1 -5 +-2 1 +1 8 +2 4 +3 4 +1 2 +2 1 +-5 7 +-7 5 +0 0 +4 -2 +-7 3 +-4 3 +-2 0 +4 -7 +2 -8 +2 -5 +-2 2 +-3 1 +-1 4 +2 5 +5 7 +-2 5 +4 3 +5 8 +7 5 +1 4 +0 8 +-2 0 +0 4 +0 1 +0 -6 +0 -4 +0 4 +-2 2 +-6 -2 +-3 4 +2 8 +4 3 +2 -2 +-1 -3 +-4 2 +1 7 +1 2 +1 -1 +-2 4 +-3 1 +0 5 +-3 11 +1 1 +-2 -2 +-4 -2 +3 4 +7 5 +3 4 +-3 5 +-1 5 +-1 -1 +-2 -4 +0 -4 +1 -3 +-3 -2 +-2 -6 +0 -7 +-1 -8 +-3 2 +-7 2 +-5 -3 +-2 -4 +2 -5 +2 -4 +-1 -2 +4 5 +3 -4 +1 -5 +2 1 +-4 4 +-6 1 +-3 -5 +-4 -9 +-2 -4 +3 0 +2 2 +-4 0 +5 9 +2 3 +-2 -1 +-8 1 +-4 1 +1 0 +2 -1 +-1 -3 +2 -5 +-1 -2 +1 5 +2 2 +5 1 +4 -5 +-1 -7 +-6 -10 +-3 -5 +-1 0 +-3 5 +-5 5 +-1 0 +-2 0 +-9 4 +-1 0 +5 1 +4 -1 +5 0 +3 6 +0 7 +1 6 +-2 1 +-3 -5 +-3 1 +3 1 +6 3 +-1 -9 +2 -11 +-1 1 +-1 0 +-1 2 +7 3 +7 -1 +4 -2 +7 -4 +5 0 +0 1 +0 -4 +2 -6 +2 -5 +1 4 +7 6 +10 -2 +3 0 +-2 -2 +1 4 +-3 0 +-4 -2 +2 6 +4 3 +1 7 +4 8 +9 2 +8 0 +2 -3 +-2 -1 +3 4 +10 2 +6 -5 +1 3 +1 1 +-1 2 +-3 4 +0 2 +5 3 +4 3 +7 -2 +9 7 +8 11 +2 4 +-2 3 +3 1 +3 5 +3 5 +0 5 +9 3 +7 5 +2 1 +0 -7 +6 -4 +3 0 +3 -4 +6 5 +-1 6 +2 -2 +5 -4 +0 -2 +1 -5 +2 -2 +1 -2 +-1 3 +0 8 +2 0 +0 3 +2 5 +9 7 +12 4 +1 1 +2 4 +0 6 +2 3 +1 1 +-3 -3 +-2 -1 +5 3 +6 5 +3 0 +4 1 +3 3 +-2 -1 +-3 3 +3 5 +5 0 +3 3 +5 3 +2 0 +-4 2 +-5 3 +-3 0 +4 -1 +7 1 +4 -1 +12 1 +4 0 +2 1 +12 3 +11 0 +5 1 +-1 -9 +-2 -1 +3 9 +8 8 +-1 3 +3 2 +4 6 +6 2 +6 1 +5 6 +3 7 +0 6 +1 -4 +4 -1 +6 7 +0 3 +8 3 +11 -1 +2 4 +0 8 +1 7 +1 7 +1 1 +-4 -1 +0 1 +4 4 +0 1 +-6 -3 +0 -1 +0 -3 +0 0 +-2 3 +0 2 +0 -2 +4 3 +1 7 +-3 1 +2 3 +9 2 +6 3 +11 9 +7 14 +7 4 +2 5 +-7 5 +-2 0 +5 5 +4 8 +8 5 +8 -6 +6 -2 +2 7 +2 2 +7 2 +9 2 +10 9 +2 6 +-1 0 +2 4 +3 7 +0 3 +5 1 +5 3 +1 5 +8 6 +8 1 +5 8 +7 6 +7 6 +7 3 +3 4 +-2 7 +-2 -1 +-2 -1 +-1 6 +6 5 +2 5 +1 4 +3 3 +4 4 +1 6 +4 3 +1 -1 +2 -5 +6 1 +-1 1 +-1 0 +-4 0 +-2 2 +2 -1 +6 3 +4 5 +-3 1 +-7 5 +2 7 +2 8 +2 0 +6 -1 +2 3 +3 0 +7 0 +8 4 +8 2 +4 0 +0 -4 +-4 3 +-3 0 +-3 0 +-1 1 +2 -1 +6 -1 +-2 -1 +-4 2 +-3 -5 +0 -4 +1 7 +-6 5 +-5 -2 +0 -1 +2 3 +1 2 +7 7 +5 5 +-1 2 +0 7 +4 6 +3 6 +3 11 +9 15 +6 5 +0 -5 +8 3 +4 8 +1 4 +0 0 +-1 -3 +3 2 +9 5 +7 6 +0 2 +-7 5 +-1 7 +1 -1 +-4 -2 +-3 2 +-1 1 +-4 0 +-9 -2 +1 -1 +5 3 +2 -1 +-1 -6 +1 -5 +6 -1 +6 2 +2 5 +-1 3 +-3 2 +-3 0 +1 6 +-1 4 +0 6 +-3 5 +-2 9 +2 8 +5 4 +2 8 +0 4 +2 6 +1 6 +-2 2 +-3 -2 +0 -3 +2 2 +-2 1 +1 -1 +0 -1 +3 -5 +4 -1 +2 0 +11 -4 +4 -4 +7 0 +-2 5 +-2 5 +-1 3 +4 -1 +1 2 +0 2 +-1 4 +-6 8 +-3 4 +2 0 +0 -4 +2 -1 +7 0 +10 -7 +8 -9 +8 -2 +7 2 +6 -1 +3 3 +1 8 +-6 0 +0 -9 +7 -3 +0 4 +-2 0 +-2 -1 +2 -3 +3 -3 +4 0 +1 1 +-4 2 +-2 -1 +4 1 +5 -4 +-1 -4 +0 6 +4 9 +9 12 +7 2 +3 -5 +-1 -1 +1 -3 +2 -3 +2 -1 +-2 1 +1 5 +6 1 +1 -7 +4 4 +3 3 +7 -7 +5 -8 +0 -2 +7 -6 +1 -7 +2 -6 +-1 -4 +0 4 +-3 6 +-2 -2 +5 -4 +7 0 +5 1 +6 -4 +6 -1 +7 8 +1 8 +2 -1 +0 -4 +0 -2 +-5 3 +2 5 +0 0 +2 -2 +9 1 +3 6 +3 2 +0 0 +-6 -7 +-5 -4 +0 1 +3 3 +0 4 +-2 2 +-1 0 +7 -1 +5 0 +6 2 +4 7 +1 4 +-3 1 +3 5 +5 4 +3 -1 +3 -7 +1 -1 +5 -2 +5 0 +1 -4 +4 -13 +6 -3 +1 2 +-3 -7 +3 -6 +7 -4 +3 0 +2 2 +3 2 +-3 3 +-7 5 +-8 1 +-5 -3 +2 -1 +7 0 +4 -1 +-1 0 +0 -1 +6 -5 +4 2 +-2 0 +-7 -11 +-2 -10 +8 -2 +4 -4 +-2 -3 +4 0 +3 1 +-4 5 +-5 10 +5 1 +9 -1 +3 -2 +-1 -8 +1 0 +5 7 +6 1 +-4 2 +-3 1 +3 3 +1 -7 +2 -3 +3 4 +5 1 +-3 -2 +1 -2 +-3 5 +-8 4 +-4 -1 +-2 -1 +3 1 +6 1 +-4 5 +-6 1 +-7 -7 +-2 -1 +-245 177 +-1 -6 +-109 -103 +-230 37 +-108 92 +-54 151 +45 -21 +292 -147 +313 32 +-8 51 +-225 237 +-158 93 +-349 -268 +-127 197 +389 411 +-174 258 +-446 328 +137 -43 +303 -128 +238 232 +38 113 +-289 -77 +-383 -51 +-256 32 +46 51 +209 -47 +113 -73 +8 -187 +13 -138 +-233 80 +-415 24 +-119 74 +39 172 +55 152 +27 212 +107 101 +367 105 +138 117 +12 -153 +254 -134 +224 -97 +175 -229 +20 -185 +-95 -183 +-36 -151 +-131 -5 +-177 27 +9 -84 +317 -139 +199 -72 +-78 -195 +49 -239 +33 -103 +-173 -144 +-271 -174 +-186 -110 +-110 -6 +-230 64 +-238 120 +-239 190 +-77 88 +53 184 +-64 183 +283 -111 +507 -46 +142 -83 +-114 -130 +-172 93 +-73 117 +21 167 +33 -23 +223 -226 +297 -64 +4 53 +-142 294 +-125 134 +-420 -137 +-63 217 +507 226 +-80 281 +-336 409 +29 -33 +-69 -93 +-273 160 +-308 81 +-15 -311 +241 -372 +146 -81 +97 159 +-155 67 +-241 -180 +170 -61 +-8 -132 +-320 -104 +-102 215 +-40 -1 +-9 39 +193 94 +209 -189 +-86 128 +-222 251 +-182 65 +-195 38 +230 -47 +401 248 +-68 344 +-198 21 +-121 138 +121 243 +231 75 +-87 167 +-50 206 +89 10 +-23 55 +-147 129 +-143 9 +157 -6 +308 78 +272 101 +190 -69 +49 -97 +-32 -104 +-187 -324 +-25 -217 +17 -101 +-347 -131 +-205 -39 +-31 -7 +-196 222 +-184 348 +-50 222 +196 288 +327 376 +261 170 +221 -311 +103 -332 +-42 -96 +-81 -233 +-35 -2 +-150 206 +-246 39 +92 -2 +267 -212 +-32 -344 +-104 -239 +10 -236 +-87 -103 +-198 193 +-246 172 +-101 -291 +107 -425 +114 -121 +150 111 +-117 116 +-279 -67 +152 -108 +-40 -114 +-325 9 +-6 220 +-41 20 +-46 76 +76 244 +-27 41 +-16 84 +32 203 +250 -4 +277 -77 +165 -77 +177 -198 +-270 -109 +-375 -112 +118 -320 +96 -2 +-176 457 +-118 306 +-51 41 +-257 25 +-244 -47 +75 -186 +230 48 +245 339 +27 137 +-100 -73 +177 -119 +258 -77 +83 -133 +51 -291 +-13 -52 +-237 46 +-252 29 +-109 332 +28 339 +138 198 +-47 89 +-6 74 +288 141 +190 47 +121 285 +45 179 +-62 -221 +82 124 +-12 271 +-111 241 +162 257 +306 -146 +308 -96 +408 75 +49 -237 +-378 -315 +-93 -203 +-27 -37 +-192 130 +-46 36 +0 -155 +159 -273 +66 -216 +-279 26 +-307 98 +-247 -94 +-8 -102 +-71 -5 +-255 -85 +-122 -119 +-258 -64 +-75 108 +184 241 +3 63 +31 63 +113 162 +232 -93 +237 -167 +134 -122 +99 -242 +-307 -4 +-405 27 +-6 -330 +84 -31 +-6 477 +22 304 +-17 64 +-159 131 +-287 202 +-437 79 +-22 -215 +310 -188 +159 -127 +77 -248 +-35 -44 +35 227 +119 224 +96 129 +175 -6 +28 36 +104 211 +192 86 +-236 -168 +-261 -92 +-238 200 +-460 128 +-16 -225 +308 -213 +136 -147 +52 -255 +-45 -35 +56 225 +144 211 +102 130 +171 -6 +35 35 +112 195 +191 74 +-247 -138 +-263 -67 +-213 224 +-438 167 +-43 -222 +287 -238 +131 -155 +29 -258 +-47 -39 +80 218 +166 193 +125 118 +173 -27 +28 30 +126 194 +197 64 +-261 -121 +-268 -44 +-201 242 +-440 198 +-68 -223 +268 -264 +120 -170 +16 -257 +-52 -28 +95 207 +179 184 +131 105 +173 -40 +36 24 +147 172 +209 38 +-268 -102 +-271 -28 +-175 258 +-412 232 +-80 -214 +246 -281 +105 -172 +-12 -259 +-55 -30 +110 191 +197 163 +135 90 +164 -61 +36 33 +151 183 +188 34 +-268 -80 +-182 -59 +195 -58 +100 25 +-152 5 +30 212 +257 340 +208 31 +268 54 +133 37 +256 154 +214 120 +17 -121 +190 -119 +99 -100 +293 -72 +331 -149 +62 -190 +92 -240 +-117 -101 +-71 83 +310 139 +144 77 +-123 27 +-304 100 +-428 -79 +-5 99 +4 139 +-298 6 +-158 -19 +-32 -425 +13 -290 +-10 -101 +73 -242 +14 -76 +-107 229 +-82 444 +-72 224 +36 -98 +198 -87 +248 -51 +-91 -193 +-160 -111 +-87 184 +-355 -28 +-284 -172 +-114 238 +-13 128 +162 -96 +249 171 +12 -24 +-219 -391 +-128 -258 +-117 -62 +86 5 +387 114 +138 57 +80 103 +124 237 +-338 150 +-247 175 +67 13 +-46 115 +-268 259 +-392 70 +-50 62 +201 -82 +95 -38 +-159 58 +77 193 +358 249 +217 -27 +278 -35 +144 -9 +290 58 +235 39 +-25 -122 +142 -173 +62 -142 +244 -173 +262 -230 +-4 -79 +5 21 +174 103 +187 260 +-79 399 +147 -33 +183 -306 +26 -72 +125 185 +-64 168 +-4 -334 +-76 -284 +-67 -124 +214 18 +114 139 +-125 -252 +-218 -168 +75 22 +171 83 +57 406 +86 213 +159 -5 +160 134 +-24 -36 +-38 202 +10 421 +112 119 +91 55 +42 -169 +21 -210 +-368 -95 +-302 -113 +43 68 +-116 161 +-287 231 +-80 136 +-83 78 +-289 121 +-66 -89 +74 -384 +97 -382 +158 -152 +37 -182 +-36 -112 +-259 207 +-352 188 +-229 -8 +-265 42 +-33 -175 +207 -422 +38 -115 +26 -95 +207 -200 +212 147 +108 -30 +64 -447 +-13 -125 +-208 -25 +-174 -24 +74 245 +206 180 +188 110 +35 -23 +-16 155 +107 286 +95 97 +195 70 +265 180 +40 401 +125 -67 +78 -339 +0 -79 +175 136 +-7 190 +-116 -296 +-174 -243 +-106 -88 +200 -54 +133 95 +-224 -170 +-196 -91 +422 99 +607 57 +233 -117 +162 -4 +165 252 +27 -134 +300 39 +241 327 +-59 50 +133 182 +176 12 +-27 -344 +-111 -159 +-207 -116 +-182 -19 +20 127 +92 -140 +-67 -116 +-38 -58 +144 -87 +-1 -260 +-82 -379 +-27 7 +22 -39 +91 -1 +-227 81 +-232 -48 +27 -144 +-3 -168 +-38 293 +56 192 +173 30 +14 69 +86 60 +150 228 +104 79 +133 74 +-106 80 +-222 139 +-492 164 +-318 136 +145 7 +68 -187 +6 -101 +-213 -107 +-135 189 +-117 117 +-135 -30 +398 245 +309 3 +21 -96 +-15 18 +-132 -68 +63 -94 +141 -85 +-156 -142 +-280 12 +-254 151 +-201 47 +-108 -67 +-113 -38 +-201 182 +-129 114 +151 -42 +503 -103 +604 -174 +194 -209 +135 -46 +243 176 +-24 -129 +290 -70 +345 226 +-44 67 +180 122 +166 -54 +-135 -319 +-147 -125 +-236 -59 +-204 33 +-88 168 +-133 208 +-153 267 +-6 221 +234 255 +99 -235 +15 -269 +-134 197 +-62 -204 +46 -170 +-137 95 +63 78 +238 243 +131 223 +1 69 +-283 -91 +-73 -195 +314 -339 +220 -172 +396 22 +177 26 +-101 108 +52 9 +-64 0 +-15 -111 +-193 -182 +-280 89 +8 -41 +37 -219 +145 -25 +281 -121 +130 -244 +-73 -210 +-144 -197 +-286 -163 +-340 -212 +-273 -181 +3 -108 +-67 212 +-263 332 +92 169 +62 104 +14 -132 +113 11 +112 58 +55 -183 +-137 -192 +69 -79 +76 33 +-4 -17 +72 105 +-162 43 +-244 -49 +-89 -5 +-109 -69 +-50 4 +159 146 +-75 138 +-139 -166 +351 17 +444 356 +91 196 +181 34 +136 84 +-346 163 +-123 199 +-12 311 +38 230 +324 156 +15 -241 +-76 -250 +-64 229 +-122 -166 +-3 -172 +-95 131 +84 51 +307 152 +205 162 +18 61 +-300 21 +-259 6 +120 -56 +212 -83 +83 -10 +80 -72 +54 -197 +198 55 +326 212 +46 -144 +-99 -120 +-302 20 +-516 -142 +-226 49 +76 259 +70 426 +-55 528 +-183 343 +-141 141 +97 -117 +3 -86 +-70 -36 +39 -191 +-69 -180 +-98 -94 +-39 -61 +-89 -115 +72 -35 +230 0 +117 8 +310 43 +280 3 +151 -35 +149 -178 +-86 -12 +-158 55 +-245 -67 +64 -152 +152 -267 +-68 -28 +-177 136 +-293 164 +-219 7 +-304 -234 +3 -118 +194 5 +295 175 +277 176 +-60 -3 +-81 -15 +-159 -107 +292 21 +225 202 +-165 -157 +89 -258 +120 -101 +216 -144 +109 36 +-131 25 +-150 -29 +225 0 +53 -23 +-393 -88 +1 -213 +-189 185 +-343 344 +137 -65 +186 -135 +80 -28 +57 -98 +-28 -188 +200 -12 +381 86 +-6 -152 +-135 -79 +-280 122 +-546 36 +-210 123 +156 226 +228 372 +145 487 +-2 254 +-161 44 +-321 188 +-10 122 +300 -240 +175 -81 +49 -40 +6 -79 +-60 106 +-65 53 +44 -70 +-179 -12 +-146 240 +-47 97 +-151 -163 +212 134 +137 298 +96 148 +307 280 +-5 296 +-99 32 +-162 12 +-380 -91 +-260 -41 +-94 65 +-120 104 +-23 119 +79 -362 +-153 -125 +-386 261 +-81 -268 +209 -293 +-3 -46 +97 -94 +186 -115 +-30 -92 +89 44 +58 205 +-127 269 +165 146 +183 56 +-43 171 +202 129 +-25 159 +-169 -80 +323 -710 +223 -388 +-78 -22 +-91 -154 +-175 -102 +-239 -249 +-145 -157 +-6 -83 +228 -135 +220 -18 +-49 -171 +-78 -237 +-214 -177 +-403 -12 +-210 217 +79 222 +139 210 +219 31 +353 16 +203 131 +-117 14 +-236 242 +36 121 +208 -331 +148 -134 +38 -63 +-23 -86 +-24 118 +-45 61 +16 -93 +-182 38 +-71 269 +-11 110 +-193 -93 +257 49 +238 178 +67 189 +129 93 +-74 -48 +-55 70 +-170 58 +-269 38 +-150 -97 +-275 -262 +-328 -413 +-427 -424 +-317 145 +160 163 +229 49 +-2 111 +-47 -67 +18 63 +-170 151 +-21 53 +294 14 +-30 194 +-193 179 +-266 -20 +-220 -51 +156 144 +83 303 +90 -11 +155 70 +-163 172 +-198 48 +-227 189 +-107 133 +309 129 +23 149 +20 -22 +478 -177 +290 -18 +146 100 +9 -72 +-86 -52 +210 -213 +345 -417 +65 -106 +-69 298 +168 34 +95 -171 +10 -79 +121 -361 +119 -270 +-60 -52 +-218 7 +-79 185 +-85 63 +-6 26 +74 172 +-3 82 +62 -12 +83 22 +94 -18 +-90 -81 +-91 -113 +65 -239 +-98 -286 +-103 -188 +-62 -96 +107 101 +138 78 +-100 -41 +-29 79 +-153 104 +-245 114 +-175 -44 +-350 -155 +-450 -287 +-545 -257 +-255 238 +217 90 +239 -42 +24 101 +-82 -30 +-25 5 +-28 11 +-23 14 +-24 9 +-27 0 +77 -134 +475 11 +374 715 +-684 88 +-1179 -751 +-1166 -883 +-1276 -220 +-107 1070 +858 423 +-295 -38 +-423 1037 +920 142 +1066 -1140 +860 -1134 +811 -614 +3 611 +-472 503 +296 0 +545 643 +-655 254 +-1343 -524 +-1358 -658 +-1333 25 +93 1074 +941 250 +-305 2 +-226 1093 +952 -24 +879 -1304 +673 -1284 +715 -762 +124 602 +-371 592 +293 -42 +666 542 +-608 376 +-1441 -275 +-1483 -405 +-1334 267 +286 1047 +983 90 +-298 76 +-28 1139 +946 -183 +630 -1451 +445 -1384 +579 -867 +228 594 +-280 656 +277 -96 +763 422 +-550 472 +-1502 -23 +-1553 -142 +-1288 504 +479 993 +1012 -92 +-296 119 +167 1132 +916 -355 +380 -1563 +189 -1468 +412 -964 +324 551 +-172 693 +264 -145 +831 297 +-468 566 +-1486 224 +-1571 99 +-1215 689 +627 898 +990 -257 +-270 167 +358 1097 +863 -491 +125 -1586 +-50 -1453 +249 -1004 +405 473 +-40 695 +245 -175 +860 167 +-375 617 +-1431 453 +-1528 351 +-1078 877 +767 781 +934 -407 +-237 219 +530 1007 +757 -645 +-159 -1582 +-302 -1410 +77 -1019 +482 407 +76 686 +214 -223 +880 9 +-258 666 +-1335 684 +-1462 604 +-919 1036 +890 634 +853 -556 +-202 240 +678 892 +646 -749 +-382 -1528 +-502 -1352 +-77 -1018 +534 326 +170 668 +174 -242 +875 -122 +-157 692 +-1227 859 +-1358 797 +-769 1154 +968 507 +782 -657 +-164 264 +801 789 +539 -809 +-608 -1434 +-704 -1255 +-231 -996 +579 246 +280 636 +143 -270 +845 -251 +-63 701 +-1096 1028 +-1232 985 +-600 1246 +1041 357 +681 -770 +-135 285 +916 652 +423 -898 +-815 -1339 +-888 -1135 +-382 -947 +600 149 +352 583 +91 -281 +803 -370 +35 707 +-940 1179 +-1087 1154 +-419 1320 +1090 194 +565 -855 +-82 309 +1011 516 +0 -6 +0 -1 +1 1 +4 -2 +0 -4 +-2 -4 +2 -1 +9 0 +9 -4 +6 3 +4 -4 +-2 -1 +1 -3 +2 -2 +2 -4 +3 -2 +4 0 +-2 0 +0 2 +0 -5 +-1 -8 +2 -5 +3 -1 +2 -4 +3 0 +-1 7 +-1 5 +1 2 +-3 0 +-3 -5 +-1 2 +7 2 +8 5 +0 5 +1 7 +1 0 +-8 0 +7 0 +35 -5 +39 4 +41 -6 +67 11 +53 3 +78 1117 +280 6769 +2132 8829 +4310 -2002 +-33 -7175 +52 672 +7029 -1172 +-645 -4672 +-10258 -660 +-10060 891 +-8965 -1349 +1399 -4814 +7576 -781 +-736 420 +-372 -7170 +5154 -546 +3423 9710 +2010 9840 +3890 7959 +3938 -2913 +-1464 -7185 +250 596 +6870 -2597 +-1500 -4438 +-10388 1488 +-9884 2949 +-9216 491 +379 -5095 +7327 -2403 +-693 557 +-1944 -6940 +5012 -1598 +5542 8791 +4200 9183 +5715 6927 +3343 -3675 +-3083 -6645 +316 615 +6160 -3991 +-2529 -3924 +-9985 3843 +-9181 5132 +-9090 2540 +-764 -5012 +6767 -3848 +-514 715 +-3341 -6454 +4663 -2548 +7213 7676 +5859 8388 +6921 5907 +2630 -4252 +-4322 -6101 +380 482 +5532 -5075 +-3188 -3587 +-9357 5439 +-8306 6601 +-8653 4010 +-1592 -4891 +6151 -5026 +-400 764 +-4481 -5818 +4195 -3360 +8625 6205 +7447 7100 +7998 4385 +1799 -4779 +-5420 -5162 +543 413 +4512 -6008 +-3887 -2799 +-8255 7126 +-7025 7994 +-7897 5508 +-2508 -4519 +5193 -6032 +-284 852 +-5492 -4927 +3569 -4052 +9674 4639 +8684 5764 +8772 3034 +998 -4873 +-6263 -4048 +582 295 +3379 -6739 +-4396 -2064 +-6964 8479 +-5537 9194 +-6782 6954 +-3324 -3924 +3998 -6884 +-77 827 +-6366 -3803 +2681 -4640 +10321 2733 +9524 4021 +9130 1369 +76 -4953 +-6951 -2903 +588 151 +2179 -7183 +-4652 -1291 +-5428 9466 +-3885 9848 +-5522 7805 +-3948 -3362 +2801 -7351 +30 924 +-6965 -2627 +1900 -4914 +10714 1002 +10119 2297 +9313 -262 +-680 -4913 +-7370 -1702 +659 106 +1022 -7363 +-4828 -488 +-3798 10236 +-2276 10446 +-4234 8679 +-4421 -2630 +1667 -7692 +219 830 +-7294 -1619 +1167 -5213 +10807 -643 +10423 825 +9252 -1536 +-1406 -4705 +-7615 -567 +631 14 +-71 -7465 +-4948 131 +-2333 10544 +-763 10514 +-2979 9085 +-4824 -1966 +453 -7815 +321 834 +-7492 -440 +324 -5304 +10599 -2379 +10470 -894 +8853 -3096 +-2255 -4396 +-7610 757 +648 -133 +-1363 -7248 +-4797 1113 +-379 10754 +1133 10385 +-1318 9391 +-5110 -1072 +-933 -7716 +468 787 +-7442 894 +-581 -5202 +9537 -4129 +7419 -917 +2607 4043 +-8491 5256 +-8596 6915 +-2012 10481 +-4414 2825 +-3995 -4447 +4038 -5694 +1103 -7551 +-9380 -3066 +-6131 -3320 +1399 -5695 +7309 -55 +6148 -4301 +-1683 -9148 +3120 -1350 +1259 5117 +-5268 8636 +1821 7011 +5926 -718 +6626 612 +124 8566 +-3089 8383 +4158 3273 +2884 -4203 +4736 -6151 +7197 5266 +6983 6001 +6981 -5441 +-2521 -9735 +5 -7828 +8019 -268 +3565 -953 +-2582 -5456 +-9497 -1592 +-6094 -5045 +3919 -6385 +2459 -4469 +-6369 -4420 +-9518 266 +-2122 -3707 +4213 -916 +7425 5975 +6571 -4466 +3606 -5730 +4871 3375 +-1067 7790 +-1419 9061 +7645 1620 +5783 -494 +-56 5793 +-6212 1121 +-10809 -3218 +-9874 5069 +-7344 3664 +-403 -4632 +3373 3781 +5057 9367 +10355 2895 +4616 5415 +-7053 6266 +-6293 -6083 +-5180 -9597 +-9887 -2399 +-2281 -1946 +4867 1288 +-3425 9344 +-2651 10636 +4890 9228 +-1784 4908 +-6014 -929 +-246 -6949 +-3736 -6569 +-9421 3442 +-6976 1309 +-2457 -5222 +5853 -4691 +2236 -7178 +-7129 -5801 +1572 -3011 +4290 3071 +1372 9916 +5994 4078 +4216 -4378 +5575 -3842 +5720 6288 +3081 8213 +5368 -281 +-535 -4990 +-470 -7615 +8983 -786 +9372 -102 +1923 -8611 +-8321 -5702 +-5107 -5898 +6186 -5318 +2288 -3024 +-5483 -2522 +-8588 4796 +-8141 50 +-981 -7318 +-819 -4958 +-7840 678 +-7403 6154 +-4104 -1514 +2739 -3390 +9809 -218 +2440 -7551 +-776 -6570 +6047 -533 +4211 6589 +4679 7761 +7084 -3642 +4304 -3998 +3735 4381 +-4155 4754 +-10638 4468 +-4595 10040 +-3478 7285 +-3361 -3266 +4980 702 +9958 3803 +10011 -4375 +7092 1218 +-1481 9230 +-8802 -544 +-10291 -3951 +-9424 4437 +-3055 -123 +4723 -2216 +3307 9204 +4734 9665 +9877 3779 +1805 4855 +-5355 3237 +-4660 -4993 +-7201 -2470 +-5249 8585 +-4686 5335 +-5343 -2308 +1594 -7181 +-2786 -6831 +-9384 66 +-617 -3277 +5382 -335 +7301 6675 +7366 -594 +693 -5940 +2198 -6390 +8564 1416 +7584 4572 +4267 -3496 +-3422 -3611 +-5006 -5726 +6776 -5950 +7566 -5641 +-3590 -7891 +-10213 453 +-7678 -1629 +2190 -7661 +3122 -2767 +1337 -2187 +1359 -6583 +-8576 -5304 +-8320 1279 +3045 5987 +2100 3383 +1104 -499 +-2872 1005 +-8039 -3827 +-843 -5868 +-2014 -7034 +-6551 -12176 +-4100 -4659 +-3356 -3906 +2331 -8136 +2337 -318 +-1209 1572 +1899 4272 +3261 4835 +5294 -7781 +-312 -9745 +-6292 -1645 +-2019 405 +1646 2808 +9714 790 +11605 -5594 +5791 -419 +3571 2276 +-3746 -1869 +-6253 1460 +-4867 1150 +-6191 1036 +-6106 4599 +-9365 1213 +-5581 5 +2140 2863 +4750 4517 +3071 5460 +-6515 7782 +-3205 2944 +13382 -11316 +13521 -5933 +1700 8479 +3827 3044 +7189 2640 +-6422 15904 +-8396 12512 +5005 -5805 +7625 -6308 +5375 3797 +4624 3843 +2132 461 +-727 -6853 +1057 -9830 +4048 -6020 +269 -5901 +649 -4555 +583 -5352 +-2055 -2011 +2577 5006 +-808 7063 +-4634 12239 +2220 8495 +2698 -99 +-525 -3049 +-3281 -5726 +-10085 1773 +-5641 6338 +6289 2431 +3943 1150 +583 -1083 +-1586 2554 +-8806 2166 +-4403 -3968 +-6026 -4189 +-12999 -5248 +-6245 -1012 +-5163 -983 +-4828 -7322 +-5483 -332 +-3252 4465 +-502 8116 +-5717 10466 +-5286 -2376 +-2255 -15542 +-1086 -12788 +-2332 -1187 +5144 8015 +1790 7632 +-9038 4832 +390 3581 +-3366 1635 +-6126 4850 +5399 5627 +-3506 5683 +-2754 7179 +10334 -3455 +10637 -12192 +7943 -8684 +1059 653 +-6656 11581 +-8301 9158 +1784 3799 +11056 8301 +3901 6252 +-2028 1202 +2349 3795 +7214 4217 +-3013 -2144 +-13183 -6278 +-4225 -2336 +-1457 -3179 +-578 -9546 +4966 -4667 +452 -721 +-1871 -5055 +-4441 -5114 +-9473 -4536 +-6089 -1260 +-2210 794 +-1513 -5893 +1219 -2629 +4182 6709 +3379 6091 +1356 3444 +-363 -1488 +-2505 -5484 +-2516 -1304 +1536 -618 +4842 -6698 +2579 -8687 +4541 -3554 +4090 -1398 +-2303 -7831 +603 -7465 +-827 -1053 +-913 -5284 +6274 -6361 +-2357 1507 +-6882 4507 +3762 3997 +7491 709 +220 4258 +-5809 11546 +-177 5849 +5288 5854 +2798 11329 +-5598 1874 +-12285 -9540 +-9631 -8315 +-2609 796 +9339 2250 +6489 4303 +-3713 9597 +2635 2375 +-1536 3433 +-1562 7620 +7843 628 +432 6390 +-1603 10158 +4685 4299 +6666 4181 +3982 6666 +4616 -208 +4953 -9832 +3278 -9190 +599 1649 +5950 6289 +7206 1442 +801 -5392 +5883 -5570 +1744 8289 +-10059 8524 +-7031 -1373 +3123 6095 +10319 4748 +2269 -5006 +-8868 -560 +-8235 5568 +-3826 6031 +1758 9257 +1443 12338 +1483 3813 +8226 -2826 +11103 -2291 +6132 -3252 +1673 733 +4056 -736 +-5142 -84 +-14337 6900 +-4512 928 +-4164 -632 +-6558 -1457 +-582 -11505 +-2628 -12553 +3784 -7017 +12182 -229 +3045 -287 +-5664 -3217 +-4884 4038 +-2218 -108 +1147 -12412 +5603 -8030 +3673 188 +-6035 4217 +-7596 6283 +-1282 -345 +-3923 -2685 +-5979 3571 +1301 7107 +2636 8892 +-3045 3011 +-10120 -1736 +-10301 -1622 +-1130 -6368 +-2126 -947 +-5588 -1910 +-1244 -9744 +-2325 1473 +-650 3576 +4521 -1641 +3555 3378 +2491 5479 +3669 5397 +6233 158 +8012 -1389 +7446 2120 +3324 -3252 +-2809 -10386 +-3679 -8806 +1607 862 +8744 585 +6382 -3776 +-3108 -4400 +654 -7909 +6982 4941 +-1868 12905 +-6287 3740 +5594 1985 +10003 -3307 +5365 1110 +399 10705 +-898 10345 +1620 8885 +4884 -1787 +476 -7423 +-141 1033 +7532 -385 +-129 -5002 +-10642 -1581 +-10385 201 +-8863 -2100 +2014 -4963 +7667 -247 +-1183 290 +120 -7640 +5409 -43 +2620 10505 +1021 10224 +3283 8322 +4568 -2758 +-810 -7366 +42 1101 +7433 -1580 +-1028 -4857 +-10913 160 +-10317 1819 +-9165 -758 +1205 -5224 +7598 -1373 +-1159 511 +-1125 -7481 +5349 -892 +4273 9948 +2644 9980 +4572 7719 +4023 -3370 +-2069 -7046 +160 1071 +7008 -2850 +-1869 -4552 +-10694 2042 +-9788 3688 +-9127 1071 +214 -5265 +7217 -2729 +-1020 670 +-2525 -7170 +5126 -1932 +6112 8862 +4488 9171 +5997 6602 +3336 -4112 +-3381 -6566 +406 942 +6437 -4176 +-2675 -4085 +-10202 4074 +-8983 5421 +-8816 2641 +-692 -5288 +6639 -3984 +-940 857 +-3773 -6512 +4715 -2686 +7581 7662 +6046 8202 +7099 5473 +2688 -4528 +-4446 -5820 +551 904 +5647 -5090 +-3324 -3512 +-9388 5713 +-8043 6886 +-8334 4158 +-1648 -4943 +5886 -4947 +377 2183 +627 -1150 +7092 -6103 +2852 2104 +-4818 3896 +-8464 -1902 +-5948 5382 +3705 4091 +1439 6390 +-7801 3460 +-8099 409 +-1852 5985 +5776 -277 +6137 2504 +-1599 7518 +-3059 9143 +7553 3633 +8041 -6888 +5976 -2228 +3713 6048 +1495 3887 +4659 -5642 +-2412 -8394 +2163 -7141 +7366 571 +4303 1095 +2085 -8668 +-4383 -7138 +3105 -3961 +2231 3889 +-2610 8836 +6673 3232 +6079 1186 +-876 4945 +-7684 3296 +-8026 2136 +1630 7823 +2523 4710 +-5247 2140 +-3624 -4479 +-924 -11067 +-8237 -5696 +-5802 -4923 +4027 -2219 +-2104 4285 +-6496 8034 +1343 10216 +-2886 5959 +-8231 -3406 +2853 -7703 +6460 -8448 +-1948 -9264 +672 -2745 +976 4564 +-9274 1254 +-9971 1837 +-5906 8852 +-4733 196 +-1745 -5310 +5601 -3177 +3942 -6399 +-7149 -5829 +-3718 -6192 +3612 -3505 +6473 2107 +6907 -1211 +2010 -8982 +3357 -361 +-973 6032 +-7724 4348 +-1000 7948 +5597 584 +5439 3716 +-3609 7664 +-5950 5720 +2521 5707 +4334 -3901 +6398 -2140 +3635 6558 +3541 8739 +7425 -1718 +-4260 -7577 +-6291 -2791 +1385 1799 +-404 3075 +-3494 -6212 +-8522 -2313 +-10658 2014 +-6476 -4507 +1002 691 +477 -3385 +-4666 510 +-5390 6532 +-1899 -1702 +7833 3939 +2895 6039 +-1116 3326 +2942 3302 +-708 4151 +3097 -864 +4337 -7479 +-1450 2767 +1864 6746 +3785 530 +-5865 -4032 +-3812 -8771 +3628 -7937 +2671 166 +4334 6966 +-2587 5708 +-8116 4778 +-772 1888 +7002 -2637 +8643 -2517 +3575 -2620 +-92 0 +2296 -1891 +-1833 -5545 +-8099 -1250 +-166 179 +4706 323 +3827 -4472 +8532 -2109 +7253 5454 +-2386 -2337 +-225 6824 +5907 14103 +-1452 1568 +-2279 -846 +-2831 -3282 +-8061 -4035 +-2894 -5757 +9114 -10488 +9697 -7383 +4224 -4954 +5208 -3740 +-4250 -2189 +-7558 -1488 +-3524 1022 +-2141 9266 +1581 9875 +-3125 -3767 +1532 -7061 +8634 405 +-2101 1188 +-12062 2995 +-6672 2205 +2319 318 +1680 2539 +-6789 -2251 +-8184 3908 +-7020 8452 +-8049 811 +1214 -184 +-1827 -2809 +-3382 3372 +-23 8282 +-2663 -80 +8646 -2127 +6186 2660 +918 4653 +4162 4664 +3422 -2323 +2678 -5293 +1252 -2240 +-5223 -1840 +-13188 -1235 +-10408 1286 +562 4319 +3646 -1849 +2532 -6664 +-1843 -2462 +-9386 5168 +-9337 3803 +5524 -7929 +10057 -6739 +-4092 1683 +-4146 1455 +1493 -1415 +4307 -6331 +-390 -8032 +-7024 -2739 +225 1277 +6799 -41 +10559 3203 +6971 8051 +296 2630 +-1904 -2161 +1152 -7367 +2445 -3885 +-3696 5651 +1078 -1251 +2305 -5532 +-5335 -6175 +-6068 -4180 +-7531 3530 +-3848 2501 +2916 4762 +479 7138 +966 -3386 +1515 -4108 +399 3332 +1009 -2070 +-4184 -1151 +-2037 -3470 +3073 -7138 +8041 1193 +8757 -2721 +-3511 -226 +-14020 10246 +-16042 10265 +-6559 -3343 +-2591 -10540 +-614 -914 +6851 -3627 +4024 -850 +1057 4394 +2805 -1433 +4422 -761 +-1023 -1175 +-1434 3578 +7675 8873 +9631 395 +8605 -3421 +11387 8730 +10681 9746 +1298 -3399 +-1552 -5853 +-511 -2405 +-5238 2133 +-10966 7732 +-7180 7710 +3375 2706 +1701 -3759 +-2402 -6524 +-2986 -614 +-3799 9960 +-4701 8930 +-976 -9409 +3297 -11429 +-1583 3324 +-1310 -1323 +-2416 -11410 +1889 -8838 +14496 -606 +10660 7141 +3288 3536 +4778 -9587 +954 -6015 +4518 7656 +7154 8520 +3358 -1872 +-125 -2571 +-3122 2815 +6743 1455 +8472 -2277 +-35 -4577 +-6672 -1413 +-5659 772 +3455 3486 +1448 3488 +-2707 984 +-5278 -797 +-7516 -3195 +-6728 5049 +-3400 8827 +-2652 5757 +-8537 1068 +-6581 -4416 +-490 1232 +1621 4529 +-335 1885 +4152 -5125 +11430 -9994 +8329 -68 +5068 8833 +909 11509 +-2756 20090 +-1094 17693 +2620 -4801 +6049 -12942 +7121 -3870 +5388 2461 +918 2875 +-7451 -1092 +-10154 482 +174 4381 +1488 7049 +2036 3066 +8362 -3312 +2418 -1288 +-4573 -6080 +-1042 -5383 +1722 -796 +-6479 -8063 +-3853 -1168 +-2511 -84 +-12471 -8122 +-7675 3134 +-4050 6185 +-3799 6125 +-4895 9860 +-8322 543 +-2262 -5375 +3348 -6136 +-569 -7473 +-9304 -6862 +-4387 -7624 +10836 -9775 +12841 -1488 +4876 549 +-2429 -10140 +-3222 -5032 +8437 2758 +11095 1661 +1392 -3566 +-1829 -1796 +-645 4166 +6189 -3196 +5077 -7100 +-3115 -3890 +-8045 350 +-5576 2434 +7919 -1290 +5638 405 +3145 3729 +794 4161 +-2957 8007 +1379 -5966 +3561 -15046 +6150 861 +5223 6359 +-450 -410 +-5404 -1624 +-1453 -2369 +-343 -6082 +-5376 -574 +-3969 3926 +-918 207 +1968 2950 +139 2461 +-6649 -515 +-5380 -4490 +4119 -8504 +3264 -10350 +-5329 -14282 +-8518 -11612 +-4148 -10041 +3765 -3860 +1709 1676 +-2071 -1582 +-613 3153 +2199 1598 +9667 -5472 +11950 2275 +5899 11307 +5843 3317 +-439 777 +-13303 4584 +-10857 -2653 +-10952 -8309 +-5530 -5213 +7448 10359 +5326 12935 +8204 1569 +7751 -2278 +-1206 -3988 +-5624 3040 +-6931 7974 +671 4124 +3988 -825 +-1064 -1037 +-377 1860 +1857 1768 +693 -2137 +-432 -5613 +-1069 -768 +-2283 -537 +-1131 1400 +3668 6423 +942 6121 +2136 289 +9850 -4845 +4826 8540 +348 10136 +-4366 4861 +-3159 4972 +5510 -6010 +4702 -3343 +4828 766 +3374 2527 +2867 7771 +-2780 -5441 +-6969 -13512 +5366 -3284 +8236 1381 +-617 3 +-5351 2294 +-2700 -839 +-4197 -4241 +-4063 1881 +3796 -1358 +2935 -6752 +-5641 -6559 +-9716 -7736 +-673 -1360 +866 -2061 +-8833 -1198 +-7512 162 +-1892 -7053 +-1994 2848 +-6192 7616 +-2669 -1901 +-3247 -1830 +-3592 3038 +1087 2929 +-4444 -427 +-3470 521 +1971 345 +3666 -2288 +6862 -1602 +5735 -2853 +979 -7643 +-637 -6571 +6092 -207 +11975 3921 +-70 -1130 +-10145 -2929 +-2223 3820 +2060 2221 +521 1150 +-599 2339 +-198 2218 +146 -4530 +4319 -5557 +2588 4738 +-8106 -435 +1678 -1238 +10304 919 +6701 -3051 +4041 660 +-570 6928 +-516 9391 +413 4256 +3619 590 +1085 -4991 +2596 -4362 +12397 -2304 +9485 -155 +1660 9366 +3671 6279 +1297 4807 +-14111 5744 +-9585 9647 +4598 9947 +6714 -794 +4231 -3098 +-5697 -9511 +-5764 -9809 +4881 -7269 +4733 -4517 +838 6031 +4623 1462 +-2242 2123 +-7693 3745 +-1433 -8193 +-1882 -7530 +-8645 -1054 +-12746 418 +-1442 -613 +-563 -2150 +-7646 4737 +-5771 4967 +-6089 -4017 +258 3465 +200 9732 +-3302 439 +-3721 837 +-765 4595 +2794 1467 +-3503 2231 +-2665 1436 +225 -105 +53 -16 +0 8 +0 -1 +4 3 +4 4 +-4 0 +-5 0 +-5 4 +3 3 +-3 -4 +0 -1 +4 4 +2 1 +3 -3 +6 2 +3 -5 +3 -3 +-2 -1 +3 2 +-1 -3 +3 2 +6 8 +-2 1 +-4 -6 +-8 -1 +4 10 +-1 6 +0 -1 +4 2 +2 -3 +0 -4 +-4 -3 +-2 -2 +3 7 +-1 2 +-1 -1 +0 1 +3 -1 +4 4 +1 3 +-1 -5 +2 -4 +2 -1 +3 0 +1 5 +3 6 +2 1 +4 3 +5 2 +8 5 +8 6 +-2 -2 +3 2 +6 6 +2 4 +-2 -4 +4 -8 +9 -2 +4 -3 +3 -1 +4 1 +0 1 +-3 2 +-3 2 +-3 1 +2 -2 +-4 -6 +3 -7 +3 -4 +-2 0 +-1 -1 +-2 3 +-5 3 +-9 10 +-32 5 +-39 6 +-49 15 +-51 15 +-56 -4 +-1060 223 +-6202 1389 +-7993 3498 +2159 3684 +6600 -1300 +-593 128 +1826 6323 +4132 -1356 +-724 -9568 +-2122 -9141 +113 -8525 +4612 364 +1661 6761 +-594 -605 +6467 -1679 +1244 4559 +-8477 4901 +-8823 3595 +-6894 5015 +2978 3208 +6423 -2593 +-495 286 +3106 5893 +3883 -2132 +-2597 -9328 +-3931 -8621 +-1566 -8447 +4694 -514 +2998 6400 +-678 -439 +6133 -2776 +2005 4322 +-7697 6326 +-8248 5132 +-6075 6203 +3506 2682 +5966 -3705 +-500 367 +4133 5229 +3457 -2821 +-4398 -8749 +-5590 -7829 +-3163 -8031 +4535 -1368 +4131 5764 +-805 -318 +5629 -3938 +2825 3929 +-6461 7742 +-7270 6634 +-4926 7252 +4019 1921 +5202 -4782 +-433 452 +5167 4394 +3023 -3426 +-5947 -7804 +-6993 -6638 +-4660 -7325 +4256 -2209 +5286 4914 +-805 -182 +4861 -4959 +3584 3315 +-4859 8846 +-5873 7936 +-3360 8109 +4462 1006 +4223 -5783 +-332 536 +6023 3222 +2250 -3976 +-7534 -6360 +-8258 -5044 +-6073 -6226 +3754 -3021 +6197 3748 +-882 -32 +3787 -5756 +4196 2528 +-3111 9566 +-4337 8889 +-1848 8529 +4548 229 +3114 -6352 +-263 570 +6508 2165 +1556 -4301 +-8507 -5111 +-9059 -3665 +-7142 -5137 +3230 -3585 +6784 2662 +-819 75 +2930 -6336 +4599 1818 +-1694 9916 +-3033 9432 +-608 8734 +4590 -408 +2239 -6786 +-132 592 +6829 1220 +939 -4425 +-9212 -3737 +-9552 -2268 +-7820 -4063 +2737 -3998 +7142 1720 +-837 251 +1908 -6624 +4815 1153 +-140 10039 +-1495 9707 +778 8666 +4472 -1070 +1098 -6961 +-105 633 +6874 35 +181 -4489 +-9816 -2082 +-9903 -598 +-8428 -2642 +2000 -4343 +7339 511 +-747 398 +822 -6815 +4954 371 +1477 9859 +20 9758 +2109 8390 +4285 -1818 +19 -7061 +5 614 +6898 -992 +-426 -4510 +-10034 -682 +-9898 804 +-8771 -1429 +1329 -4556 +7366 -558 +-679 465 +-152 -6820 +4976 -334 +2926 9512 +1494 9634 +3397 7929 +4002 -2479 +-1049 -6931 +126 613 +6740 -2043 +-1156 -4298 +-10099 995 +-9686 2399 +-8923 23 +596 -4719 +7254 -1733 +-645 558 +-1250 -6725 +4902 -1156 +4397 8385 +1256 6814 +-3684 2912 +-5372 -7236 +-6823 -7193 +-9911 -654 +-2931 -3687 +4016 -4159 +5526 3203 +7156 343 +2536 -8980 +2791 -6007 +5460 690 +470 6844 +4320 5355 +8610 -2560 +1559 2737 +-4708 1842 +-8334 -3823 +-6507 2566 +994 5436 +-94 6256 +-7987 1286 +-8034 -1750 +-2795 4331 +4116 2227 +6188 3550 +-4343 7317 +-5193 7292 +5498 5884 +8985 -3461 +7420 -910 +729 7462 +1027 3306 +4994 -2936 +1104 -8938 +4484 -6199 +6221 2962 +4314 1918 +3976 -6321 +-549 -8842 +3388 -2353 +1127 3808 +-5362 7520 +4342 5794 +5639 2839 +-2949 4873 +-7275 -193 +-8479 -486 +-1338 7288 +653 5393 +-5419 594 +-1304 -5565 +2753 -10327 +-4943 -8650 +-3705 -6389 +4353 -834 +-3302 3521 +-8589 5729 +-2272 10029 +-4851 4985 +-6316 -5776 +5306 -6582 +8787 -6019 +1730 -9510 +1741 -2411 +-773 4749 +-8911 -1913 +-10099 -1085 +-8285 5837 +-4743 -895 +435 -5688 +6453 -1113 +5953 -4330 +-3758 -8345 +-1709 -6291 +4719 -2954 +4736 4896 +6814 1325 +5117 -7342 +2951 1053 +-2548 4336 +-9104 2448 +-3529 6080 +4292 3443 +3994 4768 +-5465 6067 +-7516 3795 +526 5004 +4657 -987 +7222 -1293 +1337 8232 +516 8817 +8128 929 +4929 -8345 +5233 -5469 +5343 5072 +2958 1808 +2146 -5407 +-4784 -7470 +-457 -7513 +6788 -1688 +4635 -1273 +-869 -7255 +-6046 -6285 +1209 -3933 +3413 2180 +641 9150 +7145 1551 +6282 -1442 +905 5613 +-5827 4643 +-7022 5209 +3666 6316 +4060 3608 +-3811 3937 +-4669 -3350 +-4635 -9526 +-9567 -3237 +-7087 -2394 +2904 -3513 +-250 4711 +-3041 9802 +4714 8929 +-517 6840 +-8718 -129 +-198 -8237 +3039 -10190 +-4768 -8201 +-177 -2830 +2534 4056 +-8186 4233 +-8674 5628 +-2839 9637 +-4319 2287 +-3341 -4570 +4332 -4967 +1949 -7060 +-8304 -3838 +-5401 -3635 +1761 -5297 +6846 518 +6194 -3491 +-795 -8728 +3020 -1141 +970 4847 +-5393 7738 +1265 6742 +5559 -286 +6209 915 +-177 8028 +-3355 7758 +3704 3385 +2997 -3703 +4740 -5551 +6374 5270 +6097 6174 +7005 -4582 +-1414 -9409 +681 -7370 +7370 235 +4051 3457 +2915 10460 +599 11105 +2653 6202 +3694 1888 +-3461 -1657 +-2182 -1304 +633 -2890 +-6364 -3893 +-7634 -8182 +1193 -4485 +13679 2876 +11079 -9577 +357 -12617 +4801 3324 +4845 10332 +749 6105 +2393 27 +-138 2432 +-195 2594 +-1233 -5271 +-6197 -4710 +-10509 -4440 +-7003 -5837 +2394 3223 +-1513 7496 +-3410 1841 +-37 -3772 +-4332 -8626 +-2042 -2483 +-2899 3390 +-8390 -6618 +-5317 -6681 +-2798 -1016 +-4232 -2956 +-4777 6113 +774 11265 +1692 4057 +-3774 -895 +637 -6388 +5992 -7745 +6679 -844 +5991 4119 +3491 -270 +2649 -4887 +-2225 -3496 +-6131 -4474 +-469 -6894 +5667 -7462 +5365 -1455 +926 3703 +-5933 235 +-10503 4044 +-2262 6601 +5211 2194 +2852 2210 +9173 2732 +8318 5041 +-2925 3508 +3944 563 +9586 474 +2170 -1063 +-1665 4176 +-6589 3633 +-2451 -1010 +9349 5226 +7974 7791 +6109 2815 +4113 -1011 +-3776 1035 +-2542 417 +-1345 -2639 +-7468 1131 +-11222 -1294 +-2037 -4084 +12624 -6425 +2765 -14317 +-7798 -9860 +5868 -499 +10072 3682 +2882 -4422 +2293 -11558 +1950 603 +-3941 -7253 +-4340 -12275 +-3499 1611 +-6926 4146 +660 11783 +3883 12047 +-9414 6458 +-11392 10488 +1471 226 +7389 1304 +2384 11135 +-7293 1873 +-15457 -98 +-9811 1708 +174 -1932 +-1899 -176 +-582 3580 +4807 5101 +385 3430 +-3080 2304 +-2901 -1663 +-2857 -3646 +-29 3059 +3971 3556 +7452 -1319 +5863 -399 +4439 1079 +4417 -2458 +-135 -5746 +6266 -6811 +12130 -8152 +-2947 -1488 +-8345 9165 +2236 8111 +3115 -2995 +-2724 -7168 +-8981 -165 +-9216 -961 +-2843 -6937 +200 -1157 +2005 6552 +532 7204 +-366 2795 +622 -4104 +-862 -9103 +4029 -5936 +-29 212 +-7841 -4895 +4639 -6468 +12215 1977 +7668 -2104 +1020 -10661 +-7012 -7509 +-5425 -486 +-3218 2589 +658 -1100 +9411 -4609 +9083 640 +2886 2802 +-1204 -301 +-269 1783 +-4737 -4705 +-6021 -10233 +2061 -692 +-7846 -2733 +-11510 -6101 +-1573 3479 +-2577 7572 +8237 8307 +10982 6408 +-3070 10924 +-2017 15220 +1250 -697 +6568 -3878 +9246 6534 +-4373 6140 +-12032 9827 +-8279 6846 +-7582 -4265 +-8505 -6317 +-2399 -1499 +7688 -605 +12541 -9779 +7487 -7701 +-2085 8725 +2036 7699 +6993 7344 +389 9441 +-3401 -7488 +-3114 -11163 +2101 -552 +3257 2813 +-1175 4727 +1747 5261 +3229 2501 +3300 -2498 +3921 -3367 +3247 -2329 +271 790 +-8944 2030 +-5178 -12355 +1283 -14473 +-2992 -1951 +2459 -3265 +3232 -2489 +-1062 -4689 +1543 -13173 +-1916 -8244 +1983 -2518 +6066 527 +-1629 4728 +-1345 2661 +1438 -224 +-1274 -548 +-5145 432 +-4299 3755 +6204 3089 +7735 -1862 +-2627 244 +-5284 2333 +569 -4451 +5400 -6527 +1272 -335 +-5244 3737 +-1638 2338 +1557 3828 +-3598 11146 +-5312 13970 +-2335 9754 +69 2878 +6669 194 +11906 1768 +4055 -15 +-6601 -1225 +-6916 1069 +-3837 2034 +-2119 -758 +678 2112 +907 8801 +640 3536 +2269 1023 +-1371 5126 +-8873 2102 +-10766 888 +-2913 412 +5558 -5465 +3348 -15287 +755 -10443 +4110 7839 +6590 4355 +10208 901 +6600 6727 +-7517 -3239 +-9827 -6098 +1254 -1779 +4336 -41 +1441 4441 +-1236 4645 +-8671 6322 +-11441 5523 +-6550 -1091 +-5353 -3964 +-7335 -3472 +-103 928 +10837 5202 +7333 5811 +-1804 4586 +-4812 -820 +-5794 1964 +1734 4789 +3017 -3064 +-5349 -3322 +-315 4376 +3860 3742 +-950 -6805 +-3350 -3599 +616 4394 +8946 -3094 +2029 3353 +-8418 8385 +-1858 -3375 +3146 391 +273 3067 +942 -8348 +7277 -14287 +7680 -8502 +-2863 -2681 +-6856 -5153 +-215 -1024 +6692 2301 +4135 -2997 +-6054 -3097 +-6216 -3823 +-4290 -5655 +-7763 -5248 +-4983 -4005 +-5266 4134 +-6918 8507 +-1690 -1504 +1117 -6384 +5093 976 +6368 1550 +194 -959 +-1227 984 +-807 4295 +-2431 7684 +-2322 703 +-775 -3729 +2385 1027 +2239 2488 +-1847 4588 +-1977 389 +5660 -2010 +11617 840 +8425 -4383 +1910 -3189 +-5519 -1032 +-2898 -3939 +11189 -1778 +12385 -688 +3293 901 +-410 4713 +-2500 10594 +-5007 11535 +-5782 3581 +-6759 765 +-7963 2487 +448 732 +11645 -3602 +9453 -781 +1796 4461 +-4108 2661 +-3027 5309 +4643 2205 +281 -4229 +-6311 1223 +1565 3226 +2989 617 +-173 14 +-59 55 +5 8 +0 0 +-4 -4 +-4 -2 +0 -3 +-1 1 +0 -1 +0 0 +-4 -3 +-4 -5 +1 0 +1 3 +-5 3 +2 9 +5 4 +2 4 +-3 5 +-5 2 +0 9 +1 5 +-3 3 +5 5 +4 0 +-3 -4 +5 1 +-1 -1 +-2 -7 +-1 -5 +2 -3 +1 2 +0 -1 +9 -4 +2 1 +2 6 +-1 2 +-3 2 +3 4 +4 -5 +-2 -6 +-4 0 +5 9 +0 0 +5 2 +2 -4 +0 0 +7 -6 +6 -6 +1 0 +-5 4 +-6 6 +-1 2 +3 -3 +7 -5 +6 -1 +2 5 +9 -2 +6 -11 +1 -3 +-4 -1 +-9 3 +-7 -1 +-4 -3 +-2 0 +-3 -1 +0 -3 +3 -2 +-5 -5 +-8 2 +1 6 +8 7 +3 -1 +3 -2 +2 11 +-1 12 +-2 7 +-3 5 +4 4 +6 -1 +5 -4 +6 1 +1 3 +2 6 +3 6 +0 7 +0 4 +4 7 +0 4 +1 0 +0 2 +-3 8 +-5 7 +-4 -1 +-2 -2 +3 5 +1 3 +4 3 +2 -1 +-3 0 +-1 0 +2 7 +5 7 +-2 0 +-6 -4 +1 -10 +2 -5 +-3 3 +-10 -2 +-5 0 +-3 3 +4 8 +0 3 +48 -41 +49 -41 +46 -27 +352 410 +2960 4118 +6473 6996 +4273 -1361 +-3078 -7035 +-1605 -124 +5367 -3088 +-444 -5182 +-8617 3675 +-8181 6447 +-8518 5011 +-4008 -2585 +5313 -6284 +1939 480 +-4772 -3819 +1741 -5423 +8224 4412 diff --git a/verilog/dot11.v b/verilog/dot11.v index c7559ee..74a117f 100644 --- a/verilog/dot11.v +++ b/verilog/dot11.v @@ -43,9 +43,11 @@ module dot11 ( ///////////////////////////////////////////////////////// // decode status + // (* mark_debug = "true", DONT_TOUCH = "TRUE" *) output reg [3:0] state, output reg [3:0] status_code, output state_changed, + output reg [31:0] state_history, // power trigger output power_trigger, @@ -60,12 +62,14 @@ module dot11 ( output long_preamble_detected, output [31:0] sync_long_out, output sync_long_out_strobe, + output wire signed [31:0] phase_offset_taken, output [2:0] sync_long_state, // equalizer output [31:0] equalizer_out, output equalizer_out_strobe, output [2:0] equalizer_state, + output wire ofdm_symbol_eq_out_pulse, // legacy signal info output reg legacy_sig_stb, @@ -101,11 +105,36 @@ module dot11 ( output conv_decoder_out_stb, output descramble_out, - output descramble_out_strobe + output descramble_out_strobe, + + // for side channel + output wire [31:0] csi, + output wire csi_valid ); `include "common_params.v" +//////////////////////////////////////////////////////////////////////////////// +// extra info output to ease side info and viterbi state monitor +//////////////////////////////////////////////////////////////////////////////// +reg [2:0] equalizer_state_reg; + +assign ofdm_symbol_eq_out_pulse = (equalizer_state==4 && equalizer_state_reg==6); + +always @(posedge clock) begin + if (reset==1) begin + state_history <= 0; + equalizer_state_reg <= 0; + end else begin + equalizer_state_reg <= equalizer_state; + if (state_changed) begin + state_history[3:0] <= state; + state_history[31:4] <= state_history[27:0]; + end + end +end +//////////////////////////////////////////////////////////////////////////////// + //////////////////////////////////////////////////////////////////////////////// // Shared rotation LUT for sync_long and equalizer @@ -128,7 +157,6 @@ rot_lut rot_lut_inst ( //////////////////////////////////////////////////////////////////////////////// - //////////////////////////////////////////////////////////////////////////////// // Shared phase module for sync_short and equalizer //////////////////////////////////////////////////////////////////////////////// @@ -323,6 +351,7 @@ sync_long sync_long_inst ( .metric(sync_long_metric), .metric_stb(sync_long_metric_stb), .long_preamble_detected(long_preamble_detected), + .phase_offset_taken(phase_offset_taken), .state(sync_long_state), .sample_out(sync_long_out), @@ -338,6 +367,7 @@ equalizer equalizer_inst ( .sample_in(sync_long_out), .sample_in_strobe(sync_long_out_strobe), .ht_next(ht_next), + .pkt_ht(pkt_ht), .phase_in_i(eq_phase_in_i), .phase_in_q(eq_phase_in_q), @@ -352,7 +382,10 @@ equalizer equalizer_inst ( .sample_out(equalizer_out), .sample_out_strobe(equalizer_out_strobe), - .state(equalizer_state) + .state(equalizer_state), + + .csi(csi), + .csi_valid(csi_valid) ); @@ -480,6 +513,8 @@ always @(posedge clock) begin case(state) S_WAIT_POWER_TRIGGER: begin + pkt_begin <= 0; + pkt_ht <= 0; crc_reset <= 0; short_gi <= 0; demod_is_ongoing <= 0; @@ -623,7 +658,6 @@ always @(posedge clock) begin pkt_header_valid <= 1; pkt_header_valid_strobe <= 1; pkt_begin <= 1; - pkt_ht <= 0; state <= S_DECODE_DATA; end end @@ -661,7 +695,6 @@ always @(posedge clock) begin pkt_header_valid <= 1; pkt_header_valid_strobe <= 1; pkt_begin <= 1; - pkt_ht <= 0; state <= S_DECODE_DATA; end end diff --git a/verilog/dot11_side_ch_tb.v b/verilog/dot11_side_ch_tb.v new file mode 100644 index 0000000..3222cf3 --- /dev/null +++ b/verilog/dot11_side_ch_tb.v @@ -0,0 +1,590 @@ +`timescale 1ns/1ps + +module dot11_side_ch_tb; +`include "common_params.v" + +localparam integer TSF_TIMER_WIDTH = 64; // according to 802.11 standard + +localparam integer GPIO_STATUS_WIDTH = 8; +localparam integer RSSI_HALF_DB_WIDTH = 11; + +localparam integer ADC_PACK_DATA_WIDTH = 64; +localparam integer IQ_DATA_WIDTH = 16; +localparam integer RSSI_DATA_WIDTH = 10; + +localparam integer C_S00_AXI_DATA_WIDTH = 32; +localparam integer C_S00_AXI_ADDR_WIDTH = 7; + +localparam integer C_S00_AXIS_TDATA_WIDTH = 64; +localparam integer C_M00_AXIS_TDATA_WIDTH = 64; + +localparam integer WAIT_COUNT_BITS = 5; +localparam integer MAX_NUM_DMA_SYMBOL = 4096; // the fifo depth inside m_axis + +function integer clogb2 (input integer bit_depth); + begin + for(clogb2=0; bit_depth>0; clogb2=clogb2+1) + bit_depth = bit_depth >> 1; + end +endfunction + +localparam integer MAX_BIT_NUM_DMA_SYMBOL = clogb2(MAX_NUM_DMA_SYMBOL); + +reg clock; +reg reset; +reg enable; + +reg [10:0] rssi_half_db; +reg[31:0] sample_in; +reg sample_in_strobe; +reg [15:0] clk_count; + +wire [31:0] sync_short_metric; +wire short_preamble_detected; +wire power_trigger; + +wire [31:0] sync_long_out; +wire sync_long_out_strobe; +wire [31:0] sync_long_metric; +wire sync_long_metric_stb; +wire long_preamble_detected; + +wire [31:0] equalizer_out; +wire equalizer_out_strobe; + +wire [5:0] demod_out; +wire demod_out_strobe; + +wire [7:0] deinterleave_erase_out; +wire deinterleave_erase_out_strobe; + +wire conv_decoder_out; +wire conv_decoder_out_stb; + +wire descramble_out; +wire descramble_out_strobe; + +wire [3:0] legacy_rate; +wire legacy_sig_rsvd; +wire [11:0] legacy_len; +wire legacy_sig_parity; +wire [5:0] legacy_sig_tail; +wire legacy_sig_stb; +reg signal_done; + +wire [3:0] dot11_state; + +wire pkt_header_valid; +wire pkt_header_valid_strobe; +wire [7:0] byte_out; +wire byte_out_strobe; +wire [15:0] byte_count_total; +wire [15:0] byte_count; +wire [15:0] pkt_len_total; +wire [15:0] pkt_len; +// wire [63:0] word_out; +// wire word_out_strobe; + +wire demod_is_ongoing; +wire ofdm_symbol_eq_out_pulse; +wire ht_unsupport; +wire [7:0] pkt_rate; +wire [(32-1):0] csi; +wire csi_valid; + +wire [31:0] FC_DI; +wire FC_DI_valid; + +wire [47:0] addr1; +wire addr1_valid; +wire [47:0] addr2; +wire addr2_valid; +wire [47:0] addr3; +wire addr3_valid; + +wire m_axis_start_1trans; +wire [63:0] data_to_ps; +wire data_to_ps_valid; +wire [12:0] m_axis_data_count; +wire fulln_to_pl; +wire M_AXIS_TVALID; +wire M_AXIS_TLAST; + +reg slv_reg_wren_signal; +reg [4:0] axi_awaddr_core; +reg m_axis_start_ext_trigger; + +reg [3:0] num_eq; + +reg set_stb; +reg [7:0] set_addr; +reg [31:0] set_data; + +wire fcs_out_strobe, fcs_ok; + +integer addr; + +integer bb_sample_fd; +integer power_trigger_fd; +integer short_preamble_detected_fd; + +integer long_preamble_detected_fd; +integer sync_long_metric_fd; +integer sync_long_out_fd; + +integer equalizer_out_fd; + +integer demod_out_fd; +integer deinterleave_erase_out_fd; +integer conv_out_fd; +integer descramble_out_fd; + +integer signal_fd; + +integer byte_out_fd; + +integer file_i, file_q, file_rssi_half_db, iq_sample_file; + +`define SPEED_100M // remove this to use 200M + +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/iq_11n_mcs7_gi0_100B_ht_unsupport_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/iq_11n_mcs7_gi0_100B_wrong_ht_sig_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/iq_11n_mcs7_gi0_100B_wrong_sig_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/iq_11n_mcs7_gi0_100B_openwifi.txt" +// `define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_6.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" +// `define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_52mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" +// `define SAMPLE_FILE "../../../../../testing_inputs/radiated/dot11n_19.5mbps_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_58.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" +// `define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_65mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" +// `define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11a_48mbps_qos_data_e4_90_7e_15_2a_16_e8_de_27_90_6e_42_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/radiated/ack-ok-openwifi.txt" +`define SAMPLE_FILE "../../../../../testing_inputs/simulated/iq_mixed_for_side_ch_openwifi.txt" + +`define NUM_SAMPLE 18560 + +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/openofdm_tx/PL_100Bytes/54Mbps.txt" +//`define NUM_SAMPLE 2048 + +initial begin + $dumpfile("dot11.vcd"); + $dumpvars; + + slv_reg_wren_signal = 0; + axi_awaddr_core = 0; + m_axis_start_ext_trigger = 0; + + clock = 0; + reset = 1; + enable = 0; + signal_done <= 0; + + # 20 reset = 0; + enable = 1; + + set_stb = 1; + + # 20 + // do not skip sample + set_addr = SR_SKIP_SAMPLE; + set_data = 0; + + # 20 set_stb = 0; +end + +integer file_open_trigger = 0; +always @(posedge clock) begin + file_open_trigger = file_open_trigger + 1; + if (file_open_trigger==1) begin + iq_sample_file = $fopen(`SAMPLE_FILE, "r"); + + bb_sample_fd = $fopen("./sample_in.txt", "w"); + power_trigger_fd = $fopen("./power_trigger.txt", "w"); + short_preamble_detected_fd = $fopen("./short_preamble_detected.txt", "w"); + + sync_long_metric_fd = $fopen("./sync_long_metric.txt", "w"); + long_preamble_detected_fd = $fopen("./sync_long_frame_detected.txt", "w"); + sync_long_out_fd = $fopen("./sync_long_out.txt", "w"); + + equalizer_out_fd = $fopen("./equalizer_out.txt", "w"); + + demod_out_fd = $fopen("./demod_out.txt", "w"); + deinterleave_erase_out_fd = $fopen("./deinterleave_erase_out.txt", "w"); + conv_out_fd = $fopen("./conv_out.txt", "w"); + descramble_out_fd = $fopen("./descramble_out.txt", "w"); + + signal_fd = $fopen("./signal_out.txt", "w"); + + byte_out_fd = $fopen("./byte_out.txt", "w"); + end +end + +`ifdef SPEED_100M + always begin //100MHz + #5 clock = !clock; + end +`else + always begin //200MHz + #2.5 clock = !clock; + end +`endif + +always @(posedge clock) begin + if (reset) begin + sample_in <= 0; + clk_count <= 0; + sample_in_strobe <= 0; + addr <= 0; + + num_eq <= 5; + end else if (enable) begin + `ifdef SPEED_100M + if (clk_count == 4) begin // for 100M; 100/20 = 5 + `else + if (clk_count == 9) begin // for 200M; 200/20 = 10 + `endif + sample_in_strobe <= 1; + //$fscanf(iq_sample_file, "%d %d %d", file_i, file_q, file_rssi_half_db); + $fscanf(iq_sample_file, "%d %d", file_i, file_q); + sample_in[15:0] <= file_q; + sample_in[31:16]<= file_i; + //rssi_half_db <= file_rssi_half_db; + rssi_half_db <= 0; + addr <= addr + 1; + clk_count <= 0; + end else begin + sample_in_strobe <= 0; + clk_count <= clk_count + 1; + end + + if (short_preamble_detected) begin + num_eq <= num_eq + 3; + end + + if (legacy_sig_stb) begin + end + + //if (sample_in_strobe && power_trigger) begin + if (sample_in_strobe) begin + $fwrite(bb_sample_fd, "%d %d %d\n", $time/2, $signed(sample_in[31:16]), $signed(sample_in[15:0])); + $fwrite(power_trigger_fd, "%d %d\n", $time/2, power_trigger); + $fwrite(short_preamble_detected_fd, "%d %d\n", $time/2, short_preamble_detected); + + $fwrite(long_preamble_detected_fd, "%d %d\n", $time/2, long_preamble_detected); + + $fflush(bb_sample_fd); + $fflush(power_trigger_fd); + $fflush(short_preamble_detected_fd); + $fflush(long_preamble_detected_fd); + + + if ((addr % 100) == 0) begin + $display("%d", addr); + end + + if (addr == `NUM_SAMPLE) begin + $fclose(iq_sample_file); + + $fclose(bb_sample_fd); + $fclose(power_trigger_fd); + $fclose(short_preamble_detected_fd); + + $fclose(sync_long_metric_fd); + $fclose(long_preamble_detected_fd); + $fclose(sync_long_out_fd); + + $fclose(equalizer_out_fd); + + $fclose(demod_out_fd); + $fclose(deinterleave_erase_out_fd); + $fclose(conv_out_fd); + $fclose(descramble_out_fd); + + $fclose(signal_fd); + $fclose(byte_out_fd); + + $finish; + end + end + + if (sync_long_metric_stb) begin + $fwrite(sync_long_metric_fd, "%d %d\n", $time/2, sync_long_metric); + $fflush(sync_long_metric_fd); + end + + if (sync_long_out_strobe) begin + $fwrite(sync_long_out_fd, "%d %d\n", $signed(sync_long_out[31:16]), $signed(sync_long_out[15:0])); + $fflush(sync_long_out_fd); + end + + if (equalizer_out_strobe) begin + $fwrite(equalizer_out_fd, "%d %d\n", $signed(equalizer_out[31:16]), $signed(equalizer_out[15:0])); + $fflush(equalizer_out_fd); + end + + if (legacy_sig_stb) begin + signal_done <= 1; + $fwrite(signal_fd, "%04b %b %012b %b %06b", legacy_rate, legacy_sig_rsvd, legacy_len, legacy_sig_parity, legacy_sig_tail); + $fflush(signal_fd); + end + + if (dot11_state == S_DECODE_DATA && demod_out_strobe) begin + $fwrite(demod_out_fd, "%b %b %b %b %b %b\n",demod_out[0],demod_out[1],demod_out[2],demod_out[3],demod_out[4],demod_out[5]); + $fflush(demod_out_fd); + end + + if (dot11_state == S_DECODE_DATA && deinterleave_erase_out_strobe) begin + $fwrite(deinterleave_erase_out_fd, "%b %b %b %b %b %b %b %b\n", deinterleave_erase_out[0], deinterleave_erase_out[1], deinterleave_erase_out[2], deinterleave_erase_out[3], deinterleave_erase_out[4], deinterleave_erase_out[5], deinterleave_erase_out[6], deinterleave_erase_out[7]); + $fflush(deinterleave_erase_out_fd); + end + + if (dot11_state == S_DECODE_DATA && conv_decoder_out_stb) begin + $fwrite(conv_out_fd, "%b\n", conv_decoder_out); + $fflush(conv_out_fd); + end + + if (dot11_state == S_DECODE_DATA && descramble_out_strobe) begin + $fwrite(descramble_out_fd, "%b\n", descramble_out); + $fflush(descramble_out_fd); + end + + if (dot11_state == S_DECODE_DATA && byte_out_strobe) begin + $fwrite(byte_out_fd, "%02x\n", byte_out); + $fflush(byte_out_fd); + end + + end +end + +side_ch_control # ( + .TSF_TIMER_WIDTH(TSF_TIMER_WIDTH), // according to 802.11 standard + + .C_S_AXI_DATA_WIDTH(C_S00_AXI_DATA_WIDTH), + .IQ_DATA_WIDTH(IQ_DATA_WIDTH), + .C_S_AXIS_TDATA_WIDTH(C_S00_AXIS_TDATA_WIDTH), + .MAX_NUM_DMA_SYMBOL(MAX_NUM_DMA_SYMBOL), + .MAX_BIT_NUM_DMA_SYMBOL(MAX_BIT_NUM_DMA_SYMBOL) +) side_ch_control_i ( + .clk(clock), + .rstn(~reset), + + // from pl + .tsf_runtime_val(64'd123456), + .demod_is_ongoing(demod_is_ongoing), + .ofdm_symbol_eq_out_pulse(ofdm_symbol_eq_out_pulse), + .ht_unsupport(ht_unsupport), + .pkt_rate(pkt_rate), + .pkt_len(pkt_len), + .csi(csi), + .csi_valid(csi_valid), + .equalizer(equalizer_out), + .equalizer_valid(equalizer_out_strobe), + + .pkt_header_valid(pkt_header_valid), + .pkt_header_valid_strobe(pkt_header_valid_strobe), + .FC_DI(FC_DI), + .FC_DI_valid(FC_DI_valid), + .addr1(addr1), + .addr1_valid(addr1_valid), + .addr2(addr2), + .addr2_valid(addr2_valid), + .addr3(addr3), + .addr3_valid(addr3_valid), + + .fcs_in_strobe(fcs_out_strobe), + .fcs_ok(fcs_ok), + .block_rx_dma_to_ps(), + .block_rx_dma_to_ps_valid(), + + // from arm + .slv_reg_wren_signal(slv_reg_wren_signal), // to capture m axis num dma symbol write, so that auto trigger start + .axi_awaddr_core(axi_awaddr_core), + .addr1_target(32'd23343), + .match_cfg(1), + .num_eq({1'd0, num_eq[2:0]}), + .m_axis_start_mode(1), + .m_axis_start_ext_trigger(m_axis_start_ext_trigger), + + // s_axis + .data_to_pl(), + .pl_ask_data(), + .s_axis_data_count(), + .emptyn_to_pl(), + + .S_AXIS_TVALID(), + .S_AXIS_TLAST(), + + // m_axis + .m_axis_start_1trans(m_axis_start_1trans), + + .data_to_ps(data_to_ps), + .data_to_ps_valid(data_to_ps_valid), + .m_axis_data_count(m_axis_data_count), + .fulln_to_pl(fulln_to_pl), + + .M_AXIS_TVALID(M_AXIS_TVALID), + .M_AXIS_TLAST(M_AXIS_TLAST) +); + +side_ch_m_axis # ( + // .WAIT_COUNT_BITS(WAIT_COUNT_BITS), + .MAX_NUM_DMA_SYMBOL(MAX_NUM_DMA_SYMBOL), + .MAX_BIT_NUM_DMA_SYMBOL(MAX_BIT_NUM_DMA_SYMBOL), + .C_M_AXIS_TDATA_WIDTH(C_M00_AXIS_TDATA_WIDTH) +) side_ch_m_axis_i ( + .m_axis_endless_mode(0), + .M_AXIS_NUM_DMA_SYMBOL(3222-1), + + .m_axis_start_1trans(m_axis_start_1trans), + + .data_to_ps(data_to_ps), + .data_to_ps_valid(data_to_ps_valid), + .m_axis_data_count(m_axis_data_count), + .fulln_to_pl(fulln_to_pl), + + .M_AXIS_ACLK(clock), + .M_AXIS_ARESETN( ~reset ), + .M_AXIS_TVALID(M_AXIS_TVALID), + .M_AXIS_TDATA(), + .M_AXIS_TSTRB(), + .M_AXIS_TLAST(M_AXIS_TLAST), + .M_AXIS_TREADY(1) +); + +phy_rx_parse phy_rx_parse_inst ( + .clk(clock), + .rstn( ~reset ), + + .ofdm_byte_index(byte_count), + .ofdm_byte(byte_out), + .ofdm_byte_valid(byte_out_strobe), + + .FC_DI(FC_DI), + .FC_DI_valid(FC_DI_valid), + + .rx_addr(addr1), + .rx_addr_valid(addr1_valid), + + .dst_addr(addr2), + .dst_addr_valid(addr2_valid), + + .tx_addr(addr3), + .tx_addr_valid(addr3_valid), + + .SC(), + .SC_valid(), + + .src_addr(), + .src_addr_valid() +); + +dot11 dot11_inst ( + .clock(clock), + .enable(enable), + .reset(reset), + + //.set_stb(set_stb), + //.set_addr(set_addr), + //.set_data(set_data), + + .power_thres(11'd0), + .min_plateau(32'd100), + + .rssi_half_db(rssi_half_db), + .sample_in(sample_in), + .sample_in_strobe(sample_in_strobe), + .soft_decoding(1'b1), + + .demod_is_ongoing(demod_is_ongoing), + .pkt_begin(pkt_begin), + .pkt_ht(pkt_ht), + .pkt_header_valid(pkt_header_valid), + .pkt_header_valid_strobe(pkt_header_valid_strobe), + .ht_unsupport(ht_unsupport), + .pkt_rate(pkt_rate), + .pkt_len(pkt_len), + .pkt_len_total(pkt_len_total), + .byte_out_strobe(byte_out_strobe), + .byte_out(byte_out), + .byte_count_total(byte_count_total), + .byte_count(byte_count), + .fcs_out_strobe(fcs_out_strobe), + .fcs_ok(fcs_ok), + + .state(dot11_state), + .status_code(status_code), + .state_changed(state_changed), + .state_history(state_history), + + .power_trigger(power_trigger), + + .short_preamble_detected(short_preamble_detected), + .phase_offset(phase_offset), + + .sync_long_metric(sync_long_metric), + .sync_long_metric_stb(sync_long_metric_stb), + .long_preamble_detected(long_preamble_detected), + .sync_long_out(sync_long_out), + .sync_long_out_strobe(sync_long_out_strobe), + .sync_long_state(sync_long_state), + + .equalizer_out(equalizer_out), + .equalizer_out_strobe(equalizer_out_strobe), + .equalizer_state(equalizer_state), + .ofdm_symbol_eq_out_pulse(ofdm_symbol_eq_out_pulse), + + .legacy_sig_stb(legacy_sig_stb), + .legacy_rate(legacy_rate), + .legacy_sig_rsvd(legacy_sig_rsvd), + .legacy_len(legacy_len), + .legacy_sig_parity(legacy_sig_parity), + .legacy_sig_parity_ok(legacy_sig_parity_ok), + .legacy_sig_tail(legacy_sig_tail), + + .ht_sig_stb(ht_sig_stb), + .ht_mcs(ht_mcs), + .ht_cbw(ht_cbw), + .ht_len(ht_len), + .ht_smoothing(ht_smoothing), + .ht_not_sounding(ht_not_sounding), + .ht_aggregation(ht_aggregation), + .ht_stbc(ht_stbc), + .ht_fec_coding(ht_fec_coding), + .ht_sgi(ht_sgi), + .ht_num_ext(ht_num_ext), + .ht_sig_crc_ok(ht_sig_crc_ok), + + .demod_out(demod_out), + .demod_out_strobe(demod_out_strobe), + + .deinterleave_erase_out(deinterleave_erase_out), + .deinterleave_erase_out_strobe(deinterleave_erase_out_strobe), + + .conv_decoder_out(conv_decoder_out), + .conv_decoder_out_stb(conv_decoder_out_stb), + + .csi(csi), + .csi_valid(csi_valid), + + .descramble_out(descramble_out), + .descramble_out_strobe(descramble_out_strobe) +); + +/* +byte_to_word_fcs_sn_insert byte_to_word_fcs_sn_insert_inst ( + .clk(clock), + .rstn((~reset)&(~pkt_header_valid_strobe)), + + .byte_in(byte_out), + .byte_in_strobe(byte_out_strobe), + .byte_count(byte_count), + .num_byte(pkt_len), + .fcs_in_strobe(fcs_out_strobe), + .fcs_ok(fcs_ok), + .rx_pkt_sn_plus_one(0), + + .word_out(word_out), + .word_out_strobe(word_out_strobe) +); +*/ + +endmodule diff --git a/verilog/dot11_tb.v b/verilog/dot11_tb.v index c067898..2ec5e64 100644 --- a/verilog/dot11_tb.v +++ b/verilog/dot11_tb.v @@ -22,7 +22,6 @@ wire [31:0] sync_long_metric; wire sync_long_metric_stb; wire long_preamble_detected; - wire [31:0] equalizer_out; wire equalizer_out_strobe; @@ -85,16 +84,26 @@ integer signal_fd; integer byte_out_fd; - - integer file_i, file_q, file_rssi_half_db, iq_sample_file; -//`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_65mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" -//`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11a_48mbps_qos_data_e4_90_7e_15_2a_16_e8_de_27_90_6e_42_openwifi.txt" -//`define NUM_SAMPLE 4560 +//`define SPEED_100M // comment out this to use 200M -`define SAMPLE_FILE "../../../../../testing_inputs/simulated/openofdm_tx/PL_100Bytes/54Mbps.txt" -`define NUM_SAMPLE 2048 +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/iq_11n_mcs7_gi0_100B_ht_unsupport_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/iq_11n_mcs7_gi0_100B_wrong_ht_sig_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/iq_11n_mcs7_gi0_100B_wrong_sig_openwifi.txt" +`define SAMPLE_FILE "../../../../../testing_inputs/simulated/iq_11n_mcs7_gi0_100B_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_6.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_52mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/radiated/dot11n_19.5mbps_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_58.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_65mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11a_48mbps_qos_data_e4_90_7e_15_2a_16_e8_de_27_90_6e_42_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/radiated/ack-ok-openwifi.txt" + +`define NUM_SAMPLE 8560 + +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/openofdm_tx/PL_100Bytes/54Mbps.txt" +//`define NUM_SAMPLE 2048 initial begin $dumpfile("dot11.vcd"); @@ -145,15 +154,15 @@ always @(posedge clock) begin end end -always begin //100MHz - #5 clock = !clock; -end - -/* -always begin //200MHz - #2.5 clock = !clock; -end -*/ +`ifdef SPEED_100M + always begin //100MHz + #5 clock = !clock; + end +`else + always begin //200MHz + #2.5 clock = !clock; + end +`endif always @(posedge clock) begin if (reset) begin @@ -162,8 +171,11 @@ always @(posedge clock) begin sample_in_strobe <= 0; addr <= 0; end else if (enable) begin - if (clk_count == 4) begin // for 100M; 100/20 = 5 - // if (clk_count == 9) begin // for 200M; 200/20 = 10 + `ifdef SPEED_100M + if (clk_count == 4) begin // for 100M; 100/20 = 5 + `else + if (clk_count == 9) begin // for 200M; 200/20 = 10 + `endif sample_in_strobe <= 1; //$fscanf(iq_sample_file, "%d %d %d", file_i, file_q, file_rssi_half_db); $fscanf(iq_sample_file, "%d %d", file_i, file_q); @@ -275,8 +287,8 @@ end dot11 dot11_inst ( .clock(clock), - .reset(reset), .enable(enable), + .reset(reset), //.set_stb(set_stb), //.set_addr(set_addr), @@ -290,21 +302,38 @@ dot11 dot11_inst ( .sample_in_strobe(sample_in_strobe), .soft_decoding(1'b1), + .pkt_header_valid_strobe(pkt_header_valid_strobe), + .pkt_len(pkt_len), + .pkt_len_total(pkt_len_total), + .byte_out_strobe(byte_out_strobe), + .byte_out(byte_out), + .byte_count_total(byte_count_total), + .byte_count(byte_count), + .fcs_out_strobe(fcs_out_strobe), + .fcs_ok(fcs_ok), + .state(dot11_state), .power_trigger(power_trigger), + .short_preamble_detected(short_preamble_detected), .sync_long_metric(sync_long_metric), .sync_long_metric_stb(sync_long_metric_stb), .long_preamble_detected(long_preamble_detected), - .sync_long_out(sync_long_out), .sync_long_out_strobe(sync_long_out_strobe), .equalizer_out(equalizer_out), .equalizer_out_strobe(equalizer_out_strobe), + .legacy_sig_stb(legacy_sig_stb), + .legacy_rate(legacy_rate), + .legacy_sig_rsvd(legacy_sig_rsvd), + .legacy_len(legacy_len), + .legacy_sig_parity(legacy_sig_parity), + .legacy_sig_tail(legacy_sig_tail), + .demod_out(demod_out), .demod_out_strobe(demod_out_strobe), @@ -315,24 +344,7 @@ dot11 dot11_inst ( .conv_decoder_out_stb(conv_decoder_out_stb), .descramble_out(descramble_out), - .descramble_out_strobe(descramble_out_strobe), - - .pkt_header_valid_strobe(pkt_header_valid_strobe), - .byte_out(byte_out), - .byte_out_strobe(byte_out_strobe), - .fcs_out_strobe(fcs_out_strobe), - .fcs_ok(fcs_ok), - .byte_count_total(byte_count_total), - .byte_count(byte_count), - .pkt_len_total(pkt_len_total), - .pkt_len(pkt_len), - - .legacy_rate(legacy_rate), - .legacy_sig_rsvd(legacy_sig_rsvd), - .legacy_len(legacy_len), - .legacy_sig_parity(legacy_sig_parity), - .legacy_sig_tail(legacy_sig_tail), - .legacy_sig_stb(legacy_sig_stb) + .descramble_out_strobe(descramble_out_strobe) ); /* diff --git a/verilog/equalizer.v b/verilog/equalizer.v index 6ce85ed..551376c 100644 --- a/verilog/equalizer.v +++ b/verilog/equalizer.v @@ -9,6 +9,7 @@ module equalizer input [31:0] sample_in, input sample_in_strobe, input ht_next, + input pkt_ht, output [31:0] phase_in_i, output [31:0] phase_in_q, @@ -22,7 +23,11 @@ module equalizer output reg [31:0] sample_out, output reg sample_out_strobe, - output reg [2:0] state + output reg [2:0] state, + + // for side channel + output wire [31:0] csi, + output wire csi_valid ); @@ -168,12 +173,16 @@ wire lts_div_out_stb = div_out_stb; reg prod_in_strobe; wire prod_out_strobe; +// for side channel +reg sample_in_strobe_dly; +assign csi = {lts_i_out, lts_q_out}; +assign csi_valid = ( (num_ofdm_sym == 1 || (pkt_ht==1 && num_ofdm_sym==5)) && state == S_CALC_FREQ_OFFSET && sample_in_strobe_dly == 1 && enable && (~reset) ); + /* // =============save signal to file for matlab bit-true comparison=========== integer file_open_trigger = 0; integer new_lts_fd, phase_offset_pilot_input_fd, phase_offset_lts_input_fd, phase_offset_pilot_fd, phase_offset_pilot_sum_fd, phase_offset_phase_out_fd, rot_out_fd, equalizer_prod_fd, equalizer_prod_scaled_fd, equalizer_mag_sq_fd, equalizer_out_fd; -reg sample_in_strobe_dly; wire signed [15:0] norm_i_signed, norm_q_signed; assign norm_i_signed = sample_out[31:16]; assign norm_q_signed = sample_out[15:0]; @@ -201,7 +210,6 @@ always @(posedge clock) begin equalizer_out_fd = $fopen("./equalizer_out.txt", "w"); end - sample_in_strobe_dly <= sample_in_strobe; if (num_ofdm_sym == 1 && state == S_CALC_FREQ_OFFSET && sample_in_strobe_dly == 1 && enable && (~reset) ) begin $fwrite(new_lts_fd, "%d %d\n", lts_i_out, lts_q_out); $fflush(new_lts_fd); @@ -455,6 +463,7 @@ always @(posedge clock) begin state <= S_FIRST_LTS; end else if (enable) begin + sample_in_strobe_dly <= sample_in_strobe; case(state) S_FIRST_LTS: begin // store first LTS as is diff --git a/verilog/openofdm_rx.v b/verilog/openofdm_rx.v index 602fedc..c28d70e 100644 --- a/verilog/openofdm_rx.v +++ b/verilog/openofdm_rx.v @@ -30,6 +30,13 @@ output wire [15:0] byte_count, output wire fcs_out_strobe, output wire fcs_ok, + // for side channel + output wire [31:0] csi, + output wire csi_valid, + output wire signed [31:0] phase_offset_taken, + output wire [31:0] equalizer, + output wire equalizer_valid, + output wire ofdm_symbol_eq_out_pulse, // axi lite based register configuration interface input wire s00_axi_aclk, @@ -92,21 +99,6 @@ wire [(C_S00_AXI_DATA_WIDTH-1):0] slv_reg31; */ - wire [3:0] state; - wire state_changed; - reg [31:0] state_history; - - assign slv_reg20 = state_history; - - always @(posedge s00_axi_aclk) begin - if (s00_axi_aresetn==0) begin - state_history <= 0; - end else if (state_changed) begin - state_history[3:0] <= state; - state_history[31:4] <= state_history[27:0]; - end - end - dot11 # ( ) dot11_i ( .clock(s00_axi_aclk), @@ -147,7 +139,7 @@ .state(state), .status_code(), .state_changed(state_changed), - + .state_history(slv_reg20), // power trigger .power_trigger(), @@ -161,12 +153,14 @@ .long_preamble_detected(), .sync_long_out(), .sync_long_out_strobe(), + .phase_offset_taken(phase_offset_taken), .sync_long_state(), // equalizer - .equalizer_out(), - .equalizer_out_strobe(), - .equalizer_state(), + .equalizer_out(equalizer), + .equalizer_out_strobe(equalizer_valid), + .equalizer_state(equalizer_state), + .ofdm_symbol_eq_out_pulse(ofdm_symbol_eq_out_pulse), // legacy signal info .legacy_sig_stb(), @@ -202,7 +196,11 @@ .conv_decoder_out_stb(), .descramble_out(), - .descramble_out_strobe() + .descramble_out_strobe(), + + // for side channel + .csi(csi), + .csi_valid(csi_valid) ); openofdm_rx_s_axi # ( diff --git a/verilog/sync_long.v b/verilog/sync_long.v index 9b84a72..40a2ab3 100644 --- a/verilog/sync_long.v +++ b/verilog/sync_long.v @@ -19,6 +19,7 @@ module sync_long ( output reg sample_out_strobe, output reg [15:0] num_ofdm_symbol, + output reg signed [31:0] phase_offset_taken, output reg [2:0] state ); `include "common_params.v" @@ -359,6 +360,7 @@ always @(posedge clock) begin num_ofdm_symbol <= 0; phase_correction <= 0; next_phase_correction <= phase_offset; + phase_offset_taken <= phase_offset; state <= S_FFT; end else begin state <= S_IDLE;