diff --git a/verilog/atan_lut.v b/verilog/atan_lut.v deleted file mode 100644 index 899f884..0000000 --- a/verilog/atan_lut.v +++ /dev/null @@ -1,21 +0,0 @@ -`include "common_defs.v" - -/* -* Output: atan(addr/1024.0)*2048 -* Delay: 1 cycle -*/ -module atan_lut ( - input clka, - input [`ATAN_LUT_LEN_SHIFT-1:0] addra, - output reg [`ATAN_LUT_SCALE_SHIFT-1:0] douta -); - -reg [`ATAN_LUT_SCALE_SHIFT-1:0] ram [0:(1<<`ATAN_LUT_LEN_SHIFT)-1]; -initial begin - $readmemb("./atan_lut.mif", ram); -end - -always @(posedge clka) begin - douta <= ram[addra]; -end -endmodule diff --git a/verilog/deinter_lut.v b/verilog/deinter_lut.v deleted file mode 100644 index 7810a36..0000000 --- a/verilog/deinter_lut.v +++ /dev/null @@ -1,24 +0,0 @@ -module deinter_lut -#( - parameter DWIDTH = 22, - parameter AWIDTH = 11 -) -( - input clka, - input [AWIDTH-1:0] addra, - output reg [DWIDTH-1:0] douta -); - -reg [DWIDTH-1:0] ram [0:(1<