diff --git a/get_git_rev.sh b/get_git_rev.sh new file mode 100755 index 0000000..fa6de02 --- /dev/null +++ b/get_git_rev.sh @@ -0,0 +1,11 @@ +#!/bin/bash + +# xianjun.jiao@imec.be + +if git log -1 > /dev/null 2>&1; then + GIT_REV=$(git log -1 --pretty=%h) +else + GIT_REV=ffffffff +fi + +echo $GIT_REV diff --git a/openofdm_rx.tcl b/openofdm_rx.tcl index 59c11d3..b24ca48 100644 --- a/openofdm_rx.tcl +++ b/openofdm_rx.tcl @@ -1,761 +1,780 @@ -#***************************************************************************************** -# -# By xianjun.jiao@imec.be; wei.liu@imec.be -# -# Generated by Vivado on Mon Jan 21 11:32:41 +0100 2019 -# IP Build 2095745 on Tue Jan 30 17:13:15 MST 2018 -# -# This file contains the Vivado Tcl commands for re-creating the project to the state* -# when this script was generated. In order to re-create the project, please source this -# file in the Vivado Tcl Shell. -# -# * Note that the runs in the created project will be configured the same way as the -# original project, however they will not be launched automatically. To regenerate the -# run results please launch the synthesis/implementation runs as needed. -# -# -#***************************************************************************************** - -# Set the reference directory for source file relative paths (by default the value is script directory path) -set origin_dir [file dirname [info script]] - -# Use origin directory path location variable, if specified in the tcl shell -if { [info exists ::origin_dir_loc] } { - set origin_dir $::origin_dir_loc -} - -# Set the project name -set project_name "openofdm_rx" - -# Use project name variable, if specified in the tcl shell -if { [info exists ::user_project_name] } { - set project_name $::user_project_name -} - -variable script_file -set script_file "openofdm_rx.tcl" - -# Help information for this script -proc help {} { - variable script_file - puts "\nDescription:" - puts "Recreate a Vivado project from this script. The created project will be" - puts "functionally equivalent to the original project for which this script was" - puts "generated. The script contains commands for creating a project, filesets," - puts "runs, adding/importing sources and setting properties on various objects.\n" - puts "Syntax:" - puts "$script_file" - puts "$script_file -tclargs \[--origin_dir \]" - puts "$script_file -tclargs \[--project_name \]" - puts "$script_file -tclargs \[--help\]\n" - puts "Usage:" - puts "Name Description" - puts "-------------------------------------------------------------------------" - puts "\[--origin_dir \] Determine source file paths wrt this path. Default" - puts " origin_dir path value is \".\", otherwise, the value" - puts " that was set with the \"-paths_relative_to\" switch" - puts " when this script was generated.\n" - puts "\[--project_name \] Create project with the specified name. Default" - puts " name is the name of the project from where this" - puts " script was generated.\n" - puts "\[--help\] Print help information for this script" - puts "-------------------------------------------------------------------------\n" - exit 0 -} - -if { $::argc > 0 } { - for {set i 0} {$i < [llength $::argc]} {incr i} { - set option [string trim [lindex $::argv $i]] - switch -regexp -- $option { - "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } - "--project_name" { incr i; set project_name [lindex $::argv $i] } - "--help" { help } - default { - if { [regexp {^-} $option] } { - puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" - return 1 - } - } - } - } -} - -# Set the directory path for the original project from where this script was exported -set src_dir "[file normalize "$origin_dir/verilog"]" - -# Create project -create_project ${project_name} ./${project_name} -part xc7z045ffg900-2 - -# Set the directory path for the new project -set proj_dir [get_property directory [current_project]] - -# Reconstruct message rules -# None - -# Set project properties -set obj [current_project] -set_property -name "board_connections" -value "" -objects $obj -set_property -name "board_part" -value "xilinx.com:zc706:part0:1.2" -objects $obj -set_property -name "compxlib.activehdl_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/activehdl" -objects $obj -set_property -name "compxlib.funcsim" -value "1" -objects $obj -set_property -name "compxlib.ies_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/ies" -objects $obj -set_property -name "compxlib.modelsim_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/modelsim" -objects $obj -set_property -name "compxlib.overwrite_libs" -value "0" -objects $obj -set_property -name "compxlib.questa_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/questa" -objects $obj -set_property -name "compxlib.riviera_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/riviera" -objects $obj -set_property -name "compxlib.timesim" -value "1" -objects $obj -set_property -name "compxlib.vcs_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/vcs" -objects $obj -set_property -name "compxlib.xsim_compiled_library_dir" -value "" -objects $obj -set_property -name "corecontainer.enable" -value "0" -objects $obj -set_property -name "default_lib" -value "xil_defaultlib" -objects $obj -set_property -name "dsa.num_compute_units" -value "60" -objects $obj -set_property -name "dsa.rom.debug_type" -value "0" -objects $obj -set_property -name "dsa.rom.prom_type" -value "0" -objects $obj -set_property -name "enable_optional_runs_sta" -value "0" -objects $obj -set_property -name "generate_ip_upgrade_log" -value "1" -objects $obj -set_property -name "ip_cache_permissions" -value "read write" -objects $obj -set_property -name "ip_interface_inference_priority" -value "" -objects $obj -set_property -name "ip_output_repo" -value "$proj_dir/${project_name}.cache/ip" -objects $obj -set_property -name "project_type" -value "Default" -objects $obj -set_property -name "pr_flow" -value "0" -objects $obj -set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj -set_property -name "sim.use_ip_compiled_libs" -value "1" -objects $obj -set_property -name "simulator_language" -value "Mixed" -objects $obj -set_property -name "source_mgmt_mode" -value "All" -objects $obj -set_property -name "target_language" -value "Verilog" -objects $obj -set_property -name "target_simulator" -value "XSim" -objects $obj -set_property -name "xpm_libraries" -value "XPM_MEMORY" -objects $obj -set_property -name "xsim.array_display_limit" -value "1024" -objects $obj -set_property -name "xsim.radix" -value "hex" -objects $obj -set_property -name "xsim.time_unit" -value "ns" -objects $obj -set_property -name "xsim.trace_limit" -value "65536" -objects $obj - -# Create 'sources_1' fileset (if not found) -if {[string equal [get_filesets -quiet sources_1] ""]} { - create_fileset -srcset sources_1 -} - -# Set IP repository paths -set obj [get_filesets sources_1] -set_property "ip_repo_paths" "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynq"]" $obj - -# Rebuild user ip_repo's index before adding any source files -update_ip_catalog -rebuild - -# Set 'sources_1' fileset object -set obj [get_filesets sources_1] -set files [list \ - "[file normalize "$origin_dir/verilog/Xilinx/zynq/complex_multiplier/complex_multiplier.xci"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynq/atan_lut/atan_lut.xci"]"\ - "[file normalize "$origin_dir/verilog/bits_to_bytes.v"]"\ - "[file normalize "$origin_dir/verilog/calc_mean.v"]"\ - "[file normalize "$origin_dir/verilog/complex_mult.v"]"\ - "[file normalize "$origin_dir/verilog/complex_to_mag.v"]"\ - "[file normalize "$origin_dir/verilog/complex_to_mag_sq.v"]"\ - "[file normalize "$origin_dir/verilog/crc32.v"]"\ - "[file normalize "$origin_dir/verilog/deinterleave.v"]"\ - "[file normalize "$origin_dir/verilog/delayT.v"]"\ - "[file normalize "$origin_dir/verilog/delay_sample.v"]"\ - "[file normalize "$origin_dir/verilog/common_defs.v"]"\ - "[file normalize "$origin_dir/verilog/demodulate.v"]"\ - "[file normalize "$origin_dir/verilog/descramble.v"]"\ - "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynq/src/div_gen.v"]"\ - "[file normalize "$origin_dir/verilog/divider.v"]"\ - "[file normalize "$origin_dir/verilog/dot11.v"]"\ - "[file normalize "$origin_dir/verilog/equalizer.v"]"\ - "[file normalize "$origin_dir/verilog/ht_sig_crc.v"]"\ - "[file normalize "$origin_dir/verilog/moving_avg.v"]"\ - "[file normalize "$origin_dir/verilog/ofdm_decoder.v"]"\ - "[file normalize "$origin_dir/verilog/openofdm_rx_s_axi.v"]"\ - "[file normalize "$origin_dir/verilog/phase.v"]"\ - "[file normalize "$origin_dir/verilog/usrp2/ram_2port.v"]"\ - "[file normalize "$origin_dir/verilog/rotate.v"]"\ - "[file normalize "$origin_dir/verilog/stage_mult.v"]"\ - "[file normalize "$origin_dir/verilog/sync_long.v"]"\ - "[file normalize "$origin_dir/verilog/sync_short.v"]"\ - "[file normalize "$origin_dir/verilog/openofdm_rx.v"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynq/deinter_lut/deinter_lut.coe"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynq/atan_lut/atan_lut.coe"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynq/rot_lut/rot_lut.coe"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynq/viterbi/viterbi_v7_0.xci"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynq/deinter_lut/deinter_lut.xci"]"\ - "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynq/src/div_gen_div_gen_0_0/div_gen_div_gen_0_0.xci"]"\ - "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynq/src/div_gen_xlslice_0_0/div_gen_xlslice_0_0.xci"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynq/xfft/xfft_v9.xci"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynq/rot_lut/rot_lut.xci"]"\ -] -# If you want to make a copy of the file to new src folder, use following command -# set imported_files [import_files -fileset sources_1 $files] -# If you want to keep the files remote, use the following command -# set added_files [add_files -fileset sources_1 $files] -add_files -norecurse -fileset $obj $files - -# #Set 'sources_1' fileset file properties for remote files -#set file "$origin_dir/verilog/coregen/div_gen_v3_0.ngc" -#set file [file normalize $file] -#set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] -#set_property -name "file_type" -value "NGC" -objects $file_obj - -set file "openofdm_rx_s_axi.v" -set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] -set_property -name "used_in" -value "synthesis simulation" -objects $file_obj -set_property -name "used_in_implementation" -value "0" -objects $file_obj - -set file "openofdm_rx.v" -set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] -set_property -name "used_in" -value "synthesis simulation" -objects $file_obj -set_property -name "used_in_implementation" -value "0" -objects $file_obj - - -# Set 'sources_1' fileset file properties for local files - -# Set 'sources_1' fileset properties -set obj [get_filesets sources_1] -set_property -name "top" -value "openofdm_rx" -objects $obj - -# Create 'constrs_1' fileset (if not found) -if {[string equal [get_filesets -quiet constrs_1] ""]} { - create_fileset -constrset constrs_1 -} - -# Set 'constrs_1' fileset object -set obj [get_filesets constrs_1] - -# Empty (no sources present) - - -# Create constraints ! -# Set 'constrs_1' fileset properties -set obj [get_filesets constrs_1] - -# Create runs -# Create 'sim_1' fileset (if not found) -if {[string equal [get_filesets -quiet sim_1] ""]} { - create_fileset -simset sim_1 -} - -# Set 'sim_1' fileset object -set obj [get_filesets sim_1] -set files [list \ - "[file normalize "$origin_dir/verilog/dot11_tb.v"]" -] -add_files -norecurse -fileset $obj $files -# Empty (no sources present) - -# Set 'sim_1' fileset properties -set obj [get_filesets sim_1] -set_property -name "32bit" -value "0" -objects $obj -set_property -name "generic" -value "" -objects $obj -set_property -name "include_dirs" -value "" -objects $obj -set_property -name "incremental" -value "1" -objects $obj -set_property -name "name" -value "sim_1" -objects $obj -set_property -name "nl.cell" -value "" -objects $obj -set_property -name "nl.incl_unisim_models" -value "0" -objects $obj -set_property -name "nl.process_corner" -value "slow" -objects $obj -set_property -name "nl.rename_top" -value "" -objects $obj -set_property -name "nl.sdf_anno" -value "1" -objects $obj -set_property -name "nl.write_all_overrides" -value "0" -objects $obj -set_property -name "source_set" -value "sources_1" -objects $obj -set_property -name "top" -value "dot11_tb" -objects $obj -set_property -name "transport_int_delay" -value "0" -objects $obj -set_property -name "transport_path_delay" -value "0" -objects $obj -set_property -name "verilog_define" -value "" -objects $obj -set_property -name "verilog_uppercase" -value "0" -objects $obj -set_property -name "xelab.dll" -value "0" -objects $obj -set_property -name "xsim.compile.tcl.pre" -value "" -objects $obj -set_property -name "xsim.compile.xvhdl.more_options" -value "" -objects $obj -set_property -name "xsim.compile.xvhdl.nosort" -value "1" -objects $obj -set_property -name "xsim.compile.xvhdl.relax" -value "1" -objects $obj -set_property -name "xsim.compile.xvlog.more_options" -value "" -objects $obj -set_property -name "xsim.compile.xvlog.nosort" -value "1" -objects $obj -set_property -name "xsim.compile.xvlog.relax" -value "1" -objects $obj -set_property -name "xsim.elaborate.debug_level" -value "typical" -objects $obj -set_property -name "xsim.elaborate.load_glbl" -value "1" -objects $obj -set_property -name "xsim.elaborate.mt_level" -value "auto" -objects $obj -set_property -name "xsim.elaborate.rangecheck" -value "0" -objects $obj -set_property -name "xsim.elaborate.relax" -value "1" -objects $obj -set_property -name "xsim.elaborate.sdf_delay" -value "sdfmax" -objects $obj -set_property -name "xsim.elaborate.snapshot" -value "" -objects $obj -set_property -name "xsim.elaborate.xelab.more_options" -value "" -objects $obj -set_property -name "xsim.simulate.custom_tcl" -value "" -objects $obj -set_property -name "xsim.simulate.log_all_signals" -value "0" -objects $obj -set_property -name "xsim.simulate.runtime" -value "1000ns" -objects $obj -set_property -name "xsim.simulate.saif" -value "" -objects $obj -set_property -name "xsim.simulate.saif_all_signals" -value "0" -objects $obj -set_property -name "xsim.simulate.saif_scope" -value "" -objects $obj -set_property -name "xsim.simulate.tcl.post" -value "" -objects $obj -set_property -name "xsim.simulate.wdb" -value "" -objects $obj -set_property -name "xsim.simulate.xsim.more_options" -value "" -objects $obj - -# Create 'synth_1' run (if not found) -if {[string equal [get_runs -quiet synth_1] ""]} { - create_run -name synth_1 -part xc7z045ffg900-2 -flow {Vivado Synthesis 2018} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1 -} else { - set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] - set_property flow "Vivado Synthesis 2018" [get_runs synth_1] -} -set obj [get_runs synth_1] -set_property set_report_strategy_name 1 $obj -set_property report_strategy {Vivado Synthesis Default Reports} $obj -set_property set_report_strategy_name 0 $obj -# Create 'synth_1_synth_report_utilization_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } { - create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1 -} -set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.pblocks" -value "" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.slr" -value "0" -objects $obj -set_property -name "options.packthru" -value "0" -objects $obj -set_property -name "options.hierarchical" -value "0" -objects $obj -set_property -name "options.hierarchical_depth" -value "" -objects $obj -set_property -name "options.hierarchical_percentages" -value "0" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -set obj [get_runs synth_1] -set_property -name "constrset" -value "constrs_1" -objects $obj -set_property -name "description" -value "Vivado Synthesis Defaults" -objects $obj -set_property -name "flow" -value "Vivado Synthesis 2018" -objects $obj -set_property -name "name" -value "synth_1" -objects $obj -set_property -name "needs_refresh" -value "0" -objects $obj -set_property -name "srcset" -value "sources_1" -objects $obj -# set_property -name "incremental_checkpoint" -value "" -objects $obj -set_property -name "include_in_archive" -value "1" -objects $obj -set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj -set_property -name "steps.synth_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.synth_design.tcl.post" -value "" -objects $obj -set_property -name "steps.synth_design.args.flatten_hierarchy" -value "rebuilt" -objects $obj -set_property -name "steps.synth_design.args.gated_clock_conversion" -value "off" -objects $obj -set_property -name "steps.synth_design.args.bufg" -value "12" -objects $obj -set_property -name "steps.synth_design.args.fanout_limit" -value "10000" -objects $obj -set_property -name "steps.synth_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.synth_design.args.retiming" -value "0" -objects $obj -set_property -name "steps.synth_design.args.fsm_extraction" -value "auto" -objects $obj -set_property -name "steps.synth_design.args.keep_equivalent_registers" -value "0" -objects $obj -set_property -name "steps.synth_design.args.resource_sharing" -value "auto" -objects $obj -set_property -name "steps.synth_design.args.control_set_opt_threshold" -value "auto" -objects $obj -set_property -name "steps.synth_design.args.no_lc" -value "0" -objects $obj -set_property -name "steps.synth_design.args.no_srlextract" -value "0" -objects $obj -set_property -name "steps.synth_design.args.shreg_min_size" -value "3" -objects $obj -set_property -name "steps.synth_design.args.max_bram" -value "-1" -objects $obj -set_property -name "steps.synth_design.args.max_uram" -value "-1" -objects $obj -set_property -name "steps.synth_design.args.max_dsp" -value "-1" -objects $obj -set_property -name "steps.synth_design.args.max_bram_cascade_height" -value "-1" -objects $obj -set_property -name "steps.synth_design.args.max_uram_cascade_height" -value "-1" -objects $obj -set_property -name "steps.synth_design.args.cascade_dsp" -value "auto" -objects $obj -set_property -name "steps.synth_design.args.assert" -value "0" -objects $obj -set_property -name "steps.synth_design.args.more options" -value "" -objects $obj - -# set the current synth run -current_run -synthesis [get_runs synth_1] - -# Create 'impl_1' run (if not found) -if {[string equal [get_runs -quiet impl_1] ""]} { - create_run -name impl_1 -part xc7z045ffg900-2 -flow {Vivado Implementation 2018} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1 -} else { - set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] - set_property flow "Vivado Implementation 2018" [get_runs impl_1] -} -set obj [get_runs impl_1] -set_property set_report_strategy_name 1 $obj -set_property report_strategy {Vivado Implementation Default Reports} $obj -set_property set_report_strategy_name 0 $obj -# Create 'impl_1_init_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_opt_report_drc_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } { - create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.upgrade_cw" -value "0" -objects $obj -set_property -name "options.checks" -value "" -objects $obj -set_property -name "options.ruledecks" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_opt_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_io_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } { - create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_utilization_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } { - create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.pblocks" -value "" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.slr" -value "0" -objects $obj -set_property -name "options.packthru" -value "0" -objects $obj -set_property -name "options.hierarchical" -value "0" -objects $obj -set_property -name "options.hierarchical_depth" -value "" -objects $obj -set_property -name "options.hierarchical_percentages" -value "0" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_control_sets_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } { - create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.verbose" -value "1" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_incremental_reuse_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } { - create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.hierarchical" -value "0" -objects $obj -set_property -name "options.hierarchical_depth" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_incremental_reuse_1' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } { - create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.hierarchical" -value "0" -objects $obj -set_property -name "options.hierarchical_depth" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_drc_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } { - create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.upgrade_cw" -value "0" -objects $obj -set_property -name "options.checks" -value "" -objects $obj -set_property -name "options.ruledecks" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_methodology_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } { - create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.checks" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_power_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } { - create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.advisory" -value "0" -objects $obj -set_property -name "options.xpe" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_route_status_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } { - create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.of_objects" -value "" -objects $obj -set_property -name "options.route_type" -value "" -objects $obj -set_property -name "options.list_all_nets" -value "0" -objects $obj -set_property -name "options.show_all" -value "0" -objects $obj -set_property -name "options.has_routing" -value "0" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_incremental_reuse_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } { - create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.hierarchical" -value "0" -objects $obj -set_property -name "options.hierarchical_depth" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_clock_utilization_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } { - create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.write_xdc" -value "0" -objects $obj -set_property -name "options.clock_roots_only" -value "0" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "1" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -set obj [get_runs impl_1] -set_property -name "constrset" -value "constrs_1" -objects $obj -set_property -name "description" -value "Default settings for Implementation." -objects $obj -set_property -name "flow" -value "Vivado Implementation 2018" -objects $obj -set_property -name "name" -value "impl_1" -objects $obj -set_property -name "needs_refresh" -value "0" -objects $obj -set_property -name "pr_configuration" -value "" -objects $obj -set_property -name "srcset" -value "sources_1" -objects $obj -# set_property -name "incremental_checkpoint" -value "" -objects $obj -set_property -name "include_in_archive" -value "1" -objects $obj -set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj -set_property -name "steps.opt_design.is_enabled" -value "1" -objects $obj -set_property -name "steps.opt_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.opt_design.tcl.post" -value "" -objects $obj -set_property -name "steps.opt_design.args.verbose" -value "0" -objects $obj -set_property -name "steps.opt_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.opt_design.args.more options" -value "" -objects $obj -set_property -name "steps.power_opt_design.is_enabled" -value "0" -objects $obj -set_property -name "steps.power_opt_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.power_opt_design.tcl.post" -value "" -objects $obj -set_property -name "steps.power_opt_design.args.more options" -value "" -objects $obj -set_property -name "steps.place_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.place_design.tcl.post" -value "" -objects $obj -set_property -name "steps.place_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.place_design.args.more options" -value "" -objects $obj -set_property -name "steps.post_place_power_opt_design.is_enabled" -value "0" -objects $obj -set_property -name "steps.post_place_power_opt_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.post_place_power_opt_design.tcl.post" -value "" -objects $obj -set_property -name "steps.post_place_power_opt_design.args.more options" -value "" -objects $obj -set_property -name "steps.phys_opt_design.is_enabled" -value "0" -objects $obj -set_property -name "steps.phys_opt_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.phys_opt_design.tcl.post" -value "" -objects $obj -set_property -name "steps.phys_opt_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.phys_opt_design.args.more options" -value "" -objects $obj -set_property -name "steps.route_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.route_design.tcl.post" -value "" -objects $obj -set_property -name "steps.route_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.route_design.args.more options" -value "" -objects $obj -set_property -name "steps.post_route_phys_opt_design.is_enabled" -value "0" -objects $obj -set_property -name "steps.post_route_phys_opt_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.post_route_phys_opt_design.tcl.post" -value "" -objects $obj -set_property -name "steps.post_route_phys_opt_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.post_route_phys_opt_design.args.more options" -value "" -objects $obj -set_property -name "steps.write_bitstream.tcl.pre" -value "" -objects $obj -set_property -name "steps.write_bitstream.tcl.post" -value "" -objects $obj -set_property -name "steps.write_bitstream.args.raw_bitfile" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.mask_file" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.no_binary_bitfile" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.bin_file" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.logic_location_file" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.more options" -value "" -objects $obj - -# set the current impl run -current_run -implementation [get_runs impl_1] - -puts "INFO: Project created:$project_name" +#***************************************************************************************** +# +# By xianjun.jiao@imec.be; wei.liu@imec.be +# +# Generated by Vivado on Mon Jan 21 11:32:41 +0100 2019 +# IP Build 2095745 on Tue Jan 30 17:13:15 MST 2018 +# +# This file contains the Vivado Tcl commands for re-creating the project to the state* +# when this script was generated. In order to re-create the project, please source this +# file in the Vivado Tcl Shell. +# +# * Note that the runs in the created project will be configured the same way as the +# original project, however they will not be launched automatically. To regenerate the +# run results please launch the synthesis/implementation runs as needed. +# +# +#***************************************************************************************** + +# -----------generate openofdm_rx_git_rev.v--------------- +set fd [open "./verilog/openofdm_rx_git_rev.v" w] +set HASHCODE [exec ./get_git_rev.sh] +puts $fd "`define OPENOFDM_RX_GIT_REV (32'h$HASHCODE)" +close $fd +# ----end of generate openofdm_rx_git_rev.v--------------- + +# Set the reference directory for source file relative paths (by default the value is script directory path) +set origin_dir [file dirname [info script]] + +# Use origin directory path location variable, if specified in the tcl shell +if { [info exists ::origin_dir_loc] } { + set origin_dir $::origin_dir_loc +} + +# Set the project name +set project_name "openofdm_rx" +exec rm -rf $project_name + +# Use project name variable, if specified in the tcl shell +if { [info exists ::user_project_name] } { + set project_name $::user_project_name +} + +variable script_file +set script_file "openofdm_rx.tcl" + +# Help information for this script +proc help {} { + variable script_file + puts "\nDescription:" + puts "Recreate a Vivado project from this script. The created project will be" + puts "functionally equivalent to the original project for which this script was" + puts "generated. The script contains commands for creating a project, filesets," + puts "runs, adding/importing sources and setting properties on various objects.\n" + puts "Syntax:" + puts "$script_file" + puts "$script_file -tclargs \[--origin_dir \]" + puts "$script_file -tclargs \[--project_name \]" + puts "$script_file -tclargs \[--help\]\n" + puts "Usage:" + puts "Name Description" + puts "-------------------------------------------------------------------------" + puts "\[--origin_dir \] Determine source file paths wrt this path. Default" + puts " origin_dir path value is \".\", otherwise, the value" + puts " that was set with the \"-paths_relative_to\" switch" + puts " when this script was generated.\n" + puts "\[--project_name \] Create project with the specified name. Default" + puts " name is the name of the project from where this" + puts " script was generated.\n" + puts "\[--help\] Print help information for this script" + puts "-------------------------------------------------------------------------\n" + exit 0 +} + +if { $::argc > 0 } { + for {set i 0} {$i < [llength $::argc]} {incr i} { + set option [string trim [lindex $::argv $i]] + switch -regexp -- $option { + "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } + "--project_name" { incr i; set project_name [lindex $::argv $i] } + "--help" { help } + default { + if { [regexp {^-} $option] } { + puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" + return 1 + } + } + } + } +} + +# Set the directory path for the original project from where this script was exported +set src_dir "[file normalize "$origin_dir/verilog"]" + +# Create project +create_project ${project_name} ./${project_name} -part xc7z045ffg900-2 + +# Set the directory path for the new project +set proj_dir [get_property directory [current_project]] + +# Reconstruct message rules +# None + +# Set project properties +set obj [current_project] +set_property -name "board_connections" -value "" -objects $obj +set_property -name "board_part" -value "xilinx.com:zc706:part0:1.2" -objects $obj +set_property -name "compxlib.activehdl_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/activehdl" -objects $obj +set_property -name "compxlib.funcsim" -value "1" -objects $obj +set_property -name "compxlib.ies_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/ies" -objects $obj +set_property -name "compxlib.modelsim_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/modelsim" -objects $obj +set_property -name "compxlib.overwrite_libs" -value "0" -objects $obj +set_property -name "compxlib.questa_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/questa" -objects $obj +set_property -name "compxlib.riviera_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/riviera" -objects $obj +set_property -name "compxlib.timesim" -value "1" -objects $obj +set_property -name "compxlib.vcs_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/vcs" -objects $obj +set_property -name "compxlib.xsim_compiled_library_dir" -value "" -objects $obj +set_property -name "corecontainer.enable" -value "0" -objects $obj +set_property -name "default_lib" -value "xil_defaultlib" -objects $obj +set_property -name "dsa.num_compute_units" -value "60" -objects $obj +set_property -name "dsa.rom.debug_type" -value "0" -objects $obj +set_property -name "dsa.rom.prom_type" -value "0" -objects $obj +set_property -name "enable_optional_runs_sta" -value "0" -objects $obj +set_property -name "generate_ip_upgrade_log" -value "1" -objects $obj +set_property -name "ip_cache_permissions" -value "read write" -objects $obj +set_property -name "ip_interface_inference_priority" -value "" -objects $obj +set_property -name "ip_output_repo" -value "$proj_dir/${project_name}.cache/ip" -objects $obj +set_property -name "project_type" -value "Default" -objects $obj +set_property -name "pr_flow" -value "0" -objects $obj +set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj +set_property -name "sim.use_ip_compiled_libs" -value "1" -objects $obj +set_property -name "simulator_language" -value "Mixed" -objects $obj +set_property -name "source_mgmt_mode" -value "All" -objects $obj +set_property -name "target_language" -value "Verilog" -objects $obj +set_property -name "target_simulator" -value "XSim" -objects $obj +set_property -name "xpm_libraries" -value "XPM_MEMORY" -objects $obj +set_property -name "xsim.array_display_limit" -value "1024" -objects $obj +set_property -name "xsim.radix" -value "hex" -objects $obj +set_property -name "xsim.time_unit" -value "ns" -objects $obj +set_property -name "xsim.trace_limit" -value "65536" -objects $obj + +# Create 'sources_1' fileset (if not found) +if {[string equal [get_filesets -quiet sources_1] ""]} { + create_fileset -srcset sources_1 +} + +# Set IP repository paths +set obj [get_filesets sources_1] +set_property "ip_repo_paths" "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynq"]" $obj + +# Rebuild user ip_repo's index before adding any source files +update_ip_catalog -rebuild + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +set files [list \ + "[file normalize "$origin_dir/verilog/Xilinx/zynq/complex_multiplier/complex_multiplier.xci"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynq/atan_lut/atan_lut.xci"]"\ + "[file normalize "$origin_dir/verilog/bits_to_bytes.v"]"\ + "[file normalize "$origin_dir/verilog/calc_mean.v"]"\ + "[file normalize "$origin_dir/verilog/complex_mult.v"]"\ + "[file normalize "$origin_dir/verilog/complex_to_mag.v"]"\ + "[file normalize "$origin_dir/verilog/complex_to_mag_sq.v"]"\ + "[file normalize "$origin_dir/verilog/crc32.v"]"\ + "[file normalize "$origin_dir/verilog/deinterleave.v"]"\ + "[file normalize "$origin_dir/verilog/delayT.v"]"\ + "[file normalize "$origin_dir/verilog/delay_sample.v"]"\ + "[file normalize "$origin_dir/verilog/common_defs.v"]"\ + "[file normalize "$origin_dir/verilog/demodulate.v"]"\ + "[file normalize "$origin_dir/verilog/descramble.v"]"\ + "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynq/src/div_gen.v"]"\ + "[file normalize "$origin_dir/verilog/divider.v"]"\ + "[file normalize "$origin_dir/verilog/dot11.v"]"\ + "[file normalize "$origin_dir/verilog/equalizer.v"]"\ + "[file normalize "$origin_dir/verilog/ht_sig_crc.v"]"\ + "[file normalize "$origin_dir/verilog/moving_avg.v"]"\ + "[file normalize "$origin_dir/verilog/ofdm_decoder.v"]"\ + "[file normalize "$origin_dir/verilog/openofdm_rx_s_axi.v"]"\ + "[file normalize "$origin_dir/verilog/phase.v"]"\ + "[file normalize "$origin_dir/verilog/usrp2/ram_2port.v"]"\ + "[file normalize "$origin_dir/verilog/rotate.v"]"\ + "[file normalize "$origin_dir/verilog/stage_mult.v"]"\ + "[file normalize "$origin_dir/verilog/sync_long.v"]"\ + "[file normalize "$origin_dir/verilog/sync_short.v"]"\ + "[file normalize "$origin_dir/verilog/openofdm_rx.v"]"\ + "[file normalize "$origin_dir/verilog/running_sum_dual_ch.v"]"\ + "[file normalize "$origin_dir/verilog/signal_watchdog.v"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynq/deinter_lut/deinter_lut.coe"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynq/atan_lut/atan_lut.coe"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynq/rot_lut/rot_lut.coe"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynq/viterbi/viterbi_v7_0.xci"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynq/deinter_lut/deinter_lut.xci"]"\ + "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynq/src/div_gen_div_gen_0_0/div_gen_div_gen_0_0.xci"]"\ + "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynq/src/div_gen_xlslice_0_0/div_gen_xlslice_0_0.xci"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynq/xfft/xfft_v9.xci"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynq/rot_lut/rot_lut.xci"]"\ +] +# If you want to make a copy of the file to new src folder, use following command +# set imported_files [import_files -fileset sources_1 $files] +# If you want to keep the files remote, use the following command +# set added_files [add_files -fileset sources_1 $files] +add_files -norecurse -fileset $obj $files + +# #Set 'sources_1' fileset file properties for remote files +#set file "$origin_dir/verilog/coregen/div_gen_v3_0.ngc" +#set file [file normalize $file] +#set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +#set_property -name "file_type" -value "NGC" -objects $file_obj + +set file "openofdm_rx_s_axi.v" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "synthesis simulation" -objects $file_obj +set_property -name "used_in_implementation" -value "0" -objects $file_obj + +set file "openofdm_rx.v" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "synthesis simulation" -objects $file_obj +set_property -name "used_in_implementation" -value "0" -objects $file_obj + +set file "running_sum_dual_ch.v" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "synthesis simulation" -objects $file_obj +set_property -name "used_in_implementation" -value "0" -objects $file_obj + +set file "signal_watchdog.v" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "synthesis simulation" -objects $file_obj +set_property -name "used_in_implementation" -value "0" -objects $file_obj + +# Set 'sources_1' fileset file properties for local files + +# Set 'sources_1' fileset properties +set obj [get_filesets sources_1] +set_property -name "top" -value "openofdm_rx" -objects $obj + +# Create 'constrs_1' fileset (if not found) +if {[string equal [get_filesets -quiet constrs_1] ""]} { + create_fileset -constrset constrs_1 +} + +# Set 'constrs_1' fileset object +set obj [get_filesets constrs_1] + +# Empty (no sources present) + + +# Create constraints ! +# Set 'constrs_1' fileset properties +set obj [get_filesets constrs_1] + +# Create runs +# Create 'sim_1' fileset (if not found) +if {[string equal [get_filesets -quiet sim_1] ""]} { + create_fileset -simset sim_1 +} + +# Set 'sim_1' fileset object +set obj [get_filesets sim_1] +set files [list \ + "[file normalize "$origin_dir/verilog/dot11_tb.v"]" +] +add_files -norecurse -fileset $obj $files +# Empty (no sources present) + +# Set 'sim_1' fileset properties +set obj [get_filesets sim_1] +set_property -name "32bit" -value "0" -objects $obj +set_property -name "generic" -value "" -objects $obj +set_property -name "include_dirs" -value "" -objects $obj +set_property -name "incremental" -value "1" -objects $obj +set_property -name "name" -value "sim_1" -objects $obj +set_property -name "nl.cell" -value "" -objects $obj +set_property -name "nl.incl_unisim_models" -value "0" -objects $obj +set_property -name "nl.process_corner" -value "slow" -objects $obj +set_property -name "nl.rename_top" -value "" -objects $obj +set_property -name "nl.sdf_anno" -value "1" -objects $obj +set_property -name "nl.write_all_overrides" -value "0" -objects $obj +set_property -name "source_set" -value "sources_1" -objects $obj +set_property -name "top" -value "dot11_tb" -objects $obj +set_property -name "transport_int_delay" -value "0" -objects $obj +set_property -name "transport_path_delay" -value "0" -objects $obj +set_property -name "verilog_define" -value "" -objects $obj +set_property -name "verilog_uppercase" -value "0" -objects $obj +set_property -name "xelab.dll" -value "0" -objects $obj +set_property -name "xsim.compile.tcl.pre" -value "" -objects $obj +set_property -name "xsim.compile.xvhdl.more_options" -value "" -objects $obj +set_property -name "xsim.compile.xvhdl.nosort" -value "1" -objects $obj +set_property -name "xsim.compile.xvhdl.relax" -value "1" -objects $obj +set_property -name "xsim.compile.xvlog.more_options" -value "" -objects $obj +set_property -name "xsim.compile.xvlog.nosort" -value "1" -objects $obj +set_property -name "xsim.compile.xvlog.relax" -value "1" -objects $obj +set_property -name "xsim.elaborate.debug_level" -value "typical" -objects $obj +set_property -name "xsim.elaborate.load_glbl" -value "1" -objects $obj +set_property -name "xsim.elaborate.mt_level" -value "auto" -objects $obj +set_property -name "xsim.elaborate.rangecheck" -value "0" -objects $obj +set_property -name "xsim.elaborate.relax" -value "1" -objects $obj +set_property -name "xsim.elaborate.sdf_delay" -value "sdfmax" -objects $obj +set_property -name "xsim.elaborate.snapshot" -value "" -objects $obj +set_property -name "xsim.elaborate.xelab.more_options" -value "" -objects $obj +set_property -name "xsim.simulate.custom_tcl" -value "" -objects $obj +set_property -name "xsim.simulate.log_all_signals" -value "0" -objects $obj +set_property -name "xsim.simulate.runtime" -value "1000ns" -objects $obj +set_property -name "xsim.simulate.saif" -value "" -objects $obj +set_property -name "xsim.simulate.saif_all_signals" -value "0" -objects $obj +set_property -name "xsim.simulate.saif_scope" -value "" -objects $obj +set_property -name "xsim.simulate.tcl.post" -value "" -objects $obj +set_property -name "xsim.simulate.wdb" -value "" -objects $obj +set_property -name "xsim.simulate.xsim.more_options" -value "" -objects $obj + +# Create 'synth_1' run (if not found) +if {[string equal [get_runs -quiet synth_1] ""]} { + create_run -name synth_1 -part xc7z045ffg900-2 -flow {Vivado Synthesis 2018} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1 +} else { + set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] + set_property flow "Vivado Synthesis 2018" [get_runs synth_1] +} +set obj [get_runs synth_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Synthesis Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'synth_1_synth_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } { + create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1 +} +set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.pblocks" -value "" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.slr" -value "0" -objects $obj +set_property -name "options.packthru" -value "0" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.hierarchical_percentages" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +set obj [get_runs synth_1] +set_property -name "constrset" -value "constrs_1" -objects $obj +set_property -name "description" -value "Vivado Synthesis Defaults" -objects $obj +set_property -name "flow" -value "Vivado Synthesis 2018" -objects $obj +set_property -name "name" -value "synth_1" -objects $obj +set_property -name "needs_refresh" -value "0" -objects $obj +set_property -name "srcset" -value "sources_1" -objects $obj +# set_property -name "incremental_checkpoint" -value "" -objects $obj +set_property -name "include_in_archive" -value "1" -objects $obj +set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj +set_property -name "steps.synth_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.synth_design.tcl.post" -value "" -objects $obj +set_property -name "steps.synth_design.args.flatten_hierarchy" -value "rebuilt" -objects $obj +set_property -name "steps.synth_design.args.gated_clock_conversion" -value "off" -objects $obj +set_property -name "steps.synth_design.args.bufg" -value "12" -objects $obj +set_property -name "steps.synth_design.args.fanout_limit" -value "10000" -objects $obj +set_property -name "steps.synth_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.synth_design.args.retiming" -value "0" -objects $obj +set_property -name "steps.synth_design.args.fsm_extraction" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.keep_equivalent_registers" -value "0" -objects $obj +set_property -name "steps.synth_design.args.resource_sharing" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.control_set_opt_threshold" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.no_lc" -value "0" -objects $obj +set_property -name "steps.synth_design.args.no_srlextract" -value "0" -objects $obj +set_property -name "steps.synth_design.args.shreg_min_size" -value "3" -objects $obj +set_property -name "steps.synth_design.args.max_bram" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_uram" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_dsp" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_bram_cascade_height" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_uram_cascade_height" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.cascade_dsp" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.assert" -value "0" -objects $obj +set_property -name "steps.synth_design.args.more options" -value "" -objects $obj + +# set the current synth run +current_run -synthesis [get_runs synth_1] + +# Create 'impl_1' run (if not found) +if {[string equal [get_runs -quiet impl_1] ""]} { + create_run -name impl_1 -part xc7z045ffg900-2 -flow {Vivado Implementation 2018} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1 +} else { + set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] + set_property flow "Vivado Implementation 2018" [get_runs impl_1] +} +set obj [get_runs impl_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Implementation Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'impl_1_init_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_opt_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } { + create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.upgrade_cw" -value "0" -objects $obj +set_property -name "options.checks" -value "" -objects $obj +set_property -name "options.ruledecks" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_io_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } { + create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } { + create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.pblocks" -value "" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.slr" -value "0" -objects $obj +set_property -name "options.packthru" -value "0" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.hierarchical_percentages" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_control_sets_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } { + create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.verbose" -value "1" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_1' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } { + create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.upgrade_cw" -value "0" -objects $obj +set_property -name "options.checks" -value "" -objects $obj +set_property -name "options.ruledecks" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_methodology_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } { + create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.checks" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_power_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } { + create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.advisory" -value "0" -objects $obj +set_property -name "options.xpe" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_route_status_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } { + create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.of_objects" -value "" -objects $obj +set_property -name "options.route_type" -value "" -objects $obj +set_property -name "options.list_all_nets" -value "0" -objects $obj +set_property -name "options.show_all" -value "0" -objects $obj +set_property -name "options.has_routing" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_clock_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } { + create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.write_xdc" -value "0" -objects $obj +set_property -name "options.clock_roots_only" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "1" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +set obj [get_runs impl_1] +set_property -name "constrset" -value "constrs_1" -objects $obj +set_property -name "description" -value "Default settings for Implementation." -objects $obj +set_property -name "flow" -value "Vivado Implementation 2018" -objects $obj +set_property -name "name" -value "impl_1" -objects $obj +set_property -name "needs_refresh" -value "0" -objects $obj +set_property -name "pr_configuration" -value "" -objects $obj +set_property -name "srcset" -value "sources_1" -objects $obj +# set_property -name "incremental_checkpoint" -value "" -objects $obj +set_property -name "include_in_archive" -value "1" -objects $obj +set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj +set_property -name "steps.opt_design.is_enabled" -value "1" -objects $obj +set_property -name "steps.opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.opt_design.args.verbose" -value "0" -objects $obj +set_property -name "steps.opt_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.power_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.power_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.power_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.power_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.place_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.place_design.tcl.post" -value "" -objects $obj +set_property -name "steps.place_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.place_design.args.more options" -value "" -objects $obj +set_property -name "steps.post_place_power_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.post_place_power_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.post_place_power_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.post_place_power_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.phys_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.phys_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.phys_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.phys_opt_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.phys_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.route_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.route_design.tcl.post" -value "" -objects $obj +set_property -name "steps.route_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.route_design.args.more options" -value "" -objects $obj +set_property -name "steps.post_route_phys_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.post_route_phys_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.post_route_phys_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.post_route_phys_opt_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.post_route_phys_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.write_bitstream.tcl.pre" -value "" -objects $obj +set_property -name "steps.write_bitstream.tcl.post" -value "" -objects $obj +set_property -name "steps.write_bitstream.args.raw_bitfile" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.mask_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.no_binary_bitfile" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.bin_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.logic_location_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.more options" -value "" -objects $obj + +# set the current impl run +current_run -implementation [get_runs impl_1] + +puts "INFO: Project created:$project_name" diff --git a/openofdm_rx_side_ch_sim_ultra_scale.tcl b/openofdm_rx_side_ch_sim_ultra_scale.tcl index bf74ada..d489238 100644 --- a/openofdm_rx_side_ch_sim_ultra_scale.tcl +++ b/openofdm_rx_side_ch_sim_ultra_scale.tcl @@ -13,6 +13,13 @@ # #***************************************************************************************** +# -----------generate openofdm_rx_git_rev.v--------------- +set fd [open "./verilog/openofdm_rx_git_rev.v" w] +set HASHCODE [exec ./get_git_rev.sh] +puts $fd "`define OPENOFDM_RX_GIT_REV (32'h$HASHCODE)" +close $fd +# ----end of generate openofdm_rx_git_rev.v--------------- + # Set the reference directory for source file relative paths (by default the value is script directory path) set origin_dir [file dirname [info script]] @@ -23,6 +30,7 @@ if { [info exists ::origin_dir_loc] } { # Set the project name set project_name "openofdm_rx_side_ch_sim_ultra_scale" +exec rm -rf $project_name # Use project name variable, if specified in the tcl shell if { [info exists ::user_project_name] } { diff --git a/openofdm_rx_ultra_scale.tcl b/openofdm_rx_ultra_scale.tcl index 8f15d1d..68d9761 100644 --- a/openofdm_rx_ultra_scale.tcl +++ b/openofdm_rx_ultra_scale.tcl @@ -1,758 +1,777 @@ -#***************************************************************************************** -# -# By xianjun.jiao@imec.be; wei.liu@imec.be -# -# This file contains the Vivado Tcl commands for re-creating the project to the state* -# when this script was generated. In order to re-create the project, please source this -# file in the Vivado Tcl Shell. -# -# * Note that the runs in the created project will be configured the same way as the -# original project, however they will not be launched automatically. To regenerate the -# run results please launch the synthesis/implementation runs as needed. -# -# -#***************************************************************************************** - -# Set the reference directory for source file relative paths (by default the value is script directory path) -set origin_dir [file dirname [info script]] - -# Use origin directory path location variable, if specified in the tcl shell -if { [info exists ::origin_dir_loc] } { - set origin_dir $::origin_dir_loc -} - -# Set the project name -set project_name "openofdm_rx_ultra_scale" - -# Use project name variable, if specified in the tcl shell -if { [info exists ::user_project_name] } { - set project_name $::user_project_name -} - -variable script_file -set script_file "openofdm_rx_ultra_scale.tcl" - -# Help information for this script -proc help {} { - variable script_file - puts "\nDescription:" - puts "Recreate a Vivado project from this script. The created project will be" - puts "functionally equivalent to the original project for which this script was" - puts "generated. The script contains commands for creating a project, filesets," - puts "runs, adding/importing sources and setting properties on various objects.\n" - puts "Syntax:" - puts "$script_file" - puts "$script_file -tclargs \[--origin_dir \]" - puts "$script_file -tclargs \[--project_name \]" - puts "$script_file -tclargs \[--help\]\n" - puts "Usage:" - puts "Name Description" - puts "-------------------------------------------------------------------------" - puts "\[--origin_dir \] Determine source file paths wrt this path. Default" - puts " origin_dir path value is \".\", otherwise, the value" - puts " that was set with the \"-paths_relative_to\" switch" - puts " when this script was generated.\n" - puts "\[--project_name \] Create project with the specified name. Default" - puts " name is the name of the project from where this" - puts " script was generated.\n" - puts "\[--help\] Print help information for this script" - puts "-------------------------------------------------------------------------\n" - exit 0 -} - -if { $::argc > 0 } { - for {set i 0} {$i < [llength $::argc]} {incr i} { - set option [string trim [lindex $::argv $i]] - switch -regexp -- $option { - "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } - "--project_name" { incr i; set project_name [lindex $::argv $i] } - "--help" { help } - default { - if { [regexp {^-} $option] } { - puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" - return 1 - } - } - } - } -} - -# Set the directory path for the original project from where this script was exported -set src_dir "[file normalize "$origin_dir/verilog"]" - -# Create project -create_project ${project_name} ./${project_name} -part xczu9eg-ffvb1156-2-e - -# Set the directory path for the new project -set proj_dir [get_property directory [current_project]] - -# Reconstruct message rules -# None - -# Set project properties -set obj [current_project] -set_property -name "board_connections" -value "" -objects $obj -set_property -name "board_part" -value "xilinx.com:zcu102:part0:3.1" -objects $obj -set_property -name "compxlib.activehdl_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/activehdl" -objects $obj -set_property -name "compxlib.funcsim" -value "1" -objects $obj -set_property -name "compxlib.ies_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/ies" -objects $obj -set_property -name "compxlib.modelsim_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/modelsim" -objects $obj -set_property -name "compxlib.overwrite_libs" -value "0" -objects $obj -set_property -name "compxlib.questa_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/questa" -objects $obj -set_property -name "compxlib.riviera_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/riviera" -objects $obj -set_property -name "compxlib.timesim" -value "1" -objects $obj -set_property -name "compxlib.vcs_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/vcs" -objects $obj -set_property -name "compxlib.xsim_compiled_library_dir" -value "" -objects $obj -set_property -name "corecontainer.enable" -value "0" -objects $obj -set_property -name "default_lib" -value "xil_defaultlib" -objects $obj -set_property -name "dsa.num_compute_units" -value "60" -objects $obj -set_property -name "dsa.rom.debug_type" -value "0" -objects $obj -set_property -name "dsa.rom.prom_type" -value "0" -objects $obj -set_property -name "enable_optional_runs_sta" -value "0" -objects $obj -set_property -name "generate_ip_upgrade_log" -value "1" -objects $obj -set_property -name "ip_cache_permissions" -value "read write" -objects $obj -set_property -name "ip_interface_inference_priority" -value "" -objects $obj -set_property -name "ip_output_repo" -value "$proj_dir/${project_name}.cache/ip" -objects $obj -set_property -name "project_type" -value "Default" -objects $obj -set_property -name "pr_flow" -value "0" -objects $obj -set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj -set_property -name "sim.use_ip_compiled_libs" -value "1" -objects $obj -set_property -name "simulator_language" -value "Mixed" -objects $obj -set_property -name "source_mgmt_mode" -value "All" -objects $obj -set_property -name "target_language" -value "Verilog" -objects $obj -set_property -name "target_simulator" -value "XSim" -objects $obj -set_property -name "xpm_libraries" -value "XPM_MEMORY" -objects $obj -set_property -name "xsim.array_display_limit" -value "1024" -objects $obj -set_property -name "xsim.radix" -value "hex" -objects $obj -set_property -name "xsim.time_unit" -value "ns" -objects $obj -set_property -name "xsim.trace_limit" -value "65536" -objects $obj - -# Create 'sources_1' fileset (if not found) -if {[string equal [get_filesets -quiet sources_1] ""]} { - create_fileset -srcset sources_1 -} - -# Set IP repository paths -set obj [get_filesets sources_1] -set_property "ip_repo_paths" "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynquplus"]" $obj - -# Rebuild user ip_repo's index before adding any source files -update_ip_catalog -rebuild - -# Set 'sources_1' fileset object -set obj [get_filesets sources_1] -set files [list \ - "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/complex_multiplier/complex_multiplier.xci"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/atan_lut/atan_lut.xci"]"\ - "[file normalize "$origin_dir/verilog/bits_to_bytes.v"]"\ - "[file normalize "$origin_dir/verilog/calc_mean.v"]"\ - "[file normalize "$origin_dir/verilog/complex_mult.v"]"\ - "[file normalize "$origin_dir/verilog/complex_to_mag.v"]"\ - "[file normalize "$origin_dir/verilog/complex_to_mag_sq.v"]"\ - "[file normalize "$origin_dir/verilog/crc32.v"]"\ - "[file normalize "$origin_dir/verilog/deinterleave.v"]"\ - "[file normalize "$origin_dir/verilog/delayT.v"]"\ - "[file normalize "$origin_dir/verilog/delay_sample.v"]"\ - "[file normalize "$origin_dir/verilog/common_defs.v"]"\ - "[file normalize "$origin_dir/verilog/demodulate.v"]"\ - "[file normalize "$origin_dir/verilog/descramble.v"]"\ - "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen.v"]"\ - "[file normalize "$origin_dir/verilog/divider.v"]"\ - "[file normalize "$origin_dir/verilog/dot11.v"]"\ - "[file normalize "$origin_dir/verilog/equalizer.v"]"\ - "[file normalize "$origin_dir/verilog/ht_sig_crc.v"]"\ - "[file normalize "$origin_dir/verilog/moving_avg.v"]"\ - "[file normalize "$origin_dir/verilog/ofdm_decoder.v"]"\ - "[file normalize "$origin_dir/verilog/openofdm_rx_s_axi.v"]"\ - "[file normalize "$origin_dir/verilog/phase.v"]"\ - "[file normalize "$origin_dir/verilog/usrp2/ram_2port.v"]"\ - "[file normalize "$origin_dir/verilog/rotate.v"]"\ - "[file normalize "$origin_dir/verilog/stage_mult.v"]"\ - "[file normalize "$origin_dir/verilog/sync_long.v"]"\ - "[file normalize "$origin_dir/verilog/sync_short.v"]"\ - "[file normalize "$origin_dir/verilog/openofdm_rx.v"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/deinter_lut/deinter_lut.coe"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/atan_lut/atan_lut.coe"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/rot_lut/rot_lut.coe"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/viterbi/viterbi_v7_0.xci"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/deinter_lut/deinter_lut.xci"]"\ - "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen_div_gen_0_0/div_gen_div_gen_0_0.xci"]"\ - "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen_xlslice_0_0/div_gen_xlslice_0_0.xci"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/xfft/xfft_v9.xci"]"\ - "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/rot_lut/rot_lut.xci"]"\ -] -# If you want to make a copy of the file to new src folder, use following command -# set imported_files [import_files -fileset sources_1 $files] -# If you want to keep the files remote, use the following command -# set added_files [add_files -fileset sources_1 $files] -add_files -norecurse -fileset $obj $files - -# #Set 'sources_1' fileset file properties for remote files -#set file "$origin_dir/verilog/coregen/div_gen_v3_0.ngc" -#set file [file normalize $file] -#set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] -#set_property -name "file_type" -value "NGC" -objects $file_obj - -set file "openofdm_rx_s_axi.v" -set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] -set_property -name "used_in" -value "synthesis simulation" -objects $file_obj -set_property -name "used_in_implementation" -value "0" -objects $file_obj - -set file "openofdm_rx.v" -set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] -set_property -name "used_in" -value "synthesis simulation" -objects $file_obj -set_property -name "used_in_implementation" -value "0" -objects $file_obj - - -# Set 'sources_1' fileset file properties for local files - -# Set 'sources_1' fileset properties -set obj [get_filesets sources_1] -set_property -name "top" -value "openofdm_rx" -objects $obj - -# Create 'constrs_1' fileset (if not found) -if {[string equal [get_filesets -quiet constrs_1] ""]} { - create_fileset -constrset constrs_1 -} - -# Set 'constrs_1' fileset object -set obj [get_filesets constrs_1] - -# Empty (no sources present) - - -# Create constraints ! -# Set 'constrs_1' fileset properties -set obj [get_filesets constrs_1] - -# Create runs -# Create 'sim_1' fileset (if not found) -if {[string equal [get_filesets -quiet sim_1] ""]} { - create_fileset -simset sim_1 -} - -# Set 'sim_1' fileset object -set obj [get_filesets sim_1] -set files [list \ - "[file normalize "$origin_dir/verilog/dot11_tb.v"]" -] -add_files -norecurse -fileset $obj $files -# Empty (no sources present) - -# Set 'sim_1' fileset properties -set obj [get_filesets sim_1] -set_property -name "32bit" -value "0" -objects $obj -set_property -name "generic" -value "" -objects $obj -set_property -name "include_dirs" -value "" -objects $obj -set_property -name "incremental" -value "1" -objects $obj -set_property -name "name" -value "sim_1" -objects $obj -set_property -name "nl.cell" -value "" -objects $obj -set_property -name "nl.incl_unisim_models" -value "0" -objects $obj -set_property -name "nl.process_corner" -value "slow" -objects $obj -set_property -name "nl.rename_top" -value "" -objects $obj -set_property -name "nl.sdf_anno" -value "1" -objects $obj -set_property -name "nl.write_all_overrides" -value "0" -objects $obj -set_property -name "source_set" -value "sources_1" -objects $obj -set_property -name "top" -value "dot11_tb" -objects $obj -set_property -name "transport_int_delay" -value "0" -objects $obj -set_property -name "transport_path_delay" -value "0" -objects $obj -set_property -name "verilog_define" -value "" -objects $obj -set_property -name "verilog_uppercase" -value "0" -objects $obj -set_property -name "xelab.dll" -value "0" -objects $obj -set_property -name "xsim.compile.tcl.pre" -value "" -objects $obj -set_property -name "xsim.compile.xvhdl.more_options" -value "" -objects $obj -set_property -name "xsim.compile.xvhdl.nosort" -value "1" -objects $obj -set_property -name "xsim.compile.xvhdl.relax" -value "1" -objects $obj -set_property -name "xsim.compile.xvlog.more_options" -value "" -objects $obj -set_property -name "xsim.compile.xvlog.nosort" -value "1" -objects $obj -set_property -name "xsim.compile.xvlog.relax" -value "1" -objects $obj -set_property -name "xsim.elaborate.debug_level" -value "typical" -objects $obj -set_property -name "xsim.elaborate.load_glbl" -value "1" -objects $obj -set_property -name "xsim.elaborate.mt_level" -value "auto" -objects $obj -set_property -name "xsim.elaborate.rangecheck" -value "0" -objects $obj -set_property -name "xsim.elaborate.relax" -value "1" -objects $obj -set_property -name "xsim.elaborate.sdf_delay" -value "sdfmax" -objects $obj -set_property -name "xsim.elaborate.snapshot" -value "" -objects $obj -set_property -name "xsim.elaborate.xelab.more_options" -value "" -objects $obj -set_property -name "xsim.simulate.custom_tcl" -value "" -objects $obj -set_property -name "xsim.simulate.log_all_signals" -value "0" -objects $obj -set_property -name "xsim.simulate.runtime" -value "1000ns" -objects $obj -set_property -name "xsim.simulate.saif" -value "" -objects $obj -set_property -name "xsim.simulate.saif_all_signals" -value "0" -objects $obj -set_property -name "xsim.simulate.saif_scope" -value "" -objects $obj -set_property -name "xsim.simulate.tcl.post" -value "" -objects $obj -set_property -name "xsim.simulate.wdb" -value "" -objects $obj -set_property -name "xsim.simulate.xsim.more_options" -value "" -objects $obj - -# Create 'synth_1' run (if not found) -if {[string equal [get_runs -quiet synth_1] ""]} { - create_run -name synth_1 -part xczu9eg-ffvb1156-2-e -flow {Vivado Synthesis 2018} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1 -} else { - set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] - set_property flow "Vivado Synthesis 2018" [get_runs synth_1] -} -set obj [get_runs synth_1] -set_property set_report_strategy_name 1 $obj -set_property report_strategy {Vivado Synthesis Default Reports} $obj -set_property set_report_strategy_name 0 $obj -# Create 'synth_1_synth_report_utilization_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } { - create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1 -} -set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.pblocks" -value "" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.slr" -value "0" -objects $obj -set_property -name "options.packthru" -value "0" -objects $obj -set_property -name "options.hierarchical" -value "0" -objects $obj -set_property -name "options.hierarchical_depth" -value "" -objects $obj -set_property -name "options.hierarchical_percentages" -value "0" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -set obj [get_runs synth_1] -set_property -name "constrset" -value "constrs_1" -objects $obj -set_property -name "description" -value "Vivado Synthesis Defaults" -objects $obj -set_property -name "flow" -value "Vivado Synthesis 2018" -objects $obj -set_property -name "name" -value "synth_1" -objects $obj -set_property -name "needs_refresh" -value "0" -objects $obj -set_property -name "srcset" -value "sources_1" -objects $obj -# set_property -name "incremental_checkpoint" -value "" -objects $obj -set_property -name "include_in_archive" -value "1" -objects $obj -set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj -set_property -name "steps.synth_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.synth_design.tcl.post" -value "" -objects $obj -set_property -name "steps.synth_design.args.flatten_hierarchy" -value "rebuilt" -objects $obj -set_property -name "steps.synth_design.args.gated_clock_conversion" -value "off" -objects $obj -set_property -name "steps.synth_design.args.bufg" -value "12" -objects $obj -set_property -name "steps.synth_design.args.fanout_limit" -value "10000" -objects $obj -set_property -name "steps.synth_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.synth_design.args.retiming" -value "0" -objects $obj -set_property -name "steps.synth_design.args.fsm_extraction" -value "auto" -objects $obj -set_property -name "steps.synth_design.args.keep_equivalent_registers" -value "0" -objects $obj -set_property -name "steps.synth_design.args.resource_sharing" -value "auto" -objects $obj -set_property -name "steps.synth_design.args.control_set_opt_threshold" -value "auto" -objects $obj -set_property -name "steps.synth_design.args.no_lc" -value "0" -objects $obj -set_property -name "steps.synth_design.args.no_srlextract" -value "0" -objects $obj -set_property -name "steps.synth_design.args.shreg_min_size" -value "3" -objects $obj -set_property -name "steps.synth_design.args.max_bram" -value "-1" -objects $obj -set_property -name "steps.synth_design.args.max_uram" -value "-1" -objects $obj -set_property -name "steps.synth_design.args.max_dsp" -value "-1" -objects $obj -set_property -name "steps.synth_design.args.max_bram_cascade_height" -value "-1" -objects $obj -set_property -name "steps.synth_design.args.max_uram_cascade_height" -value "-1" -objects $obj -set_property -name "steps.synth_design.args.cascade_dsp" -value "auto" -objects $obj -set_property -name "steps.synth_design.args.assert" -value "0" -objects $obj -set_property -name "steps.synth_design.args.more options" -value "" -objects $obj - -# set the current synth run -current_run -synthesis [get_runs synth_1] - -# Create 'impl_1' run (if not found) -if {[string equal [get_runs -quiet impl_1] ""]} { - create_run -name impl_1 -part xczu9eg-ffvb1156-2-e -flow {Vivado Implementation 2018} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1 -} else { - set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] - set_property flow "Vivado Implementation 2018" [get_runs impl_1] -} -set obj [get_runs impl_1] -set_property set_report_strategy_name 1 $obj -set_property report_strategy {Vivado Implementation Default Reports} $obj -set_property set_report_strategy_name 0 $obj -# Create 'impl_1_init_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_opt_report_drc_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } { - create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.upgrade_cw" -value "0" -objects $obj -set_property -name "options.checks" -value "" -objects $obj -set_property -name "options.ruledecks" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_opt_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_io_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } { - create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_utilization_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } { - create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.pblocks" -value "" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.slr" -value "0" -objects $obj -set_property -name "options.packthru" -value "0" -objects $obj -set_property -name "options.hierarchical" -value "0" -objects $obj -set_property -name "options.hierarchical_depth" -value "" -objects $obj -set_property -name "options.hierarchical_percentages" -value "0" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_control_sets_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } { - create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.verbose" -value "1" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_incremental_reuse_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } { - create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.hierarchical" -value "0" -objects $obj -set_property -name "options.hierarchical_depth" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_incremental_reuse_1' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } { - create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.hierarchical" -value "0" -objects $obj -set_property -name "options.hierarchical_depth" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_place_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "0" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_drc_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } { - create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.upgrade_cw" -value "0" -objects $obj -set_property -name "options.checks" -value "" -objects $obj -set_property -name "options.ruledecks" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_methodology_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } { - create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.checks" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_power_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } { - create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.advisory" -value "0" -objects $obj -set_property -name "options.xpe" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_route_status_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } { - create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.of_objects" -value "" -objects $obj -set_property -name "options.route_type" -value "" -objects $obj -set_property -name "options.list_all_nets" -value "0" -objects $obj -set_property -name "options.show_all" -value "0" -objects $obj -set_property -name "options.has_routing" -value "0" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "0" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_incremental_reuse_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } { - create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.cells" -value "" -objects $obj -set_property -name "options.hierarchical" -value "0" -objects $obj -set_property -name "options.hierarchical_depth" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_route_report_clock_utilization_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } { - create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.write_xdc" -value "0" -objects $obj -set_property -name "options.clock_roots_only" -value "0" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found) -if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } { - create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1 -} -set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] -if { $obj != "" } { -set_property -name "is_enabled" -value "1" -objects $obj -set_property -name "options.check_timing_verbose" -value "0" -objects $obj -set_property -name "options.delay_type" -value "" -objects $obj -set_property -name "options.setup" -value "0" -objects $obj -set_property -name "options.hold" -value "0" -objects $obj -set_property -name "options.max_paths" -value "10" -objects $obj -set_property -name "options.nworst" -value "" -objects $obj -set_property -name "options.unique_pins" -value "0" -objects $obj -set_property -name "options.path_type" -value "" -objects $obj -set_property -name "options.slack_lesser_than" -value "" -objects $obj -set_property -name "options.report_unconstrained" -value "0" -objects $obj -set_property -name "options.warn_on_violation" -value "1" -objects $obj -set_property -name "options.significant_digits" -value "" -objects $obj -set_property -name "options.cell" -value "" -objects $obj -set_property -name "options.more_options" -value "" -objects $obj - -} -set obj [get_runs impl_1] -set_property -name "constrset" -value "constrs_1" -objects $obj -set_property -name "description" -value "Default settings for Implementation." -objects $obj -set_property -name "flow" -value "Vivado Implementation 2018" -objects $obj -set_property -name "name" -value "impl_1" -objects $obj -set_property -name "needs_refresh" -value "0" -objects $obj -set_property -name "pr_configuration" -value "" -objects $obj -set_property -name "srcset" -value "sources_1" -objects $obj -# set_property -name "incremental_checkpoint" -value "" -objects $obj -set_property -name "include_in_archive" -value "1" -objects $obj -set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj -set_property -name "steps.opt_design.is_enabled" -value "1" -objects $obj -set_property -name "steps.opt_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.opt_design.tcl.post" -value "" -objects $obj -set_property -name "steps.opt_design.args.verbose" -value "0" -objects $obj -set_property -name "steps.opt_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.opt_design.args.more options" -value "" -objects $obj -set_property -name "steps.power_opt_design.is_enabled" -value "0" -objects $obj -set_property -name "steps.power_opt_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.power_opt_design.tcl.post" -value "" -objects $obj -set_property -name "steps.power_opt_design.args.more options" -value "" -objects $obj -set_property -name "steps.place_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.place_design.tcl.post" -value "" -objects $obj -set_property -name "steps.place_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.place_design.args.more options" -value "" -objects $obj -set_property -name "steps.post_place_power_opt_design.is_enabled" -value "0" -objects $obj -set_property -name "steps.post_place_power_opt_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.post_place_power_opt_design.tcl.post" -value "" -objects $obj -set_property -name "steps.post_place_power_opt_design.args.more options" -value "" -objects $obj -set_property -name "steps.phys_opt_design.is_enabled" -value "0" -objects $obj -set_property -name "steps.phys_opt_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.phys_opt_design.tcl.post" -value "" -objects $obj -set_property -name "steps.phys_opt_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.phys_opt_design.args.more options" -value "" -objects $obj -set_property -name "steps.route_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.route_design.tcl.post" -value "" -objects $obj -set_property -name "steps.route_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.route_design.args.more options" -value "" -objects $obj -set_property -name "steps.post_route_phys_opt_design.is_enabled" -value "0" -objects $obj -set_property -name "steps.post_route_phys_opt_design.tcl.pre" -value "" -objects $obj -set_property -name "steps.post_route_phys_opt_design.tcl.post" -value "" -objects $obj -set_property -name "steps.post_route_phys_opt_design.args.directive" -value "Default" -objects $obj -set_property -name "steps.post_route_phys_opt_design.args.more options" -value "" -objects $obj -set_property -name "steps.write_bitstream.tcl.pre" -value "" -objects $obj -set_property -name "steps.write_bitstream.tcl.post" -value "" -objects $obj -set_property -name "steps.write_bitstream.args.raw_bitfile" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.mask_file" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.no_binary_bitfile" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.bin_file" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.logic_location_file" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj -set_property -name "steps.write_bitstream.args.more options" -value "" -objects $obj - -# set the current impl run -current_run -implementation [get_runs impl_1] - -puts "INFO: Project created:$project_name" +#***************************************************************************************** +# +# By xianjun.jiao@imec.be; wei.liu@imec.be +# +# This file contains the Vivado Tcl commands for re-creating the project to the state* +# when this script was generated. In order to re-create the project, please source this +# file in the Vivado Tcl Shell. +# +# * Note that the runs in the created project will be configured the same way as the +# original project, however they will not be launched automatically. To regenerate the +# run results please launch the synthesis/implementation runs as needed. +# +# +#***************************************************************************************** + +# -----------generate openofdm_rx_git_rev.v--------------- +set fd [open "./verilog/openofdm_rx_git_rev.v" w] +set HASHCODE [exec ./get_git_rev.sh] +puts $fd "`define OPENOFDM_RX_GIT_REV (32'h$HASHCODE)" +close $fd +# ----end of generate openofdm_rx_git_rev.v--------------- + +# Set the reference directory for source file relative paths (by default the value is script directory path) +set origin_dir [file dirname [info script]] + +# Use origin directory path location variable, if specified in the tcl shell +if { [info exists ::origin_dir_loc] } { + set origin_dir $::origin_dir_loc +} + +# Set the project name +set project_name "openofdm_rx_ultra_scale" +exec rm -rf $project_name + +# Use project name variable, if specified in the tcl shell +if { [info exists ::user_project_name] } { + set project_name $::user_project_name +} + +variable script_file +set script_file "openofdm_rx_ultra_scale.tcl" + +# Help information for this script +proc help {} { + variable script_file + puts "\nDescription:" + puts "Recreate a Vivado project from this script. The created project will be" + puts "functionally equivalent to the original project for which this script was" + puts "generated. The script contains commands for creating a project, filesets," + puts "runs, adding/importing sources and setting properties on various objects.\n" + puts "Syntax:" + puts "$script_file" + puts "$script_file -tclargs \[--origin_dir \]" + puts "$script_file -tclargs \[--project_name \]" + puts "$script_file -tclargs \[--help\]\n" + puts "Usage:" + puts "Name Description" + puts "-------------------------------------------------------------------------" + puts "\[--origin_dir \] Determine source file paths wrt this path. Default" + puts " origin_dir path value is \".\", otherwise, the value" + puts " that was set with the \"-paths_relative_to\" switch" + puts " when this script was generated.\n" + puts "\[--project_name \] Create project with the specified name. Default" + puts " name is the name of the project from where this" + puts " script was generated.\n" + puts "\[--help\] Print help information for this script" + puts "-------------------------------------------------------------------------\n" + exit 0 +} + +if { $::argc > 0 } { + for {set i 0} {$i < [llength $::argc]} {incr i} { + set option [string trim [lindex $::argv $i]] + switch -regexp -- $option { + "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } + "--project_name" { incr i; set project_name [lindex $::argv $i] } + "--help" { help } + default { + if { [regexp {^-} $option] } { + puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" + return 1 + } + } + } + } +} + +# Set the directory path for the original project from where this script was exported +set src_dir "[file normalize "$origin_dir/verilog"]" + +# Create project +create_project ${project_name} ./${project_name} -part xczu9eg-ffvb1156-2-e + +# Set the directory path for the new project +set proj_dir [get_property directory [current_project]] + +# Reconstruct message rules +# None + +# Set project properties +set obj [current_project] +set_property -name "board_connections" -value "" -objects $obj +set_property -name "board_part" -value "xilinx.com:zcu102:part0:3.1" -objects $obj +set_property -name "compxlib.activehdl_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/activehdl" -objects $obj +set_property -name "compxlib.funcsim" -value "1" -objects $obj +set_property -name "compxlib.ies_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/ies" -objects $obj +set_property -name "compxlib.modelsim_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/modelsim" -objects $obj +set_property -name "compxlib.overwrite_libs" -value "0" -objects $obj +set_property -name "compxlib.questa_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/questa" -objects $obj +set_property -name "compxlib.riviera_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/riviera" -objects $obj +set_property -name "compxlib.timesim" -value "1" -objects $obj +set_property -name "compxlib.vcs_compiled_library_dir" -value "$proj_dir/${project_name}.cache/compile_simlib/vcs" -objects $obj +set_property -name "compxlib.xsim_compiled_library_dir" -value "" -objects $obj +set_property -name "corecontainer.enable" -value "0" -objects $obj +set_property -name "default_lib" -value "xil_defaultlib" -objects $obj +set_property -name "dsa.num_compute_units" -value "60" -objects $obj +set_property -name "dsa.rom.debug_type" -value "0" -objects $obj +set_property -name "dsa.rom.prom_type" -value "0" -objects $obj +set_property -name "enable_optional_runs_sta" -value "0" -objects $obj +set_property -name "generate_ip_upgrade_log" -value "1" -objects $obj +set_property -name "ip_cache_permissions" -value "read write" -objects $obj +set_property -name "ip_interface_inference_priority" -value "" -objects $obj +set_property -name "ip_output_repo" -value "$proj_dir/${project_name}.cache/ip" -objects $obj +set_property -name "project_type" -value "Default" -objects $obj +set_property -name "pr_flow" -value "0" -objects $obj +set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj +set_property -name "sim.use_ip_compiled_libs" -value "1" -objects $obj +set_property -name "simulator_language" -value "Mixed" -objects $obj +set_property -name "source_mgmt_mode" -value "All" -objects $obj +set_property -name "target_language" -value "Verilog" -objects $obj +set_property -name "target_simulator" -value "XSim" -objects $obj +set_property -name "xpm_libraries" -value "XPM_MEMORY" -objects $obj +set_property -name "xsim.array_display_limit" -value "1024" -objects $obj +set_property -name "xsim.radix" -value "hex" -objects $obj +set_property -name "xsim.time_unit" -value "ns" -objects $obj +set_property -name "xsim.trace_limit" -value "65536" -objects $obj + +# Create 'sources_1' fileset (if not found) +if {[string equal [get_filesets -quiet sources_1] ""]} { + create_fileset -srcset sources_1 +} + +# Set IP repository paths +set obj [get_filesets sources_1] +set_property "ip_repo_paths" "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynquplus"]" $obj + +# Rebuild user ip_repo's index before adding any source files +update_ip_catalog -rebuild + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +set files [list \ + "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/complex_multiplier/complex_multiplier.xci"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/atan_lut/atan_lut.xci"]"\ + "[file normalize "$origin_dir/verilog/bits_to_bytes.v"]"\ + "[file normalize "$origin_dir/verilog/calc_mean.v"]"\ + "[file normalize "$origin_dir/verilog/complex_mult.v"]"\ + "[file normalize "$origin_dir/verilog/complex_to_mag.v"]"\ + "[file normalize "$origin_dir/verilog/complex_to_mag_sq.v"]"\ + "[file normalize "$origin_dir/verilog/crc32.v"]"\ + "[file normalize "$origin_dir/verilog/deinterleave.v"]"\ + "[file normalize "$origin_dir/verilog/delayT.v"]"\ + "[file normalize "$origin_dir/verilog/delay_sample.v"]"\ + "[file normalize "$origin_dir/verilog/common_defs.v"]"\ + "[file normalize "$origin_dir/verilog/demodulate.v"]"\ + "[file normalize "$origin_dir/verilog/descramble.v"]"\ + "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen.v"]"\ + "[file normalize "$origin_dir/verilog/divider.v"]"\ + "[file normalize "$origin_dir/verilog/dot11.v"]"\ + "[file normalize "$origin_dir/verilog/equalizer.v"]"\ + "[file normalize "$origin_dir/verilog/ht_sig_crc.v"]"\ + "[file normalize "$origin_dir/verilog/moving_avg.v"]"\ + "[file normalize "$origin_dir/verilog/ofdm_decoder.v"]"\ + "[file normalize "$origin_dir/verilog/openofdm_rx_s_axi.v"]"\ + "[file normalize "$origin_dir/verilog/phase.v"]"\ + "[file normalize "$origin_dir/verilog/usrp2/ram_2port.v"]"\ + "[file normalize "$origin_dir/verilog/rotate.v"]"\ + "[file normalize "$origin_dir/verilog/stage_mult.v"]"\ + "[file normalize "$origin_dir/verilog/sync_long.v"]"\ + "[file normalize "$origin_dir/verilog/sync_short.v"]"\ + "[file normalize "$origin_dir/verilog/openofdm_rx.v"]"\ + "[file normalize "$origin_dir/verilog/running_sum_dual_ch.v"]"\ + "[file normalize "$origin_dir/verilog/signal_watchdog.v"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/deinter_lut/deinter_lut.coe"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/atan_lut/atan_lut.coe"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/rot_lut/rot_lut.coe"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/viterbi/viterbi_v7_0.xci"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/deinter_lut/deinter_lut.xci"]"\ + "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen_div_gen_0_0/div_gen_div_gen_0_0.xci"]"\ + "[file normalize "$origin_dir/verilog/coregen/div_gen_new_ip_core_zynquplus/src/div_gen_xlslice_0_0/div_gen_xlslice_0_0.xci"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/xfft/xfft_v9.xci"]"\ + "[file normalize "$origin_dir/verilog/Xilinx/zynquplus/rot_lut/rot_lut.xci"]"\ +] +# If you want to make a copy of the file to new src folder, use following command +# set imported_files [import_files -fileset sources_1 $files] +# If you want to keep the files remote, use the following command +# set added_files [add_files -fileset sources_1 $files] +add_files -norecurse -fileset $obj $files + +# #Set 'sources_1' fileset file properties for remote files +#set file "$origin_dir/verilog/coregen/div_gen_v3_0.ngc" +#set file [file normalize $file] +#set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +#set_property -name "file_type" -value "NGC" -objects $file_obj + +set file "openofdm_rx_s_axi.v" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "synthesis simulation" -objects $file_obj +set_property -name "used_in_implementation" -value "0" -objects $file_obj + +set file "openofdm_rx.v" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "synthesis simulation" -objects $file_obj +set_property -name "used_in_implementation" -value "0" -objects $file_obj + +set file "running_sum_dual_ch.v" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "synthesis simulation" -objects $file_obj +set_property -name "used_in_implementation" -value "0" -objects $file_obj + +set file "signal_watchdog.v" +set file_obj [get_files -of_objects [get_filesets sources_1] [list "*$file"]] +set_property -name "used_in" -value "synthesis simulation" -objects $file_obj +set_property -name "used_in_implementation" -value "0" -objects $file_obj + +# Set 'sources_1' fileset file properties for local files + +# Set 'sources_1' fileset properties +set obj [get_filesets sources_1] +set_property -name "top" -value "openofdm_rx" -objects $obj + +# Create 'constrs_1' fileset (if not found) +if {[string equal [get_filesets -quiet constrs_1] ""]} { + create_fileset -constrset constrs_1 +} + +# Set 'constrs_1' fileset object +set obj [get_filesets constrs_1] + +# Empty (no sources present) + + +# Create constraints ! +# Set 'constrs_1' fileset properties +set obj [get_filesets constrs_1] + +# Create runs +# Create 'sim_1' fileset (if not found) +if {[string equal [get_filesets -quiet sim_1] ""]} { + create_fileset -simset sim_1 +} + +# Set 'sim_1' fileset object +set obj [get_filesets sim_1] +set files [list \ + "[file normalize "$origin_dir/verilog/dot11_tb.v"]" +] +add_files -norecurse -fileset $obj $files +# Empty (no sources present) + +# Set 'sim_1' fileset properties +set obj [get_filesets sim_1] +set_property -name "32bit" -value "0" -objects $obj +set_property -name "generic" -value "" -objects $obj +set_property -name "include_dirs" -value "" -objects $obj +set_property -name "incremental" -value "1" -objects $obj +set_property -name "name" -value "sim_1" -objects $obj +set_property -name "nl.cell" -value "" -objects $obj +set_property -name "nl.incl_unisim_models" -value "0" -objects $obj +set_property -name "nl.process_corner" -value "slow" -objects $obj +set_property -name "nl.rename_top" -value "" -objects $obj +set_property -name "nl.sdf_anno" -value "1" -objects $obj +set_property -name "nl.write_all_overrides" -value "0" -objects $obj +set_property -name "source_set" -value "sources_1" -objects $obj +set_property -name "top" -value "dot11_tb" -objects $obj +set_property -name "transport_int_delay" -value "0" -objects $obj +set_property -name "transport_path_delay" -value "0" -objects $obj +set_property -name "verilog_define" -value "" -objects $obj +set_property -name "verilog_uppercase" -value "0" -objects $obj +set_property -name "xelab.dll" -value "0" -objects $obj +set_property -name "xsim.compile.tcl.pre" -value "" -objects $obj +set_property -name "xsim.compile.xvhdl.more_options" -value "" -objects $obj +set_property -name "xsim.compile.xvhdl.nosort" -value "1" -objects $obj +set_property -name "xsim.compile.xvhdl.relax" -value "1" -objects $obj +set_property -name "xsim.compile.xvlog.more_options" -value "" -objects $obj +set_property -name "xsim.compile.xvlog.nosort" -value "1" -objects $obj +set_property -name "xsim.compile.xvlog.relax" -value "1" -objects $obj +set_property -name "xsim.elaborate.debug_level" -value "typical" -objects $obj +set_property -name "xsim.elaborate.load_glbl" -value "1" -objects $obj +set_property -name "xsim.elaborate.mt_level" -value "auto" -objects $obj +set_property -name "xsim.elaborate.rangecheck" -value "0" -objects $obj +set_property -name "xsim.elaborate.relax" -value "1" -objects $obj +set_property -name "xsim.elaborate.sdf_delay" -value "sdfmax" -objects $obj +set_property -name "xsim.elaborate.snapshot" -value "" -objects $obj +set_property -name "xsim.elaborate.xelab.more_options" -value "" -objects $obj +set_property -name "xsim.simulate.custom_tcl" -value "" -objects $obj +set_property -name "xsim.simulate.log_all_signals" -value "0" -objects $obj +set_property -name "xsim.simulate.runtime" -value "1000ns" -objects $obj +set_property -name "xsim.simulate.saif" -value "" -objects $obj +set_property -name "xsim.simulate.saif_all_signals" -value "0" -objects $obj +set_property -name "xsim.simulate.saif_scope" -value "" -objects $obj +set_property -name "xsim.simulate.tcl.post" -value "" -objects $obj +set_property -name "xsim.simulate.wdb" -value "" -objects $obj +set_property -name "xsim.simulate.xsim.more_options" -value "" -objects $obj + +# Create 'synth_1' run (if not found) +if {[string equal [get_runs -quiet synth_1] ""]} { + create_run -name synth_1 -part xczu9eg-ffvb1156-2-e -flow {Vivado Synthesis 2018} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1 +} else { + set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] + set_property flow "Vivado Synthesis 2018" [get_runs synth_1] +} +set obj [get_runs synth_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Synthesis Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'synth_1_synth_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } { + create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1 +} +set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.pblocks" -value "" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.slr" -value "0" -objects $obj +set_property -name "options.packthru" -value "0" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.hierarchical_percentages" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +set obj [get_runs synth_1] +set_property -name "constrset" -value "constrs_1" -objects $obj +set_property -name "description" -value "Vivado Synthesis Defaults" -objects $obj +set_property -name "flow" -value "Vivado Synthesis 2018" -objects $obj +set_property -name "name" -value "synth_1" -objects $obj +set_property -name "needs_refresh" -value "0" -objects $obj +set_property -name "srcset" -value "sources_1" -objects $obj +# set_property -name "incremental_checkpoint" -value "" -objects $obj +set_property -name "include_in_archive" -value "1" -objects $obj +set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj +set_property -name "steps.synth_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.synth_design.tcl.post" -value "" -objects $obj +set_property -name "steps.synth_design.args.flatten_hierarchy" -value "rebuilt" -objects $obj +set_property -name "steps.synth_design.args.gated_clock_conversion" -value "off" -objects $obj +set_property -name "steps.synth_design.args.bufg" -value "12" -objects $obj +set_property -name "steps.synth_design.args.fanout_limit" -value "10000" -objects $obj +set_property -name "steps.synth_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.synth_design.args.retiming" -value "0" -objects $obj +set_property -name "steps.synth_design.args.fsm_extraction" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.keep_equivalent_registers" -value "0" -objects $obj +set_property -name "steps.synth_design.args.resource_sharing" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.control_set_opt_threshold" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.no_lc" -value "0" -objects $obj +set_property -name "steps.synth_design.args.no_srlextract" -value "0" -objects $obj +set_property -name "steps.synth_design.args.shreg_min_size" -value "3" -objects $obj +set_property -name "steps.synth_design.args.max_bram" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_uram" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_dsp" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_bram_cascade_height" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.max_uram_cascade_height" -value "-1" -objects $obj +set_property -name "steps.synth_design.args.cascade_dsp" -value "auto" -objects $obj +set_property -name "steps.synth_design.args.assert" -value "0" -objects $obj +set_property -name "steps.synth_design.args.more options" -value "" -objects $obj + +# set the current synth run +current_run -synthesis [get_runs synth_1] + +# Create 'impl_1' run (if not found) +if {[string equal [get_runs -quiet impl_1] ""]} { + create_run -name impl_1 -part xczu9eg-ffvb1156-2-e -flow {Vivado Implementation 2018} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1 +} else { + set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] + set_property flow "Vivado Implementation 2018" [get_runs impl_1] +} +set obj [get_runs impl_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Implementation Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'impl_1_init_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_opt_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } { + create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.upgrade_cw" -value "0" -objects $obj +set_property -name "options.checks" -value "" -objects $obj +set_property -name "options.ruledecks" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_io_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } { + create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } { + create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.pblocks" -value "" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.slr" -value "0" -objects $obj +set_property -name "options.packthru" -value "0" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.hierarchical_percentages" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_control_sets_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } { + create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.verbose" -value "1" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_1' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_place_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } { + create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.upgrade_cw" -value "0" -objects $obj +set_property -name "options.checks" -value "" -objects $obj +set_property -name "options.ruledecks" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_methodology_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } { + create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.checks" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_power_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } { + create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.advisory" -value "0" -objects $obj +set_property -name "options.xpe" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_route_status_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } { + create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.of_objects" -value "" -objects $obj +set_property -name "options.route_type" -value "" -objects $obj +set_property -name "options.list_all_nets" -value "0" -objects $obj +set_property -name "options.show_all" -value "0" -objects $obj +set_property -name "options.has_routing" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "0" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.cells" -value "" -objects $obj +set_property -name "options.hierarchical" -value "0" -objects $obj +set_property -name "options.hierarchical_depth" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_route_report_clock_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } { + create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.write_xdc" -value "0" -objects $obj +set_property -name "options.clock_roots_only" -value "0" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "1" -objects $obj +set_property -name "options.check_timing_verbose" -value "0" -objects $obj +set_property -name "options.delay_type" -value "" -objects $obj +set_property -name "options.setup" -value "0" -objects $obj +set_property -name "options.hold" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.nworst" -value "" -objects $obj +set_property -name "options.unique_pins" -value "0" -objects $obj +set_property -name "options.path_type" -value "" -objects $obj +set_property -name "options.slack_lesser_than" -value "" -objects $obj +set_property -name "options.report_unconstrained" -value "0" -objects $obj +set_property -name "options.warn_on_violation" -value "1" -objects $obj +set_property -name "options.significant_digits" -value "" -objects $obj +set_property -name "options.cell" -value "" -objects $obj +set_property -name "options.more_options" -value "" -objects $obj + +} +set obj [get_runs impl_1] +set_property -name "constrset" -value "constrs_1" -objects $obj +set_property -name "description" -value "Default settings for Implementation." -objects $obj +set_property -name "flow" -value "Vivado Implementation 2018" -objects $obj +set_property -name "name" -value "impl_1" -objects $obj +set_property -name "needs_refresh" -value "0" -objects $obj +set_property -name "pr_configuration" -value "" -objects $obj +set_property -name "srcset" -value "sources_1" -objects $obj +# set_property -name "incremental_checkpoint" -value "" -objects $obj +set_property -name "include_in_archive" -value "1" -objects $obj +set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj +set_property -name "steps.opt_design.is_enabled" -value "1" -objects $obj +set_property -name "steps.opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.opt_design.args.verbose" -value "0" -objects $obj +set_property -name "steps.opt_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.power_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.power_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.power_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.power_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.place_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.place_design.tcl.post" -value "" -objects $obj +set_property -name "steps.place_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.place_design.args.more options" -value "" -objects $obj +set_property -name "steps.post_place_power_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.post_place_power_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.post_place_power_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.post_place_power_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.phys_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.phys_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.phys_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.phys_opt_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.phys_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.route_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.route_design.tcl.post" -value "" -objects $obj +set_property -name "steps.route_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.route_design.args.more options" -value "" -objects $obj +set_property -name "steps.post_route_phys_opt_design.is_enabled" -value "0" -objects $obj +set_property -name "steps.post_route_phys_opt_design.tcl.pre" -value "" -objects $obj +set_property -name "steps.post_route_phys_opt_design.tcl.post" -value "" -objects $obj +set_property -name "steps.post_route_phys_opt_design.args.directive" -value "Default" -objects $obj +set_property -name "steps.post_route_phys_opt_design.args.more options" -value "" -objects $obj +set_property -name "steps.write_bitstream.tcl.pre" -value "" -objects $obj +set_property -name "steps.write_bitstream.tcl.post" -value "" -objects $obj +set_property -name "steps.write_bitstream.args.raw_bitfile" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.mask_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.no_binary_bitfile" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.bin_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.logic_location_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.more options" -value "" -objects $obj + +# set the current impl run +current_run -implementation [get_runs impl_1] + +puts "INFO: Project created:$project_name" diff --git a/testing_inputs/conducted/fake-demod-0.txt b/testing_inputs/conducted/fake-demod-0.txt new file mode 100644 index 0000000..766e772 --- /dev/null +++ b/testing_inputs/conducted/fake-demod-0.txt @@ -0,0 +1,5461 @@ +768 7248 +560 7360 +656 7680 +560 8224 +800 8096 +-224 7536 +144 8096 +944 7504 +160 7008 +32 7680 +-720 7072 +768 7952 +-992 7216 +-1280 7552 +-944 7920 +-1248 7168 +-576 7728 +-1312 7888 +-1072 7408 +-1520 7584 +-1424 7376 +-1808 8176 +-1504 7104 +-1616 7376 +-1264 7520 +-1616 7280 +-1824 7520 +-2304 7392 +-1920 7728 +-1808 7216 +-2128 7648 +-1696 6992 +-2096 7120 +-2656 7504 +-3344 6816 +-2976 7504 +-2496 7552 +-2864 6896 +-2912 7104 +-2928 7040 +-2656 7360 +-3520 7072 +-3216 7440 +-3168 6304 +-3216 7200 +-3744 7456 +-4064 6304 +-3200 6576 +-3472 6000 +-3984 6464 +-3728 6688 +-3776 6832 +-4128 7552 +-4000 6992 +-4576 6128 +-4192 6880 +-4112 6016 +-4048 6496 +-4176 6176 +-4736 6144 +-4560 6384 +-4288 6304 +-4768 6096 +-4304 5872 +-4720 5728 +-5328 5904 +-4160 5776 +-5040 6176 +-4784 6288 +-4528 5360 +-4400 5424 +-5248 5424 +-5296 5568 +-5072 5760 +-5040 5328 +-4704 5536 +-5552 5232 +-6016 4896 +-6400 5552 +-5200 5728 +-6080 5248 +-6368 5024 +-5552 4784 +-6480 4608 +-5488 5216 +-6336 4560 +-5712 3952 +-5888 4464 +-6640 3808 +-6448 5072 +-6096 4592 +-6128 4064 +-6736 3792 +-7056 3776 +-6944 4080 +-6912 3600 +-6608 4416 +-6528 3408 +-6512 3808 +-7008 4128 +-7104 3488 +-6544 3424 +-6832 2896 +-7072 3264 +-7040 3520 +-6864 2528 +-6560 2880 +-7424 2576 +-6816 2848 +-6320 2592 +-7456 2064 +-7328 2096 +-6992 1840 +-7856 2560 +-7696 1584 +-8000 1920 +-7888 1728 +-7584 1120 +-8192 1696 +-7456 2160 +-7632 1376 +-8352 1088 +-7648 1248 +-7328 2144 +-7216 1312 +-7104 528 +-7760 1488 +-8128 1248 +-7904 1104 +-7744 400 +-7456 464 +-7696 624 +-7808 16 +-7632 48 +-7792 192 +-7424 -32 +-7120 208 +-7360 384 +-7216 160 +-7328 -368 +-7696 -720 +-7856 -672 +-7376 80 +-6976 -144 +-7312 -960 +-7168 -256 +-7840 -320 +-7728 -944 +-7568 -896 +-8576 -512 +-7568 -320 +-7520 -32 +-7376 -1488 +-6896 -1136 +-7376 -848 +-7568 -1184 +-7584 -1104 +-7536 -672 +-7744 -1616 +-7408 -1360 +-7488 -2048 +-7472 -1472 +-7056 -1536 +-7040 -1648 +-7344 -2400 +-7872 -2016 +-7056 -1920 +-7232 -2848 +-7472 -2720 +-6320 -2624 +-6928 -2800 +-6848 -3376 +-6752 -3632 +-7232 -2960 +-6944 -2976 +-7072 -3504 +-6368 -3680 +-6496 -3488 +-6064 -3808 +-7136 -3776 +-7136 -3488 +-6448 -4464 +-6720 -3728 +-5936 -4464 +-6640 -4592 +-6640 -3232 +-6272 -4464 +-6192 -4368 +-6112 -4240 +-5776 -4720 +-5008 -4080 +-5776 -5040 +-5808 -5024 +-5696 -4688 +-6736 -5248 +-6000 -4784 +-5888 -4416 +-6256 -4176 +-5552 -4512 +-6032 -4544 +-5920 -4704 +-5968 -4576 +-6320 -4912 +-5664 -4960 +-5280 -4608 +-4864 -5136 +-5568 -5632 +-5792 -5920 +-5120 -5984 +-5488 -5920 +-4672 -5536 +-5136 -5088 +-5920 -6528 +-4528 -6400 +-4896 -6192 +-4464 -6512 +-5168 -6160 +-4960 -6288 +-4320 -6208 +-4880 -6480 +-4112 -6432 +-3648 -6896 +-4224 -5952 +-4336 -6112 +-4128 -5920 +-4304 -5920 +-3968 -6848 +-3648 -6512 +-3664 -6416 +-3344 -5952 +-4048 -6704 +-3440 -6560 +-3328 -7312 +-4032 -7168 +-4160 -6720 +-3232 -6736 +-3616 -6864 +-3024 -6848 +-2848 -6752 +-2864 -7168 +-1952 -7632 +-2368 -7520 +-2336 -6736 +-2016 -7776 +-1856 -7520 +-1936 -6704 +-2400 -7456 +-2560 -7072 +-1728 -7312 +-1824 -7248 +-1712 -6848 +-2128 -8112 +-1648 -7712 +-1088 -7040 +-2096 -7952 +-1360 -6992 +-1824 -8016 +-1248 -7824 +-1136 -7744 +-1600 -7408 +-1136 -6592 +-1184 -7952 +-1200 -6768 +-736 -7488 +-896 -7360 +-432 -7776 +-288 -7712 +-800 -7616 +-192 -7584 +-432 -7264 +240 -7248 +80 -7648 +-448 -8304 +208 -6960 +224 -7568 +480 -8592 +96 -7920 +256 -7200 +704 -8032 +448 -7552 +176 -6768 +128 -7424 +336 -8096 +1072 -8320 +672 -7040 +400 -7056 +1072 -7712 +400 -7520 +1616 -7776 +1360 -7280 +1024 -7600 +2400 -6960 +1840 -7664 +2064 -7152 +2304 -6688 +2480 -7168 +2256 -7440 +1696 -8080 +1952 -7264 +1520 -7392 +1904 -7728 +2416 -7552 +2368 -6960 +3008 -7392 +3072 -7344 +3328 -7360 +2704 -6560 +2912 -6832 +3616 -6832 +2832 -6544 +3376 -6512 +3264 -6208 +3936 -6704 +3664 -6048 +3824 -6064 +4176 -6816 +4560 -6480 +4352 -5808 +4000 -6080 +4256 -6720 +4768 -6448 +4848 -6352 +5424 -5536 +5184 -5664 +5312 -5520 +5200 -5104 +4848 -5776 +5504 -6160 +5072 -5952 +5376 -5952 +5392 -5632 +5104 -6032 +5712 -6992 +5728 -5296 +5424 -5264 +4832 -5520 +5040 -5552 +5472 -5472 +5920 -4960 +6528 -5648 +5840 -5312 +5312 -5024 +5568 -5232 +5536 -4752 +6368 -4768 +7104 -4608 +6144 -4288 +6272 -4816 +6656 -4944 +6224 -3888 +6224 -4304 +6448 -4080 +7744 -4016 +6480 -5120 +6320 -4192 +6864 -4448 +6272 -4432 +6624 -4192 +6512 -4656 +6688 -4192 +6544 -4624 +6320 -4576 +6576 -4336 +7216 -4128 +6880 -3744 +6800 -3648 +6352 -4032 +6464 -3616 +7328 -3632 +6656 -3504 +7392 -2848 +7408 -3104 +7424 -2800 +6784 -3152 +6816 -2960 +7696 -2512 +6880 -2944 +6864 -2704 +7504 -3200 +7824 -1872 +7136 -2192 +7760 -2400 +7296 -1920 +7040 -2240 +7568 -1952 +6688 -2256 +6896 -1472 +8048 -1872 +7008 -1680 +7456 -1424 +7056 -976 +7472 -1328 +7568 -1552 +7648 -1152 +7488 -768 +8096 -784 +8304 -448 +7904 -592 +7712 -560 +7792 400 +7856 -464 +7120 -288 +7408 -512 +7376 -64 +7200 16 +8320 -192 +7568 -528 +6912 96 +7936 800 +7840 256 +7568 176 +7680 1120 +7184 736 +7984 -96 +7728 592 +7056 240 +7792 368 +7808 960 +7632 608 +6944 928 +7104 1120 +6736 1744 +7152 1184 +7696 384 +7584 1120 +7456 1616 +8096 2128 +7680 1792 +7392 1632 +7200 2256 +7824 2112 +7744 2496 +7040 2784 +6880 2784 +7104 2976 +6992 2944 +7232 2352 +7280 2384 +6576 2320 +6528 2352 +7376 3488 +7120 3712 +6480 2720 +6864 3408 +7584 3232 +6848 3600 +6688 3728 +7152 3392 +6272 3456 +6256 3728 +6640 2960 +7216 3856 +6880 5024 +6736 3712 +5888 4192 +6160 4656 +7120 4800 +7440 4336 +6080 4352 +6688 4224 +5472 3744 +5568 5200 +6416 5312 +5888 5184 +5712 4688 +5024 3824 +6048 5504 +5792 5600 +5200 5520 +5424 4752 +5696 5024 +5536 6032 +5216 5520 +5248 5872 +5440 5072 +4480 5040 +4896 5872 +4992 5936 +4832 6592 +4944 5488 +5360 5824 +4816 6512 +4176 5840 +5024 6256 +3808 6304 +4048 6240 +4320 6432 +3760 6304 +4272 5984 +3760 6752 +3776 6784 +3696 6544 +3904 6672 +3504 7040 +3808 6720 +4352 7120 +3536 7136 +3504 6368 +3856 7200 +3216 6928 +3136 7232 +3344 7120 +2752 7584 +2992 8112 +2640 7168 +3120 7216 +2384 7168 +1696 6544 +2448 7776 +3344 7584 +2784 7856 +1856 7856 +2016 7072 +1824 7584 +1776 7584 +1648 7488 +1856 7104 +2064 7168 +1200 6752 +1248 7408 +1152 7936 +1120 7040 +496 7296 +1088 6960 +560 7552 +1120 7680 +1088 7520 +400 8064 +912 8368 +352 7936 +896 7920 +608 8208 +-32 7808 +-208 7632 +192 7488 +336 8032 +992 7440 +384 7408 +32 7536 +48 6960 +64 7776 +-80 7440 +-1168 7200 +-656 7408 +-1376 7392 +-512 8208 +-816 7232 +-1104 8000 +-816 7184 +-256 7920 +-1168 7904 +-1200 6976 +-1024 7456 +-960 6880 +-928 7584 +-1520 7152 +-1408 7072 +-1952 7264 +-1680 7472 +-1120 7008 +-1680 7264 +-2432 6992 +-2336 7728 +-1760 7984 +-2528 7360 +-3360 7280 +-2528 6960 +-2400 7184 +-2448 7056 +-2336 7744 +-2304 7456 +-3072 7024 +-3808 7760 +-2784 7360 +-3072 7280 +-3328 7360 +-3424 6112 +-3792 6800 +-3472 7088 +-3376 6208 +-3936 6992 +-3936 6304 +-4144 5984 +-3616 7024 +-4592 5888 +-5632 6128 +-4544 6336 +-4528 6560 +-4080 5328 +-5312 5968 +-5152 6160 +-4144 5792 +-4912 6064 +-4368 5392 +-4784 6320 +-5040 5216 +-5024 6144 +-5120 6144 +-5056 5664 +-4976 5232 +-4752 5120 +-5136 5952 +-5200 4896 +-5696 5664 +-6352 5248 +-6016 4688 +-5456 5344 +-5552 5840 +-5824 5120 +-5248 4656 +-6080 4544 +-5248 5008 +-6240 4864 +-6224 4752 +-6256 4320 +-6464 4288 +-5856 4336 +-6512 4096 +-6832 4416 +-6528 3904 +-7024 4416 +-7008 4816 +-6256 4176 +-6288 3936 +-6464 3872 +-6464 3120 +-6656 2816 +-7280 3904 +-6464 3824 +-7408 3056 +-6864 3632 +-6896 3232 +-7744 3344 +-7104 4096 +-7488 3248 +-6368 2752 +-6912 3280 +-7408 2896 +-6800 2192 +-7248 2064 +-7264 2416 +-7280 2592 +-6864 1744 +-6976 1552 +-7088 2592 +-7696 2192 +-6784 1872 +-7792 2160 +-7776 1456 +-7424 1072 +-7520 2304 +-7888 1680 +-7840 2160 +-7088 1552 +-7744 1328 +-8256 1504 +-7920 864 +-8112 1248 +-8112 192 +-7184 912 +-8816 384 +-8192 576 +-6944 480 +-7888 -544 +-7904 480 +-8016 560 +-8112 752 +-7872 480 +-7616 240 +-7872 -352 +-8336 -464 +-7584 -544 +-7872 -288 +-8016 0 +-7872 112 +-7536 -176 +-7808 -384 +-7760 -1424 +-7840 -1056 +-8000 -432 +-7840 -704 +-7968 -1312 +-7136 -1312 +-7888 -1392 +-7584 -1136 +-7552 -1504 +-7344 -2192 +-6912 -2032 +-8304 -1728 +-8208 -2016 +-7184 -2624 +-6928 -2432 +-7152 -2656 +-6368 -2512 +-6816 -3120 +-7168 -2528 +-6560 -2288 +-7008 -3344 +-6992 -3024 +-6736 -2576 +-7280 -3376 +-6800 -3296 +-6976 -2640 +-6848 -3872 +-7456 -2992 +-7792 -2976 +-6976 -4064 +-7248 -2864 +-7136 -3824 +-6576 -4256 +-7616 -3936 +-6800 -3664 +-6960 -3488 +-6704 -4064 +-6704 -4624 +-6496 -4496 +-6656 -4080 +-7168 -4592 +-6720 -5024 +-7168 -4144 +-6816 -4720 +-6336 -4624 +-5840 -3744 +-6144 -4448 +-5744 -4368 +-5888 -4672 +-5312 -4176 +-5728 -5088 +-6496 -5888 +-5952 -4784 +-5600 -5520 +-5344 -5808 +-6048 -6128 +-6544 -5856 +-5872 -5216 +-5600 -5504 +-5840 -5232 +-4624 -5168 +-4896 -4848 +-5168 -5984 +-5168 -5872 +-5008 -5008 +-4096 -5840 +-4176 -6176 +-4640 -5920 +-5296 -6448 +-4704 -6944 +-3760 -6016 +-4592 -6672 +-4400 -7024 +-3664 -6528 +-4112 -6848 +-3568 -6448 +-4160 -6608 +-3744 -7088 +-3808 -6960 +-3584 -7024 +-3632 -6704 +-3056 -6448 +-3664 -7152 +-3888 -7264 +-2592 -7840 +-3552 -7056 +-3280 -6464 +-2960 -6848 +-3648 -6816 +-3568 -7168 +-3936 -6944 +-3440 -7504 +-3376 -7648 +-2960 -7072 +-3056 -6816 +-2464 -7360 +-3152 -6896 +-2960 -6880 +-1888 -7408 +-2560 -7328 +-2320 -7856 +-2352 -7376 +-2080 -7760 +-2608 -7152 +-1872 -7872 +-1728 -7216 +-2352 -7664 +-1056 -6928 +-1568 -6912 +-2064 -8080 +-1264 -7664 +-1952 -8448 +-1392 -7696 +-640 -7648 +-1328 -7856 +-1488 -8176 +-960 -7136 +-928 -8320 +-464 -8464 +-176 -7120 +-912 -8016 +192 -7584 +128 -7472 +-272 -7472 +-160 -8176 +-304 -7632 +-48 -7888 +16 -7440 +544 -7616 +512 -7424 +784 -7376 +848 -8304 +208 -8048 +672 -7936 +640 -7552 +640 -7408 +1456 -6560 +608 -7904 +1216 -8048 +2160 -7376 +1936 -7888 +2096 -6576 +2240 -6784 +2240 -7456 +1392 -7376 +2336 -7216 +2320 -7408 +2128 -7248 +2720 -6688 +2016 -7504 +2416 -7680 +2352 -6912 +1984 -7200 +2560 -7248 +2272 -7104 +2928 -7424 +3008 -7216 +2976 -6640 +2960 -6800 +2848 -6608 +2640 -6832 +3248 -6752 +3792 -7472 +3040 -7648 +2496 -6704 +3648 -6976 +3888 -6752 +2608 -6640 +3056 -6176 +4352 -6352 +3280 -6384 +4224 -6448 +3952 -6768 +3600 -6624 +3696 -5648 +4240 -6144 +4624 -6432 +4368 -6432 +4656 -5936 +4144 -6416 +4224 -6464 +4432 -5728 +5184 -5824 +4752 -5360 +4576 -5312 +4624 -6528 +4928 -5360 +4960 -4416 +4592 -5360 +4880 -6096 +5072 -5888 +4800 -5152 +5456 -5216 +5088 -5632 +4848 -5152 +4880 -4448 +5984 -5056 +6240 -4672 +6224 -5248 +6688 -4768 +5920 -4896 +5488 -5136 +5888 -4608 +6560 -4128 +6512 -4272 +6944 -4528 +6864 -4160 +5648 -5072 +6560 -4256 +6432 -4208 +6032 -4512 +6272 -3792 +6688 -4336 +6496 -3424 +6768 -3792 +6976 -4256 +6176 -3424 +6752 -2944 +7072 -3520 +6928 -2992 +6912 -3376 +7504 -3648 +7152 -3392 +7184 -3296 +7376 -3552 +7200 -3392 +6944 -3056 +8160 -2720 +7696 -2432 +7296 -3056 +7728 -2800 +7232 -2672 +6768 -1984 +6720 -2272 +7584 -2256 +6576 -2272 +7536 -1552 +8080 -1728 +7280 -1376 +7936 -1872 +7360 -1312 +7520 -1040 +7968 -1024 +7376 -560 +8176 -1072 +7712 -896 +7744 -480 +7792 -608 +8032 -992 +8048 32 +7776 16 +7792 -496 +7520 -16 +7744 -480 +7296 -64 +7552 -336 +7392 -304 +7984 464 +7856 288 +8000 -320 +7552 -80 +7792 208 +7504 608 +6560 1056 +7712 1824 +7632 1056 +7584 1200 +7040 1568 +8048 1184 +8448 992 +7472 1280 +7200 1472 +7328 1648 +7104 1296 +7552 2352 +7824 1728 +8112 1984 +7328 1584 +6752 1392 +7392 1712 +7456 2032 +7296 2096 +7424 2064 +7568 2080 +7680 2176 +7200 2336 +7232 1920 +7712 2544 +7696 2400 +7488 2592 +7072 2544 +7728 2384 +6768 2640 +6720 3360 +7376 3072 +7072 2864 +6720 3520 +6704 3488 +6512 3824 +6800 2800 +7616 3344 +7136 3808 +6880 4160 +6640 4672 +6512 3696 +6400 3968 +6432 4032 +6640 4000 +6128 4992 +6320 4704 +6080 4032 +6640 5104 +5584 4800 +5184 4944 +6176 5040 +5360 4400 +5808 4960 +5856 4544 +6048 5056 +5536 5792 +6000 5968 +5584 5952 +4960 4896 +5744 4720 +4736 6032 +5328 5744 +5312 5952 +4768 6208 +5248 5952 +5184 5728 +4992 5792 +5008 5744 +4736 5472 +4512 6304 +4688 5904 +4400 6432 +4064 6224 +4816 6448 +4080 6880 +3744 6224 +4016 6624 +3584 6496 +4112 6512 +4096 6560 +3600 6944 +3360 7504 +3792 6752 +3376 6528 +3312 7024 +3872 6880 +3024 7376 +2576 7344 +3856 6704 +4144 7200 +2384 6096 +2608 7120 +3344 6656 +2128 7584 +2400 7904 +2608 6800 +3120 7440 +2448 7776 +2736 7696 +2640 7456 +2288 7424 +2368 7760 +2000 7488 +2208 7744 +1504 7648 +1680 7376 +1280 7728 +512 7568 +800 7632 +672 7984 +576 8176 +320 6960 +448 7168 +1264 7856 +768 7648 +992 7040 +1632 7440 +1216 7760 +1408 6560 +560 7744 +160 7760 +64 7184 +-16 7616 +64 8288 +-352 7808 +304 8208 +-416 8128 +416 7984 +-624 7856 +-1040 7968 +-512 8720 +-496 7936 +-736 7776 +-768 7296 +-608 7328 +-1376 7712 +-1200 8048 +-1392 7664 +-832 7344 +-1008 7568 +-1312 7392 +-432 7984 +-1344 7776 +-1648 7456 +-1504 7408 +-2336 7488 +-1664 6464 +-2272 7152 +-3024 7216 +-2672 7264 +-2512 7616 +-3088 7456 +-3072 6960 +-2912 7456 +-2384 7312 +-2528 7072 +-2976 7344 +-3440 6640 +-3200 7024 +-3376 7056 +-3392 7408 +-3216 6912 +-3344 6832 +-3584 7184 +-3328 6880 +-2704 6560 +-3520 6448 +-3584 7024 +-3808 7040 +-4416 6912 +-4000 6864 +-3280 6976 +-3088 6800 +-3872 6336 +-4896 6080 +-4640 6832 +-4544 6224 +-4784 6896 +-4800 5984 +-5584 6000 +-4960 5824 +-4576 5680 +-4672 5520 +-5072 5504 +-4704 6144 +-5072 5920 +-5168 5968 +-4912 5664 +-5632 6096 +-6064 5952 +-5008 5584 +-5168 5168 +-5456 5456 +-5584 5408 +-5808 5456 +-5472 5504 +-5312 5408 +-5408 5392 +-5408 5344 +-5584 5328 +-5840 5408 +-6176 4848 +-6480 4768 +-6336 5008 +-6096 4800 +-6064 3584 +-6144 3056 +-6944 4208 +-6736 4496 +-6368 3600 +-6592 3616 +-6640 3808 +-7296 3456 +-7216 3952 +-6736 3408 +-7152 3344 +-7184 3056 +-6480 3168 +-7472 3104 +-7600 3056 +-6832 2976 +-6912 3056 +-7072 3024 +-7056 2672 +-6016 2432 +-6896 2752 +-7136 2960 +-6960 2720 +-7072 2832 +-7376 2592 +-6976 2240 +-7456 2176 +-7648 1904 +-7312 2896 +-7888 2960 +-7456 1216 +-7024 1568 +-7408 1648 +-8240 1136 +-7056 1152 +-8016 1136 +-7520 1760 +-7648 1648 +-7808 1280 +-8096 1280 +-7632 1040 +-7904 736 +-8368 560 +-7232 864 +-7920 1232 +-7920 800 +-7152 832 +-7232 384 +-7584 288 +-7456 -528 +-7392 -160 +-7552 784 +-7888 608 +-7616 -432 +-7536 -352 +-7552 -80 +-7472 -176 +-7232 -576 +-7488 -688 +-8448 -96 +-8480 -656 +-7872 -1088 +-7760 -1376 +-7248 -704 +-7312 -1120 +-7712 -1808 +-7408 -1680 +-7472 -1856 +-8032 -1888 +-8000 -1584 +-7792 -1840 +-7616 -1680 +-7296 -2160 +-8128 -2112 +-7568 -1136 +-7696 -1888 +-7392 -2096 +-7600 -1312 +-8080 -2096 +-7312 -2816 +-7984 -2032 +-7360 -2688 +-6640 -2672 +-7376 -2512 +-7728 -2880 +-6864 -3456 +-7232 -2864 +-5984 -3024 +-6320 -3552 +-6880 -2768 +-6272 -3024 +-6576 -4128 +-7280 -3664 +-7344 -3408 +-6608 -4208 +-6256 -3392 +-6720 -3312 +-6768 -3824 +-6640 -4096 +-5520 -4752 +-6704 -4352 +-6240 -4320 +-6208 -3792 +-7024 -4448 +-6048 -4960 +-6704 -4736 +-5888 -4880 +-6752 -4784 +-6272 -4752 +-6000 -4848 +-7104 -5440 +-5872 -4608 +-5104 -5216 +-5328 -4768 +-6016 -4416 +-5664 -5216 +-5632 -4608 +-6384 -6112 +-5440 -5584 +-4960 -5424 +-5232 -6000 +-5616 -5520 +-5184 -4336 +-4736 -5776 +-5648 -5648 +-5008 -6048 +-5568 -5280 +-5008 -5856 +-4496 -6272 +-4576 -5696 +-4928 -6048 +-4720 -5872 +-4448 -6208 +-4272 -6064 +-4000 -5936 +-3840 -6800 +-3696 -6336 +-4624 -5120 +-3824 -6544 +-3808 -6336 +-3904 -7456 +-3632 -7824 +-3360 -6528 +-3664 -7248 +-4304 -6656 +-3824 -7072 +-3936 -7136 +-3040 -7168 +-3152 -7024 +-3280 -7184 +-3296 -7312 +-2864 -7120 +-3632 -7664 +-2736 -7184 +-2752 -6784 +-2896 -7024 +-2064 -7152 +-2688 -7248 +-3168 -6800 +-2304 -6960 +-1952 -6544 +-2288 -7712 +-1808 -7568 +-1888 -7776 +-1408 -7888 +-2064 -7104 +-1664 -7440 +-1616 -7760 +-832 -7456 +-1776 -7888 +-1888 -7904 +-1296 -7824 +-1456 -7920 +-1296 -7488 +-560 -7168 +-1392 -7424 +-960 -6672 +-160 -7440 +-400 -8496 +-864 -7232 +-576 -7440 +-496 -7184 +-880 -7104 +-224 -7120 +-224 -7280 +16 -6960 +64 -7328 +0 -7776 +64 -7824 +544 -7712 +816 -7568 +1056 -7840 +608 -7040 +1072 -7584 +1456 -7184 +1232 -7216 +656 -7968 +1152 -7712 +1552 -7712 +1008 -7952 +848 -8064 +1280 -7312 +2320 -6768 +2080 -7504 +1712 -7616 +1712 -7280 +2656 -7488 +2080 -7376 +2944 -7472 +2800 -7168 +2800 -7104 +3024 -7760 +2208 -7280 +2976 -7456 +2800 -6976 +2944 -7344 +3104 -6912 +3072 -6176 +2992 -7008 +2880 -7328 +4016 -6512 +2880 -6384 +3120 -7376 +4128 -7568 +2960 -6896 +3728 -6272 +3344 -6768 +3296 -6736 +3200 -6544 +3680 -6112 +3424 -6592 +3728 -7248 +4736 -6560 +4416 -5856 +4128 -5712 +4128 -5728 +4368 -6064 +4592 -6368 +4592 -5920 +4672 -6064 +4944 -5520 +5680 -6432 +5680 -5760 +4224 -5392 +5136 -5472 +5872 -5952 +5024 -5040 +5344 -4800 +6080 -5168 +5856 -4944 +5184 -5248 +5296 -5072 +6112 -5680 +6528 -4688 +6640 -4736 +5872 -4576 +5728 -5408 +5504 -4656 +5792 -4112 +6032 -5184 +6304 -4576 +5456 -4896 +5408 -4800 +6112 -4768 +6576 -5168 +6128 -4528 +6544 -4528 +6352 -4256 +6256 -4064 +6944 -4448 +6640 -4688 +6224 -3808 +6288 -4416 +6576 -3280 +6288 -4048 +6336 -3728 +6448 -3296 +6432 -3328 +6464 -3568 +6768 -3632 +7488 -4160 +7024 -4368 +6816 -2480 +6976 -2752 +6720 -2752 +7152 -3184 +6832 -2624 +6976 -3056 +7760 -2768 +6944 -2608 +6976 -2736 +6736 -1904 +7392 -2528 +7248 -2704 +7712 -1904 +7184 -2784 +6976 -2384 +7888 -1616 +8080 -2576 +7424 -2192 +7728 -1504 +7168 -1472 +7760 -1504 +7088 -1232 +8016 -1488 +7888 -1344 +7056 -1664 +7984 -1440 +7680 -1536 +7520 -1568 +8224 -1792 +7680 -992 +7264 -880 +7984 -592 +7200 32 +7456 -528 +8384 208 +8000 -176 +8144 192 +7728 240 +8512 -304 +8096 80 +6944 496 +7200 496 +7472 480 +7744 144 +6912 496 +7584 304 +7728 864 +7792 192 +7872 1056 +7168 1248 +7952 944 +7952 1328 +8272 912 +8352 1808 +7760 1008 +7104 1168 +7408 1984 +7888 1776 +7040 2160 +8304 1936 +7792 2544 +6768 2240 +8016 1888 +7584 2768 +7120 2816 +7280 2528 +7744 2832 +7088 2608 +6832 3024 +6976 3504 +6992 3088 +7248 3520 +7504 3488 +7264 3040 +6704 3936 +7296 3488 +7120 3344 +7648 3040 +7168 3360 +6256 3648 +6720 3488 +6768 3808 +7136 3344 +5792 4368 +6304 3344 +6976 3472 +6256 4400 +6304 4592 +7008 4416 +6304 4576 +5776 4272 +6144 4096 +6432 4224 +6608 5312 +5728 4480 +5616 4032 +6080 5376 +6160 4832 +5632 5008 +5280 5840 +5344 4976 +6112 5472 +5968 5216 +5408 5376 +5520 5968 +5392 5792 +5664 6176 +5072 6192 +4544 5664 +5424 5760 +4992 5776 +5024 6384 +4544 6688 +4608 6544 +4448 6432 +4688 6288 +5280 6528 +4592 5968 +4560 6352 +4576 6064 +4752 6016 +4352 6688 +3920 6848 +4080 6048 +3536 6752 +3776 7072 +3632 6688 +4048 7248 +3088 7008 +2544 6880 +3152 7952 +3168 6944 +2832 7424 +2816 7568 +3408 7008 +2688 6816 +2864 7136 +2960 7376 +3680 6208 +3040 7200 +2464 7152 +3552 7504 +2160 6624 +2128 7104 +2240 7536 +1872 7248 +2720 7840 +1888 7184 +2320 7968 +1536 6672 +1536 7648 +1504 7920 +944 8064 +752 7536 +1248 7600 +1712 7648 +624 7552 +1184 7696 +768 8176 +544 7680 +704 7808 +1440 7248 +992 7888 +368 8272 +-208 7472 +-576 7488 +544 7328 +224 8496 +-192 7888 +400 7840 +-512 7904 +-160 7376 +-128 7552 +-656 7968 +-1344 8176 +-1760 7248 +-1024 7536 +-1568 7344 +-1536 7584 +-1296 7840 +-1408 7056 +-1376 7520 +-1584 7280 +-1312 7856 +-2368 7280 +-1936 7472 +-1856 7184 +-1808 7248 +-1616 7248 +-2208 7488 +-2336 8336 +-1984 7040 +-2208 7360 +-2416 7168 +-2544 7440 +-2976 6768 +-2400 7168 +-1808 6416 +-2736 7376 +-2576 8064 +-2784 6976 +-2880 8064 +-2576 7040 +-3104 6576 +-3568 7056 +-2736 7536 +-2224 6688 +-3168 6448 +-3120 6960 +-3360 7008 +-3184 6768 +-3184 6480 +-3696 6096 +-3200 6704 +-3648 6736 +-3424 6976 +-3728 6640 +-4368 6224 +-4288 5920 +-4720 6528 +-4928 6560 +-4976 5200 +-4784 6400 +-5280 6192 +-5248 6080 +-5600 5792 +-5520 5760 +-4352 6144 +-5136 5440 +-5888 4800 +-5536 5888 +-5168 5440 +-5664 5488 +-5376 5296 +-5216 5024 +-5376 5472 +-6304 5056 +-6032 5024 +-5104 5744 +-6032 4960 +-5568 5056 +-5472 4848 +-6112 4416 +-5280 5424 +-5728 4896 +-5744 5104 +-6096 5184 +-6032 4848 +-6192 4896 +-6944 4560 +-6688 4736 +-7360 4640 +-6864 3808 +-7120 4368 +-6432 3488 +-6512 3472 +-7248 4032 +-6720 2928 +-6672 2800 +-6544 3744 +-7136 3920 +-7104 2928 +-6752 3200 +-7120 3440 +-7744 1536 +-7072 2656 +-7936 3136 +-7456 2576 +-6592 2672 +-6768 1712 +-7616 3040 +-7344 2496 +-7856 2336 +-7488 2128 +-6896 1376 +-8016 2688 +-7520 1568 +-7536 2512 +-7920 2672 +-7120 2352 +-6960 1680 +-7168 1840 +-7136 2000 +-7152 1504 +-7232 1488 +-7296 864 +-6896 640 +-7472 1312 +-8192 1504 +-8448 1152 +-7632 944 +-7216 576 +-8192 1872 +-7696 528 +-6816 496 +-6832 336 +-7344 -80 +-8112 208 +-8048 -480 +-7920 -64 +-7968 -144 +-7536 656 +-7856 448 +-7728 -816 +-7552 -112 +-8128 -176 +-7184 -128 +-8384 -288 +-7200 -736 +-6944 -1024 +-8160 -1200 +-7856 -1104 +-7632 -1856 +-8112 -912 +-7328 -944 +-8288 -1392 +-7792 -1568 +-8032 -1248 +-7264 -512 +-7664 -1552 +-7728 -2432 +-7424 -1728 +-8032 -1504 +-7152 -2016 +-7680 -1840 +-7744 -1728 +-7120 -1648 +-7088 -2480 +-7312 -2416 +-7008 -1888 +-7648 -3072 +-7248 -2496 +-7408 -2704 +-6592 -2672 +-7488 -3376 +-7056 -2864 +-6704 -2928 +-6688 -2976 +-6080 -2848 +-6880 -3376 +-6640 -3712 +-6912 -4128 +-7616 -3776 +-6688 -4176 +-6608 -3744 +-7584 -4992 +-6320 -3776 +-7040 -3248 +-6752 -4400 +-6464 -4224 +-6544 -4400 +-5808 -5200 +-6624 -4416 +-6704 -3936 +-5696 -4032 +-6128 -4320 +-5984 -4704 +-5968 -5312 +-6416 -5392 +-5664 -5328 +-5504 -4352 +-5152 -5120 +-6096 -5248 +-5728 -4432 +-5584 -4768 +-5344 -5760 +-5456 -5232 +-5776 -5136 +-4944 -5232 +-5424 -5312 +-5104 -5904 +-5328 -6192 +-4688 -6144 +-5040 -5600 +-4592 -5984 +-4256 -5504 +-4656 -5440 +-4288 -6288 +-4144 -6000 +-4672 -5728 +-4528 -5856 +-3808 -6080 +-4336 -6864 +-3712 -6176 +-3888 -6112 +-4944 -6640 +-4144 -7216 +-3776 -5888 +-3872 -6496 +-3984 -6816 +-4528 -6336 +-3168 -7024 +-3024 -6592 +-3248 -7312 +-2624 -6832 +-2896 -6848 +-2816 -6864 +-2656 -6912 +-2848 -7264 +-2928 -7504 +-2640 -7344 +-2656 -7568 +-2960 -7168 +-2960 -7056 +-2288 -7568 +-2256 -7152 +-2816 -7792 +-2624 -7424 +-1456 -6864 +-1472 -7232 +-2640 -7072 +-2464 -7504 +-2096 -8064 +-2064 -7456 +-1680 -7760 +-1232 -7488 +-1360 -7280 +-1056 -7648 +-1440 -7904 +-1440 -7776 +-1024 -7488 +-672 -7392 +-1536 -7584 +-784 -7792 +-432 -7936 +-176 -8016 +-32 -7216 +-240 -7552 +80 -8048 +-288 -8464 +560 -7744 +-640 -7696 +144 -8064 +848 -7712 +-160 -8256 +-16 -7504 +656 -8080 +672 -7968 +-160 -7600 +544 -8320 +512 -8080 +1104 -7280 +400 -8192 +624 -7616 +688 -7824 +1584 -7456 +1696 -7104 +1104 -7888 +1792 -8048 +1360 -8112 +1872 -7584 +1056 -7696 +1568 -7312 +1872 -7072 +2192 -7248 +2560 -7760 +2368 -7616 +2944 -6912 +2608 -7152 +2576 -7232 +2960 -7296 +2240 -6720 +2080 -7216 +2752 -7344 +3056 -6864 +2640 -7632 +2848 -7152 +4032 -6768 +2896 -7296 +2896 -6912 +3312 -6752 +3232 -6416 +3616 -6544 +3344 -6464 +3936 -7072 +4048 -6720 +3712 -7168 +3760 -6768 +3568 -6560 +3824 -6848 +4256 -7152 +4384 -6560 +4576 -5856 +4560 -6432 +4384 -6496 +4272 -6176 +4688 -6624 +5264 -5360 +5744 -5728 +5040 -6640 +5136 -5168 +5456 -5872 +5504 -5488 +6032 -4992 +5344 -5216 +5184 -5632 +5200 -5872 +5184 -5408 +5968 -5200 +5584 -5392 +5136 -5744 +5440 -5648 +5616 -5120 +5904 -5136 +5712 -5664 +6240 -5856 +5792 -5088 +6288 -4208 +5616 -4480 +5600 -4800 +6160 -5120 +6432 -5120 +6592 -4208 +6048 -3904 +6320 -4368 +6320 -4064 +6224 -4688 +6304 -4176 +6096 -3616 +6320 -4528 +6704 -2992 +7424 -3088 +7120 -3104 +6832 -3376 +7328 -2976 +7440 -2912 +6976 -2960 +7136 -2944 +7008 -3264 +7200 -3072 +7232 -2352 +7024 -2848 +7168 -2704 +7536 -2160 +7552 -3664 +7344 -1648 +7712 -2736 +7264 -2416 +7168 -1872 +7440 -2560 +7872 -2048 +7136 -2544 +7296 -1952 +8176 -2160 +7344 -1744 +7120 -1408 +7712 -2144 +7680 -1168 +7472 -1168 +7552 -1584 +6944 -624 +7696 -944 +8048 -1072 +7600 -1088 +7456 -816 +7696 -736 +7792 -912 +7648 -272 +7840 -848 +7984 -576 +7760 -176 +9376 -1024 +7680 -992 +7600 -752 +7504 -32 +7664 464 +7952 48 +7760 128 +7648 944 +7600 64 +8288 624 +7744 976 +7408 1136 +7840 784 +7696 704 +7792 656 +7136 1152 +7312 1216 +8496 768 +7008 1504 +7536 1744 +7664 1440 +8240 1408 +7584 1760 +7312 1744 +7840 2112 +6736 1696 +7280 1184 +7184 1696 +7520 2192 +7664 2032 +7088 1680 +6800 2480 +7728 2480 +7584 1920 +7536 2416 +7424 3088 +7024 2608 +7392 2768 +7088 2880 +6368 2128 +6656 2880 +7424 2496 +7056 3264 +7248 3216 +7280 3488 +7104 2896 +6064 2960 +6272 3872 +7440 3856 +6272 3984 +6704 3808 +6416 4816 +7072 4176 +6368 4384 +5984 4224 +6224 4176 +5776 5008 +5952 4240 +5968 4080 +6144 4448 +5856 4832 +6368 4688 +6464 4688 +5712 4672 +6016 4672 +5488 4272 +5728 4464 +5088 5232 +5488 5344 +6064 4992 +5360 5344 +5776 5488 +5936 5312 +5680 5696 +6016 5728 +4944 5344 +4880 5824 +5504 5424 +4688 5856 +5216 6224 +4864 6416 +4464 6416 +4576 6576 +4928 6720 +4384 6096 +3424 6576 +3424 6752 +4448 6896 +4784 6784 +3808 7296 +3984 6240 +3440 6976 +4384 7424 +3712 6528 +3632 6560 +3536 6800 +3280 7216 +3504 6608 +2816 6912 +3456 6368 +3216 7024 +3232 6528 +2896 6688 +3520 7568 +3488 6544 +3088 7248 +3408 7440 +2224 6800 +3104 7216 +3216 7168 +2784 7152 +2336 7296 +2672 6864 +1424 7120 +2240 7504 +2560 8000 +2224 7056 +2384 7744 +1616 7408 +2096 7664 +768 7616 +1152 7440 +1504 7984 +1936 7232 +1440 7488 +1776 7072 +1024 7184 +1440 6896 +1424 7072 +672 7344 +944 7696 +1120 8032 +1024 7296 +688 7888 +-16 7952 +272 7920 +176 7488 +800 7472 +64 8016 +-224 7424 +160 7328 +-544 7552 +-464 7680 +-592 7440 +192 7520 +-832 7168 +-976 7408 +-560 8240 +-736 7760 +-1088 7456 +-896 8224 +-1392 8208 +-1472 7344 +-1760 7488 +-2224 7888 +-1632 7392 +-2192 7296 +-2192 7712 +-1824 7104 +-2800 7248 +-2464 7216 +-2592 6912 +-2112 7632 +-2560 7360 +-2896 7008 +-3040 8000 +-2912 7488 +-2544 7216 +-3120 6832 +-2400 7424 +-3584 6912 +-3328 6896 +-2944 6768 +-3600 6512 +-2912 6688 +-3120 5840 +-3696 6816 +-4080 6592 +-4544 7104 +-3872 6240 +-3408 6480 +-3984 6336 +-4432 5760 +-4000 6784 +-4320 6672 +-3840 6688 +-4288 5968 +-4336 6288 +-3904 5296 +-4496 6304 +-4576 6208 +-4512 5648 +-4368 6080 +-4448 5152 +-5360 6592 +-5152 6032 +-4864 6512 +-4640 6240 +-4992 5168 +-5024 5904 +-4512 5184 +-4656 5184 +-5312 5648 +-5712 5200 +-5024 5600 +-5712 5600 +-5328 4832 +-5360 4976 +-5696 5472 +-5808 5104 +-5856 4672 +-5680 5248 +-5680 5024 +-5712 4880 +-5632 5280 +-6304 4624 +-5776 4736 +-6048 4976 +-6416 4480 +-6672 3920 +-6688 4608 +-6800 4048 +-7440 3952 +-5776 4064 +-5696 3264 +-6608 3728 +-6784 3920 +-5632 3648 +-7104 4256 +-7136 3792 +-6592 3968 +-6752 4048 +-6608 3312 +-7312 3280 +-6640 2816 +-6832 3312 +-7232 3248 +-6896 3312 +-7120 3248 +-7120 2544 +-7344 3056 +-7600 2400 +-7792 2944 +-7552 2640 +-7472 1872 +-7696 2448 +-7008 1488 +-7616 1648 +-7760 2096 +-7536 1264 +-7472 1920 +-7456 1744 +-7904 1408 +-7456 2048 +-7008 1856 +-7392 1584 +-7984 1600 +-7648 1200 +-7600 1232 +-7536 2128 +-7616 1488 +-8240 1120 +-7904 992 +-7328 1488 +-7296 1408 +-7328 192 +-7376 848 +-8192 400 +-7728 528 +-7424 384 +-7344 384 +-7760 -80 +-8672 -912 +-8048 -320 +-6848 -384 +-7984 -432 +-7632 -416 +-7552 -1056 +-7824 -1488 +-7712 -1424 +-7888 -1424 +-7168 -960 +-8464 -560 +-7936 -1696 +-7184 -1600 +-8144 -1680 +-7792 -960 +-7568 -2400 +-7952 -2112 +-7504 -1392 +-7648 -2256 +-7616 -1776 +-7984 -1776 +-8448 -2512 +-7440 -1696 +-7616 -2016 +-6976 -2048 +-7072 -1856 +-7328 -2976 +-6560 -2544 +-7424 -2880 +-7088 -3344 +-7520 -3680 +-7488 -2720 +-6880 -2480 +-7696 -2832 +-7632 -2480 +-6800 -3712 +-6496 -3328 +-7552 -2880 +-7040 -3376 +-6912 -3520 +-7184 -3648 +-6320 -4000 +-6736 -3552 +-6592 -3792 +-6624 -4480 +-6624 -4752 +-6560 -3984 +-6352 -3936 +-5888 -4640 +-6464 -3840 +-6320 -4928 +-5760 -5248 +-5712 -4768 +-6128 -4768 +-6016 -4256 +-5616 -4768 +-5840 -4992 +-5472 -4896 +-6176 -5792 +-5584 -4592 +-5904 -4736 +-5744 -5360 +-5392 -5456 +-5056 -5360 +-5744 -5600 +-5760 -6160 +-4912 -5408 +-5568 -5232 +-5488 -5904 +-5376 -6048 +-5152 -5920 +-4944 -6176 +-4832 -6480 +-4992 -6352 +-4288 -6000 +-4080 -6176 +-4032 -6016 +-4352 -6496 +-4336 -6864 +-4576 -7056 +-4544 -7024 +-3168 -6048 +-3952 -6816 +-4480 -6352 +-4400 -6752 +-3840 -6896 +-3696 -6336 +-3520 -7200 +-3632 -6320 +-4224 -7120 +-3040 -7088 +-2752 -6576 +-3280 -6800 +-3648 -6496 +-2768 -7040 +-2848 -7056 +-3552 -7552 +-2336 -6880 +-3104 -7072 +-2528 -7200 +-2400 -7120 +-2848 -6960 +-2144 -7616 +-1104 -7264 +-1936 -7088 +-2416 -7168 +-2016 -6896 +-2512 -7616 +-1808 -7712 +-2096 -7280 +-2064 -7936 +-1472 -7072 +-1424 -7552 +-1104 -7744 +-1664 -7392 +-1280 -7200 +-1296 -6768 +-1808 -7456 +-1072 -7904 +-1776 -7328 +-1792 -7856 +-640 -7680 +-256 -7392 +-320 -7472 +-736 -7248 +16 -7472 +-208 -7456 +-464 -7280 +-160 -7840 +288 -8400 +-80 -8448 +-288 -7760 +304 -8128 +208 -7680 +704 -7216 +784 -7712 +880 -7904 +576 -7328 +1536 -7120 +1360 -7440 +528 -7984 +928 -7648 +1264 -7248 +1072 -7808 +2288 -7536 +1984 -7296 +1520 -7520 +1408 -7312 +1680 -7280 +1728 -7072 +1904 -6688 +1424 -7520 +1936 -7680 +2112 -8016 +1408 -7184 +2160 -7200 +2208 -6928 +2576 -6816 +2320 -7216 +2848 -7344 +3408 -7056 +2064 -6848 +3008 -7760 +3040 -6976 +2864 -7280 +2880 -7072 +3232 -6688 +3808 -7104 +2864 -7024 +2976 -6480 +3200 -6592 +4320 -6560 +4352 -6528 +3552 -6208 +4064 -6832 +4464 -7360 +4416 -5984 +4592 -5936 +4400 -5808 +4320 -6912 +4736 -6944 +4624 -5920 +4384 -5952 +4928 -7008 +4896 -6304 +5008 -6144 +4752 -6144 +5248 -5920 +4688 -6800 +4848 -5728 +4784 -6304 +5104 -5568 +4752 -5904 +5440 -5760 +5504 -5616 +5040 -5680 +5664 -5440 +5136 -4912 +5744 -4416 +4944 -4752 +5296 -5744 +6160 -6000 +5472 -4848 +5952 -4800 +5616 -4832 +5584 -5360 +5632 -5168 +5984 -4864 +5920 -4864 +5840 -4800 +5984 -5056 +6016 -4864 +5920 -4064 +6048 -4272 +6752 -4192 +6224 -4624 +6768 -3728 +6880 -3824 +6784 -4256 +6192 -3792 +6320 -3840 +6800 -2768 +7104 -3600 +6672 -4048 +6656 -4256 +7008 -3536 +7456 -3264 +7040 -3360 +6656 -3040 +7216 -3552 +6832 -3360 +7216 -2480 +7248 -3568 +7312 -2992 +7728 -1680 +7712 -2608 +6784 -3072 +6912 -1968 +7488 -1984 +7584 -1840 +8144 -704 +7920 -1744 +7632 -1344 +7328 -336 +7632 -2000 +8080 -1808 +7648 -1296 +7344 -576 +7344 -1472 +7232 -784 +7312 -128 +7776 -912 +7504 -16 +7808 -416 +7424 -208 +7536 144 +7184 -272 +7392 -1056 +8000 -48 +7856 384 +7584 160 +7456 352 +8208 -608 +7424 432 +7776 688 +7664 -48 +8304 1008 +7872 272 +7664 208 +8192 944 +7440 1168 +6976 896 +8080 448 +8224 1024 +7472 1232 +8272 1008 +7728 800 +7488 1568 +7680 1664 +7392 1536 +6752 1776 +6880 2048 +7152 2464 +7248 1760 +7472 2320 +7840 2272 +7312 2000 +7296 2304 +6896 2528 +7616 3552 +6640 2048 +6608 1872 +7024 3296 +7536 2592 +7408 3264 +7520 2864 +7760 3024 +7072 2752 +7008 2784 +7216 3440 +7456 3120 +6672 3904 +6800 3648 +7424 3216 +6736 3232 +6800 4112 +6272 4464 +6576 3888 +6832 3936 +6576 4160 +6224 3792 +6752 4608 +6960 4480 +6400 4944 +6960 4800 +6480 4480 +6720 5280 +5984 4880 +6192 4816 +6384 4880 +6272 4544 +5568 5056 +5568 6224 +5520 5824 +5600 5392 +5712 6128 +5168 5504 +5760 5600 +5664 5296 +4784 5760 +4656 6304 +5056 5728 +4496 5952 +4624 6160 +4720 6672 +4544 6256 +4336 6384 +3920 6608 +4528 6496 +4672 6768 +4384 6624 +4416 6016 +4416 6096 +4128 6496 +3344 6528 +4256 6336 +4384 6624 +4752 6080 +4240 6224 +3376 6384 +4752 6720 +3744 7200 +3424 5872 +3520 6112 +3344 6384 +3168 6560 +2944 6624 +4208 6320 +3488 7072 +3184 6848 +2912 6672 +2864 6608 +3168 6768 +3520 6880 +2688 7392 +2624 7584 +3392 6784 +2256 7104 +2656 7024 +3280 7536 +2448 7200 +2400 6576 +2176 7632 +1728 7040 +2096 7776 +1328 7568 +1280 7184 +1856 7104 +1232 7872 +1840 8224 +992 7616 +1536 7840 +1424 7504 +528 7648 +736 7536 +592 7632 +1408 7776 +448 7264 +560 6992 +416 7296 +-320 7280 +368 7504 +-416 7584 +-720 7216 +-336 7392 +-528 7216 +-1312 8016 +-272 7664 +-704 7936 +-544 7792 +-464 7728 +-224 8080 +-304 7968 +-720 7312 +-1296 7600 +-1344 7824 +-432 7488 +-752 7760 +-800 7296 +-944 7520 +-1168 7920 +-1760 7296 +-2096 7648 +-2192 7328 +-2160 7104 +-2400 7632 +-2304 7808 +-2336 7488 +-1632 7824 +-1856 7456 +-2128 7200 +-3056 7104 +-2560 6144 +-2688 6896 +-2976 7504 +-2480 6832 +-3392 7696 +-3408 7072 +-3216 6976 +-2800 7120 +-3104 6656 +-3024 7136 +-2384 6928 +-3760 7168 +-3392 6928 +-2976 6624 +-3440 7328 +-3600 6928 +-4368 6944 +-3760 6320 +-3856 6496 +-4288 6464 +-4144 6400 +-4592 6096 +-3872 5840 +-3760 7456 +-4384 6640 +-4160 6288 +-4176 6800 +-4688 6528 +-4896 6256 +-5024 5584 +-5248 6720 +-5600 5200 +-4816 5456 +-4816 6208 +-5040 6032 +-5648 5632 +-5664 5136 +-5520 5600 +-5872 4720 +-5696 4672 +-5472 5104 +-6128 4928 +-6496 5200 +-5888 5568 +-6368 5360 +-6016 5408 +-5824 5216 +-5712 5232 +-5728 4896 +-6224 4848 +-6176 5328 +-6240 4896 +-6048 4976 +-6224 4944 +-6640 4656 +-7216 4256 +-6400 3664 +-5872 3600 +-6480 4176 +-6352 3952 +-7024 3760 +-6912 3808 +-6752 3168 +-7552 3840 +-6416 2576 +-6672 3744 +-7360 3888 +-7216 2656 +-7792 3360 +-6864 3232 +-6736 2736 +-7392 3120 +-7200 3152 +-7696 3344 +-7712 3568 +-6880 2944 +-7248 2496 +-6960 2224 +-7520 2400 +-7520 1888 +-6496 1904 +-7344 2464 +-7680 2080 +-6992 2352 +-6976 2384 +-7968 1792 +-7680 1760 +-7488 1072 +-7216 1488 +-8096 1296 +-8144 1696 +-8176 1920 +-7776 1168 +-7344 1728 +-8000 800 +-7248 864 +-6624 976 +-7152 1552 +-7504 464 +-7280 592 +-7360 192 +-7424 -304 +-7568 -144 +-7632 32 +-7584 848 +-7984 400 +-7328 192 +-7568 -512 +-8352 208 +-8000 -160 +-7776 -688 +-7280 -208 +-7200 -720 +-8064 -432 +-7376 -816 +-7680 -864 +-7648 -832 +-6848 -1136 +-6608 -912 +-7920 -1264 +-7472 -1968 +-7568 -1696 +-7456 -1344 +-7744 -1088 +-8448 -1408 +-7280 -1872 +-7360 -1536 +-8096 -2528 +-7472 -2640 +-7360 -2208 +-7440 -2080 +-8144 -2256 +-7120 -2832 +-7552 -2928 +-7984 -2384 +-7024 -3184 +-7344 -3216 +-7456 -2912 +-7136 -3072 +-6976 -3376 +-7104 -3312 +-7424 -3568 +-7488 -3648 +-6736 -3088 +-6752 -3808 +-6208 -4128 +-7456 -2816 +-6656 -3136 +-6880 -3984 +-6608 -3712 +-6384 -4032 +-7104 -3552 +-6416 -4288 +-6688 -4448 +-6336 -4224 +-6048 -4432 +-6608 -4720 +-6000 -4272 +-5680 -4544 +-6496 -5056 +-5744 -4592 +-6048 -4128 +-6032 -4848 +-6064 -5056 +-6944 -4704 +-6272 -5440 +-5536 -5440 +-4784 -4784 +-5376 -5536 +-5840 -5728 +-5616 -5344 +-5520 -5264 +-5296 -5968 +-5632 -5616 +-4752 -5216 +-5344 -5472 +-5104 -5600 +-5104 -6416 +-4896 -6048 +-5648 -6544 +-5488 -6080 +-4928 -6128 +-4432 -6080 +-4576 -5632 +-4544 -6656 +-4448 -7008 +-4128 -6784 +-4144 -6288 +-4112 -6912 +-3120 -6096 +-4016 -6320 +-4176 -6336 +-4480 -6544 +-3824 -6464 +-4400 -7008 +-3744 -6352 +-3344 -7104 +-4112 -7856 +-3552 -6432 +-3440 -6720 +-3680 -7296 +-3584 -7040 +-3504 -6752 +-2560 -6608 +-2800 -7744 +-2624 -7728 +-3152 -6448 +-2752 -6800 +-2400 -6896 +-1872 -7216 +-2800 -7296 +-2960 -7184 +-2144 -6992 +-2832 -7216 +-2000 -6992 +-2832 -7184 +-1424 -7264 +-1808 -7840 +-2128 -7840 +-1856 -7792 +-1984 -8288 +-1088 -8176 +-1536 -7568 +-1184 -7664 +-1040 -8032 +-1664 -7712 +-1184 -7696 +-1024 -8224 +-1168 -8000 +-336 -7584 +-544 -8320 +112 -7344 +-272 -7104 +-208 -7984 +256 -7056 +48 -7424 +-208 -7408 +64 -7824 +208 -8048 +400 -7904 +1152 -8112 +912 -7552 +864 -7776 +480 -7968 +-80 -7760 +128 -7904 +592 -8048 +672 -8112 +576 -7632 +704 -7568 +816 -6992 +1328 -7824 +832 -8032 +752 -7424 +944 -7520 +1200 -7248 +1152 -7872 +1424 -7840 +1952 -8176 +2192 -6992 +2528 -7456 +2304 -7392 +2400 -6848 +2448 -7296 +2576 -7632 +2128 -7696 +2944 -6848 +2848 -7360 +2288 -6752 +3728 -7232 +2864 -7856 +2784 -7440 +2800 -7088 +3088 -6688 +3712 -6176 +2944 -6992 +3600 -6976 +3344 -6352 +3424 -6032 +3344 -6864 +3232 -7312 +3632 -6816 +3184 -6864 +3312 -6288 +3568 -7392 +4240 -6928 +4240 -6704 +3184 -6752 +4256 -6560 +4624 -6256 +3824 -5888 +4608 -7328 +5392 -6384 +4192 -5904 +5056 -6416 +5168 -5216 +4848 -5920 +5136 -6048 +5104 -6000 +5216 -5392 +5024 -5184 +6144 -6064 +5008 -4864 +5712 -6192 +5536 -6096 +5856 -5056 +5632 -4720 +5392 -5248 +5808 -5552 +6320 -5664 +6816 -5120 +5728 -4448 +5936 -4672 +6048 -4496 +6256 -5456 +6320 -4896 +5808 -5376 +7184 -4464 +6656 -4304 +5856 -5472 +6128 -4032 +6256 -4256 +6912 -4496 +6656 -4304 +7200 -4288 +6608 -4144 +7056 -4176 +7360 -3632 +7024 -4016 +7344 -3792 +7136 -3440 +6816 -3472 +6720 -4016 +6864 -3472 +7040 -3600 +6672 -3680 +7248 -3056 +7360 -3280 +7856 -2608 +7616 -2880 +7088 -2672 +8160 -2912 +7408 -2640 +6608 -2480 +7232 -2704 +7856 -3104 +7632 -2656 +7536 -2192 +7168 -1888 +6912 -768 +7696 -1872 +7632 -1696 +7440 -1520 +7712 -2400 +7648 -1152 +7248 -1440 +7184 -1200 +7824 -800 +7536 -1728 +7584 -1456 +7440 -544 +7568 -720 +6800 -80 +7744 16 +7408 -144 +7424 -896 +7888 -672 +7792 320 +7648 -176 +7648 256 +8144 96 +7616 560 +8112 336 +7840 80 +7328 512 +7344 608 +7952 -112 +7888 528 +7600 640 +8288 1024 +7744 688 +8096 608 +8112 1024 +7152 1680 +7728 992 +7392 832 +7504 1280 +7504 1696 +7376 1856 +7936 832 +7232 2128 +7120 1840 +7408 1392 +7856 2208 +7152 2368 +6912 1776 +6992 1664 +6896 2256 +7184 2144 +7248 2528 +7248 3696 +7408 2256 +6816 2272 +7040 2480 +7264 3328 +7888 2560 +7648 2880 +6624 2960 +6688 2720 +6960 3088 +6672 3360 +6976 3344 +6704 3312 +6880 3792 +6896 4096 +6672 4288 +7008 3440 +6608 3456 +6112 3968 +6192 3808 +6560 3648 +6848 3824 +6832 4368 +6256 3712 +6400 4928 +6144 5024 +6496 4624 +5952 5120 +6672 5152 +6704 4528 +6240 4560 +6048 5024 +5824 5200 +5600 5248 +6032 5184 +5344 5664 +5280 4368 +5232 5440 +5056 5040 +5600 5280 +5168 5680 +5520 5504 +5536 5744 +4848 6048 +5008 6176 +4944 5600 +4112 5680 +5392 6400 +5440 6208 +4416 5984 +5072 6336 +3776 5984 +4352 5856 +4592 6736 +4400 6064 +4528 6544 +3616 6544 +3984 5952 +4096 6432 +3728 6496 +3168 6656 +3920 6560 +4096 7504 +3280 6672 +3392 6768 +3152 7856 +2448 6704 +2496 6432 +2944 7408 +3712 7328 +3232 6896 +2032 7248 +3664 7296 +2912 7008 +2416 7360 +2576 7360 +2544 7264 +2192 8144 +2240 7936 +2304 7344 +2352 7600 +2368 7904 +1872 7920 +1760 7904 +2224 7424 +2032 7440 +1312 6848 +1360 7616 +1632 7264 +1408 8144 +832 8144 +1472 7552 +1264 8144 +1024 7168 +1232 7632 +1216 7280 +832 7696 +176 7360 +928 7536 +1168 7280 +640 7184 +-704 8528 +-528 7312 +112 7792 +272 7072 +448 8000 +-176 8592 +224 7552 +-160 7984 +-16 7680 +-288 8224 +-592 7760 +-784 7664 +-1008 7232 +-320 7216 +-720 7024 +-1104 7408 +-880 7664 +-1696 8080 +-1232 6976 +-2032 7200 +-2112 7840 +-1520 7216 +-1776 7664 +-1776 6944 +-2064 7232 +-1648 7856 +-2656 6912 +-2624 7424 +-2240 7392 +-2512 7296 +-2768 7232 +-2816 7440 +-2752 6640 +-2528 6608 +-3728 6112 +-2848 7152 +-2496 7312 +-2832 6880 +-2880 7456 +-3936 6896 +-3344 7104 +-3536 7264 +-3664 6880 +-3520 6640 +-3328 7232 +-3328 7104 +-3216 6864 +-3632 7008 +-4128 6432 +-3824 6608 +-4016 6544 +-3568 6560 +-4496 6256 +-4928 6336 +-4448 6624 +-4336 5600 +-4256 5984 +-5808 5952 +-4976 5600 +-4512 6144 +-4816 5840 +-5584 5568 +-5456 5248 +-5888 5664 +-5696 5600 +-4832 5600 +-5472 5936 +-5008 5376 +-5424 5744 +-4896 5504 +-5520 5248 +-5648 4656 +-5728 5168 +-5792 5456 +-5856 4320 +-5664 4992 +-6048 4592 +-5376 4896 +-5264 5120 +-5776 4720 +-5840 4128 +-5664 3456 +-6240 4640 +-6224 4816 +-6096 4208 +-6608 4064 +-6240 4672 +-6272 4368 +-6896 3792 +-6432 3728 +-6112 3840 +-7168 4096 +-6704 3584 +-6656 3472 +-6768 3328 +-7104 3680 +-6320 3744 +-6656 3696 +-7360 3744 +-6368 2784 +-7072 2784 +-7104 3024 +-6592 2816 +-6944 2240 +-6320 2848 +-6624 2480 +-6272 2656 +-7392 3184 +-7152 2112 +-7216 2928 +-8000 2448 +-6928 1920 +-6784 2176 +-8016 1760 +-7520 2272 +-7344 1408 +-7632 1968 +-7760 1952 +-8144 1632 +-7264 1936 +-7120 1680 +-7040 1264 +-7552 496 +-7872 1152 +-7088 800 +-7792 -96 +-8032 384 +-7568 480 +-8160 -352 +-7680 800 +-7456 512 +-7200 -32 +-7744 464 +-7808 192 +-7728 192 +-6608 -624 +-7136 -288 +-7616 -384 +-7008 -176 +-7840 -80 +-7104 -1360 +-7408 416 +-7392 -576 +-7120 -1584 +-7824 -1344 +-6720 -352 +-7632 -384 +-7584 -1360 +-6544 -1536 +-8160 -1728 +-8144 -1088 +-6960 -2096 +-7776 -1936 +-7680 -1392 +-7312 -2080 +-7344 -2016 +-7344 -2240 +-7184 -2512 +-7648 -2288 +-7184 -2320 +-6752 -1936 +-6912 -2848 +-8016 -2784 +-7600 -1968 +-7200 -2768 +-7184 -3088 +-6640 -2560 +-6240 -2832 +-6320 -3760 +-7168 -4128 +-7040 -2992 +-7040 -2752 +-7040 -2880 +-6768 -2992 +-6608 -3680 +-6320 -3376 +-6496 -3632 +-6576 -3616 +-7248 -4560 +-6240 -4720 +-6816 -4448 +-6960 -4016 +-6448 -4304 +-6560 -3824 +-6736 -4336 +-6224 -4256 +-5568 -5040 +-6000 -4256 +-6416 -4688 +-6176 -5136 +-6032 -3760 +-5904 -4960 +-6688 -4448 +-6752 -4736 +-6688 -5552 +-6336 -5840 +-6032 -5632 +-5904 -4992 +-5328 -5072 +-5904 -5168 +-5024 -5312 +-5712 -5760 +-5920 -5072 +-5456 -5232 +-4768 -5472 +-5408 -5376 +-5664 -5824 +-5008 -5472 +-5056 -6288 +-4864 -5776 +-5040 -5952 +-5136 -6128 +-4816 -5552 +-4592 -6000 +-4304 -6752 +-4272 -6624 +-3456 -6272 +-2864 -6448 +-3472 -6480 +-3920 -5888 +-3200 -6352 +-3552 -6672 +-4048 -6352 +-2800 -6192 +-3536 -7136 +-4192 -7712 +-2864 -6256 +-2912 -7040 +-3216 -6752 +-3504 -6944 +-2928 -6944 +-2560 -6352 +-1600 -6960 +-2800 -7376 +-3024 -7696 +-1888 -7200 +-2576 -7328 +-2928 -7552 +-2496 -6736 +-1056 -7344 +-1424 -7936 +-2288 -7728 +-2016 -7184 +-1888 -7280 +-1776 -8160 +-1312 -7680 +-2304 -7616 +-2544 -7184 +-1456 -6576 +-1696 -7456 +-1456 -8064 +-944 -7616 +-800 -7328 +-1072 -7952 +-1072 -7600 +-992 -7008 +-576 -7440 +-832 -7680 +-1280 -7952 +-720 -7424 +-192 -7088 +-704 -7408 +-608 -7472 +-864 -7792 +-432 -7856 +160 -7536 +96 -8928 +944 -7840 +368 -7168 +-32 -7520 +192 -7472 +160 -7536 +384 -7376 +752 -7872 +1632 -7776 +848 -7936 +1008 -7696 +1328 -7568 +1248 -7536 +976 -7808 +896 -7520 +1520 -7248 +624 -7056 +1344 -7392 +1840 -7696 +1600 -7744 +2144 -7872 +1760 -7840 +1488 -8176 +1664 -7312 +2160 -8208 +2384 -7744 +1856 -7056 +2240 -6848 +3008 -7008 +2288 -7520 +3648 -7008 +3040 -7888 +2704 -7264 +3008 -7392 +2672 -5824 +3280 -6736 +3072 -6928 +3120 -6480 +2944 -7248 +3120 -7472 +3360 -7632 +4160 -6576 +4224 -6624 +3568 -6848 +3888 -6672 +3888 -6128 +4432 -6848 +4704 -6192 +4016 -6368 +4704 -6592 +4880 -6016 +3952 -6240 +4144 -7008 +4144 -6624 +4608 -5824 +4992 -5968 +5376 -6416 +4560 -5712 +4512 -5568 +5152 -5808 +5824 -6144 +5104 -5664 +4848 -5664 +5504 -5424 +4928 -5568 +5648 -6096 +5552 -5824 +5536 -5312 +5072 -5776 +5664 -5840 +5920 -5104 +5952 -5632 +5680 -4672 +5472 -5504 +6480 -5808 +5888 -4256 +6096 -5376 +5552 -4336 +6048 -5104 +7136 -5008 +6768 -4144 +6688 -3680 +7264 -4240 +6400 -4464 +6624 -4176 +6288 -3712 +5888 -3952 +6400 -4336 +6672 -4944 +6672 -3936 +6912 -4112 +6304 -4528 +6976 -3216 +7216 -3760 +6624 -4272 +6624 -4336 +6800 -4288 +7024 -3488 +7280 -3376 +6832 -2848 +6928 -2512 +7760 -2736 +6320 -2384 +6640 -2960 +7312 -2736 +7136 -2240 +8128 -2656 +7760 -2608 +7696 -2144 +8224 -2016 +7616 -2848 +6560 -1648 +7088 -1872 +7744 -1696 +7696 -2096 +7312 -1840 +7648 -1760 +7712 -1376 +7856 -1568 +7488 -2112 +7680 -864 +7808 -912 +7936 -976 +7792 -1184 +7472 -1152 +7792 -1184 +7568 -784 +6832 -240 +7584 -592 +7344 -32 +7472 -144 +7920 -512 +7376 -96 +7584 272 +7920 256 +7632 256 +7696 -144 +8224 -336 +7680 -96 +8000 -112 +7456 -80 +7200 -32 +8192 672 +7680 1232 +8128 1152 +8480 1360 +7392 1232 +6960 1280 +7872 1056 +7504 560 +7856 512 +7872 1408 +7296 1936 +7584 1824 +7136 1680 +7472 2576 +7648 2752 +6896 1712 +7712 1888 +6704 2176 +7504 3040 +7904 2816 +7520 2752 +7296 1808 +6560 1552 +7504 2080 +7392 2128 +7456 3088 +6912 2912 +7360 2816 +6864 3344 +6576 3152 +6896 4064 +6624 3232 +7024 3344 +7088 3296 +6704 3904 +6336 3856 +6496 4720 +6272 4592 +6096 3952 +6064 4624 +5904 4400 +6272 4000 +5616 4144 +6272 4608 +6256 4368 +5504 4384 +6304 4176 +6480 4944 +6496 5072 +5488 5664 +5616 5504 +5888 5504 +5616 4224 +5488 5360 +5200 6304 +4448 6176 +4752 5984 +5088 5088 +4688 5952 +4976 6672 +4688 6688 +5360 5968 +5008 5808 +4544 6416 +4624 5520 +4304 6400 +4736 6000 +4528 6224 +4256 6672 +4928 5376 +4944 5920 +5120 5856 +4512 6016 +4336 6432 +4128 6752 +4320 6288 +4320 6256 +3984 6144 +4592 5952 +4512 6512 +3776 6528 +3424 7472 +3616 6464 +3104 7472 +3600 6752 +3456 6704 +3664 6576 +4000 5968 +3648 7072 +2576 6976 +2736 6976 +3568 7056 +3056 6640 +3712 6768 +2448 7536 +2256 7488 +2608 7184 +2128 7424 +1792 7872 +2832 7520 +2288 7328 +1728 7184 +1840 7056 +1504 7376 +1616 7696 +1184 8192 +1072 6880 +1280 7664 +1040 7712 +1008 7504 +1056 7504 +448 7792 +1344 7760 +1424 7712 +528 8048 +0 7056 +368 8064 +256 8256 +16 7888 +96 6784 +32 7504 +-256 8048 +-352 7552 +16 7744 +16 7392 +-320 8128 +-144 7824 +-544 7248 +-1264 7424 +-576 7632 +-1040 7792 +-1264 7744 +-416 7776 +-400 7440 +-1504 7728 +-1072 7392 +-1152 7440 +-1392 7840 +-1504 7504 +-1664 7376 +-1424 7360 +-1280 7216 +-1104 6816 +-1248 7120 +-1440 7344 +-1888 7200 +-1552 7504 +-1648 7056 +-2288 7024 +-2512 7024 +-1760 7360 +-2752 7264 +-2512 7472 +-2336 6672 +-2160 6928 +-2800 7440 +-2848 7488 +-2928 6928 +-2992 6928 +-3344 7040 +-2848 7264 +-3344 7152 +-4288 6528 +-2896 6784 +-3392 6544 +-3344 6704 +-3344 6176 +-3728 6448 +-3712 7168 +-4240 6464 +-3856 6608 +-4000 6336 +-3744 6848 +-3664 6352 +-4048 6704 +-3168 6704 +-4240 6352 +-4368 6992 +-4112 6656 +-3664 6480 +-4320 6336 +-5232 6192 +-4336 6192 +-4912 6400 +-4448 5744 +-5408 6000 +-5552 5712 +-5200 5456 +-5472 5856 +-4720 4992 +-5744 5344 +-5760 5392 +-5376 5344 +-5488 4832 +-6128 5120 +-5296 4560 +-5344 4256 +-6096 4576 +-5968 4544 +-6336 4704 +-6096 3904 +-6816 4624 +-6496 4608 +-6080 4448 +-6016 3936 +-5888 3888 +-6736 4416 +-6864 4224 +-6432 3888 +-6512 3808 +-6304 3520 +-6496 3248 +-6144 2944 +-6880 2848 +-7008 3440 +-6880 3472 +-7392 3408 +-7216 2864 +-7152 2656 +-7216 3248 +-7472 3328 +-7568 2272 +-7248 2912 +-7120 2624 +-7008 2560 +-7440 2880 +-7168 2256 +-7424 2784 +-7200 2320 +-7824 2752 +-7648 2272 +-7472 1840 +-7456 2064 +-6912 2048 +-7744 1392 +-7536 1536 +-7360 1456 +-6992 1456 +-7232 2048 +-7568 1152 +-7776 848 +-7136 1200 +-7856 1072 +-7824 624 +-7296 272 +-7952 592 +-7936 960 +-8064 864 +-7744 1200 +-7360 416 +-7504 736 +-7296 384 +-7136 144 +-7696 480 +-7296 464 +-7520 1184 +-7728 704 +-8400 1040 +-8176 64 +-8016 320 +-8208 96 +-7456 -832 +-7840 32 +-7824 48 +-8144 16 +-8032 -752 +-7696 -976 +-7888 -1216 +-7760 -1280 +-7920 -1008 +-7776 -480 +-7472 -304 +-7744 -1456 +-8112 -944 +-7696 -1520 +-8048 -2320 +-7648 -1472 +-7008 -2176 +-7408 -1568 +-7936 -1424 +-6944 -1456 +-7600 -2208 +-7472 -2336 +-6736 -2160 +-7520 -2512 +-6976 -2720 +-7728 -2496 +-7328 -2336 +-7568 -2528 +-7488 -3024 +-7376 -2816 +-7312 -2768 +-6864 -3488 +-7248 -3072 +-6176 -3328 +-6544 -2864 +-6640 -3664 +-6432 -4000 +-7040 -3312 +-6640 -3520 +-6720 -3760 +-6976 -3200 +-6240 -3600 +-7024 -3840 +-6960 -4624 +-6560 -4224 +-6608 -4224 +-6064 -4352 +-6256 -3824 +-6592 -4496 +-5744 -4368 +-6608 -4512 +-6416 -4736 +-6080 -4896 +-5856 -5520 +-6800 -5008 +-6368 -4800 +-5552 -4720 +-5760 -5104 +-5264 -4432 +-6272 -4656 +-5568 -5376 +-6144 -4928 +-5280 -5392 +-5616 -5744 +-5200 -5568 +-5184 -5408 +-4832 -5856 +-4976 -4784 +-4816 -6288 +-4736 -5792 +-5632 -5792 +-4704 -6880 +-4752 -5632 +-4304 -6176 +-4336 -5872 +-4432 -5856 +-3792 -6336 +-3920 -6544 +-4224 -6288 +-3392 -6880 +-4256 -7040 +-3744 -6368 +-4176 -6112 +-3808 -6240 +-3552 -6928 +-2928 -6608 +-3408 -6272 +-3376 -6864 +-3328 -6496 +-3344 -6816 +-2800 -6992 +-3456 -6192 +-3184 -7136 +-2944 -7136 +-2240 -6704 +-2176 -7472 +-2480 -7408 +-2448 -7664 +-2560 -7856 +-2560 -7504 +-2096 -7088 +-1824 -7392 +-2464 -7296 +-1840 -7280 +-1696 -7792 +-1280 -7760 +-1872 -7632 +-1424 -7600 +-1904 -7168 +-1376 -7808 +-1104 -7248 +-2160 -7216 +-848 -6960 +-1264 -7264 +-1648 -8432 +-912 -7376 +-1616 -7264 +-816 -7424 +-1248 -7936 +-1472 -7424 +-1104 -7024 +-128 -6976 +-32 -7200 +-672 -7664 +144 -7824 +-240 -7936 +-640 -7136 +416 -7536 +512 -8016 +-352 -7568 +608 -8064 +352 -8208 +352 -7872 +944 -8080 +1232 -7120 +1024 -7152 +784 -8256 +1040 -8144 +1152 -7728 +1616 -7328 +1184 -6832 +1728 -7200 +1120 -7232 +656 -7264 +1136 -8224 +1328 -7792 +1520 -6800 +1440 -6832 +1968 -8016 +2032 -7392 +2272 -7344 +1984 -7840 +1872 -7280 +2352 -8000 +2672 -7120 +2400 -6960 +1744 -7616 +2976 -6624 +3024 -7344 +2944 -6688 +3216 -6992 +3568 -7360 +2992 -6896 +3488 -6960 +3936 -7136 +3312 -7488 +3648 -6784 +3744 -6496 +3600 -7392 +3920 -7168 +3872 -6576 +4080 -6592 +4192 -6944 +4016 -6176 +4176 -5792 +4368 -6752 +3648 -6176 +4240 -6608 +4384 -5952 +4048 -6128 +4320 -5904 +4896 -5840 +4976 -6080 +5040 -6832 +5184 -5968 +4592 -5696 +4896 -5840 +5472 -6240 +5296 -5728 +5840 -5072 +5616 -5600 +5648 -5408 +5712 -5408 +5744 -5008 +5712 -5232 +5376 -5552 +5536 -5328 +5968 -4928 +5680 -5056 +6016 -5184 +5872 -5264 +5680 -4352 +6064 -4928 +6464 -5552 +6192 -3568 +6064 -4336 +6224 -4608 +6368 -4608 +6800 -4976 +6304 -4464 +6800 -4800 +7440 -4592 +6816 -3424 +6864 -4080 +6160 -3936 +6800 -3840 +6992 -3264 +6448 -3392 +6688 -3808 +6800 -3296 +7248 -3552 +6304 -4112 +6288 -3536 +6944 -3184 +6880 -3312 +7248 -3072 +7344 -3760 +7072 -2912 +6752 -3216 +7648 -3312 +7424 -2496 +6944 -2976 +7824 -2352 +7200 -2720 +6816 -2832 +7168 -2064 +6864 -2496 +7472 -2576 +7216 -2176 +6704 -1872 +7232 -2640 +6288 -1840 +7568 -1408 +7664 -2224 +7824 -1840 +7712 -976 +7584 -1104 +7680 -1648 +7344 -1424 +8080 -1392 +7536 -784 +7712 -416 +7296 -576 +7632 -944 +8128 -464 +7968 176 +7792 -752 +6832 -192 +7632 -672 +8064 -976 +7008 -208 +7024 -240 +7312 -240 +7536 368 +7648 -304 +8064 192 +7872 944 +7984 736 +7408 32 +7360 -304 +7952 816 +7472 16 +7936 528 +7344 688 +7904 1392 +7888 1600 +7440 816 +7520 2000 +7536 912 +7760 1376 +6864 1984 +7040 1568 +7424 2592 +7392 2320 +7776 1680 +7408 1904 +7104 2112 +7184 2304 +7152 2224 +7584 2128 +7472 3072 +7072 3184 +6912 2880 +6928 2736 +6416 3360 +6256 2944 +6640 3632 +6848 3616 +6912 2928 +7168 3168 +7136 2576 +6768 4128 +6512 3056 +6448 3376 +6384 3664 +6784 3920 +6160 4560 +5952 4192 +6352 4096 +6400 3632 +6048 4608 +5936 4032 +6240 4144 +5616 4240 +6000 4528 +5536 5408 +6176 4640 +6432 4256 +5696 4576 +6192 4848 +4976 5024 +5488 4752 +5840 5792 +5440 4944 +5488 4944 +5056 5584 +5648 4832 +5728 5616 +5568 4848 +5328 5664 +5408 5552 +5200 5456 +5056 6464 +4272 6048 +4528 5600 +5056 5792 +5120 5792 +4656 6240 +4256 6368 +4688 5776 +4576 6320 +4464 6672 +4608 6048 +4400 7056 +3984 6800 +4192 6528 +3616 6624 +4256 6768 +3584 6576 +3056 6096 +4656 6944 +3168 6368 +3504 6896 +3648 6928 +3232 5632 +3312 7072 +3952 6992 +2928 6544 +2816 7376 +3280 7040 +3136 6800 +3008 7360 +2192 7120 +2624 7680 +2016 7104 +2528 7088 +3280 7904 +2800 7344 +2784 7520 +1856 7472 +2320 7520 +1664 7840 +1696 7360 +2064 7216 +2528 7552 +1984 8064 +1664 7664 +1456 7968 +1456 8064 +976 7360 +688 7616 +336 8016 +848 8496 +1040 7440 +720 7536 +848 8032 +384 7824 +-160 7664 +-384 7728 +496 8112 +-384 7808 +176 7392 +624 7632 +64 7424 +-384 7664 +352 8144 +112 8016 +-752 7920 +-896 7744 +-352 8144 +-960 7904 +-336 7344 +-944 8080 +-1424 7728 +-672 7776 +-1184 8048 +-832 7296 +-880 7440 +-1296 7168 +-1280 7536 +-2160 7936 +-1568 7408 +-2032 8240 +-2000 7264 +-2464 6912 +-3072 7104 +-1744 7472 +-2304 7888 +-2304 6768 +-1776 6832 +-2256 7712 +-2224 6976 +-1936 7088 +-3024 7008 +-3504 6464 +-2656 7168 +-3440 7760 +-3424 7184 +-2976 7520 +-3280 6368 +-2928 6496 +-4128 7104 +-4192 6336 +-3648 6880 +-3424 6720 +-3440 6416 +-3568 6144 +-3232 6608 +-3184 6704 +-4032 6432 +-4192 6304 +-4288 5776 +-4624 5824 +-4736 6528 +-4752 6640 +-4752 5888 +-4912 5632 +-4944 6112 +-5824 5408 +-5472 5952 +-5248 5728 +-5376 5472 +-5280 5712 +-5136 5888 +-5200 5488 +-5680 6144 +-5344 5904 +-5280 5152 +-5424 5872 +-5888 4896 +-5104 5376 +-5136 5696 +-5152 4992 +-5776 5328 +-5872 4816 +-5360 4880 +-5680 4416 +-5760 4848 +-5456 5696 +-6144 4880 +-6336 4096 +-5872 4352 +-6224 4464 +-6768 4464 +-6352 4576 +-6400 3568 +-6272 4400 +-5776 4592 +-6912 3872 +-6688 4368 +-6992 3440 +-6560 3952 +-6992 3968 +-6240 2928 +-7472 3168 +-7184 3120 +-6512 3424 +-8176 3424 +-7408 3344 +-7200 2528 +-7344 2720 +-7376 3328 +-7328 1792 +-6960 2960 +-7824 2736 +-6960 3200 +-7408 3040 +-7264 2160 +-6608 2256 +-7696 2672 +-7408 2096 +-7120 2288 +-7904 2400 +-7568 2048 +-7296 1824 +-8096 1776 +-8064 1664 +-7616 1616 +-6992 2144 +-7856 1984 +-7344 2368 +-7712 960 +-8192 928 +-7888 1760 +-8240 1216 +-7872 1248 +-7296 1120 +-7264 688 +-7696 1120 +-7872 976 +-7696 432 +-8320 400 +-7952 -32 +-7440 432 +-8128 512 +-8000 -288 +-7760 336 +-7792 -160 +-8240 -352 +-7792 -64 +-7760 -720 +-8080 -832 +-7440 -816 +-7888 -240 +-8432 -1280 +-7440 -1344 +-8096 -1024 +-8288 -1728 +-7632 -1808 +-7360 -1184 +-7520 -1504 +-7568 -1312 +-7216 -1136 +-7680 -1632 +-6816 -1840 +-7552 -1632 +-8224 -2240 +-7056 -1744 +-7520 -2096 +-7408 -2816 +-7248 -2816 +-7680 -2464 +-7280 -2320 +-7424 -2816 +-7424 -1904 +-8128 -2576 +-7520 -2640 +-7376 -2560 +-6960 -4032 +-7648 -2944 +-7424 -2816 +-7040 -3152 +-7360 -3008 +-7152 -3520 +-6832 -3936 +-6608 -3648 +-6480 -3440 +-6912 -4000 +-6448 -3920 +-6800 -4000 +-6768 -3984 +-5872 -4144 +-6224 -3824 +-6656 -4112 +-6592 -4208 +-6208 -4416 +-6336 -4656 +-5664 -4240 +-6192 -4032 +-6720 -4784 +-5552 -5568 +-6304 -4160 +-5728 -5184 +-5184 -5120 +-5632 -5936 +-6320 -5664 +-6480 -5600 +-6080 -5584 +-6080 -5072 +-5248 -5392 +-5232 -5568 +-5344 -5808 +-4976 -5808 +-4752 -5968 +-4784 -5776 +-5344 -5872 +-5296 -5872 +-5632 -5984 +-5056 -5648 +-4784 -5600 +-4768 -5792 +-4720 -5792 +-4752 -5888 +-5312 -6560 +-5296 -6048 +-4160 -6256 +-4576 -5984 +-5040 -6432 +-3808 -6624 +-3568 -6656 +-3680 -6624 +-4128 -6928 +-2880 -6640 +-3968 -6736 +-3744 -7056 +-3440 -6208 +-4336 -6512 +-2960 -6784 +-3376 -7136 +-3264 -7280 +-3136 -6592 +-3072 -6592 +-3232 -6832 +-3280 -7456 +-3488 -7952 +-3040 -7424 +-3312 -6960 +-3680 -6400 +-2736 -7328 +-2272 -7376 +-2656 -6736 +-1968 -7008 +-1696 -7152 +-3488 -7312 +-2720 -7104 +-1968 -7200 +-2128 -7488 +-2208 -7824 +-1824 -6608 +-1552 -7552 +-1760 -7808 +-1024 -7328 +-1312 -7600 +-864 -7136 +-1040 -7248 +-448 -7728 +-1392 -8464 +-1056 -8320 +-688 -7648 +-1184 -7680 +-352 -7376 +-1040 -7552 +-704 -8064 +-672 -7248 +192 -8144 +512 -7152 +-304 -6896 +-704 -7344 +128 -7504 +128 -7696 +-96 -7328 +240 -7520 +656 -8080 +608 -8128 +832 -7904 +672 -8160 +688 -8560 +1216 -7744 +992 -7488 +1408 -7280 +656 -7856 +1088 -7728 +1024 -8112 +624 -7376 +1248 -7264 +1568 -7488 +1936 -7792 +1760 -8352 +1616 -6400 +1680 -6832 +2416 -7072 +1840 -7616 +2336 -6816 +2656 -7136 +2880 -7392 +1984 -7504 +2448 -7248 +2976 -6704 +2256 -6960 +2816 -6720 +3328 -7392 +3120 -7120 +1888 -6752 +2768 -6560 +2288 -6944 +3072 -8048 +3360 -6768 +3264 -6864 +2768 -6576 +3008 -7088 +3872 -7648 +3712 -6976 +4464 -6528 +3536 -6384 +3360 -6528 +3952 -6336 +3712 -6016 +3728 -6256 +4576 -6416 +4096 -7088 +4272 -6688 +4048 -6544 +4272 -6608 +4592 -6320 +4368 -6560 +5168 -6144 +5232 -5280 +5424 -5472 +4688 -5776 +5072 -5984 +4816 -4752 +4704 -5072 +5520 -5648 +5488 -5632 +5744 -5632 +5712 -4448 +5760 -5664 +5536 -5712 +5808 -4512 +6096 -5200 +6160 -5216 +6864 -4976 +5712 -4912 +6096 -4784 +5648 -4848 +6224 -3984 +6704 -3936 +6336 -4576 +6704 -4336 +6016 -4272 +7200 -3072 +6848 -3520 +7520 -4016 +7056 -3600 +6880 -4320 +6432 -3712 +6880 -3712 +7152 -3728 +6880 -4224 +6912 -3712 +6512 -3008 +7328 -3184 +7408 -3408 +7360 -2848 +7056 -2768 +8624 -2800 +7488 -2368 +7264 -2400 +6944 -2496 +6720 -2288 +7248 -2752 +7488 -2208 +7728 -2528 +7152 -2912 +8272 -2720 +6880 -2512 +6848 -2480 +8000 -1984 +7120 -2608 +7232 -1744 +7296 -2928 +6880 -2432 +7424 -1264 +7456 -2048 +7072 -1936 +7520 -1264 +8096 -1568 +8208 -1696 +6528 -736 +7936 -896 +8832 -736 +7984 -1024 +7760 -736 +7008 -336 +7392 -1120 +7168 -352 +7616 -144 +7904 96 +7168 496 +7520 -448 +7792 -432 +7840 192 +7792 -176 +7440 -208 +7344 -240 +7456 608 +7216 1152 +7584 704 +8176 1056 +8080 1008 +7792 848 +8000 1424 +6992 1568 +7360 1696 +8960 992 +7568 912 +7344 1072 +7504 1728 +7504 2112 +7008 2384 +7296 1824 +6896 1856 +6928 3360 +8096 2576 +7296 2784 +7280 2640 +6944 3024 +7888 2752 +7504 3360 +6656 3408 +6880 2656 +7296 3104 +7616 3216 +6736 4272 +7184 3440 +6848 3696 +6800 3136 +6736 3024 +7008 4112 +6560 3120 +6800 4240 +6528 4784 +6496 3760 +6896 3904 +6976 4144 +6944 4672 +6720 4768 +6848 4928 +6288 4400 +5552 4480 +6496 4272 +6848 4240 +6224 3680 +5984 4240 +6160 4976 +6256 4480 +6800 5072 +6768 4976 +6416 4960 +5760 4320 +6128 5264 +5984 5968 +5552 4736 +5552 6176 +5184 5744 +6176 5504 +6048 5824 +5616 4896 +5696 5424 +5376 5616 +4672 5248 +4880 5552 +5248 6096 +5168 5504 +4480 5952 +4016 6112 +4384 6016 +4752 6352 +4736 6576 +4064 6672 +4944 6432 +4384 6176 +3904 6688 +4432 6928 +3968 6672 +4160 6864 +3600 6928 +3456 6656 +3408 7248 +4176 7216 +3024 6656 +2928 7056 +4064 6496 +3904 6336 +2960 6288 +3136 6976 +3424 7408 +3664 7824 +1920 7200 +2032 7712 +2960 7808 +2336 7776 +2752 7856 +2592 7536 +2704 6576 +2736 7072 +1472 7744 +1232 7424 +1632 7760 +1936 7392 +1568 7264 +2000 8096 +1776 7888 +1424 7968 +1440 8528 +688 7248 +1664 7632 +1728 7696 +144 7904 +704 7120 +848 8000 +1552 7856 +1024 7632 +416 7872 +688 8032 +816 7888 +1168 7280 +176 7728 +688 7360 +432 7792 +48 7984 +208 7152 +80 7456 +-784 7376 +-400 7136 +-144 7104 +-752 8176 +-448 8096 +-896 7648 +-1024 7696 +-560 7632 +-816 7440 +-640 7200 +-960 7824 +-928 7936 +-1632 6976 +-1312 7920 +-704 8624 +-1232 7472 +-1360 7520 +-1488 7856 +-1104 6832 +-1248 6832 +-1264 7680 +-2032 7280 +-1984 7840 +-2304 6800 +-2512 6496 +-2096 7136 +-1920 7696 +-2976 7600 +-3376 6592 +-2496 6912 +-2592 6912 +-2896 8064 +-2112 7872 +-2944 7296 +-3264 7680 +-2976 7376 +-2912 7696 +-3520 7520 +-3824 6624 +-3184 7952 +-3776 7040 +-3664 7328 +-2992 7456 +-3216 6272 +-4432 6720 +-3808 7056 +-4112 6944 +-5040 6432 +-4400 6352 +-4192 6880 +-4624 6704 +-4528 6688 +-4624 6224 +-4720 6112 +-4384 6112 +-4160 6720 +-3920 5904 +-4192 6080 +-4880 5872 +-5696 5344 +-4768 6096 +-4576 6352 +-4320 5520 +-5168 5664 +-5216 6752 +-5712 5568 +-5504 5312 +-5744 5328 +-5984 4768 +-5632 4672 +-6208 4944 +-5632 4704 +-5616 4464 +-5616 4832 +-5872 4720 +-6256 5120 +-6560 4224 +-5568 4816 +-5808 4496 +-6112 4032 +-6288 4672 +-6144 3824 +-6864 4912 +-6336 4672 +-6192 5024 +-7520 4576 +-6592 3888 +-6704 3824 +-6928 3760 +-6240 3792 +-6416 3632 +-6736 3728 +-7056 3472 +-7072 3024 +-7552 2624 +-7632 3488 +-7648 2512 +-7328 2304 +-7648 2592 +-7456 2112 +-6912 2944 +-7360 2416 +-7280 1856 +-6992 2672 +-6848 1712 +-7584 2112 +-7504 2640 +-7184 1664 +-7824 1856 +-7232 1584 +-8032 1824 +-7728 1520 +-7696 1792 +-8224 1392 +-8064 1968 +-7408 1616 +-6768 1248 +-7280 1392 +-7584 1456 +-7984 1248 +-7264 1120 +-7312 1472 +-7424 336 +-7632 784 +-7056 320 +-7296 656 +-8496 464 +-7984 432 +-7952 592 +-7376 112 +-8144 304 +-8208 -272 +-7520 -624 +-8304 272 +-8128 -1024 +-8112 -288 +-7088 -224 +-7440 -864 +-8080 -768 +-7728 -1360 +-8176 -960 +-7280 -944 +-7984 -1104 +-7424 -1776 +-6768 -944 +-7520 -1120 +-7776 -1392 +-7408 -608 +-7504 -1024 +-7328 -512 +-7120 -1584 +-8624 -1088 +-8160 -656 +-7152 -1856 +-7008 -2144 +-7360 -2416 +-7488 -1984 +-7216 -2496 +-7248 -2560 +-7600 -2112 +-7792 -2816 +-6704 -2976 +-6896 -2816 +-7152 -2768 +-6960 -3104 +-7344 -2400 +-7200 -2192 +-6176 -3328 +-6880 -3472 +-6960 -3568 +-7568 -3632 +-7008 -3232 +-7056 -3632 +-6816 -3376 +-6128 -3600 +-7072 -3216 +-6544 -3200 +-6592 -4112 +-6800 -4384 +-6720 -4480 +-6672 -4064 +-6928 -3936 +-6784 -3792 +-5568 -4368 +-6336 -4208 +-6480 -5056 +-5296 -4000 +-5952 -4608 +-5824 -5440 +-5712 -5120 +-5664 -5152 +-6240 -5104 +-6144 -5552 +-5232 -5120 +-5456 -3968 +-5328 -5216 +-5968 -5872 +-5136 -5456 +-5536 -5776 +-5584 -5328 +-4992 -5472 +-4912 -6032 +-5280 -5376 +-4704 -5584 +-5296 -6128 +-4512 -5392 +-4752 -5264 +-4704 -6192 +-4320 -6080 +-4864 -6080 +-4544 -6064 +-4272 -6448 +-4336 -6144 +-4576 -6288 +-4160 -6224 +-4960 -6704 +-3920 -6512 +-3824 -6816 +-5184 -6816 +-3824 -6896 +-3632 -5872 +-4288 -5936 +-4272 -6832 +-3856 -6352 +-4256 -6752 +-3712 -6752 +-3552 -6368 +-3168 -6752 +-3840 -7296 +-3008 -7648 +-2448 -7184 +-3584 -7280 +-2080 -7792 +-2320 -7120 +-1920 -7056 +-1792 -7664 +-2048 -7808 +-2128 -7600 +-2400 -7632 +-1904 -6688 +-2432 -6880 +-1872 -7504 +-2256 -6608 +-1760 -7808 +-1760 -7680 +-1904 -7248 +-768 -7104 +-1680 -7472 +-1056 -7056 +-1712 -7248 +-1744 -8112 +-1600 -7040 +-784 -6992 +-240 -7584 +-848 -7632 +-1216 -7520 +-1440 -7264 +-800 -7120 +-976 -7296 +-848 -7904 +-640 -8240 +-432 -8224 +-272 -8320 +80 -7360 +224 -7760 +64 -8448 +736 -7456 +-544 -7936 +176 -7424 +256 -6848 +384 -7232 +832 -8032 +-32 -7792 +672 -7744 +0 -7904 +272 -7328 +1440 -7744 +1392 -7760 +592 -7344 +1200 -7584 +1488 -7760 +1952 -7440 +1120 -7440 +2240 -7840 +1760 -7216 +1648 -7168 +1776 -7584 +1872 -7808 +2736 -7632 +1872 -7360 +2560 -7408 +2240 -7360 +2416 -7280 +1968 -6576 +2288 -7184 +3376 -7072 +2816 -7232 +3024 -6656 +3024 -7232 +2880 -7088 +3760 -6992 +3968 -6576 +3440 -6480 +3360 -7328 +3072 -6784 +3952 -6672 +3616 -6368 +3616 -6976 +3856 -7136 +3312 -6368 +3824 -6784 +4288 -6800 +4208 -6576 +4224 -6256 +4208 -6304 +3936 -6160 +4032 -5808 +5024 -5632 +4960 -6240 +4768 -6400 +4960 -5792 +5008 -5584 +5056 -5952 +4784 -5744 +4896 -5856 +4832 -5600 +5376 -5904 +5168 -5792 +5888 -5280 +5504 -5744 +4784 -5840 +5744 -5776 +5712 -5648 +5824 -4304 +5712 -5168 +5808 -5248 +6384 -5088 +5680 -5488 +5984 -4704 +6768 -4928 +6256 -4272 +6592 -4896 +6480 -4352 +6288 -4128 +6352 -4832 +7232 -3968 +6080 -4704 +6176 -4832 +6592 -4080 +5392 -3872 +6448 -3632 +7008 -3488 +5952 -4528 +6976 -3728 +6256 -2976 +6624 -3552 +6976 -4672 +6512 -4112 +6960 -4032 +6272 -3568 +6288 -2880 +6704 -3376 +7392 -2896 +7664 -3408 +6384 -2848 +7152 -2048 +6992 -2176 +7168 -2864 +7296 -2688 +7184 -2480 +7296 -2016 +6864 -1920 +7184 -2432 +7616 -2896 +7472 -1936 +7904 -2464 +7424 -2512 +6752 -2448 +7056 -1552 +7472 -1120 +7952 -2016 +6896 -1952 +7360 -1568 +7760 -1200 +7024 -992 +7536 -976 +7200 -832 +7568 -688 +8240 -864 +7248 -48 +8128 -1024 +7440 -816 +7616 32 +7648 320 +8160 -480 +8624 -624 +7664 -128 +7856 128 +7312 48 +7952 1008 +7792 816 +7568 640 +7472 1136 +6384 496 +7024 944 +7488 1184 +7472 512 +8224 816 +7904 1360 +7952 672 +7360 832 +7856 1328 +7840 1712 +7264 1856 +7312 2048 +7344 1744 +7728 1552 +7616 944 +8016 1920 +8048 1312 +7952 2016 +7136 1920 +7616 1808 +7760 1840 +7824 2192 +7424 1936 +7136 2448 +7520 2048 +7584 2688 +7680 2928 +7600 1488 +6944 2368 +7664 2992 +7264 3056 +7040 3184 +6464 2704 +6240 2992 +6544 3920 +6480 3632 +6976 3744 +7216 4128 +7232 3840 +6816 3824 +6304 4240 +6640 4112 +6736 4304 +6416 4640 +6672 4288 +6112 4320 +6496 4016 +6224 4688 +6016 4688 +5856 4800 +6016 4512 +6048 4656 +6128 4656 +6240 4688 +5696 5984 +5648 5216 +5680 5648 +5456 4752 +4928 5040 +5200 5808 +5744 5504 +5280 6048 +5040 5248 +5104 5584 +4800 5488 +4832 5808 +4704 6016 +4208 6528 +4752 6672 +4192 6608 +4560 6832 +4880 6752 +4688 6288 +4512 6032 +4544 6768 +4576 6640 +4784 6880 +4496 6752 +4368 6784 +4192 7088 +3648 6912 +4432 6448 +4368 6688 +4560 6352 +3584 6896 +3168 6720 +3632 6528 +2720 7376 +3632 7216 +3968 7168 +2864 7312 +3056 7552 +2880 7344 +2368 7648 +3520 7552 +2688 7264 +2512 7968 +2976 7120 +2208 7776 +2224 7696 +1936 7376 +1504 7264 +1728 7200 +2448 7264 +2192 7392 +1440 7488 +1776 8224 +1600 7936 +1440 7632 +1520 7504 +1856 7696 +1216 7488 +1232 7360 +1360 7888 +976 7744 +784 8480 +944 7488 +320 7536 +128 8608 +192 8256 +992 7936 +576 8016 +-256 7376 +416 7856 +-16 7504 +32 7536 +-288 7872 +-256 7664 +144 7616 +-144 7504 +-160 8016 +-176 7872 +-976 7952 +-896 8048 +-1120 7808 +-752 7888 +-880 7376 +-800 7760 +-944 7904 +-176 6656 +-1280 7808 +-1328 7968 +-1536 6800 +-1680 7920 +-1360 7456 +-1808 7360 +-1568 7152 +-2128 7632 +-2112 7232 +-1376 7520 +-1712 7536 +-1856 6752 +-2544 7616 +-2368 7696 +-2672 7984 +-3024 7408 +-2752 7264 +-2640 6656 +-2272 6976 +-3200 7120 +-2976 7328 +-3216 6912 +-3312 7040 +-3072 7808 +-3456 7024 +-2736 6688 +-3312 6832 +-3664 6544 +-3648 6592 +-3408 7008 +-3664 6976 +-3840 6384 +-3664 6672 +-3760 6992 +-3472 7520 +-4192 7360 +-4400 6704 +-4096 6912 +-3840 6256 +-4160 6528 +-4464 5920 +-5088 6528 +-4560 6896 +-4784 6256 +-4800 6096 +-5136 6048 +-4912 5360 +-4768 6080 +-4576 5536 +-4480 6496 +-5456 4752 +-4480 5008 +-5936 5952 +-5200 5504 +-5632 6176 +-5440 5072 +-5024 5664 +-5072 5488 +-5440 5248 +-5904 4320 +-6192 4784 +-6400 4768 +-5040 4336 +-5792 4688 +-6096 4528 +-6336 4592 +-5744 4032 +-5680 3664 +-5296 3792 +-5440 4464 +-6064 4512 +-6240 3808 +-6624 4272 +-5824 4016 +-6512 3648 +-6416 3376 +-6384 3408 +-6672 4304 +-6272 4432 +-6704 4096 +-6992 3488 +-7664 3328 +-7120 2720 +-6656 2752 +-6928 2096 +-7536 2432 +-7152 2768 +-7280 2112 +-6912 2480 +-7088 2368 +-7312 2256 +-6880 1840 +-7088 2336 +-7456 1712 +-7168 2304 +-7600 2624 +-7376 1776 +-6960 2544 +-7216 1808 +-7248 1920 +-7808 2320 +-7168 1776 +-7552 928 +-7456 816 +-7584 1216 +-7568 560 +-6832 1392 +-7968 1264 +-7200 848 +-7440 816 +-7488 -48 +-7584 512 +-8128 800 +-7360 464 +-7072 -304 +-7936 336 +-7984 848 +-7568 928 +-7808 64 +-7840 -112 +-7712 -352 +-8240 80 +-8592 -272 +-8064 -448 +-7872 -656 +-7248 -1040 +-7616 -448 +-8672 -272 +-7984 -768 +-7888 -1520 +-8192 -720 +-8656 -560 +-7120 -688 +-7424 -560 +-7504 -1248 +-7616 -1472 +-7712 -1808 +-8112 -1872 +-7648 -1760 +-6864 -1680 +-7488 -1904 +-6976 -2384 diff --git a/testing_inputs/simulated/ag_54M_len14_pre100_post200_openwifi.txt b/testing_inputs/simulated/ag_54M_len14_pre100_post200_openwifi.txt new file mode 100644 index 0000000..9830912 --- /dev/null +++ b/testing_inputs/simulated/ag_54M_len14_pre100_post200_openwifi.txt @@ -0,0 +1,780 @@ +1 0 +2 0 +3 0 +4 0 +5 0 +6 0 +7 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +4219 4219 +-12149 215 +-1236 -7203 +13095 -1160 +8439 0 +13095 -1160 +-1236 -7203 +-12149 215 +4219 4219 +215 -12149 +-7203 -1236 +-1160 13095 +0 8439 +-1160 13095 +-7203 -1236 +215 -12149 +4219 4219 +-12149 215 +-1236 -7203 +13095 -1160 +8439 0 +13095 -1160 +-1236 -7203 +-12149 215 +4219 4219 +215 -12149 +-7203 -1236 +-1160 13095 +0 8439 +-1160 13095 +-7203 -1236 +215 -12149 +4219 4219 +-12149 215 +-1236 -7203 +13095 -1160 +8439 0 +13095 -1160 +-1236 -7203 +-12149 215 +4219 4219 +215 -12149 +-7203 -1236 +-1160 13095 +0 8439 +-1160 13095 +-7203 -1236 +215 -12149 +4219 4219 +-12149 215 +-1236 -7203 +13095 -1160 +8439 0 +13095 -1160 +-1236 -7203 +-12149 215 +4219 4219 +215 -12149 +-7203 -1236 +-1160 13095 +0 8439 +-1160 13095 +-7203 -1236 +215 -12149 +4219 4219 +-12149 215 +-1236 -7203 +13095 -1160 +8439 0 +13095 -1160 +-1236 -7203 +-12149 215 +4219 4219 +215 -12149 +-7203 -1236 +-1160 13095 +0 8439 +-1160 13095 +-7203 -1236 +215 -12149 +4219 4219 +-12149 215 +-1236 -7203 +13095 -1160 +8439 0 +13095 -1160 +-1236 -7203 +-12149 215 +4219 4219 +215 -12149 +-7203 -1236 +-1160 13095 +0 8439 +-1160 13095 +-7203 -1236 +215 -12149 +4219 4219 +-12149 215 +-1236 -7203 +13095 -1160 +8439 0 +13095 -1160 +-1236 -7203 +-12149 215 +4219 4219 +215 -12149 +-7203 -1236 +-1160 13095 +0 8439 +-1160 13095 +-7203 -1236 +215 -12149 +4219 4219 +-12149 215 +-1236 -7203 +13095 -1160 +8439 0 +13095 -1160 +-1236 -7203 +-12149 215 +4219 4219 +215 -12149 +-7203 -1236 +-1160 13095 +0 8439 +-1160 13095 +-7203 -1236 +215 -12149 +4219 4219 +-12149 215 +-1236 -7203 +13095 -1160 +8439 0 +13095 -1160 +-1236 -7203 +-12149 215 +4219 4219 +215 -12149 +-7203 -1236 +-1160 13095 +0 8439 +-1160 13095 +-7203 -1236 +215 -12149 +4219 4219 +-12149 215 +-1236 -7203 +13095 -1160 +8439 0 +13095 -1160 +-1236 -7203 +-12149 215 +4219 4219 +215 -12149 +-7203 -1236 +-1160 13095 +0 8439 +-1160 13095 +-7203 -1236 +215 -12149 +-14332 0 +1127 -8953 +8413 -9711 +-8429 -10560 +-257 -4933 +6886 6792 +-11679 1881 +-11180 1520 +-3214 13841 +-5178 2000 +-5532 -7456 +6380 -1295 +7542 -8472 +-12040 -5983 +-5247 -3605 +3386 -9021 +5733 5733 +10937 376 +-2062 -14737 +5382 1370 +2245 5369 +-12549 4346 +91 10549 +4893 -374 +8947 2375 +-3515 9739 +-10561 5062 +5487 8045 +1937 -2558 +8882 -7595 +3646 10196 +-470 11037 +14332 0 +-470 -11037 +3646 -10196 +8882 7595 +1937 2558 +5487 -8045 +-10561 -5062 +-3515 -9739 +8947 -2375 +4893 374 +91 -10549 +-12549 -4346 +2245 -5369 +5382 -1370 +-2062 14737 +10937 -376 +5733 -5733 +3386 9021 +-5247 3605 +-12040 5983 +7542 8472 +6380 1295 +-5532 7456 +-5178 -2000 +-3214 -13841 +-11180 -1520 +-11679 -1881 +6886 -6792 +-257 4933 +-8429 10560 +8413 9711 +1127 8953 +-14332 0 +1127 -8953 +8413 -9711 +-8429 -10560 +-257 -4933 +6886 6792 +-11679 1881 +-11180 1520 +-3214 13841 +-5178 2000 +-5532 -7456 +6380 -1295 +7542 -8472 +-12040 -5983 +-5247 -3605 +3386 -9021 +5733 5733 +10937 376 +-2062 -14737 +5382 1370 +2245 5369 +-12549 4346 +91 10549 +4893 -374 +8947 2375 +-3515 9739 +-10561 5062 +5487 8045 +1937 -2558 +8882 -7595 +3646 10196 +-470 11037 +14332 0 +-470 -11037 +3646 -10196 +8882 7595 +1937 2558 +5487 -8045 +-10561 -5062 +-3515 -9739 +8947 -2375 +4893 374 +91 -10549 +-12549 -4346 +2245 -5369 +5382 -1370 +-2062 14737 +10937 -376 +5733 -5733 +3386 9021 +-5247 3605 +-12040 5983 +7542 8472 +6380 1295 +-5532 7456 +-5178 -2000 +-3214 -13841 +-11180 -1520 +-11679 -1881 +6886 -6792 +-257 4933 +-8429 10560 +8413 9711 +1127 8953 +-14332 0 +1127 -8953 +8413 -9711 +-8429 -10560 +-257 -4933 +6886 6792 +-11679 1881 +-11180 1520 +-3214 13841 +-5178 2000 +-5532 -7456 +6380 -1295 +7542 -8472 +-12040 -5983 +-5247 -3605 +3386 -9021 +5733 5733 +10937 376 +-2062 -14737 +5382 1370 +2245 5369 +-12549 4346 +91 10549 +4893 -374 +8947 2375 +-3515 9739 +-10561 5062 +5487 8045 +1937 -2558 +8882 -7595 +3646 10196 +-470 11037 +-8599 14332 +-594 4430 +1020 -4743 +-10572 -6979 +3033 -891 +9081 6117 +6090 8418 +9227 2119 +4054 2027 +1097 8196 +-11000 -6451 +-5106 -4406 +10190 1533 +-3248 -2924 +8919 7283 +11487 2642 +-8599 0 +11487 -2642 +8919 -7283 +-3248 2924 +10190 -1533 +-5106 4406 +-11000 6451 +1097 -8196 +4054 -2027 +9227 -2119 +6090 -8418 +9081 -6117 +3033 891 +-10572 6979 +1020 4743 +-594 -4430 +-8599 -14332 +8 8675 +3943 13991 +4778 -16384 +-1354 -10575 +1433 -2610 +2643 3521 +-14571 11761 +-4054 -2027 +7967 2837 +-5841 6923 +-2922 3320 +-403 9933 +-9659 14115 +-5774 13431 +1594 899 +2866 0 +1594 -899 +-5774 -13431 +-9659 -14115 +-403 -9933 +-2922 -3320 +-5841 -6923 +7967 -2837 +-4054 2027 +-14571 -11761 +2643 -3521 +1433 2610 +-1354 10575 +4778 16384 +3943 -13991 +8 -8675 +-8599 14332 +-594 4430 +1020 -4743 +-10572 -6979 +3033 -891 +9081 6117 +6090 8418 +9227 2119 +4054 2027 +1097 8196 +-11000 -6451 +-5106 -4406 +10190 1533 +-3248 -2924 +8919 7283 +11487 2642 +2654 5078 +551 4494 +-14593 4592 +-6605 4703 +-5036 -1978 +-6020 278 +1514 3786 +1556 -9782 +-2030 -7397 +7435 7704 +9396 -3256 +-3213 -10864 +-7190 -1529 +3299 4499 +12556 3134 +8515 -8677 +7290 -4865 +-2543 11911 +-15942 6673 +-5979 -1135 +-5320 -408 +-11715 -4372 +-3411 -1385 +6135 5004 +3581 3903 +-8770 -528 +-2340 -4665 +4603 -4229 +-1779 -6503 +-1961 -12399 +-4804 -8514 +-6574 -5216 +-6192 -10386 +2556 -2677 +8989 12436 +4968 5590 +9674 -2294 +-2874 3976 +-12261 1394 +-5617 8455 +-8586 9167 +3308 -13013 +9685 -692 +5221 11335 +1212 -6066 +-4988 -605 +4889 2461 +11634 -410 +13941 8404 +-3648 6317 +-13572 4214 +13567 230 +2452 1141 +-2834 9346 +9243 3925 +-6528 -399 +7034 -3903 +9045 1412 +685 9006 +4523 -6237 +-11704 -3595 +5005 4889 +9966 -11879 +-12052 -9598 +2654 5078 +551 4494 +-14593 4592 +-6605 4703 +-5036 -1978 +-6020 278 +1514 3786 +1556 -9782 +-2030 -7397 +7435 7704 +9396 -3256 +-3213 -10864 +-7190 -1529 +3299 4499 +12556 3134 +8515 -8677 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +7 0 +6 0 +5 0 +4 0 +3 0 +2 0 +1 0 diff --git a/testing_inputs/simulated/ag_54M_len1537_pre100_post200_openwifi.txt b/testing_inputs/simulated/ag_54M_len1537_pre100_post200_openwifi.txt new file mode 100644 index 0000000..4d01a39 --- /dev/null +++ b/testing_inputs/simulated/ag_54M_len1537_pre100_post200_openwifi.txt @@ -0,0 +1,5340 @@ +1 0 +2 0 +3 0 +4 0 +5 0 +6 0 +7 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +2674 2674 +-7700 136 +-783 -4565 +8300 -736 +5349 0 +8300 -736 +-783 -4565 +-7700 136 +2674 2674 +136 -7700 +-4565 -783 +-736 8300 +0 5349 +-736 8300 +-4565 -783 +136 -7700 +2674 2674 +-7700 136 +-783 -4565 +8300 -736 +5349 0 +8300 -736 +-783 -4565 +-7700 136 +2674 2674 +136 -7700 +-4565 -783 +-736 8300 +0 5349 +-736 8300 +-4565 -783 +136 -7700 +2674 2674 +-7700 136 +-783 -4565 +8300 -736 +5349 0 +8300 -736 +-783 -4565 +-7700 136 +2674 2674 +136 -7700 +-4565 -783 +-736 8300 +0 5349 +-736 8300 +-4565 -783 +136 -7700 +2674 2674 +-7700 136 +-783 -4565 +8300 -736 +5349 0 +8300 -736 +-783 -4565 +-7700 136 +2674 2674 +136 -7700 +-4565 -783 +-736 8300 +0 5349 +-736 8300 +-4565 -783 +136 -7700 +2674 2674 +-7700 136 +-783 -4565 +8300 -736 +5349 0 +8300 -736 +-783 -4565 +-7700 136 +2674 2674 +136 -7700 +-4565 -783 +-736 8300 +0 5349 +-736 8300 +-4565 -783 +136 -7700 +2674 2674 +-7700 136 +-783 -4565 +8300 -736 +5349 0 +8300 -736 +-783 -4565 +-7700 136 +2674 2674 +136 -7700 +-4565 -783 +-736 8300 +0 5349 +-736 8300 +-4565 -783 +136 -7700 +2674 2674 +-7700 136 +-783 -4565 +8300 -736 +5349 0 +8300 -736 +-783 -4565 +-7700 136 +2674 2674 +136 -7700 +-4565 -783 +-736 8300 +0 5349 +-736 8300 +-4565 -783 +136 -7700 +2674 2674 +-7700 136 +-783 -4565 +8300 -736 +5349 0 +8300 -736 +-783 -4565 +-7700 136 +2674 2674 +136 -7700 +-4565 -783 +-736 8300 +0 5349 +-736 8300 +-4565 -783 +136 -7700 +2674 2674 +-7700 136 +-783 -4565 +8300 -736 +5349 0 +8300 -736 +-783 -4565 +-7700 136 +2674 2674 +136 -7700 +-4565 -783 +-736 8300 +0 5349 +-736 8300 +-4565 -783 +136 -7700 +2674 2674 +-7700 136 +-783 -4565 +8300 -736 +5349 0 +8300 -736 +-783 -4565 +-7700 136 +2674 2674 +136 -7700 +-4565 -783 +-736 8300 +0 5349 +-736 8300 +-4565 -783 +136 -7700 +-9084 0 +714 -5674 +5332 -6155 +-5342 -6693 +-163 -3126 +4365 4305 +-7402 1192 +-7086 963 +-2037 8772 +-3282 1268 +-3506 -4726 +4044 -821 +4780 -5369 +-7631 -3792 +-3326 -2285 +2146 -5718 +3634 3634 +6932 238 +-1307 -9340 +3411 869 +1423 3403 +-7954 2755 +57 6686 +3101 -237 +5671 1505 +-2228 6173 +-6693 3208 +3478 5099 +1227 -1621 +5630 -4814 +2311 6462 +-298 6995 +9084 0 +-298 -6995 +2311 -6462 +5630 4814 +1227 1621 +3478 -5099 +-6693 -3208 +-2228 -6173 +5671 -1505 +3101 237 +57 -6686 +-7954 -2755 +1423 -3403 +3411 -869 +-1307 9340 +6932 -238 +3634 -3634 +2146 5718 +-3326 2285 +-7631 3792 +4780 5369 +4044 821 +-3506 4726 +-3282 -1268 +-2037 -8772 +-7086 -963 +-7402 -1192 +4365 -4305 +-163 3126 +-5342 6693 +5332 6155 +714 5674 +-9084 0 +714 -5674 +5332 -6155 +-5342 -6693 +-163 -3126 +4365 4305 +-7402 1192 +-7086 963 +-2037 8772 +-3282 1268 +-3506 -4726 +4044 -821 +4780 -5369 +-7631 -3792 +-3326 -2285 +2146 -5718 +3634 3634 +6932 238 +-1307 -9340 +3411 869 +1423 3403 +-7954 2755 +57 6686 +3101 -237 +5671 1505 +-2228 6173 +-6693 3208 +3478 5099 +1227 -1621 +5630 -4814 +2311 6462 +-298 6995 +9084 0 +-298 -6995 +2311 -6462 +5630 4814 +1227 1621 +3478 -5099 +-6693 -3208 +-2228 -6173 +5671 -1505 +3101 237 +57 -6686 +-7954 -2755 +1423 -3403 +3411 -869 +-1307 9340 +6932 -238 +3634 -3634 +2146 5718 +-3326 2285 +-7631 3792 +4780 5369 +4044 821 +-3506 4726 +-3282 -1268 +-2037 -8772 +-7086 -963 +-7402 -1192 +4365 -4305 +-163 3126 +-5342 6693 +5332 6155 +714 5674 +-9084 0 +714 -5674 +5332 -6155 +-5342 -6693 +-163 -3126 +4365 4305 +-7402 1192 +-7086 963 +-2037 8772 +-3282 1268 +-3506 -4726 +4044 -821 +4780 -5369 +-7631 -3792 +-3326 -2285 +2146 -5718 +3634 3634 +6932 238 +-1307 -9340 +3411 869 +1423 3403 +-7954 2755 +57 6686 +3101 -237 +5671 1505 +-2228 6173 +-6693 3208 +3478 5099 +1227 -1621 +5630 -4814 +2311 6462 +-298 6995 +-7267 10901 +-5589 16 +-1022 5992 +-1627 6943 +-32 2012 +6585 3551 +2379 -5374 +2705 -7963 +6735 0 +-2846 2127 +51 -97 +10029 2819 +2130 1736 +-5531 -275 +-4353 3835 +2891 908 +9084 0 +2891 -908 +-4353 -3835 +-5531 275 +2130 -1736 +10029 -2819 +51 97 +-2846 -2127 +6735 0 +2705 7963 +2379 5374 +6585 -3551 +-32 -2012 +-1627 -6943 +-1022 -5992 +-5589 -16 +-7267 -10901 +-965 517 +9127 6534 +3013 -5307 +-6171 6760 +23 5489 +-2955 -1644 +-6329 -51 +4166 0 +5265 8978 +525 5485 +-2003 1312 +-3194 -231 +-211 1259 +-3752 6562 +-5408 -6067 +-1817 0 +-5408 6067 +-3752 -6562 +-211 -1259 +-3194 231 +-2003 -1312 +525 -5485 +5265 -8978 +4166 0 +-6329 51 +-2955 1644 +23 -5489 +-6171 -6760 +3013 5307 +9127 -6534 +-965 -517 +-7267 10901 +-5589 16 +-1022 5992 +-1627 6943 +-32 2012 +6585 3551 +2379 -5374 +2705 -7963 +6735 0 +-2846 2127 +51 -97 +10029 2819 +2130 1736 +-5531 -275 +-4353 3835 +2891 908 +1402 976 +-441 7309 +-10793 62 +-1251 2484 +-2663 1463 +-6583 -5939 +2981 5699 +220 170 +2310 -5626 +8517 5104 +515 -836 +-2125 -2777 +-4262 4855 +-4416 5624 +2715 -2508 +-174 -6713 +415 2523 +4735 6015 +-665 2206 +-5617 -3850 +-6693 -472 +-5636 2073 +-3126 -9490 +5050 377 +3856 8401 +-7812 -147 +-184 1130 +2614 -4248 +-3710 -4511 +3841 -1479 +1127 -2399 +-2326 1862 +280 -976 +577 6693 +8023 6784 +4091 -6799 +-1754 -506 +-903 -2404 +-5748 -4056 +-3243 9438 +-3431 1701 +-2535 -8639 +8235 427 +8372 3949 +5259 -2040 +1710 -1747 +-171 564 +10208 -450 +10238 -280 +-42 1468 +1713 1177 +4396 -3212 +-103 636 +-3147 7837 +-5072 6205 +-787 2198 +2872 -4476 +-5626 -1561 +-2358 4751 +4835 -1791 +-4015 -6153 +-180 -6752 +2808 -5228 +-6320 -4094 +1402 976 +-441 7309 +-10793 62 +-1251 2484 +-2663 1463 +-6583 -5939 +2981 5699 +220 170 +2310 -5626 +8517 5104 +515 -836 +-2125 -2777 +-4262 4855 +-4416 5624 +2715 -2508 +-174 -6713 +280 -1547 +-493 1233 +-338 -6720 +-4179 -7861 +-1405 -6025 +-9419 2279 +-9689 1540 +-1160 -6047 +-1833 -2905 +574 -1744 +-1404 -4531 +-1021 -545 +3643 3898 +-3780 -909 +-3716 -184 +8922 6614 +8545 5326 +-2910 1273 +-6211 -884 +-6206 4601 +-5861 9400 +-2396 5257 +-1029 -4643 +4577 -11060 +4635 2768 +-1143 5324 +988 -6498 +-1830 4679 +-2265 10057 +624 -11 +-5205 -1143 +-3708 -2316 +841 -4620 +463 2947 +3278 3657 +4096 -6994 +23 -98 +-1392 3483 +3179 -4243 +3782 4338 +-3213 4026 +-4085 -2970 +1961 -3843 +-1699 -5842 +-3847 -2227 +9029 -2227 +7270 -1731 +-6636 -4981 +-4060 -1402 +5369 9539 +5185 -787 +-4693 3946 +515 9058 +12095 -3497 +3383 2724 +-257 707 +-710 596 +-5704 1300 +-1216 -7307 +4431 6974 +9197 5093 +3167 -3333 +-920 3194 +5580 -4156 +280 -1547 +-493 1233 +-338 -6720 +-4179 -7861 +-1405 -6025 +-9419 2279 +-9689 1540 +-1160 -6047 +-1833 -2905 +574 -1744 +-1404 -4531 +-1021 -545 +3643 3898 +-3780 -909 +-3716 -184 +8922 6614 +1962 -706 +1109 2994 +-3593 3576 +-2023 3750 +-1081 -3963 +2060 -6128 +5945 1745 +-2623 1463 +4606 2530 +6285 6697 +-4017 2377 +2887 -6773 +85 -2288 +1205 9196 +5111 5195 +518 2833 +4620 0 +-459 -4089 +2353 6282 +5586 7203 +2685 2 +9828 -2652 +-4546 -4323 +-5965 -5021 +11267 -3883 +2365 5490 +-8113 5857 +-7244 913 +-575 -1191 +-4744 -4206 +-11463 3783 +-2299 -2451 +-3084 -3779 +-772 10505 +8414 -4434 +6493 -7804 +2271 7395 +-1704 2563 +-123 -3587 +-3381 -4187 +-6289 -848 +2426 1375 +5955 3186 +6818 -1281 +5069 -12630 +-1878 -4879 +-7010 -1847 +-3519 -7954 +2108 -3364 +-1268 -4340 +427 -1812 +-1552 2145 +-3874 1051 +4023 1053 +-1990 -2092 +-3197 117 +2750 1080 +-3615 2370 +-3668 9637 +-1178 6218 +-4579 -1833 +-5890 -2493 +-1623 -1116 +1708 -2630 +1962 -706 +1109 2994 +-3593 3576 +-2023 3750 +-1081 -3963 +2060 -6128 +5945 1745 +-2623 1463 +4606 2530 +6285 6697 +-4017 2377 +2887 -6773 +85 -2288 +1205 9196 +5111 5195 +518 2833 +3925 2669 +-5949 -1071 +-6894 -10638 +5707 -2979 +2306 5661 +-5174 -5838 +-965 -4800 +-1247 2035 +349 6371 +-3187 -2183 +-1421 -6090 +4333 7968 +-1894 830 +7303 -1396 +6743 2767 +-2364 -6720 +7154 -561 +2779 1792 +-191 858 +2727 6688 +1316 3403 +4056 3855 +-1437 2674 +-2866 -206 +-6118 2119 +-5576 -2519 +3589 -4454 +-4736 2481 +-6515 1144 +-171 -2544 +-223 2771 +605 -1706 +-1121 -6033 +-6500 567 +-16384 4254 +-6153 8158 +6473 -2626 +2407 -6841 +9072 6260 +4921 -4093 +1333 -4128 +4243 9057 +-2306 388 +5513 -1076 +-1662 -638 +-9830 -9373 +1624 -5522 +-3151 3737 +2377 561 +7699 -2877 +-4396 2762 +-1402 234 +1119 -1953 +-1309 1856 +1508 2073 +-909 941 +-1170 -3241 +5836 -1949 +5576 -537 +-2159 3083 +-3385 5391 +-1109 -7448 +1620 -1738 +5668 8368 +3925 2669 +-5949 -1071 +-6894 -10638 +5707 -2979 +2306 5661 +-5174 -5838 +-965 -4800 +-1247 2035 +349 6371 +-3187 -2183 +-1421 -6090 +4333 7968 +-1894 830 +7303 -1396 +6743 2767 +-2364 -6720 +-3364 -9666 +826 -2013 +1455 4872 +-762 -135 +5170 6974 +12641 3412 +-434 -5056 +744 85 +12095 -5347 +2159 -4889 +-3390 9637 +-1699 4810 +6200 -8916 +3270 -966 +-8475 6037 +4710 1008 +-1256 7289 +-13578 4717 +1114 -7886 +2809 -6133 +3421 -2961 +425 -10 +-8533 2171 +-4824 154 +-5694 562 +-3159 -1507 +-2445 -920 +-4852 2869 +3854 904 +8696 -711 +6331 2146 +1388 1263 +0 -987 +-3481 1453 +-1345 240 +5158 1693 +-8370 4851 +-6699 1928 +4141 4759 +1436 2484 +7528 -4745 +-1574 -4506 +-6921 -4612 +2099 -1375 +-621 3106 +3791 5019 +-2037 434 +-6580 -4552 +4620 2243 +-2254 1967 +-6038 -262 +-3617 -1185 +-5827 -6622 +-51 896 +-916 890 +-2418 -3246 +4012 3924 +7174 -909 +6357 -5555 +-1107 -1200 +-3826 422 +5271 604 +3196 2075 +-5941 -1025 +-3364 -9666 +826 -2013 +1455 4872 +-762 -135 +5170 6974 +12641 3412 +-434 -5056 +744 85 +12095 -5347 +2159 -4889 +-3390 9637 +-1699 4810 +6200 -8916 +3270 -966 +-8475 6037 +4710 1008 +-7009 -2658 +5506 6450 +-120 1002 +4049 -3182 +5800 2833 +-1886 2623 +6931 3353 +-2830 1412 +-3972 -2312 +4574 -1019 +1844 -460 +13150 1124 +-2213 -4548 +-11403 -2040 +6722 9009 +-1944 4762 +-976 2523 +2328 1091 +-6225 -2763 +-97 5892 +-1570 9316 +-1323 -954 +4739 31 +5562 8790 +2592 -2542 +-3471 -5451 +3893 6457 +4914 1506 +-3261 -114 +2186 -496 +5571 -1112 +4595 2222 +3644 -5752 +970 -1231 +-654 2481 +-1555 -3314 +4536 -851 +7429 -4076 +-2869 -698 +-8100 1356 +-2196 -3295 +-4129 1684 +-8766 1436 +-2518 -2680 +-2765 -4299 +-6429 1796 +-400 5555 +227 -1081 +-2388 -4205 +-58 -10753 +-795 -5150 +-763 -1305 +-3159 -11298 +-12197 41 +-6967 270 +2966 -6273 +3575 4785 +10411 -13 +6337 -761 +-4766 4859 +-4096 -11 +-1477 3378 +4214 3777 +-3920 -5117 +-7009 -2658 +5506 6450 +-120 1002 +4049 -3182 +5800 2833 +-1886 2623 +6931 3353 +-2830 1412 +-3972 -2312 +4574 -1019 +1844 -460 +13150 1124 +-2213 -4548 +-11403 -2040 +6722 9009 +-1944 4762 +1402 5181 +965 7066 +-755 -2210 +-3798 -4262 +1693 2684 +-2001 4446 +-7404 8203 +2660 4427 +-3085 225 +-5459 645 +1329 -4130 +-5095 2821 +1053 1433 +3372 -3437 +-1851 3952 +3407 4510 +-1267 2243 +-473 -5874 +-1156 -1792 +-8883 5380 +5544 1270 +7589 7611 +-3094 -2970 +6768 -9602 +9851 -1607 +4649 -8022 +10090 -1788 +12588 3320 +246 -769 +-11252 -2745 +-5275 -2087 +2070 4642 +280 987 +-3467 3167 +-1997 -1653 +3293 -7170 +-475 8145 +-1442 -2208 +1580 -8483 +-5565 -439 +-6447 -7514 +-845 -765 +3064 1011 +5010 -1777 +3144 5046 +5869 2922 +359 -1782 +-7127 -3170 +706 2803 +3394 5389 +1586 1362 +-420 -1735 +-1155 -3128 +6127 -2325 +4240 -6457 +1320 982 +-1441 2167 +-8937 -5049 +-947 6957 +1133 -2649 +-5564 -10196 +-2576 8149 +-4254 2896 +-2877 -4250 +1402 5181 +965 7066 +-755 -2210 +-3798 -4262 +1693 2684 +-2001 4446 +-7404 8203 +2660 4427 +-3085 225 +-5459 645 +1329 -4130 +-5095 2821 +1053 1433 +3372 -3437 +-1851 3952 +3407 4510 +-2243 7434 +19 1878 +3874 6888 +-2493 1487 +-759 -2932 +3210 1724 +1725 -7683 +880 -1268 +-1373 5065 +-4377 -8383 +5316 -2833 +9087 2221 +-1086 -1439 +-1258 2943 +-110 989 +-3873 -2404 +-1256 1962 +3923 7750 +7216 -805 +7121 -8381 +159 1561 +-5091 1183 +611 2202 +1924 9434 +-4629 910 +-6708 -2900 +-4192 -3889 +366 -4124 +-4398 3860 +-10861 16 +-2451 -3194 +4932 -1171 +2803 -6874 +2149 -2757 +-2046 6041 +-12082 2119 +-5080 -6447 +1060 -7446 +-6731 -609 +5794 64 +7540 -2262 +-5247 1572 +-1640 435 +-6093 -1868 +-3303 -1597 +-1931 -3369 +-3931 2485 +8672 6560 +-1547 841 +1632 -146 +11084 2012 +-7817 1961 +-4412 3332 +-772 3436 +4995 -1703 +13685 -2753 +-6024 1893 +-747 -1499 +9542 -3364 +2237 -1616 +3180 -5309 +-6399 -2973 +-836 3027 +9059 8709 +-2243 7434 +19 1878 +3874 6888 +-2493 1487 +-759 -2932 +3210 1724 +1725 -7683 +880 -1268 +-1373 5065 +-4377 -8383 +5316 -2833 +9087 2221 +-1086 -1439 +-1258 2943 +-110 989 +-3873 -2404 +7569 987 +-2906 3767 +-9817 1526 +1458 2141 +-1825 -2857 +2448 3057 +-346 -2791 +-8307 -11300 +3529 2366 +2228 2739 +1929 -126 +582 2990 +-8548 1105 +561 4215 +1959 8167 +-2463 4789 +-6022 2243 +-6442 5280 +10887 -2048 +6820 -3392 +-4597 1473 +6700 -6664 +4539 -42 +-3250 3153 +890 -4649 +-4139 2889 +-8114 2751 +1753 -2927 +2621 -764 +1948 -975 +5417 -6569 +-4377 -2737 +-1962 4620 +4744 -1946 +-1223 3628 +1162 4001 +-6449 -5786 +-8610 2087 +6748 -984 +5853 404 +7684 7726 +7375 4781 +-5931 9285 +858 998 +7194 -4004 +-2020 1189 +-2332 2091 +-2181 5181 +-4070 -4485 +3822 -5911 +5511 587 +-5239 -3562 +-8435 -8529 +-206 -9594 +-712 1166 +-5832 688 +5838 -2079 +9975 431 +30 -6631 +150 407 +-2389 -5307 +-2021 -9830 +426 7933 +-442 3650 +7569 987 +-2906 3767 +-9817 1526 +1458 2141 +-1825 -2857 +2448 3057 +-346 -2791 +-8307 -11300 +3529 2366 +2228 2739 +1929 -126 +582 2990 +-8548 1105 +561 4215 +1959 8167 +-2463 4789 +1121 -3499 +-2528 3635 +-1755 3662 +3286 -3875 +-3234 3153 +-6076 5647 +-184 1547 +-10087 -2894 +-4553 -1839 +7251 3710 +2657 -1565 +1788 -7943 +-1332 -5145 +2663 -2284 +1934 605 +-4322 2362 +4351 -2803 +2783 -2327 +-688 1172 +-730 -77 +-5693 -1113 +-2719 -10193 +1786 -4375 +1561 5168 +-7192 -10207 +-5818 -2815 +2897 7239 +-990 -4338 +1673 5546 +2114 8681 +2632 -3085 +10888 -661 +6167 3499 +-1054 1695 +-3274 706 +-2667 1431 +-2730 -5793 +812 -4540 +7827 4199 +3799 800 +1188 3521 +-5054 2679 +-8702 -1793 +-1841 1893 +-3726 127 +2203 3956 +2363 3876 +-4083 -1683 +1817 -561 +-1455 -947 +631 4882 +43 4004 +-7407 -733 +508 5908 +-788 4837 +-5484 -4144 +-658 -6052 +4948 5199 +5991 8125 +2040 -1923 +6749 -5014 +5909 -9032 +127 -3118 +2313 2909 +1121 -3499 +-2528 3635 +-1755 3662 +3286 -3875 +-3234 3153 +-6076 5647 +-184 1547 +-10087 -2894 +-4553 -1839 +7251 3710 +2657 -1565 +1788 -7943 +-1332 -5145 +2663 -2284 +1934 605 +-4322 2362 +-2523 4070 +-4141 5644 +-1341 -3591 +-4502 -4806 +182 46 +-1645 -4715 +-1590 -2654 +3945 -3955 +-560 -8346 +-2969 -7846 +-1751 -7253 +-4180 2338 +-1476 1854 +8962 207 +4075 8762 +-4522 -3118 +-5461 -7569 +-8446 1962 +6906 -3189 +6431 2449 +-5356 8435 +10882 -2809 +4727 -5235 +-7870 -2501 +918 -936 +3452 3503 +2170 957 +-5838 -4148 +-2885 -1468 +3126 2837 +-6102 2391 +-3821 3049 +2523 2097 +2156 -3946 +-1727 -2755 +-3772 2783 +1115 4672 +1302 1586 +1421 -5963 +3467 -565 +2803 8907 +1648 4881 +410 739 +-5780 399 +-8192 7157 +2805 8537 +2850 -2805 +6466 -1678 +11068 -1962 +-3931 -1925 +3819 7100 +5219 -4475 +-6034 -5303 +4150 11458 +-458 4801 +3622 -3259 +11417 -746 +586 -1231 +485 -3223 +-4478 -1927 +-2025 2549 +4 -201 +-9409 -1540 +-2328 1471 +-2523 4070 +-4141 5644 +-1341 -3591 +-4502 -4806 +182 46 +-1645 -4715 +-1590 -2654 +3945 -3955 +-560 -8346 +-2969 -7846 +-1751 -7253 +-4180 2338 +-1476 1854 +8962 207 +4075 8762 +-4522 -3118 +-2243 -987 +-2290 3510 +5376 -5129 +3509 -88 +-3276 -7703 +-2828 -9411 +-10327 8221 +967 2051 +5694 -1188 +-4770 2439 +4190 -4381 +1803 -696 +-2840 2634 +-1194 -3870 +607 -4932 +11397 -1365 +2938 0 +-1150 3157 +3555 4079 +-3272 2242 +82 3474 +-3845 629 +-4852 -2878 +2747 1361 +-2920 5674 +1055 -1085 +5674 -3001 +1807 6005 +644 279 +-792 -4375 +4483 -1823 +7371 -1540 +2803 5472 +-928 -907 +1030 -3941 +6355 -1758 +3797 -9798 +-683 -77 +-5450 1827 +-9382 -270 +-4012 4553 +691 -7049 +1227 2217 +2080 7642 +2840 -11356 +1696 -5832 +-2248 4194 +-1711 -1563 +3229 -2243 +154 4681 +-5940 3677 +1897 1347 +8368 2813 +1067 -2436 +-564 5245 +1821 8609 +-5490 -67 +-11905 1485 +-3898 -2492 +1793 4449 +-644 8443 +3295 -3286 +-1833 -883 +-6756 -3998 +-2243 -987 +-2290 3510 +5376 -5129 +3509 -88 +-3276 -7703 +-2828 -9411 +-10327 8221 +967 2051 +5694 -1188 +-4770 2439 +4190 -4381 +1803 -696 +-2840 2634 +-1194 -3870 +607 -4932 +11397 -1365 +-1402 -146 +-6966 2535 +-6484 -1036 +2100 3769 +-8153 2966 +-6952 -8440 +-1119 1457 +-908 3114 +8880 -6501 +2127 2068 +4373 4694 +6942 -452 +-4779 -2854 +2241 -3854 +3711 4165 +1647 2929 +4060 -5607 +1695 1157 +-2348 5391 +-5336 937 +5451 -1427 +2890 -5146 +-849 -2009 +883 2560 +-11793 362 +-967 2527 +2499 3896 +-3439 1460 +5358 -1651 +-7250 -1664 +-4427 6003 +8695 3018 +5326 -3779 +5189 2520 +-1344 328 +2284 -4553 +348 2573 +-14117 297 +-6307 -6320 +836 -266 +-4394 2015 +-4377 -3859 +1333 -7211 +-1243 -7010 +-4012 -1371 +6384 -586 +5826 -6598 +-2244 -6701 +-3499 -1682 +-4459 3538 +-4946 -1127 +2172 -1017 +11325 9345 +-2434 965 +-10291 -1714 +5037 4603 +5065 -2604 +-3455 5373 +-4297 8520 +1389 -2649 +5675 1391 +8025 2570 +11213 532 +3611 258 +-1402 -146 +-6966 2535 +-6484 -1036 +2100 3769 +-8153 2966 +-6952 -8440 +-1119 1457 +-908 3114 +8880 -6501 +2127 2068 +4373 4694 +6942 -452 +-4779 -2854 +2241 -3854 +3711 4165 +1647 2929 +7569 -4340 +2571 -4514 +6092 1782 +2448 368 +1076 3906 +1299 2375 +-4897 -6014 +-3933 -224 +-656 -2167 +-3891 -3274 +-5408 1286 +1339 -1163 +-1972 5930 +-946 6570 +3456 -3821 +-11393 -4113 +-7704 280 +-2949 7049 +-4256 8588 +7024 -3653 +-933 -9717 +2957 -5083 +5507 -2079 +-3316 1856 +7494 -3287 +-3970 -6951 +-6544 7965 +5362 6709 +-1575 -5118 +3297 -1602 +2954 -4106 +1182 -6869 +-1962 -706 +-6502 3039 +5921 5828 +-2125 4928 +-8173 -1680 +517 -900 +-1252 9887 +1165 7527 +-465 1607 +-2036 6933 +-295 -793 +3177 -7376 +-118 -340 +-12561 -958 +1000 -4201 +8377 -3917 +-1267 -3084 +2297 2606 +-3385 10137 +-6405 762 +179 -7087 +5146 6656 +7642 2770 +1524 -2573 +3720 7212 +4308 254 +-5583 -1153 +-649 -2545 +13758 -8322 +5979 1257 +-9925 835 +708 -3172 +7569 -4340 +2571 -4514 +6092 1782 +2448 368 +1076 3906 +1299 2375 +-4897 -6014 +-3933 -224 +-656 -2167 +-3891 -3274 +-5408 1286 +1339 -1163 +-1972 5930 +-946 6570 +3456 -3821 +-11393 -4113 +-7850 -1547 +2703 -5468 +-3114 -4031 +-2008 617 +-2559 -109 +-4489 -3466 +1265 -756 +-4406 2764 +-10105 4014 +-3894 7737 +1521 -3370 +1103 -5313 +3240 5687 +1817 370 +-213 2317 +3714 6078 +4620 -1682 +9061 -3525 +8051 -1454 +5262 2093 +3801 3723 +-8218 -137 +-3704 -2609 +4086 1231 +3499 1961 +4600 -906 +-4131 1428 +1283 5167 +3657 8129 +-5916 -2417 +-2440 -9114 +-4170 334 +-561 -2938 +2291 -1143 +-235 3591 +7064 1208 +-1242 3405 +-10682 -5351 +-2825 -3235 +3958 3020 +13 1033 +898 2923 +10553 -5785 +313 134 +-833 3817 +13162 -462 +4570 8907 +-4306 -2581 +-5181 561 +-2057 8660 +-1010 -6092 +-4184 2175 +1120 1952 +-1071 -4325 +-1216 201 +678 -6640 +-4621 -1401 +-3815 5995 +-2664 4498 +3582 -1325 +-457 -4176 +-3361 1516 +9047 -6924 +-2997 -8961 +-7850 -1547 +2703 -5468 +-3114 -4031 +-2008 617 +-2559 -109 +-4489 -3466 +1265 -756 +-4406 2764 +-10105 4014 +-3894 7737 +1521 -3370 +1103 -5313 +3240 5687 +1817 370 +-213 2317 +3714 6078 +1682 -6863 +2178 718 +-5291 1764 +-1397 2319 +-2329 389 +-3798 -3064 +4338 -1156 +698 -1510 +7638 5045 +2073 1794 +-10387 -6503 +1891 -8 +2268 1611 +5708 -4086 +7271 -5701 +-2851 1435 +426 1121 +-2363 -4589 +2422 -2372 +8411 -1414 +2461 7406 +8418 1757 +4798 -8446 +-5044 1376 +-6883 -2078 +-10879 3262 +-5413 3596 +3785 -10892 +-1187 1493 +-6273 1964 +2782 -3870 +988 1550 +-8410 695 +-1142 605 +367 -7267 +-9732 -111 +-7079 3372 +8251 -7034 +7716 2876 +-388 802 +8622 1683 +7523 7657 +-1044 -5630 +-1924 -719 +-53 6307 +1709 3635 +-10400 2115 +-6908 -3706 +2938 561 +-2068 5422 +6875 3661 +2116 -2222 +-3146 -4438 +9809 4284 +4149 4483 +1206 1448 +5201 2078 +1235 -4697 +-985 -5191 +293 6046 +-2149 4045 +-11409 -3142 +-7198 5213 +-115 1120 +1682 -6863 +2178 718 +-5291 1764 +-1397 2319 +-2329 389 +-3798 -3064 +4338 -1156 +698 -1510 +7638 5045 +2073 1794 +-10387 -6503 +1891 -8 +2268 1611 +5708 -4086 +7271 -5701 +-2851 1435 +-6448 5192 +-1143 4067 +10109 3708 +6410 2191 +-228 -1720 +-1090 2802 +-3184 124 +-6935 -5616 +-2720 13 +4057 3938 +3869 5292 +1819 1590 +-2370 -2970 +1996 7281 +4891 4131 +-3058 -9359 +-4060 0 +-2507 2264 +2622 -3703 +5842 2147 +8703 -1931 +8105 -4854 +-10263 802 +-7047 1817 +219 1737 +-5718 -2494 +7117 -642 +2847 2832 +-5710 -2751 +-1296 636 +-4873 -1426 +2711 -8644 +4766 2097 +3426 6000 +7778 4926 +-159 8991 +-6909 -1933 +-5799 -3514 +1613 4936 +1597 -1080 +1038 -574 +4306 -2004 +-4469 -6669 +500 3443 +400 -1419 +-7526 -12441 +4356 -5908 +743 -1881 +-987 -3925 +6946 -4051 +-4346 3191 +-7939 335 +-1566 -11237 +-351 2986 +-2631 9260 +-4211 -4984 +-780 -55 +5291 4696 +6475 625 +-4949 944 +-5776 1533 +4760 3661 +3362 -706 +-1630 -1699 +-6448 5192 +-1143 4067 +10109 3708 +6410 2191 +-228 -1720 +-1090 2802 +-3184 124 +-6935 -5616 +-2720 13 +4057 3938 +3869 5292 +1819 1590 +-2370 -2970 +1996 7281 +4891 4131 +-3058 -9359 +-2523 7424 +-1829 -2968 +6287 -1363 +-1841 7827 +474 -1656 +1869 5544 +-881 9230 +6216 -3132 +-69 329 +2729 3101 +413 4879 +-1953 9788 +6194 2710 +-10064 -3525 +-5635 -4259 +6647 -1539 +-2388 561 +4600 -4043 +4458 1218 +-3480 7255 +640 -2659 +6595 -3047 +4623 7346 +-1838 4745 +2542 -1094 +2594 2585 +501 4422 +1804 -2732 +-679 -2757 +3511 5336 +-1270 2859 +-8445 -1524 +-1402 -1256 +4746 1644 +5529 6758 +1846 3434 +-406 5417 +-1388 3289 +-7841 -10912 +-11921 -756 +-1052 5277 +5082 -7409 +-5619 -2119 +-1301 -720 +1996 -2970 +-1745 3829 +5617 -1261 +180 -4499 +-1536 -1121 +1624 -3976 +105 -3577 +1935 3622 +-2951 5627 +5941 -4635 +3306 -10670 +-10854 -1867 +-4785 1094 +-8989 -6763 +-2703 -5732 +5120 -1130 +-3025 -1468 +2666 -2417 +2080 -10274 +-57 -5316 +-2523 7424 +-1829 -2968 +6287 -1363 +-1841 7827 +474 -1656 +1869 5544 +-881 9230 +6216 -3132 +-69 329 +2729 3101 +413 4879 +-1953 9788 +6194 2710 +-10064 -3525 +-5635 -4259 +6647 -1539 +1402 -1267 +212 -991 +1545 -1938 +-1240 2566 +1975 -4695 +4385 -9149 +-195 605 +-3129 -5073 +342 -5235 +115 2898 +-6322 -271 +-2547 -3159 +-524 -585 +-9864 666 +-5946 -5578 +7077 1269 +4620 7850 +-3160 2838 +346 2906 +2907 -10382 +551 -5417 +-1268 9876 +-4635 -3782 +-906 -1171 +2460 3938 +-103 -1900 +3875 3967 +5339 -679 +2659 -6391 +-756 -3287 +-3838 164 +5490 4940 +8691 6874 +1017 4805 +4729 630 +2288 214 +-5640 3273 +3309 7423 +5070 3244 +-2044 399 +1340 8039 +-3989 2558 +-8860 -177 +1391 -229 +2138 -7893 +-4712 -2500 +-6752 902 +-5101 5971 +-2377 3364 +-2106 -11157 +3825 -6819 +6222 -1405 +-251 7960 +1221 8920 +4789 -3159 +877 6222 +-5263 3351 +-5713 -2496 +-3622 3946 +-3802 -2512 +1334 292 +3473 465 +2536 -8096 +5120 -5941 +1402 -1267 +212 -991 +1545 -1938 +-1240 2566 +1975 -4695 +4385 -9149 +-195 605 +-3129 -5073 +342 -5235 +115 2898 +-6322 -271 +-2547 -3159 +-524 -585 +-9864 666 +-5946 -5578 +7077 1269 +-3084 2669 +1019 4894 +-367 5174 +1931 -693 +3168 -3065 +-7148 582 +-9256 -3965 +130 -337 +-1018 3253 +4221 896 +6027 567 +-486 -4769 +1199 -7171 +354 1182 +3491 7380 +2222 496 +-4060 -3644 +949 1228 +554 5085 +-3649 511 +3017 -10875 +9343 -4117 +1501 7244 +-1479 4653 +2006 3804 +-5134 286 +-1083 877 +192 3382 +-6098 3186 +919 7863 +-3431 -685 +-3125 -6723 +6448 2377 +1197 2207 +-250 -3148 +1820 -1311 +1918 302 +634 -111 +1106 5126 +5368 -521 +-1224 -6056 +-4216 6926 +1828 601 +-1061 -11981 +-3963 -5901 +5498 -3277 +7093 -6471 +-6793 -9497 +-6033 -3644 +105 -3011 +-209 -5989 +379 299 +-5860 183 +-4188 4710 +-5109 -2797 +-2058 -6555 +13693 14699 +4758 4788 +2470 -923 +7489 9035 +-4595 -3571 +660 1867 +118 5382 +-9849 -2902 +-3084 2669 +1019 4894 +-367 5174 +1931 -693 +3168 -3065 +-7148 582 +-9256 -3965 +130 -337 +-1018 3253 +4221 896 +6027 567 +-486 -4769 +1199 -7171 +354 1182 +3491 7380 +2222 496 +3084 4340 +4869 -4051 +-7121 -5332 +-6829 988 +-2745 5793 +5458 6254 +4020 2393 +-3962 -666 +-2085 3563 +-3285 6395 +104 -7237 +1251 -2269 +-2509 4139 +2746 -10085 +3042 2276 +-3304 4313 +-2669 -11774 +5066 170 +2155 5999 +-6274 2101 +-5985 -509 +-5376 -4935 +394 2005 +2596 -4964 +-692 -14268 +270 -1886 +-758 -1580 +1752 -3111 +244 4261 +-598 -3733 +-821 -2480 +-6517 4699 +841 -1536 +241 2124 +-2772 886 +2086 -5621 +-3819 250 +3858 -446 +9358 -2685 +2265 1500 +2085 6529 +1727 3611 +8604 -2252 +5641 3221 +759 2232 +10603 2132 +2846 2132 +-8227 -4723 +-3499 4485 +867 4631 +-3226 1098 +-5799 5639 +214 -2170 +146 650 +478 4495 +701 5417 +-6036 4175 +-5814 -7821 +-1471 -553 +1060 -948 +384 -5025 +6134 6992 +7597 -3650 +-3351 -5576 +3084 4340 +4869 -4051 +-7121 -5332 +-6829 988 +-2745 5793 +5458 6254 +4020 2393 +-3962 -666 +-2085 3563 +-3285 6395 +104 -7237 +1251 -2269 +-2509 4139 +2746 -10085 +3042 2276 +-3304 4313 +2523 -1828 +-1965 -292 +-87 421 +2124 377 +-2263 -2891 +-5391 -6589 +-2772 -494 +2514 -3072 +5161 -2406 +6765 2687 +4479 -1449 +2018 771 +-2351 -2090 +-5823 -9 +-345 7086 +564 -1092 +4901 -280 +5137 7303 +-3267 3644 +-559 -1092 +-5837 635 +-6337 -1885 +-1427 -2733 +-895 1724 +11901 -6701 +-451 -2570 +-8284 3883 +9135 -3554 +3675 4856 +811 4783 +-3215 6943 +-2677 10030 +9812 -4340 +-3936 6120 +-4117 4641 +2685 -10333 +-4765 1838 +1247 3012 +-3570 -2081 +-6116 2015 +1006 163 +7 -9463 +3194 -4152 +-4809 9483 +-10035 -3857 +2234 -7446 +4067 -4124 +-1544 -11233 +-2658 1962 +-95 2838 +2986 -4221 +1251 -793 +2773 -4067 +8410 61 +768 5693 +-9292 5168 +-5734 -4513 +-5463 -6623 +-3874 6203 +4013 1970 +5347 1090 +4850 8073 +2008 3167 +1588 -367 +2523 -1828 +-1965 -292 +-87 421 +2124 377 +-2263 -2891 +-5391 -6589 +-2772 -494 +2514 -3072 +5161 -2406 +6765 2687 +4479 -1449 +2018 771 +-2351 -2090 +-5823 -9 +-345 7086 +564 -1092 +4766 -3219 +1695 -1896 +6029 2800 +9728 -247 +-9086 -5291 +-10819 -4894 +-3870 -2357 +-4003 2053 +-1381 4765 +-6080 3318 +731 1800 +7243 1810 +-1986 3262 +195 -1757 +3860 561 +796 1630 +-2658 -11494 +-4542 -4949 +-2100 1862 +-9614 229 +-8718 766 +6109 -9266 +3844 310 +-817 3344 +-3335 -4312 +-10185 8989 +-2716 3650 +5027 -3436 +5666 -2760 +3206 -3043 +-3508 7660 +3143 1267 +4766 -2388 +-4980 1914 +882 1121 +5313 4129 +-1539 -2338 +-8432 -992 +-5848 -2065 +3838 -7901 +-1983 1403 +-4419 3947 +1724 1207 +-3545 -6265 +-1910 -4547 +1664 39 +4537 -6642 +8669 8767 +-1267 8130 +-1847 -2631 +3832 9667 +-390 1647 +3643 3499 +3602 10178 +-5556 -9096 +-2424 -5283 +5578 9358 +5800 2408 +7317 -8650 +4453 -5796 +-4012 681 +2119 -1366 +4298 2657 +-504 4052 +4766 -3219 +1695 -1896 +6029 2800 +9728 -247 +-9086 -5291 +-10819 -4894 +-3870 -2357 +-4003 2053 +-1381 4765 +-6080 3318 +731 1800 +7243 1810 +-1986 3262 +195 -1757 +3860 561 +796 1630 +561 3779 +-638 2184 +5103 -9222 +2008 -247 +3575 2622 +8870 -2964 +-61 2448 +-3095 -1024 +-1491 301 +-890 11884 +-691 4004 +-4525 -6646 +-6465 -7570 +-2231 -4606 +1389 2522 +-3238 747 +4060 -2523 +3230 -407 +-10745 277 +622 2558 +-1292 4184 +-9803 4515 +-783 2577 +55 2832 +8449 6767 +2803 3490 +-7243 1432 +1300 -131 +-392 -4361 +1067 3013 +-3982 5416 +-7324 -3779 +2803 -1536 +4788 4433 +9186 -295 +-172 -4220 +622 -708 +11981 -711 +-2631 -5665 +-321 -3458 +1491 -301 +-4751 -4279 +3051 762 +-3217 8889 +-303 3221 +5987 1897 +1836 3900 +1385 -443 +-6302 4766 +-593 6533 +7557 -1861 +-1084 -3521 +-4026 -3855 +121 -2369 +5061 -289 +2324 -4636 +-2842 -4524 +-1594 1649 +-6218 4903 +-9973 33 +1553 -4746 +8566 -1833 +-829 -6423 +-1657 -9382 +561 3779 +-638 2184 +5103 -9222 +2008 -247 +3575 2622 +8870 -2964 +-61 2448 +-3095 -1024 +-1491 301 +-890 11884 +-691 4004 +-4525 -6646 +-6465 -7570 +-2231 -4606 +1389 2522 +-3238 747 +561 7424 +-4328 -784 +-8571 -2100 +-8042 8652 +797 1534 +3174 -7459 +-1670 8463 +-1051 4152 +-2974 -8143 +4094 4914 +1247 -1666 +-13734 -5840 +-272 5829 +4573 -765 +-9129 -515 +-6087 2581 +976 -4766 +5377 -1403 +-1849 2648 +-6640 -5688 +4562 -6771 +1330 3130 +-4980 2911 +-2060 -1487 +-2085 -1689 +2245 2178 +6824 4411 +7434 -1351 +3569 2653 +-306 758 +3644 -4898 +1526 6761 +-561 4911 +6178 -1624 +-940 -1192 +-8354 -4320 +1774 -701 +663 3259 +-7957 6165 +-3909 -820 +-390 -7556 +-828 -22 +-2445 3311 +0 7592 +7192 3974 +4476 -1730 +1068 -388 +2440 -4144 +-2097 5887 +-1764 5340 +6739 -3513 +6349 3736 +-405 -1912 +1888 -207 +1673 5648 +-2247 -1044 +2085 1689 +-1617 -2255 +-5490 -8627 +4117 -5954 +9695 -2364 +6245 -3330 +-590 -10657 +-1139 -2827 +561 7424 +-4328 -784 +-8571 -2100 +-8042 8652 +797 1534 +3174 -7459 +-1670 8463 +-1051 4152 +-2974 -8143 +4094 4914 +1247 -1666 +-13734 -5840 +-272 5829 +4573 -765 +-9129 -515 +-6087 2581 +-1962 -1828 +2611 -11440 +-3441 -8375 +-12543 3889 +-477 12 +5151 -2478 +2242 3487 +4529 -1155 +121 -999 +-2565 5151 +1906 3113 +8474 -1370 +2873 5052 +-5527 8481 +852 -1517 +436 -4015 +-2108 -5046 +-1310 -255 +-3599 7684 +2374 354 +3729 1038 +3295 500 +9058 -4003 +5389 -1539 +81 -1737 +937 7474 +2465 1625 +7580 -6615 +5464 5232 +-6622 209 +437 1455 +6406 7885 +-7569 146 +-2424 708 +1111 -2029 +-3816 -357 +4362 1670 +-1074 -5838 +-1326 722 +220 2754 +-10774 -5169 +-2035 -2886 +3864 1748 +-3096 590 +-7630 -2056 +-7041 1216 +1084 1955 +-3680 -6330 +-8545 -5607 +-3472 4992 +514 205 +7382 -6584 +8085 644 +7032 -2400 +2826 -1792 +-4553 4701 +-2885 55 +-4157 4569 +-7306 514 +-6627 -7736 +-707 4108 +8229 5452 +7255 -307 +497 2072 +-1962 -1828 +2611 -11440 +-3441 -8375 +-12543 3889 +-477 12 +5151 -2478 +2242 3487 +4529 -1155 +121 -999 +-2565 5151 +1906 3113 +8474 -1370 +2873 5052 +-5527 8481 +852 -1517 +436 -4015 +-5887 -3510 +-1517 -6961 +-3148 -187 +-4386 9187 +2800 2029 +-4507 -942 +964 3018 +3124 1732 +1797 -4224 +11625 906 +4367 4314 +-35 -9859 +-928 -4084 +-6927 3080 +-213 -1747 +6457 3553 +-146 -3644 +-9224 2718 +-4395 11396 +-5375 -6719 +-5474 -2001 +6808 5520 +-250 -3000 +2594 -409 +9454 1024 +-5448 -836 +-2927 -3444 +1313 455 +1367 5732 +5229 182 +-3093 4194 +-1634 5265 +3084 -3219 +2145 1144 +7661 -744 +7599 -3166 +2422 10850 +-250 3821 +-2745 -12898 +-5169 -3810 +-2358 3103 +1489 314 +437 -4010 +2197 -10086 +6343 -5295 +4416 -824 +-3705 4901 +-5645 6542 +-2658 -4205 +-520 1977 +1331 6572 +-5660 -4253 +-1991 -4149 +5918 1946 +-3248 3229 +-3510 -4421 +-1044 -5510 +-1064 1779 +1159 -439 +-2654 -1975 +-2296 -838 +-3687 4118 +3319 6788 +6297 21 +-5887 -3510 +-1517 -6961 +-3148 -187 +-4386 9187 +2800 2029 +-4507 -942 +964 3018 +3124 1732 +1797 -4224 +11625 906 +4367 4314 +-35 -9859 +-928 -4084 +-6927 3080 +-213 -1747 +6457 3553 +-2523 2658 +-2734 -588 +-351 -5326 +1254 1989 +-939 1875 +-4321 4679 +1052 7434 +-2609 -4174 +-2264 -7424 +4455 596 +-358 -4508 +-4917 -3784 +-4246 5579 +3920 -4524 +5403 -7186 +-446 1388 +1536 841 +2591 570 +3333 -1178 +-206 431 +-1606 -6399 +3648 -13846 +4469 -1436 +1713 3126 +-8595 2939 +-3566 728 +6756 -1949 +-4123 5721 +-4375 2395 +-1663 2076 +-5514 1477 +-1717 -3206 +1402 6874 +1800 6891 +-1211 2344 +4214 -1543 +4795 -878 +-3969 10791 +-1806 3477 +-5005 -654 +-1661 696 +3386 -4041 +-2172 5551 +7545 -383 +9168 -13496 +1240 -9095 +681 -941 +-1495 10316 +5192 9812 +5867 152 +-3356 -2840 +877 -2397 +7841 -1326 +3861 1354 +3286 6768 +3024 2008 +-8786 -5181 +-7970 449 +-2640 3421 +-5301 -4790 +574 -7934 +-2060 3807 +-3084 3864 +2706 -4047 +-2523 2658 +-2734 -588 +-351 -5326 +1254 1989 +-939 1875 +-4321 4679 +1052 7434 +-2609 -4174 +-2264 -7424 +4455 596 +-358 -4508 +-4917 -3784 +-4246 5579 +3920 -4524 +5403 -7186 +-446 1388 +-3364 2377 +-2607 642 +6308 -1961 +-2258 134 +-4247 3880 +-1005 -4033 +-3103 -1707 +-2773 -3247 +-2337 -7178 +3314 6443 +-3723 7276 +-12938 -1771 +-3507 5016 +1428 5008 +7460 -6406 +4460 -2584 +-2949 4205 +6831 980 +2247 -110 +-3331 940 +1599 4167 +1819 1749 +1844 -2907 +-3083 1054 +3021 -972 +4220 -1978 +-5544 1081 +-2509 -2015 +-3375 -2072 +-127 -3230 +-749 -2177 +-8045 -344 +-2243 -2377 +-758 6283 +8060 4187 +7437 -8443 +-6786 -1841 +3149 195 +4822 -4800 +-251 3074 +7384 2132 +7749 -3721 +7503 1226 +4354 2142 +-1704 -1256 +-1549 -3055 +3724 -413 +5004 942 +706 -5326 +4249 -569 +-428 4941 +-4776 3684 +7191 5008 +5818 -4114 +-1265 115 +-2307 5814 +-4703 -6317 +-4785 2092 +-12181 -940 +-13708 -11187 +-2628 5040 +-833 1794 +2964 -1890 +7811 7320 +-3364 2377 +-2607 642 +6308 -1961 +-2258 134 +-4247 3880 +-1005 -4033 +-3103 -1707 +-2773 -3247 +-2337 -7178 +3314 6443 +-3723 7276 +-12938 -1771 +-3507 5016 +1428 5008 +7460 -6406 +4460 -2584 +4766 -1817 +4565 499 +-3671 -4905 +2528 4278 +30 8658 +-126 -3686 +1703 -7718 +-3410 -934 +-2817 826 +668 451 +-2343 9022 +-3297 9754 +3569 -5448 +4162 -8457 +2188 -1880 +-2738 -4708 +-2377 -3084 +8584 2964 +4679 1991 +4879 -5015 +4699 -8129 +-3757 -1020 +9251 -1095 +7874 -1117 +-7835 3331 +-2856 -2079 +4125 -28 +592 651 +-5015 -3590 +2459 -2137 +6047 -4486 +-2147 3424 +-5887 3499 +-9032 -3413 +-4734 4164 +-2982 -1820 +-5416 -3641 +-1503 3840 +757 2243 +3494 970 +-2230 -5311 +-4890 1426 +-1038 4495 +-2928 -4852 +1818 2016 +1276 -3381 +-2184 -6658 +-3616 4365 +135 -841 +9907 -2111 +2604 5942 +4193 11352 +1808 7597 +-11701 2208 +3140 3479 +5699 -128 +-1697 7883 +-331 6637 +-1865 -9468 +6258 311 +-1492 2536 +-6397 -5195 +-716 417 +-5428 -3075 +4766 -1817 +4565 499 +-3671 -4905 +2528 4278 +30 8658 +-126 -3686 +1703 -7718 +-3410 -934 +-2817 826 +668 451 +-2343 9022 +-3297 9754 +3569 -5448 +4162 -8457 +2188 -1880 +-2738 -4708 +-2803 2658 +-1327 694 +-60 5459 +-2942 1714 +-7838 8488 +-4 3124 +1191 -3774 +-5674 -166 +3938 -4383 +6076 5913 +-716 5125 +1708 -7087 +1931 -530 +-144 -1533 +-464 -4563 +2660 723 +4340 0 +3065 126 +4781 2604 +-1327 -2525 +-7979 -1499 +-4858 6528 +-2776 2264 +-3268 4873 +-808 3629 +6740 -11912 +5896 -6622 +-1101 2337 +-2606 2620 +-6087 125 +-235 -3232 +5501 3889 +-1121 706 +129 -2542 +-377 2692 +-753 -2650 +6524 -6053 +4374 -4800 +-3112 -132 +-7079 1258 +3351 -4588 +12073 -5852 +894 -1451 +-333 -431 +3483 -12870 +976 -10048 +1957 1920 +-3358 -1328 +-1536 4485 +3061 -2687 +-2894 -9963 +-1811 7641 +322 10278 +2857 6200 +2319 6263 +-8303 4938 +-5360 -2508 +-1816 -8570 +-3038 2155 +7103 -2715 +1678 -4919 +-7174 7292 +1121 5739 +1035 7472 +-2803 2658 +-1327 694 +-60 5459 +-2942 1714 +-7838 8488 +-4 3124 +1191 -3774 +-5674 -166 +3938 -4383 +6076 5913 +-716 5125 +1708 -7087 +1931 -530 +-144 -1533 +-464 -4563 +2660 723 +-1121 -1547 +-4414 3592 +-123 -2870 +3216 -2345 +3786 -2488 +2788 -5489 +3111 7385 +7413 1370 +-1833 -11703 +-1856 -1497 +3916 4511 +2407 5823 +2386 10569 +-4865 6020 +193 666 +4233 6823 +-2388 9251 +2395 541 +2003 -2204 +-1364 966 +-4457 3235 +-3619 1646 +7678 -6534 +4708 -4981 +-5497 3678 +-2959 1089 +208 1313 +-5492 5934 +-3098 -207 +2577 -3177 +-3739 137 +-4129 2480 +-1682 5472 +4427 3663 +10571 3684 +4687 1982 +5265 205 +-96 3212 +-5967 -5184 +-2939 -1229 +-3213 5535 +2410 -3894 +-1240 4336 +451 -672 +6993 -11651 +1736 1371 +5541 -645 +-2608 3266 +-6022 5887 +-2316 -10755 +-9744 -5417 +667 3345 +-2351 2411 +-3125 -2442 +9370 -9202 +-2928 -3791 +-2913 -874 +70 1846 +-3349 -1109 +842 -12789 +-1796 -8804 +2361 -212 +-489 2164 +-4679 -1694 +-1121 -1547 +-4414 3592 +-123 -2870 +3216 -2345 +3786 -2488 +2788 -5489 +3111 7385 +7413 1370 +-1833 -11703 +-1856 -1497 +3916 4511 +2407 5823 +2386 10569 +-4865 6020 +193 666 +4233 6823 +-7289 1817 +6291 3217 +5020 5234 +-2382 -1050 +-963 -6581 +-1003 2446 +6476 -11 +2826 -6276 +-766 -868 +10782 5566 +4314 3586 +-13174 -3179 +-13558 -3742 +-3259 -963 +5291 2651 +9346 5538 +5742 1121 +2439 -3931 +2069 1061 +-4147 3214 +-2932 -5074 +-354 -3489 +-5455 4809 +-2650 6538 +628 7472 +1353 7283 +183 1260 +-313 -925 +1926 6476 +-2252 2533 +4307 1195 +6884 7160 +-2803 -3499 +5069 367 +6651 -959 +-400 -10292 +1139 8728 +-1781 492 +-1306 -9578 +1485 3674 +3008 -3056 +1704 2219 +-3212 4815 +1722 -3619 +3947 -4147 +-2216 -5316 +-3230 6784 +-137 1522 +-135 -6167 +-4013 1364 +-2118 -5472 +-2589 2360 +-9579 4049 +-4154 -6948 +3048 -3284 +-3636 -4830 +-5113 938 +-766 2673 +-3936 -5039 +1598 -5268 +4322 -6436 +-3354 1459 +4325 1921 +1083 -3539 +-7289 1817 +6291 3217 +5020 5234 +-2382 -1050 +-963 -6581 +-1003 2446 +6476 -11 +2826 -6276 +-766 -868 +10782 5566 +4314 3586 +-13174 -3179 +-13558 -3742 +-3259 -963 +5291 2651 +9346 5538 +3364 -1536 +88 -7149 +3344 2309 +-301 1098 +-8412 -804 +411 -1940 +-7550 -1981 +-6494 -3307 +8108 -4260 +-1126 1651 +1766 -2524 +4000 -2137 +-4346 3334 +6619 4024 +5256 2498 +-1947 -4056 +-1536 2803 +-9260 3174 +-7207 -6555 +-3919 816 +-2317 3568 +5064 -614 +3065 3264 +52 3224 +-2256 -4915 +-1545 -1437 +4325 855 +-140 -8203 +-241 1854 +6571 3004 +6112 -5470 +6125 1471 +4485 -2388 +1399 -647 +-254 1849 +-1905 1275 +5116 8433 +11896 799 +2782 -858 +-6388 -2139 +-820 -2468 +-68 5894 +-5569 -3996 +-2457 -4130 +-2915 -598 +-653 -2951 +4919 5338 +-1467 5899 +-706 1121 +2592 -5845 +617 3461 +3869 7047 +-3357 -7832 +-7786 8501 +173 11196 +677 -3997 +-1669 430 +-2797 -4500 +736 -4371 +2054 406 +-1469 3260 +2050 2521 +-3544 -5017 +-5215 2249 +3364 -1536 +88 -7149 +3344 2309 +-301 1098 +-8412 -804 +411 -1940 +-7550 -1981 +-6494 -3307 +8108 -4260 +-1126 1651 +1766 -2524 +4000 -2137 +-4346 3334 +6619 4024 +5256 2498 +-1947 -4056 +8130 -4351 +-5658 1263 +-3914 1618 +3236 -2512 +-2743 178 +-3787 2761 +3925 5672 +9523 4903 +10446 103 +-2010 1671 +-6186 -7670 +-447 -2746 +-5524 4521 +5052 -10510 +6888 -3271 +-8993 1479 +-4911 -4766 +-6669 -2115 +-4613 -2704 +6139 6928 +2063 3717 +1215 -3822 +-3163 2495 +-3199 353 +2992 2140 +3040 -2033 +5281 -3970 +-2011 4677 +-95 -3425 +4074 -6566 +-3515 4050 +5283 4478 +6448 -7424 +4229 -10104 +9874 -5512 +2215 -3746 +432 9286 +-3107 5115 +-7156 -8218 +110 -252 +-2035 -103 +-3862 -1873 +-1512 -1585 +2405 -2984 +6713 2644 +-1964 3514 +-2911 4134 +6352 1341 +426 3084 +-6264 7530 +-2141 -1116 +-1636 1421 +-1995 8125 +128 3241 +1115 -2792 +-1831 -2174 +-10281 2346 +-3806 -1340 +3210 754 +-7931 2789 +-1095 1867 +5934 8782 +-4155 172 +4242 -9470 +8130 -4351 +-5658 1263 +-3914 1618 +3236 -2512 +-2743 178 +-3787 2761 +3925 5672 +9523 4903 +10446 103 +-2010 1671 +-6186 -7670 +-447 -2746 +-5524 4521 +5052 -10510 +6888 -3271 +-8993 1479 +1402 -7995 +2421 -2336 +-851 4295 +-3588 -8634 +-6636 -6615 +3292 -1436 +11912 5737 +4238 6771 +-6449 -6263 +-7198 3835 +3138 11514 +470 1052 +-5870 3704 +-1972 55 +-2245 -10708 +3024 2598 +8265 6448 +2501 -10939 +-421 -4632 +2996 -233 +2359 -7457 +-3356 -223 +-3917 48 +-1094 2258 +1961 5345 +1673 1050 +2912 1320 +7277 -3004 +-2720 -1428 +-7650 -1830 +-699 -502 +2222 9281 +5887 5752 +-1705 4658 +-5028 -4291 +3173 -6269 +60 8569 +-1944 -3621 +189 -4367 +-1962 4691 +-9811 -6072 +-9394 -2953 +2039 2372 +-1677 278 +-6176 -4729 +-3011 -2344 +-2544 8050 +-4425 3691 +-8825 4766 +1233 3873 +7478 -8194 +3838 -5863 +5338 -104 +-284 2860 +3439 5718 +5604 3935 +-1401 -1981 +3356 -1674 +4190 2102 +4138 -1387 +188 3575 +-4874 2053 +2837 -3976 +2677 -194 +1402 -7995 +2421 -2336 +-851 4295 +-3588 -8634 +-6636 -6615 +3292 -1436 +11912 5737 +4238 6771 +-6449 -6263 +-7198 3835 +3138 11514 +470 1052 +-5870 3704 +-1972 55 +-2245 -10708 +3024 2598 +-5326 4631 +7135 1304 +5795 -2093 +4230 -4284 +853 -7484 +-2928 -1207 +-2039 6010 +-5447 2479 +6987 -8833 +2590 -13543 +-5172 -6418 +5897 -2623 +834 -2269 +-2371 -3440 +-2806 -3630 +-5202 379 +-4620 1121 +-4408 2543 +-871 33 +-3654 -1167 +2886 3872 +4736 -469 +-863 2919 +5150 6083 +479 -5031 +804 2231 +-4216 6077 +-13337 -9562 +3029 -5868 +5839 6069 +3049 5307 +5017 2763 +-4766 -146 +-2243 -431 +837 4197 +-3747 3416 +2074 -801 +6345 4227 +1016 8571 +1530 63 +-1941 -698 +-7563 7535 +2899 230 +-1068 -5300 +-5291 2569 +-245 1587 +-11166 -1432 +-6455 1782 +-987 1121 +655 -2486 +5861 -2000 +-1503 1342 +8764 -3436 +4065 -3217 +-5635 7193 +10093 5950 +3445 1106 +516 -3226 +3838 -4511 +-162 5219 +-1936 2204 +-8440 -6450 +4987 -2510 +4172 2430 +-5326 4631 +7135 1304 +5795 -2093 +4230 -4284 +853 -7484 +-2928 -1207 +-2039 6010 +-5447 2479 +6987 -8833 +2590 -13543 +-5172 -6418 +5897 -2623 +834 -2269 +-2371 -3440 +-2806 -3630 +-5202 379 +2243 -1817 +1227 6775 +625 1612 +3009 -5428 +-4805 324 +-2509 2134 +3728 372 +-2332 11660 +-3349 6617 +-5290 -1691 +-2522 1897 +10903 -3481 +7167 -1925 +-1670 -832 +-558 -1116 +-3727 887 +-3779 2523 +2312 11049 +2069 137 +1973 -51 +8130 12885 +4715 -2890 +-3366 -3740 +63 6499 +4704 55 +2988 -7564 +-4252 -8266 +-4978 576 +5535 -4050 +5188 -7666 +-2394 2456 +-605 4293 +3925 2377 +1687 -3676 +-2980 -4167 +-2368 -925 +1072 -267 +8485 1710 +8609 -6222 +1746 -6513 +2788 -2692 +-1816 -1487 +-560 3651 +3339 -1279 +-7727 611 +-4473 871 +-3436 -284 +-11133 12388 +-3510 5887 +-1945 -1498 +-1493 6055 +-2839 3161 +-5519 -1727 +4647 -1514 +-3092 -2361 +-9584 -5132 +3706 -1737 +3463 -50 +2384 -3161 +1020 -775 +-6096 -3607 +931 -2643 +2752 -320 +-2428 -6910 +2243 -1817 +1227 6775 +625 1612 +3009 -5428 +-4805 324 +-2509 2134 +3728 372 +-2332 11660 +-3349 6617 +-5290 -1691 +-2522 1897 +10903 -3481 +7167 -1925 +-1670 -832 +-558 -1116 +-3727 887 +841 6302 +-5949 -1354 +-2818 -3683 +1998 -924 +-3037 -4020 +998 -2767 +3091 414 +1411 154 +2270 3869 +1146 5910 +-304 -3964 +-2416 898 +-3174 4629 +-196 -3563 +2219 4449 +1314 -320 +4901 -5046 +3499 7952 +-6316 5264 +112 -3327 +3719 -5520 +-8111 3971 +-9521 8506 +-4837 -122 +-233 4813 +766 6716 +-1341 626 +-1194 -491 +793 329 +11451 -1361 +6825 -6161 +-3915 -717 +8130 -2938 +6237 -7810 +-3678 -2393 +-761 -5983 +-1720 1818 +660 5677 +-4504 -9164 +-7677 -9168 +4458 -3869 +-2520 -1645 +-7778 2363 +3025 2643 +-927 -3604 +2192 -5570 +8086 886 +-4761 -497 +-4901 2803 +1468 4769 +-180 -581 +1908 1688 +1038 -4612 +5375 -4422 +8611 2293 +946 446 +-2010 3037 +-6777 3290 +-6741 9096 +2629 6697 +7793 -2475 +7703 3661 +-3594 -3467 +-5724 -4433 +841 6302 +-5949 -1354 +-2818 -3683 +1998 -924 +-3037 -4020 +998 -2767 +3091 414 +1411 154 +2270 3869 +1146 5910 +-304 -3964 +-2416 898 +-3174 4629 +-196 -3563 +2219 4449 +1314 -320 +1121 -4911 +-2654 -1379 +-4109 1015 +4537 -3787 +129 -298 +-314 560 +2497 3703 +-6566 63 +-5177 -2208 +97 9911 +-3687 1625 +-5737 -5729 +-926 2631 +-1089 2352 +-4665 1781 +-1840 -3274 +415 -3644 +5060 957 +4003 1047 +-1193 2845 +979 -2130 +583 1863 +6207 -2165 +10154 -14605 +5940 -2017 +3869 -5382 +-2608 -9797 +-2823 2509 +1159 -3180 +958 2701 +-4956 7470 +-7691 -3579 +4485 -4060 +1031 -3540 +106 2579 +11999 4357 +-1426 -1424 +-4790 83 +3929 -4938 +-6068 -5875 +-10522 -35 +-1749 -348 +4743 1842 +524 1993 +-892 -2302 +2088 1662 +2983 6871 +3864 -3529 +-415 -7569 +1156 5451 +-2899 6188 +-3846 5468 +10411 8338 +2527 -2099 +-2460 -3214 +4250 1851 +-2576 -226 +-2981 4885 +-4520 4473 +-4117 816 +1780 5094 +694 263 +951 -535 +68 2532 +1121 -4911 +-2654 -1379 +-4109 1015 +4537 -3787 +129 -298 +-314 560 +2497 3703 +-6566 63 +-5177 -2208 +97 9911 +-3687 1625 +-5737 -5729 +-926 2631 +-1089 2352 +-4665 1781 +-1840 -3274 +2243 2938 +-5649 1764 +1023 3363 +-4805 -8488 +-4093 -8644 +1668 2436 +-2111 4690 +-902 1540 +-2824 4102 +-4878 2727 +-4786 329 +-6733 8037 +238 6828 +2924 762 +-2831 235 +1640 -2820 +6302 -7289 +1950 -8714 +-1634 -2262 +696 -1388 +6414 -6747 +-201 -2476 +-6372 3308 +-37 1347 +376 -1723 +10738 5150 +12462 5169 +-7249 -3998 +-1662 -1706 +2971 691 +-2759 6801 +4355 6256 +561 -6302 +1570 3080 +5787 6319 +-1567 -7027 +-3508 -4427 +-3913 -4132 +-1728 -8873 +-1428 -4407 +-2222 -5223 +1366 -7764 +1719 1484 +1226 -670 +-2673 -8606 +-326 3391 +-402 3689 +-5319 -3969 +4351 3925 +134 6927 +-5913 622 +4743 -4815 +3429 6363 +1682 9057 +4605 -4812 +5347 3073 +185 2844 +-4574 -1200 +2555 7403 +-2826 -2651 +-7116 -3244 +-976 1757 +384 -554 +8373 6524 +2243 2938 +-5649 1764 +1023 3363 +-4805 -8488 +-4093 -8644 +1668 2436 +-2111 4690 +-902 1540 +-2824 4102 +-4878 2727 +-4786 329 +-6733 8037 +238 6828 +2924 762 +-2831 235 +1640 -2820 +5607 -3219 +2672 7561 +-690 -2274 +983 -4018 +4013 7841 +5609 4315 +-4449 -5022 +-8795 -1835 +-1947 7302 +-2189 -2955 +7043 -12142 +4099 -2567 +-4786 -2591 +4272 -1495 +-3805 2624 +-3696 -5152 +4631 -5046 +-3224 287 +-34 -1289 +-1436 -1033 +-2816 471 +-2283 -1792 +-8176 1762 +5605 7048 +8389 876 +-3963 1418 +-6159 6344 +-7494 -270 +1794 3949 +4181 11862 +-3741 -917 +-163 -9909 +-2803 -1267 +-2026 -317 +4816 -5872 +-3898 -469 +-3809 3809 +1230 190 +-5796 -1957 +760 2135 +4190 6715 +-2920 4125 +858 2309 +-4649 -166 +-6767 -2002 +1555 5857 +386 7606 +5408 -1186 +4901 -6167 +-678 -1726 +4086 793 +1144 -3129 +-4116 2457 +-2935 3827 +4828 -4627 +7274 -1336 +-539 3049 +3743 -1281 +8022 -3567 +3978 1367 +-1454 1766 +-7528 -2965 +-1675 -1713 +5365 -6393 +5607 -3219 +2672 7561 +-690 -2274 +983 -4018 +4013 7841 +5609 4315 +-4449 -5022 +-8795 -1835 +-1947 7302 +-2189 -2955 +7043 -12142 +4099 -2567 +-4786 -2591 +4272 -1495 +-3805 2624 +-3696 -5152 +7289 -11359 +-3378 -7002 +-7574 -860 +-2766 1601 +-2108 4176 +233 208 +-270 -20 +4562 1455 +10193 1108 +2768 131 +-4 2914 +-3833 1122 +-2878 -3735 +10475 -216 +5843 -5184 +-2424 -1794 +976 5046 +8303 -3522 +6616 6702 +-8503 7632 +-1597 -8240 +2815 -3296 +-6728 -6147 +3068 -9420 +2468 846 +-608 -5958 +2867 -13531 +-4402 -4092 +-2411 -1156 +226 -5099 +331 -1590 +1026 2508 +-1121 5752 +-2097 1025 +-6413 -5977 +1294 1069 +6372 978 +-1683 -82 +-2100 7240 +-556 6825 +3263 1695 +2199 -9320 +1410 -2178 +5029 9597 +-2236 -2733 +-1365 3919 +-757 9728 +-6585 -4069 +-2658 1682 +1642 5743 +4335 1393 +-3923 5165 +-6032 3086 +1523 -224 +-4109 4398 +3316 -1317 +4260 -9256 +-10959 5174 +-5722 9295 +-2967 -2023 +-325 -1348 +7847 577 +-1180 7274 +-277 3681 +7289 -11359 +-3378 -7002 +-7574 -860 +-2766 1601 +-2108 4176 +233 208 +-270 -20 +4562 1455 +10193 1108 +2768 131 +-4 2914 +-3833 1122 +-2878 -3735 +10475 -216 +5843 -5184 +-2424 -1794 +-7289 -976 +-8737 -3288 +4534 -1830 +11986 2660 +3405 -3182 +-4332 -5368 +-3366 -9738 +-2688 -2914 +5107 7115 +3830 -1942 +-4457 1607 +1379 4461 +-201 -4696 +-5087 1705 +-1610 8581 +3902 5928 +2108 6448 +-5657 5035 +1765 -3037 +1839 -1378 +-2538 5121 +6820 1059 +3987 154 +-2377 188 +-9058 -211 +-9677 4019 +-387 1004 +-2887 -686 +1897 2935 +8976 4094 +8241 3914 +7640 1045 +-2243 1536 +-5412 5558 +-2659 2572 +-2198 -3720 +-1219 -2929 +-2718 1096 +-834 848 +-1797 -5769 +-3425 -6555 +-4554 4366 +-1638 -1488 +6461 -9295 +2251 2878 +-201 1692 +1842 -2964 +1879 60 +695 841 +-2325 4380 +6724 -1726 +1021 -2774 +-6376 -131 +7506 -5349 +1063 -2828 +-4786 -2353 +648 772 +703 -2543 +7331 -8316 +1542 4661 +-6190 4 +-1562 -5545 +1891 4278 +1511 915 +-7289 -976 +-8737 -3288 +4534 -1830 +11986 2660 +3405 -3182 +-4332 -5368 +-3366 -9738 +-2688 -2914 +5107 7115 +3830 -1942 +-4457 1607 +1379 4461 +-201 -4696 +-5087 1705 +-1610 8581 +3902 5928 +-1402 -2097 +7033 1190 +2514 2092 +-1928 1619 +154 -5088 +-3183 -1271 +-13761 3765 +-9355 1397 +-1072 9106 +-4686 -1771 +2134 -7286 +11554 243 +3549 -10191 +-208 -1483 +5213 5041 +-1332 -1467 +-4901 4766 +61 -373 +638 -932 +4124 4021 +-531 -614 +-7115 -1237 +-1070 -206 +903 -683 +-1305 -5482 +-2268 -4179 +-76 -1388 +-966 -811 +-6192 5848 +-4055 -2424 +-491 -8357 +7059 4291 +6448 11068 +-656 218 +3506 -11851 +-2650 -50 +-1644 4991 +4729 -762 +-3746 1662 +3008 -5144 +3876 986 +-5632 3745 +-2710 -3497 +-3834 10018 +-3974 5065 +-1774 -6527 +-2006 1450 +-4114 3697 +-146 4205 +6178 1453 +-3157 3249 +145 8647 +5384 -2654 +-3658 -8280 +3320 -792 +5500 2687 +5229 6603 +9486 2118 +-605 -9542 +-3274 -717 +1009 4885 +5749 -7331 +5813 -4806 +-4841 -836 +-1402 -2097 +7033 1190 +2514 2092 +-1928 1619 +154 -5088 +-3183 -1271 +-13761 3765 +-9355 1397 +-1072 9106 +-4686 -1771 +2134 -7286 +11554 243 +3549 -10191 +-208 -1483 +5213 5041 +-1332 -1467 +-3644 1267 +3917 913 +4942 11167 +6596 2176 +4203 -4942 +-12260 6945 +-3482 8784 +3540 5528 +-1613 -6324 +2462 -9701 +-78 1096 +514 -8400 +-2657 -7376 +-4265 3556 +2917 -4313 +3284 -1925 +4070 1962 +729 -186 +-3093 2930 +-6036 1040 +-357 159 +8386 -5525 +-6923 -3700 +-7686 7405 +3433 -6351 +-2017 -8297 +-2028 7079 +-3225 -817 +1418 -633 +9599 5033 +4687 801 +653 3531 +-280 -146 +-4368 262 +-9479 4255 +-747 -3118 +3619 -6940 +-3341 -7215 +-399 1740 +-4692 6814 +-630 -964 +5370 232 +-4998 -6962 +-47 -10254 +579 5201 +-6240 3579 +-3315 -2060 +3092 3902 +9947 3644 +2314 -511 +1560 134 +7354 1469 +2628 -1733 +-1408 -677 +-3854 -753 +5275 -3392 +4417 183 +-2720 -1470 +4204 -1757 +-582 -1398 +-2704 -3920 +-643 4090 +-3087 8471 +-2808 6409 +-3644 1267 +3917 913 +4942 11167 +6596 2176 +4203 -4942 +-12260 6945 +-3482 8784 +3540 5528 +-1613 -6324 +2462 -9701 +-78 1096 +514 -8400 +-2657 -7376 +-4265 3556 +2917 -4313 +3284 -1925 +1962 -2108 +-3898 2223 +1126 3021 +-4168 6034 +1389 1005 +-2486 -4845 +-6479 354 +5328 -2006 +2214 1779 +5364 9328 +2048 -3994 +-10355 -8986 +963 -8 +6060 -659 +1442 2821 +3971 6695 +2658 4485 +1686 1735 +9552 -6708 +5236 -4156 +-9321 -1251 +369 -7376 +7261 -2869 +1225 -3216 +5934 -930 +2886 6270 +-3869 2365 +-6128 3029 +-3082 -685 +-2289 94 +-7998 6951 +2189 -2713 +7009 -2377 +2013 3528 +-632 -2887 +-4952 -5193 +1262 -388 +-3455 1070 +-7757 -2953 +-1606 7000 +-6699 8313 +-1681 -8947 +1492 -5683 +-1361 -2378 +1087 -6295 +-4346 -2322 +-3382 -1699 +714 737 +-1536 -2243 +-5703 -6558 +-666 -4584 +7112 3236 +-2301 12969 +1025 987 +9626 -4376 +3784 6324 +4158 2051 +-396 2209 +-4564 7255 +-1514 4970 +-1211 -861 +-4120 -5707 +-1684 -471 +9496 -405 +1962 -2108 +-3898 2223 +1126 3021 +-4168 6034 +1389 1005 +-2486 -4845 +-6479 354 +5328 -2006 +2214 1779 +5364 9328 +2048 -3994 +-10355 -8986 +963 -8 +6060 -659 +1442 2821 +3971 6695 +1402 -415 +3071 -2775 +3131 3692 +2250 653 +650 -676 +4277 384 +2688 -2968 +-3730 20 +-662 -3824 +1659 -1024 +3265 1520 +2428 -2700 +117 3235 +12962 -425 +6686 3434 +-8588 7901 +987 0 +823 6031 +-5069 445 +-6043 -248 +-5367 6649 +-1367 -3714 +-4863 8060 +2556 10663 +5449 -8155 +-4454 -6110 +-3231 -4431 +-4812 -602 +-683 2820 +5656 -2360 +-3587 3702 +-8995 1289 +-5326 -5752 +-3717 -2300 +-6117 1124 +624 6444 +3943 -1674 +-3518 -6500 +2543 8606 +7625 697 +6269 -10754 +4518 -1889 +-1434 -5084 +2070 -3998 +3819 2536 +263 -2993 +1078 -4212 +3643 -771 +6302 5046 +2227 932 +-3703 -7424 +-6730 -1418 +-347 1309 +5467 1582 +561 -3606 +4178 -5094 +1279 5912 +472 -5665 +8673 -10027 +-7072 5154 +-8860 3744 +3059 4397 +-9591 7168 +-10802 4438 +1402 -415 +3071 -2775 +3131 3692 +2250 653 +650 -676 +4277 384 +2688 -2968 +-3730 20 +-662 -3824 +1659 -1024 +3265 1520 +2428 -2700 +117 3235 +12962 -425 +6686 3434 +-8588 7901 +-561 2658 +-4752 1221 +-8082 -7918 +-5976 -12368 +-1657 -3389 +2255 -3898 +2043 -1517 +-2187 -1428 +-1539 -3547 +-817 10213 +-2243 8509 +413 -1783 +405 1917 +13 2917 +1028 2567 +-2681 3096 +-2108 280 +2659 923 +4360 4300 +1772 -5500 +-8254 -6060 +-11273 13930 +-2134 6533 +2031 -3078 +279 4929 +-1180 -6239 +2414 -9756 +5224 -2070 +-1181 3952 +-4059 6960 +-1457 -4320 +2372 -3 +3925 5192 +472 -960 +4506 3918 +2493 -2582 +-6357 -5610 +-238 -3272 +4984 -13256 +3730 -4231 +-143 2987 +-4400 -7285 +-1554 -2508 +-263 2435 +8209 25 +10333 4095 +-3020 -1264 +21 -7404 +987 841 +-4925 3856 +1787 3200 +2242 1369 +-553 -8490 +-2885 -6343 +193 4741 +8426 5491 +-3083 2360 +-7787 3140 +5541 2498 +5309 -230 +2659 1955 +4156 3168 +605 4275 +-499 5859 +-561 2658 +-4752 1221 +-8082 -7918 +-5976 -12368 +-1657 -3389 +2255 -3898 +2043 -1517 +-2187 -1428 +-1539 -3547 +-817 10213 +-2243 8509 +413 -1783 +405 1917 +13 2917 +1028 2567 +-2681 3096 +280 -5461 +5618 -122 +-679 -605 +2181 -5251 +125 4073 +-5598 11651 +243 6188 +-2619 -310 +-826 -3235 +2904 -3338 +4080 -198 +4420 -2865 +-5900 -8502 +-2138 -990 +6337 876 +3688 -8335 +2669 -7850 +963 1381 +-1665 9083 +-6057 4718 +1539 1820 +9270 2119 +-3409 -2278 +-5937 2237 +1457 1621 +4418 821 +4687 4846 +-4198 -2945 +-4087 -2592 +977 4930 +-5055 5295 +-1943 301 +3644 -1828 +-2281 1368 +-3974 64 +-4154 3715 +-193 -640 +8866 -525 +7485 11106 +9807 -6755 +5311 -4615 +-7048 10882 +-1461 -10257 +-4418 -6165 +-6311 7449 +-811 -593 +-7304 1863 +1373 2599 +5742 -1682 +3270 -3037 +6839 2423 +-2329 6608 +772 -767 +-365 -4130 +-7083 -2080 +3514 1487 +-335 622 +-3358 -842 +1144 -871 +556 -8100 +598 -840 +-5717 7337 +-4671 -3028 +-2854 -7851 +280 -5461 +5618 -122 +-679 -605 +2181 -5251 +125 4073 +-5598 11651 +243 6188 +-2619 -310 +-826 -3235 +2904 -3338 +4080 -198 +4420 -2865 +-5900 -8502 +-2138 -990 +6337 876 +3688 -8335 +-2243 -695 +-3273 603 +1447 416 +7760 4364 +-4097 688 +4842 4698 +4310 7381 +-1072 304 +8383 1837 +-3228 67 +781 -5597 +5159 3049 +-408 8827 +7952 3896 +-1715 2333 +-5617 -3874 +-6302 -1682 +-10783 13323 +178 4566 +-1527 -6979 +1163 -1597 +7428 -831 +583 3631 +-2631 -993 +-7056 -6555 +-3821 8437 +1748 4977 +2800 -5923 +4777 1377 +1182 -813 +2494 -5971 +3752 -1318 +561 1817 +-223 -2196 +-1755 -8181 +-1958 -3951 +-360 -347 +916 -1643 +-2957 4105 +-900 -578 +6195 -10247 +1783 -1733 +-1635 2184 +-2189 -2448 +-1671 -2823 +3024 -8796 +5321 -4201 +4652 5179 +-987 -5046 +-2422 -7116 +-663 1285 +-1582 -6588 +-70 -9956 +2199 3242 +2414 6213 +-5771 2623 +-5279 7115 +1351 2343 +-101 -1892 +2636 4747 +-6063 3834 +-6926 4461 +3006 2209 +-3513 -5557 +-2243 -695 +-3273 603 +1447 416 +7760 4364 +-4097 688 +4842 4698 +4310 7381 +-1072 304 +8383 1837 +-3228 67 +781 -5597 +5159 3049 +-408 8827 +7952 3896 +-1715 2333 +-5617 -3874 +4205 1536 +383 -4975 +-3320 -3168 +2801 8872 +161 -107 +-1090 -12259 +-152 -4540 +5304 975 +2570 -3343 +-5127 -4847 +-2855 -4526 +-9869 -4194 +-8511 429 +-2925 2512 +-1744 1368 +7823 7834 +-1828 4205 +-5597 -6633 +7564 5912 +3508 12402 +-1406 -363 +-3442 -1819 +-3081 -1076 +10340 84 +12935 3780 +-2257 -475 +163 178 +4197 3808 +-11292 1393 +-2360 -2060 +5375 -3083 +-3377 -2940 +3084 -2658 +-1461 3883 +2511 2793 +7860 -7563 +-4058 -4022 +4027 4304 +2029 5649 +-5624 342 +794 -3946 +-522 950 +-18 -223 +-2779 -960 +-1513 3236 +1024 -1188 +937 -1475 +6531 1470 +-3219 -1962 +-3781 -5803 +3881 -2173 +-1151 7488 +3060 3371 +3707 -1889 +740 5189 +2300 -547 +1643 -4340 +-124 8929 +-5684 7935 +-5112 -4539 +-1111 -1693 +1602 3429 +-1861 -4276 +-4811 -4590 +4205 1536 +383 -4975 +-3320 -3168 +2801 8872 +161 -107 +-1090 -12259 +-152 -4540 +5304 975 +2570 -3343 +-5127 -4847 +-2855 -4526 +-9869 -4194 +-8511 429 +-2925 2512 +-1744 1368 +7823 7834 +-280 -1817 +-1229 5441 +-4121 -1739 +636 -3010 +-2010 -447 +-5414 2834 +3307 -7307 +2511 -3617 +-4306 9044 +-2389 -6159 +-2396 -1942 +-7770 4613 +-1466 -4596 +9546 7416 +6403 4838 +2449 -3013 +-4060 3084 +-8708 -1948 +1429 -2668 +1649 -188 +2756 787 +10037 1708 +1728 -3333 +-3610 1733 +-6260 4753 +-1936 -1706 +11071 3328 +1791 426 +-6240 -8195 +1740 5952 +1233 10639 +-3193 -946 +-3644 -426 +-191 541 +-1533 2004 +-3279 1120 +2475 -5568 +996 -1916 +3971 2052 +10911 74 +2624 -3437 +-1621 -2598 +-673 -2367 +-5965 -6798 +-4934 1832 +2353 2362 +7366 -3826 +3381 906 +-3229 -5326 +3140 -5222 +9640 -960 +2595 -667 +-5463 7471 +-7592 827 +-6379 -2321 +1692 6642 +10185 5340 +1176 277 +-8930 -2383 +-101 19 +1426 -2498 +-4394 1161 +312 5986 +787 -6263 +-280 -1817 +-1229 5441 +-4121 -1739 +636 -3010 +-2010 -447 +-5414 2834 +3307 -7307 +2511 -3617 +-4306 9044 +-2389 -6159 +-2396 -1942 +-7770 4613 +-1466 -4596 +9546 7416 +6403 4838 +2449 -3013 +1121 2388 +8601 6479 +4549 -56 +1085 -5531 +5237 2590 +2457 2888 +-1722 -1890 +-1877 -912 +3037 4977 +447 -2197 +-3172 -948 +2104 8593 +753 -5180 +-380 -620 +352 7441 +-5414 -5512 +-9666 280 +-5409 883 +-217 -480 +-1718 5907 +-2252 -6938 +-4563 -5934 +-1619 10992 +1191 8342 +-6960 -7945 +1316 -12163 +3921 -853 +-5604 -610 +2829 -6307 +386 -1905 +-1314 584 +9412 1454 +1121 5461 +-6531 3174 +-2196 -986 +409 4733 +2884 2864 +577 -6164 +-3493 -3166 +3977 1157 +4813 3994 +-5593 664 +-981 -4125 +-2116 1241 +-6496 -4952 +2518 -6811 +-137 5663 +2869 3398 +4060 841 +-4035 671 +6506 -8243 +8077 -7405 +858 -1881 +-2050 13 +-2737 4213 +3242 -1019 +-2011 -7754 +1961 -3039 +7289 -2252 +-5682 1770 +-3815 4104 +1327 -2852 +-543 7562 +-984 11306 +1121 2388 +8601 6479 +4549 -56 +1085 -5531 +5237 2590 +2457 2888 +-1722 -1890 +-1877 -912 +3037 4977 +447 -2197 +-3172 -948 +2104 8593 +753 -5180 +-380 -620 +352 7441 +-5414 -5512 +3644 -1828 +827 -1154 +-505 3001 +4806 -5913 +5340 -2238 +-1409 4645 +3567 -1413 +9397 -4512 +3301 2317 +692 5940 +1906 -2967 +1567 353 +-3840 9538 +-7852 4629 +-2602 -752 +-1301 936 +-2108 4485 +-2597 -3086 +-2514 -178 +5208 8545 +5673 -426 +-1949 7371 +-2558 5919 +2005 -7535 +3998 4711 +2321 -2621 +-1795 -8161 +-4449 7351 +-661 -1507 +-665 -5415 +1400 3488 +4388 1350 +-841 1267 +12692 2766 +14987 -145 +-6597 -4103 +-3029 -1387 +-977 4719 +-4923 -1474 +960 -8013 +-4422 -3439 +-3059 -3595 +1257 -717 +615 4691 +2787 -1485 +-263 2612 +-662 -626 +-1260 -15794 +-1817 -2803 +-1774 6622 +-2125 -220 +134 -3166 +-5741 -7163 +285 -1612 +6486 1125 +-8076 3925 +-8483 6503 +-6315 -7658 +-8969 -6312 +-900 4545 +-2771 2424 +-3413 -705 +6021 461 +6958 3883 +3644 -1828 +827 -1154 +-505 3001 +4806 -5913 +5340 -2238 +-1409 4645 +3567 -1413 +9397 -4512 +3301 2317 +692 5940 +1906 -2967 +1567 353 +-3840 9538 +-7852 4629 +-2602 -752 +-1301 936 +4485 4901 +7199 -2817 +-3890 -5382 +5468 6540 +2500 -3204 +255 -4935 +-167 8052 +-86 -3514 +8888 -5804 +-4405 1731 +-8251 -4334 +450 -1583 +-3141 5579 +-319 -46 +3855 -6929 +4111 734 +-706 2803 +976 -5982 +5902 49 +-3504 1171 +-6870 -4751 +-2540 3563 +2180 2772 +3021 -3526 +-944 3465 +2890 3473 +2592 -4053 +-752 -1667 +-670 50 +-4740 -2332 +-6293 -763 +117 31 +5046 6313 +-233 7561 +-1764 -1382 +-1107 4307 +-217 3300 +4545 -6938 +-4693 -573 +-5005 -2232 +5129 -2045 +-1414 5149 +-6285 -6759 +-2216 -5817 +7202 2311 +9865 -6013 +673 313 +-6371 9740 +-7704 9532 +-1222 7175 +1882 -5347 +5824 -1832 +1223 8019 +-10171 1675 +1751 7386 +1937 8367 +-738 -3465 +4794 -4918 +-8128 -6432 +-3233 -2976 +-27 -90 +-997 -5318 +7179 -3530 +-3139 1230 +4485 4901 +7199 -2817 +-3890 -5382 +5468 6540 +2500 -3204 +255 -4935 +-167 8052 +-86 -3514 +8888 -5804 +-4405 1731 +-8251 -4334 +450 -1583 +-3141 5579 +-319 -46 +3855 -6929 +4111 734 +0 695 +-7626 4037 +-7481 780 +4766 3548 +3205 -7295 +142 -3640 +2240 6589 +-2908 -2573 +-6324 2187 +-3963 1367 +1845 -2219 +-2963 2256 +-6186 2638 +3864 3665 +-795 -1834 +-6862 6699 +1817 2243 +6961 -11796 +-263 5101 +-9310 -1601 +-2850 -7324 +1034 9107 +-3956 -437 +-2695 -4002 +-774 -533 +4300 -2106 +7578 3355 +3050 2070 +-2947 -1004 +-1516 -3656 +3933 -6255 +-2388 -4629 +-3364 -135 +1401 4087 +-423 5754 +-185 4154 +-5283 -3330 +-1655 -417 +4486 3701 +-3454 -5459 +-964 -5551 +4365 978 +4539 3757 +4492 1489 +3587 -792 +8012 2756 +4716 1417 +-455 -3139 +426 -2803 +1185 4044 +4258 42 +1950 -6346 +1565 2249 +6671 -3705 +7322 -3703 +2007 7632 +-6515 1655 +-3996 2562 +1160 3614 +-4428 3944 +-6789 3643 +-1238 -7280 +2238 -6207 +1442 -4044 +0 695 +-7626 4037 +-7481 780 +4766 3548 +3205 -7295 +142 -3640 +2240 6589 +-2908 -2573 +-6324 2187 +-3963 1367 +1845 -2219 +-2963 2256 +-6186 2638 +3864 3665 +-795 -1834 +-6862 6699 +-2803 5742 +-3583 -1401 +3444 2194 +2128 3736 +2251 631 +-2083 693 +-2141 -10866 +1428 -9778 +-125 -1101 +6371 -5187 +-3241 -3955 +-6505 -6284 +9859 -2392 +4082 5617 +-4661 410 +2044 2588 +13030 0 +5074 -9619 +-11062 -5747 +-4028 1459 +-4455 4672 +-5205 5501 +5215 -1157 +4013 -9170 +2270 3315 +-1973 10329 +-76 -3064 +508 -2245 +-8364 -1407 +1390 -2172 +2461 3970 +-8170 -130 +561 -4060 +3427 -2564 +-2441 5744 +5344 4166 +9931 -1464 +3913 5766 +3336 -2507 +-5137 -4286 +-12210 -1703 +-1701 -7361 +-161 3280 +638 -2024 +3389 -1805 +-707 8655 +-256 -3248 +-5395 846 +-2938 3925 +3395 -2129 +-2084 -1070 +-314 -3760 +2365 4010 +-258 1505 +-4167 -1249 +-1542 7240 +4458 -1633 +-453 -56 +-2321 4860 +-5156 -2433 +-3762 6726 +6253 10365 +4698 -1052 +2203 2132 +-2803 5742 +-3583 -1401 +3444 2194 +2128 3736 +2251 631 +-2083 693 +-2141 -10866 +1428 -9778 +-125 -1101 +6371 -5187 +-3241 -3955 +-6505 -6284 +9859 -2392 +4082 5617 +-4661 410 +2044 2588 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +7 0 +6 0 +5 0 +4 0 +3 0 +2 0 +1 0 diff --git a/testing_inputs/simulated/ag_54M_len4000_pre100_post200_openwifi.txt b/testing_inputs/simulated/ag_54M_len4000_pre100_post200_openwifi.txt new file mode 100644 index 0000000..edf92ef --- /dev/null +++ b/testing_inputs/simulated/ag_54M_len4000_pre100_post200_openwifi.txt @@ -0,0 +1,12620 @@ +1 0 +2 0 +3 0 +4 0 +5 0 +6 0 +7 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +2472 2472 +-7116 126 +-724 -4219 +7670 -680 +4943 0 +7670 -680 +-724 -4219 +-7116 126 +2472 2472 +126 -7116 +-4219 -724 +-680 7670 +0 4943 +-680 7670 +-4219 -724 +126 -7116 +2472 2472 +-7116 126 +-724 -4219 +7670 -680 +4943 0 +7670 -680 +-724 -4219 +-7116 126 +2472 2472 +126 -7116 +-4219 -724 +-680 7670 +0 4943 +-680 7670 +-4219 -724 +126 -7116 +2472 2472 +-7116 126 +-724 -4219 +7670 -680 +4943 0 +7670 -680 +-724 -4219 +-7116 126 +2472 2472 +126 -7116 +-4219 -724 +-680 7670 +0 4943 +-680 7670 +-4219 -724 +126 -7116 +2472 2472 +-7116 126 +-724 -4219 +7670 -680 +4943 0 +7670 -680 +-724 -4219 +-7116 126 +2472 2472 +126 -7116 +-4219 -724 +-680 7670 +0 4943 +-680 7670 +-4219 -724 +126 -7116 +2472 2472 +-7116 126 +-724 -4219 +7670 -680 +4943 0 +7670 -680 +-724 -4219 +-7116 126 +2472 2472 +126 -7116 +-4219 -724 +-680 7670 +0 4943 +-680 7670 +-4219 -724 +126 -7116 +2472 2472 +-7116 126 +-724 -4219 +7670 -680 +4943 0 +7670 -680 +-724 -4219 +-7116 126 +2472 2472 +126 -7116 +-4219 -724 +-680 7670 +0 4943 +-680 7670 +-4219 -724 +126 -7116 +2472 2472 +-7116 126 +-724 -4219 +7670 -680 +4943 0 +7670 -680 +-724 -4219 +-7116 126 +2472 2472 +126 -7116 +-4219 -724 +-680 7670 +0 4943 +-680 7670 +-4219 -724 +126 -7116 +2472 2472 +-7116 126 +-724 -4219 +7670 -680 +4943 0 +7670 -680 +-724 -4219 +-7116 126 +2472 2472 +126 -7116 +-4219 -724 +-680 7670 +0 4943 +-680 7670 +-4219 -724 +126 -7116 +2472 2472 +-7116 126 +-724 -4219 +7670 -680 +4943 0 +7670 -680 +-724 -4219 +-7116 126 +2472 2472 +126 -7116 +-4219 -724 +-680 7670 +0 4943 +-680 7670 +-4219 -724 +126 -7116 +2472 2472 +-7116 126 +-724 -4219 +7670 -680 +4943 0 +7670 -680 +-724 -4219 +-7116 126 +2472 2472 +126 -7116 +-4219 -724 +-680 7670 +0 4943 +-680 7670 +-4219 -724 +126 -7116 +-8396 0 +660 -5244 +4928 -5689 +-4937 -6186 +-151 -2889 +4034 3978 +-6841 1102 +-6549 890 +-1883 8107 +-3033 1172 +-3241 -4368 +3737 -759 +4418 -4962 +-7053 -3505 +-3074 -2112 +1984 -5284 +3358 3358 +6407 220 +-1208 -8632 +3152 803 +1315 3145 +-7351 2546 +53 6179 +2866 -219 +5241 1391 +-2059 5705 +-6186 2965 +3214 4713 +1134 -1498 +5203 -4449 +2136 5973 +-275 6465 +8396 0 +-275 -6465 +2136 -5973 +5203 4449 +1134 1498 +3214 -4713 +-6186 -2965 +-2059 -5705 +5241 -1391 +2866 219 +53 -6179 +-7351 -2546 +1315 -3145 +3152 -803 +-1208 8632 +6407 -220 +3358 -3358 +1984 5284 +-3074 2112 +-7053 3505 +4418 4962 +3737 759 +-3241 4368 +-3033 -1172 +-1883 -8107 +-6549 -890 +-6841 -1102 +4034 -3978 +-151 2889 +-4937 6186 +4928 5689 +660 5244 +-8396 0 +660 -5244 +4928 -5689 +-4937 -6186 +-151 -2889 +4034 3978 +-6841 1102 +-6549 890 +-1883 8107 +-3033 1172 +-3241 -4368 +3737 -759 +4418 -4962 +-7053 -3505 +-3074 -2112 +1984 -5284 +3358 3358 +6407 220 +-1208 -8632 +3152 803 +1315 3145 +-7351 2546 +53 6179 +2866 -219 +5241 1391 +-2059 5705 +-6186 2965 +3214 4713 +1134 -1498 +5203 -4449 +2136 5973 +-275 6465 +8396 0 +-275 -6465 +2136 -5973 +5203 4449 +1134 1498 +3214 -4713 +-6186 -2965 +-2059 -5705 +5241 -1391 +2866 219 +53 -6179 +-7351 -2546 +1315 -3145 +3152 -803 +-1208 8632 +6407 -220 +3358 -3358 +1984 5284 +-3074 2112 +-7053 3505 +4418 4962 +3737 759 +-3241 4368 +-3033 -1172 +-1883 -8107 +-6549 -890 +-6841 -1102 +4034 -3978 +-151 2889 +-4937 6186 +4928 5689 +660 5244 +-8396 0 +660 -5244 +4928 -5689 +-4937 -6186 +-151 -2889 +4034 3978 +-6841 1102 +-6549 890 +-1883 8107 +-3033 1172 +-3241 -4368 +3737 -759 +4418 -4962 +-7053 -3505 +-3074 -2112 +1984 -5284 +3358 3358 +6407 220 +-1208 -8632 +3152 803 +1315 3145 +-7351 2546 +53 6179 +2866 -219 +5241 1391 +-2059 5705 +-6186 2965 +3214 4713 +1134 -1498 +5203 -4449 +2136 5973 +-275 6465 +-1679 1679 +2017 920 +619 -4880 +-5912 -2461 +-2513 -5146 +2428 -6078 +7417 4950 +9299 4810 +-2663 -1883 +2817 2934 +-584 983 +-7234 -5593 +8441 939 +-5276 5604 +-9589 4693 +4084 5949 +1679 0 +4084 -5949 +-9589 -4693 +-5276 -5604 +8441 -939 +-7234 5593 +-584 -983 +2817 -2934 +-2663 1883 +9299 -4810 +7417 -4950 +2428 6078 +-2513 5146 +-5912 2461 +619 4880 +2017 -920 +-1679 -1679 +-5227 5018 +837 433 +4848 -3198 +1122 4162 +1785 4914 +-97 -864 +-3193 -53 +-7412 5241 +-4174 6359 +2763 1136 +-1728 -833 +-334 4794 +1591 6408 +-1366 2325 +3875 592 +8396 0 +3875 -592 +-1366 -2325 +1591 -6408 +-334 -4794 +-1728 833 +2763 -1136 +-4174 -6359 +-7412 -5241 +-3193 53 +-97 864 +1785 -4914 +1122 -4162 +4848 3198 +837 -433 +-5227 -5018 +-1679 1679 +2017 920 +619 -4880 +-5912 -2461 +-2513 -5146 +2428 -6078 +7417 4950 +9299 4810 +-2663 -1883 +2817 2934 +-584 983 +-7234 -5593 +8441 939 +-5276 5604 +-9589 4693 +4084 5949 +1295 902 +-408 6755 +-9975 57 +-1156 2296 +-2462 1352 +-6084 -5489 +2755 5267 +204 157 +2135 -5199 +7871 4717 +476 -773 +-1964 -2567 +-3939 4487 +-4081 5197 +2509 -2318 +-161 -6204 +384 2332 +4376 5559 +-614 2039 +-5192 -3558 +-6186 -436 +-5209 1916 +-2889 -8771 +4667 349 +3564 7764 +-7220 -135 +-170 1044 +2416 -3926 +-3429 -4169 +3550 -1367 +1042 -2217 +-2150 1720 +259 -902 +533 6186 +7415 6270 +3781 -6284 +-1621 -468 +-835 -2222 +-5312 -3748 +-2997 8722 +-3171 1572 +-2343 -7985 +7610 395 +7738 3650 +4860 -1885 +1581 -1615 +-158 521 +9434 -416 +9462 -259 +-39 1356 +1583 1087 +4063 -2968 +-95 588 +-2909 7243 +-4688 5735 +-728 2031 +2655 -4137 +-5199 -1442 +-2180 4391 +4468 -1655 +-3710 -5687 +-166 -6240 +2595 -4832 +-5841 -3784 +1295 902 +-408 6755 +-9975 57 +-1156 2296 +-2462 1352 +-6084 -5489 +2755 5267 +204 157 +2135 -5199 +7871 4717 +476 -773 +-1964 -2567 +-3939 4487 +-4081 5197 +2509 -2318 +-161 -6204 +259 -1430 +-456 1139 +-312 -6211 +-3862 -7265 +-1298 -5568 +-8705 2106 +-8955 1423 +-1072 -5588 +-1694 -2684 +531 -1612 +-1298 -4187 +-944 -504 +3367 3602 +-3494 -840 +-3434 -170 +8246 6113 +7897 4923 +-2690 1177 +-5740 -817 +-5736 4252 +-5417 8688 +-2214 4858 +-951 -4291 +4230 -10222 +4283 2559 +-1057 4920 +913 -6005 +-1691 4325 +-2093 9295 +577 -10 +-4811 -1056 +-3427 -2141 +777 -4270 +428 2723 +3029 3380 +3786 -6464 +21 -91 +-1286 3219 +2938 -3921 +3495 4009 +-2970 3721 +-3776 -2745 +1812 -3551 +-1570 -5399 +-3556 -2058 +8345 -2058 +6719 -1599 +-6133 -4603 +-3752 -1295 +4962 8816 +4792 -727 +-4338 3647 +476 8371 +11178 -3232 +3126 2518 +-237 654 +-656 550 +-5272 1202 +-1124 -6753 +4095 6445 +8500 4707 +2927 -3081 +-850 2952 +5158 -3841 +259 -1430 +-456 1139 +-312 -6211 +-3862 -7265 +-1298 -5568 +-8705 2106 +-8955 1423 +-1072 -5588 +-1694 -2684 +531 -1612 +-1298 -4187 +-944 -504 +3367 3602 +-3494 -840 +-3434 -170 +8246 6113 +1814 -653 +1025 2767 +-3320 3305 +-1870 3466 +-999 -3663 +1904 -5663 +5495 1613 +-2424 1352 +4257 2338 +5809 6189 +-3712 2197 +2668 -6259 +78 -2114 +1113 8499 +4723 4801 +479 2618 +4270 0 +-424 -3779 +2175 5806 +5163 6657 +2481 2 +9083 -2451 +-4201 -3995 +-5513 -4640 +10413 -3589 +2186 5074 +-7498 5413 +-6695 844 +-531 -1100 +-4384 -3887 +-10595 3496 +-2125 -2265 +-2850 -3493 +-714 9709 +7776 -4098 +6001 -7212 +2099 6835 +-1574 2369 +-113 -3315 +-3125 -3869 +-5812 -783 +2242 1271 +5503 2945 +6301 -1184 +4685 -11673 +-1736 -4509 +-6478 -1707 +-3253 -7351 +1948 -3109 +-1172 -4011 +394 -1675 +-1435 1983 +-3580 971 +3718 973 +-1839 -1934 +-2955 108 +2542 998 +-3341 2190 +-3390 8907 +-1088 5747 +-4232 -1694 +-5443 -2304 +-1500 -1031 +1578 -2430 +1814 -653 +1025 2767 +-3320 3305 +-1870 3466 +-999 -3663 +1904 -5663 +5495 1613 +-2424 1352 +4257 2338 +5809 6189 +-3712 2197 +2668 -6259 +78 -2114 +1113 8499 +4723 4801 +479 2618 +3627 2466 +-5498 -990 +-6372 -9832 +5275 -2753 +2131 5232 +-4782 -5396 +-892 -4436 +-1153 1881 +323 5888 +-2946 -2018 +-1313 -5629 +4004 7364 +-1751 767 +6749 -1290 +6232 2557 +-2185 -6211 +6612 -518 +2569 1656 +-177 793 +2520 6181 +1216 3145 +3749 3563 +-1328 2471 +-2649 -190 +-5655 1959 +-5154 -2328 +3317 -4116 +-4377 2293 +-6021 1058 +-158 -2351 +-206 2561 +559 -1577 +-1036 -5575 +-6008 524 +-15142 3932 +-5687 7540 +5982 -2427 +2224 -6322 +8385 5786 +4548 -3783 +1232 -3815 +3921 8371 +-2131 359 +5095 -995 +-1536 -589 +-9085 -8663 +1501 -5103 +-2913 3454 +2197 518 +7116 -2659 +-4063 2553 +-1296 216 +1034 -1805 +-1210 1715 +1394 1916 +-840 870 +-1082 -2995 +5393 -1801 +5153 -497 +-1996 2849 +-3128 4983 +-1025 -6883 +1497 -1606 +5239 7733 +3627 2466 +-5498 -990 +-6372 -9832 +5275 -2753 +2131 5232 +-4782 -5396 +-892 -4436 +-1153 1881 +323 5888 +-2946 -2018 +-1313 -5629 +4004 7364 +-1751 767 +6749 -1290 +6232 2557 +-2185 -6211 +-3109 -8934 +763 -1860 +1345 4502 +-705 -124 +4779 6446 +11683 3154 +-402 -4673 +687 79 +11179 -4942 +1995 -4519 +-3133 8907 +-1570 4445 +5730 -8241 +3022 -892 +-7833 5579 +4353 932 +-1161 6736 +-12549 4360 +1030 -7288 +2596 -5668 +3161 -2737 +393 -9 +-7886 2007 +-4458 142 +-5262 519 +-2920 -1393 +-2260 -850 +-4484 2651 +3562 835 +8037 -657 +5851 1984 +1283 1168 +0 -912 +-3217 1343 +-1243 222 +4767 1565 +-7736 4484 +-6191 1782 +3827 4398 +1327 2295 +6958 -4385 +-1455 -4164 +-6396 -4263 +1940 -1271 +-574 2870 +3504 4638 +-1883 401 +-6081 -4207 +4270 2073 +-2083 1818 +-5580 -242 +-3342 -1095 +-5386 -6120 +-47 828 +-847 823 +-2235 -3000 +3708 3626 +6630 -841 +5875 -5134 +-1023 -1109 +-3536 390 +4872 558 +2954 1918 +-5490 -947 +-3109 -8934 +763 -1860 +1345 4502 +-705 -124 +4779 6446 +11683 3154 +-402 -4673 +687 79 +11179 -4942 +1995 -4519 +-3133 8907 +-1570 4445 +5730 -8241 +3022 -892 +-7833 5579 +4353 932 +-6477 -2456 +5088 5961 +-111 926 +3742 -2941 +5361 2618 +-1743 2424 +6406 3099 +-2616 1305 +-3671 -2136 +4227 -942 +1704 -425 +12153 1039 +-2045 -4203 +-10539 -1886 +6212 8326 +-1796 4401 +-902 2332 +2152 1008 +-5753 -2554 +-89 5445 +-1451 8610 +-1223 -881 +4380 29 +5140 8124 +2396 -2349 +-3208 -5038 +3598 5968 +4542 1392 +-3013 -105 +2020 -458 +5149 -1028 +4247 2054 +3368 -5316 +896 -1138 +-604 2293 +-1437 -3062 +4192 -786 +6866 -3767 +-2652 -646 +-7486 1253 +-2029 -3045 +-3816 1557 +-8102 1327 +-2327 -2477 +-2556 -3973 +-5942 1660 +-369 5134 +210 -999 +-2207 -3886 +-53 -9938 +-734 -4759 +-705 -1206 +-2919 -10442 +-11273 38 +-6439 250 +2741 -5797 +3304 4422 +9622 -12 +5857 -703 +-4405 4491 +-3786 -10 +-1365 3122 +3895 3491 +-3623 -4729 +-6477 -2456 +5088 5961 +-111 926 +3742 -2941 +5361 2618 +-1743 2424 +6406 3099 +-2616 1305 +-3671 -2136 +4227 -942 +1704 -425 +12153 1039 +-2045 -4203 +-10539 -1886 +6212 8326 +-1796 4401 +1295 4788 +892 6531 +-698 -2042 +-3510 -3939 +1565 2481 +-1849 4109 +-6843 7581 +2458 4091 +-2851 208 +-5045 596 +1228 -3817 +-4709 2608 +973 1325 +3117 -3177 +-1711 3652 +3148 4169 +-1171 2073 +-437 -5429 +-1068 -1656 +-8209 4972 +5124 1174 +7014 7034 +-2860 -2745 +6255 -8874 +9104 -1485 +4297 -7414 +9326 -1653 +11634 3068 +227 -711 +-10399 -2537 +-4875 -1928 +1913 4290 +259 912 +-3205 2927 +-1845 -1528 +3044 -6626 +-439 7528 +-1333 -2040 +1460 -7840 +-5143 -405 +-5958 -6944 +-781 -707 +2832 934 +4630 -1642 +2906 4664 +5424 2701 +332 -1647 +-6587 -2930 +653 2591 +3137 4980 +1465 1259 +-388 -1603 +-1068 -2891 +5662 -2148 +3919 -5967 +1220 908 +-1331 2003 +-8259 -4667 +-875 6430 +1047 -2448 +-5142 -9423 +-2381 7532 +-3932 2676 +-2659 -3928 +1295 4788 +892 6531 +-698 -2042 +-3510 -3939 +1565 2481 +-1849 4109 +-6843 7581 +2458 4091 +-2851 208 +-5045 596 +1228 -3817 +-4709 2608 +973 1325 +3117 -3177 +-1711 3652 +3148 4169 +-2073 6871 +18 1736 +3581 6366 +-2304 1374 +-702 -2710 +2966 1593 +1594 -7101 +813 -1172 +-1269 4681 +-4045 -7748 +4913 -2619 +8398 2052 +-1004 -1330 +-1162 2720 +-102 914 +-3580 -2222 +-1161 1814 +3626 7163 +6669 -744 +6581 -7745 +147 1443 +-4705 1094 +565 2035 +1778 8719 +-4278 841 +-6200 -2681 +-3874 -3594 +338 -3812 +-4064 3567 +-10038 15 +-2266 -2952 +4558 -1082 +2591 -6353 +1986 -2548 +-1891 5583 +-11166 1959 +-4695 -5958 +980 -6882 +-6221 -563 +5355 59 +6969 -2090 +-4849 1452 +-1515 402 +-5631 -1726 +-3053 -1476 +-1785 -3113 +-3633 2297 +8015 6063 +-1430 777 +1509 -135 +10244 1860 +-7224 1813 +-4078 3080 +-713 3176 +4616 -1574 +12648 -2544 +-5567 1750 +-691 -1385 +8819 -3109 +2067 -1494 +2939 -4907 +-5914 -2747 +-773 2797 +8372 8049 +-2073 6871 +18 1736 +3581 6366 +-2304 1374 +-702 -2710 +2966 1593 +1594 -7101 +813 -1172 +-1269 4681 +-4045 -7748 +4913 -2619 +8398 2052 +-1004 -1330 +-1162 2720 +-102 914 +-3580 -2222 +6995 912 +-2686 3482 +-9073 1410 +1348 1979 +-1687 -2640 +2262 2825 +-320 -2579 +-7677 -10444 +3262 2187 +2060 2532 +1783 -117 +538 2763 +-7900 1021 +519 3895 +1811 7548 +-2277 4426 +-5565 2073 +-5953 4880 +10062 -1893 +6303 -3135 +-4248 1361 +6192 -6159 +4195 -39 +-3004 2914 +823 -4296 +-3826 2670 +-7499 2543 +1620 -2705 +2423 -706 +1800 -901 +5007 -6071 +-4046 -2530 +-1814 4270 +4384 -1798 +-1130 3353 +1074 3698 +-5960 -5347 +-7957 1929 +6236 -909 +5409 374 +7102 7140 +6816 4418 +-5481 8581 +793 923 +6649 -3701 +-1867 1099 +-2155 1933 +-2016 4788 +-3762 -4145 +3533 -5463 +5093 542 +-4842 -3292 +-7796 -7883 +-191 -8867 +-658 1078 +-5390 636 +5396 -1922 +9219 398 +27 -6129 +139 376 +-2208 -4905 +-1868 -9085 +394 7331 +-409 3374 +6995 912 +-2686 3482 +-9073 1410 +1348 1979 +-1687 -2640 +2262 2825 +-320 -2579 +-7677 -10444 +3262 2187 +2060 2532 +1783 -117 +538 2763 +-7900 1021 +519 3895 +1811 7548 +-2277 4426 +1036 -3234 +-2337 3359 +-1622 3384 +3037 -3582 +-2988 2914 +-5616 5219 +-170 1430 +-9322 -2675 +-4207 -1700 +6701 3429 +2456 -1446 +1653 -7341 +-1231 -4755 +2461 -2111 +1788 559 +-3994 2183 +4021 -2591 +2572 -2151 +-636 1083 +-675 -71 +-5261 -1028 +-2513 -9421 +1651 -4044 +1443 4776 +-6647 -9434 +-5377 -2601 +2677 6691 +-915 -4009 +1546 5126 +1954 8023 +2432 -2851 +10063 -611 +5700 3234 +-974 1566 +-3026 652 +-2465 1323 +-2523 -5354 +751 -4196 +7234 3881 +3511 740 +1098 3254 +-4671 2476 +-8042 -1657 +-1701 1749 +-3444 118 +2036 3656 +2184 3582 +-3774 -1555 +1679 -518 +-1345 -875 +583 4512 +40 3700 +-6846 -678 +470 5460 +-728 4470 +-5068 -3829 +-608 -5594 +4573 4805 +5537 7509 +1886 -1777 +6238 -4634 +5461 -8347 +117 -2882 +2137 2688 +1036 -3234 +-2337 3359 +-1622 3384 +3037 -3582 +-2988 2914 +-5616 5219 +-170 1430 +-9322 -2675 +-4207 -1700 +6701 3429 +2456 -1446 +1653 -7341 +-1231 -4755 +2461 -2111 +1788 559 +-3994 2183 +-2332 3762 +-3827 5216 +-1239 -3319 +-4161 -4442 +168 42 +-1520 -4358 +-1469 -2453 +3646 -3655 +-517 -7714 +-2744 -7252 +-1619 -6703 +-3863 2161 +-1364 1713 +8283 191 +3766 8098 +-4179 -2882 +-5047 -6995 +-7805 1813 +6383 -2948 +5943 2264 +-4950 7796 +10057 -2596 +4369 -4838 +-7274 -2312 +849 -865 +3190 3238 +2006 885 +-5395 -3833 +-2666 -1357 +2889 2622 +-5639 2210 +-3531 2818 +2332 1938 +1993 -3647 +-1596 -2546 +-3486 2572 +1031 4318 +1204 1466 +1313 -5511 +3204 -522 +2590 8232 +1523 4511 +379 683 +-5342 369 +-7571 6615 +2592 7890 +2634 -2593 +5976 -1551 +10229 -1814 +-3633 -1779 +3529 6562 +4823 -4136 +-5576 -4901 +3835 10590 +-423 4437 +3347 -3012 +10551 -689 +541 -1137 +448 -2978 +-4139 -1781 +-1872 2356 +4 -186 +-8696 -1424 +-2152 1359 +-2332 3762 +-3827 5216 +-1239 -3319 +-4161 -4442 +168 42 +-1520 -4358 +-1469 -2453 +3646 -3655 +-517 -7714 +-2744 -7252 +-1619 -6703 +-3863 2161 +-1364 1713 +8283 191 +3766 8098 +-4179 -2882 +-2073 -912 +-2116 3244 +4968 -4741 +3243 -82 +-3028 -7119 +-2614 -8698 +-9544 7598 +894 1896 +5262 -1098 +-4409 2254 +3872 -4049 +1666 -643 +-2625 2434 +-1104 -3577 +561 -4558 +10533 -1262 +2715 0 +-1063 2918 +3286 3770 +-3024 2072 +76 3211 +-3554 582 +-4485 -2660 +2539 1258 +-2699 5244 +975 -1003 +5244 -2774 +1670 5549 +595 258 +-732 -4043 +4143 -1684 +6813 -1423 +2591 5057 +-857 -838 +952 -3642 +5873 -1625 +3509 -9055 +-631 -72 +-5037 1688 +-8671 -249 +-3708 4207 +639 -6515 +1134 2049 +1923 7063 +2625 -10495 +1567 -5389 +-2078 3876 +-1581 -1445 +2985 -2073 +143 4326 +-5490 3399 +1754 1245 +7734 2600 +986 -2251 +-521 4848 +1683 7956 +-5074 -62 +-11002 1372 +-3603 -2303 +1657 4111 +-595 7803 +3046 -3037 +-1694 -816 +-6244 -3695 +-2073 -912 +-2116 3244 +4968 -4741 +3243 -82 +-3028 -7119 +-2614 -8698 +-9544 7598 +894 1896 +5262 -1098 +-4409 2254 +3872 -4049 +1666 -643 +-2625 2434 +-1104 -3577 +561 -4558 +10533 -1262 +-1295 -135 +-6438 2342 +-5992 -957 +1941 3483 +-7535 2741 +-6425 -7801 +-1034 1347 +-839 2878 +8207 -6008 +1965 1911 +4041 4339 +6416 -417 +-4416 -2638 +2071 -3562 +3430 3849 +1522 2707 +3752 -5182 +1567 1069 +-2170 4982 +-4931 866 +5038 -1319 +2671 -4756 +-785 -1857 +816 2366 +-10899 334 +-894 2336 +2310 3601 +-3178 1349 +4951 -1526 +-6701 -1538 +-4092 5548 +8036 2789 +4923 -3493 +4796 2329 +-1242 303 +2111 -4208 +322 2378 +-13047 274 +-5829 -5841 +773 -246 +-4061 1863 +-4046 -3567 +1232 -6665 +-1149 -6479 +-3708 -1267 +5900 -541 +5385 -6098 +-2073 -6194 +-3234 -1555 +-4121 3270 +-4572 -1041 +2008 -940 +10466 8636 +-2250 892 +-9511 -1584 +4655 4254 +4681 -2407 +-3193 4965 +-3971 7874 +1283 -2448 +5245 1285 +7417 2375 +10363 492 +3337 239 +-1295 -135 +-6438 2342 +-5992 -957 +1941 3483 +-7535 2741 +-6425 -7801 +-1034 1347 +-839 2878 +8207 -6008 +1965 1911 +4041 4339 +6416 -417 +-4416 -2638 +2071 -3562 +3430 3849 +1522 2707 +6995 -4011 +2376 -4171 +5630 1647 +2262 340 +995 3610 +1200 2195 +-4525 -5558 +-3635 -207 +-606 -2003 +-3596 -3026 +-4998 1188 +1238 -1075 +-1822 5481 +-874 6072 +3194 -3531 +-10530 -3801 +-7120 259 +-2726 6515 +-3933 7937 +6491 -3376 +-862 -8980 +2733 -4698 +5089 -1921 +-3064 1715 +6926 -3038 +-3669 -6424 +-6048 7362 +4956 6201 +-1456 -4730 +3047 -1480 +2730 -3795 +1092 -6348 +-1814 -653 +-6010 2809 +5472 5387 +-1964 4554 +-7553 -1553 +477 -832 +-1157 9138 +1077 6956 +-430 1485 +-1882 6407 +-272 -733 +2936 -6817 +-109 -314 +-11609 -886 +924 -3883 +7742 -3620 +-1171 -2850 +2123 2408 +-3128 9369 +-5919 704 +166 -6550 +4756 6151 +7063 2560 +1408 -2378 +3438 6665 +3982 234 +-5159 -1065 +-599 -2352 +12715 -7691 +5526 1162 +-9172 771 +654 -2932 +6995 -4011 +2376 -4171 +5630 1647 +2262 340 +995 3610 +1200 2195 +-4525 -5558 +-3635 -207 +-606 -2003 +-3596 -3026 +-4998 1188 +1238 -1075 +-1822 5481 +-874 6072 +3194 -3531 +-10530 -3801 +-7255 -1430 +2498 -5054 +-2878 -3726 +-1856 570 +-2365 -101 +-4148 -3203 +1169 -698 +-4072 2554 +-9339 3709 +-3599 7150 +1405 -3114 +1020 -4910 +2994 5256 +1679 342 +-197 2141 +3432 5617 +4270 -1555 +8375 -3258 +7441 -1343 +4863 1935 +3513 3441 +-7595 -127 +-3423 -2412 +3777 1137 +3234 1813 +4251 -837 +-3818 1320 +1185 4775 +3380 7513 +-5468 -2234 +-2255 -8423 +-3854 308 +-518 -2715 +2118 -1056 +-218 3319 +6528 1117 +-1148 3147 +-9872 -4945 +-2611 -2990 +3658 2791 +12 954 +830 2701 +9753 -5346 +290 124 +-770 3527 +12164 -427 +4224 8232 +-3980 -2386 +-4788 518 +-1901 8004 +-933 -5630 +-3867 2011 +1035 1804 +-990 -3997 +-1124 185 +626 -6137 +-4270 -1295 +-3526 5541 +-2462 4157 +3310 -1224 +-423 -3860 +-3107 1401 +8362 -6399 +-2770 -8282 +-7255 -1430 +2498 -5054 +-2878 -3726 +-1856 570 +-2365 -101 +-4148 -3203 +1169 -698 +-4072 2554 +-9339 3709 +-3599 7150 +1405 -3114 +1020 -4910 +2994 5256 +1679 342 +-197 2141 +3432 5617 +1555 -6343 +2013 663 +-4890 1630 +-1291 2144 +-2152 359 +-3510 -2832 +4009 -1068 +645 -1396 +7059 4663 +1915 1658 +-9600 -6010 +1747 -7 +2096 1488 +5275 -3777 +6720 -5268 +-2635 1326 +394 1036 +-2184 -4242 +2239 -2192 +7774 -1307 +2274 6845 +7780 1624 +4434 -7806 +-4662 1272 +-6362 -1920 +-10054 3015 +-5003 3323 +3498 -10066 +-1097 1380 +-5797 1815 +2571 -3576 +913 1432 +-7773 643 +-1055 560 +339 -6716 +-8994 -103 +-6542 3116 +7625 -6501 +7131 2658 +-359 741 +7968 1555 +6952 7077 +-965 -5203 +-1778 -664 +-49 5829 +1580 3360 +-9612 1955 +-6385 -3425 +2715 518 +-1911 5011 +6354 3384 +1956 -2054 +-2907 -4102 +9065 3959 +3834 4143 +1115 1338 +4807 1920 +1142 -4341 +-910 -4798 +271 5588 +-1986 3739 +-10544 -2904 +-6652 4818 +-106 1035 +1555 -6343 +2013 663 +-4890 1630 +-1291 2144 +-2152 359 +-3510 -2832 +4009 -1068 +645 -1396 +7059 4663 +1915 1658 +-9600 -6010 +1747 -7 +2096 1488 +5275 -3777 +6720 -5268 +-2635 1326 +-5959 4798 +-1056 3758 +9343 3427 +5924 2025 +-210 -1589 +-1007 2589 +-2943 115 +-6410 -5190 +-2514 12 +3749 3639 +3575 4891 +1681 1469 +-2191 -2745 +1845 6729 +4521 3818 +-2826 -8650 +-3752 0 +-2317 2093 +2424 -3422 +5399 1984 +8043 -1784 +7491 -4486 +-9485 741 +-6512 1680 +202 1605 +-5285 -2305 +6577 -593 +2632 2617 +-5277 -2542 +-1197 588 +-4503 -1318 +2505 -7989 +4405 1938 +3167 5545 +7189 4552 +-147 8310 +-6385 -1787 +-5360 -3247 +1491 4561 +1476 -998 +960 -530 +3980 -1852 +-4130 -6164 +462 3182 +369 -1311 +-6956 -11498 +4026 -5460 +686 -1739 +-912 -3627 +6419 -3744 +-4016 2949 +-7337 310 +-1448 -10385 +-325 2760 +-2432 8558 +-3891 -4606 +-721 -51 +4890 4340 +5984 578 +-4574 873 +-5338 1417 +4399 3384 +3107 -652 +-1506 -1570 +-5959 4798 +-1056 3758 +9343 3427 +5924 2025 +-210 -1589 +-1007 2589 +-2943 115 +-6410 -5190 +-2514 12 +3749 3639 +3575 4891 +1681 1469 +-2191 -2745 +1845 6729 +4521 3818 +-2826 -8650 +-2332 6861 +-1691 -2743 +5811 -1260 +-1701 7234 +438 -1531 +1727 5124 +-814 8530 +5745 -2894 +-64 304 +2522 2866 +382 4509 +-1805 9046 +5725 2505 +-9301 -3258 +-5208 -3936 +6144 -1423 +-2207 518 +4251 -3737 +4120 1125 +-3216 6705 +592 -2457 +6095 -2816 +4272 6789 +-1699 4385 +2349 -1011 +2397 2389 +463 4087 +1667 -2525 +-628 -2548 +3245 4932 +-1174 2643 +-7805 -1408 +-1295 -1161 +4386 1520 +5110 6246 +1706 3174 +-376 5006 +-1282 3040 +-7246 -10085 +-11017 -699 +-973 4877 +4697 -6847 +-5194 -1958 +-1202 -665 +1844 -2745 +-1613 3538 +5192 -1166 +167 -4158 +-1420 -1036 +1501 -3675 +97 -3306 +1788 3347 +-2727 5200 +5490 -4284 +3055 -9861 +-10031 -1725 +-4422 1011 +-8307 -6250 +-2498 -5298 +4732 -1045 +-2796 -1357 +2464 -2234 +1923 -9496 +-53 -4913 +-2332 6861 +-1691 -2743 +5811 -1260 +-1701 7234 +438 -1531 +1727 5124 +-814 8530 +5745 -2894 +-64 304 +2522 2866 +382 4509 +-1805 9046 +5725 2505 +-9301 -3258 +-5208 -3936 +6144 -1423 +1295 -1171 +196 -915 +1428 -1791 +-1146 2371 +1826 -4339 +4052 -8456 +-180 559 +-2892 -4688 +316 -4838 +106 2679 +-5843 -251 +-2354 -2920 +-484 -541 +-9116 615 +-5495 -5155 +6541 1173 +4270 7255 +-2921 2623 +320 2685 +2686 -9595 +509 -5006 +-1172 9127 +-4283 -3495 +-838 -1083 +2274 3639 +-95 -1756 +3581 3667 +4934 -627 +2457 -5907 +-699 -3037 +-3547 152 +5074 4565 +8032 6353 +940 4441 +4370 582 +2115 198 +-5212 3025 +3058 6861 +4686 2998 +-1889 369 +1239 7429 +-3686 2364 +-8189 -164 +1285 -212 +1976 -7295 +-4355 -2310 +-6240 834 +-4714 5518 +-2197 3109 +-1947 -10312 +3535 -6302 +5750 -1299 +-232 7357 +1129 8244 +4426 -2919 +810 5750 +-4864 3097 +-5280 -2307 +-3347 3647 +-3514 -2322 +1233 270 +3210 430 +2344 -7482 +4732 -5491 +1295 -1171 +196 -915 +1428 -1791 +-1146 2371 +1826 -4339 +4052 -8456 +-180 559 +-2892 -4688 +316 -4838 +106 2679 +-5843 -251 +-2354 -2920 +-484 -541 +-9116 615 +-5495 -5155 +6541 1173 +-2850 2466 +942 4523 +-339 4782 +1785 -640 +2928 -2833 +-6606 537 +-8554 -3665 +120 -312 +-941 3006 +3901 828 +5571 524 +-449 -4408 +1109 -6627 +327 1093 +3227 6821 +2053 458 +-3752 -3368 +877 1134 +512 4699 +-3372 472 +2788 -10051 +8635 -3805 +1388 6695 +-1367 4300 +1854 3515 +-4745 264 +-1001 811 +177 3126 +-5636 2944 +849 7267 +-3171 -633 +-2889 -6213 +5959 2197 +1106 2040 +-231 -2909 +1682 -1212 +1773 279 +586 -103 +1022 4737 +4961 -482 +-1131 -5597 +-3896 6401 +1689 555 +-981 -11073 +-3663 -5454 +5081 -3029 +6556 -5980 +-6278 -8777 +-5575 -3368 +97 -2783 +-193 -5535 +350 277 +-5416 169 +-3871 4353 +-4722 -2585 +-1902 -6058 +12656 13585 +4398 4425 +2283 -853 +6922 8350 +-4247 -3300 +610 1725 +109 4974 +-9103 -2682 +-2850 2466 +942 4523 +-339 4782 +1785 -640 +2928 -2833 +-6606 537 +-8554 -3665 +120 -312 +-941 3006 +3901 828 +5571 524 +-449 -4408 +1109 -6627 +327 1093 +3227 6821 +2053 458 +2850 4011 +4500 -3744 +-6581 -4928 +-6312 914 +-2537 5354 +5044 5780 +3715 2211 +-3662 -616 +-1927 3293 +-3036 5910 +96 -6689 +1156 -2097 +-2319 3826 +2538 -9321 +2811 2104 +-3054 3986 +-2466 -10882 +4682 157 +1991 5545 +-5799 1942 +-5531 -471 +-4968 -4561 +364 1853 +2399 -4587 +-639 -13186 +250 -1743 +-700 -1460 +1619 -2876 +226 3938 +-552 -3450 +-759 -2292 +-6023 4343 +777 -1420 +223 1963 +-2562 819 +1928 -5195 +-3529 231 +3566 -412 +8649 -2482 +2094 1386 +1927 6034 +1596 3337 +7952 -2081 +5213 2976 +702 2063 +9799 1971 +2630 1970 +-7604 -4365 +-3234 4145 +802 4280 +-2982 1014 +-5359 5212 +197 -2005 +135 601 +442 4154 +648 5006 +-5579 3859 +-5373 -7229 +-1359 -511 +979 -876 +355 -4645 +5669 6462 +7021 -3373 +-3097 -5154 +2850 4011 +4500 -3744 +-6581 -4928 +-6312 914 +-2537 5354 +5044 5780 +3715 2211 +-3662 -616 +-1927 3293 +-3036 5910 +96 -6689 +1156 -2097 +-2319 3826 +2538 -9321 +2811 2104 +-3054 3986 +2332 -1689 +-1816 -270 +-80 389 +1963 348 +-2092 -2672 +-4983 -6089 +-2561 -457 +2323 -2839 +4770 -2224 +6253 2484 +4139 -1339 +1865 712 +-2173 -1932 +-5382 -9 +-319 6549 +521 -1009 +4529 -259 +4748 6749 +-3019 3368 +-517 -1009 +-5395 586 +-5857 -1742 +-1319 -2526 +-827 1593 +10999 -6193 +-417 -2375 +-7656 3589 +8442 -3285 +3396 4488 +749 4421 +-2971 6416 +-2474 9270 +9068 -4011 +-3638 5656 +-3805 4289 +2482 -9550 +-4404 1698 +1152 2783 +-3299 -1924 +-5653 1862 +930 151 +6 -8746 +2952 -3837 +-4444 8764 +-9274 -3564 +2065 -6882 +3759 -3812 +-1427 -10382 +-2456 1814 +-88 2623 +2760 -3901 +1156 -733 +2563 -3758 +7772 56 +710 5262 +-8588 4776 +-5299 -4171 +-5049 -6121 +-3581 5733 +3709 1821 +4942 1008 +4482 7461 +1856 2927 +1468 -339 +2332 -1689 +-1816 -270 +-80 389 +1963 348 +-2092 -2672 +-4983 -6089 +-2561 -457 +2323 -2839 +4770 -2224 +6253 2484 +4139 -1339 +1865 712 +-2173 -1932 +-5382 -9 +-319 6549 +521 -1009 +4405 -2975 +1567 -1752 +5572 2588 +8991 -228 +-8397 -4890 +-9999 -4523 +-3576 -2178 +-3699 1897 +-1276 4404 +-5619 3066 +676 1663 +6694 1672 +-1836 3015 +180 -1624 +3568 518 +736 1506 +-2456 -10623 +-4197 -4573 +-1941 1721 +-8886 212 +-8057 708 +5646 -8564 +3553 287 +-755 3091 +-3082 -3985 +-9413 8308 +-2510 3374 +4646 -3175 +5237 -2551 +2963 -2812 +-3242 7080 +2905 1171 +4405 -2207 +-4603 1768 +816 1036 +4910 3816 +-1422 -2161 +-7793 -917 +-5405 -1908 +3547 -7302 +-1833 1296 +-4084 3648 +1594 1115 +-3276 -5790 +-1766 -4203 +1537 36 +4193 -6138 +8012 8103 +-1171 7514 +-1707 -2432 +3541 8934 +-360 1522 +3367 3234 +3329 9407 +-5135 -8407 +-2240 -4883 +5155 8649 +5361 2226 +6763 -7995 +4115 -5357 +-3708 630 +1959 -1263 +3972 2456 +-466 3745 +4405 -2975 +1567 -1752 +5572 2588 +8991 -228 +-8397 -4890 +-9999 -4523 +-3576 -2178 +-3699 1897 +-1276 4404 +-5619 3066 +676 1663 +6694 1672 +-1836 3015 +180 -1624 +3568 518 +736 1506 +518 3493 +-590 2018 +4716 -8523 +1856 -229 +3304 2423 +8197 -2740 +-57 2262 +-2860 -946 +-1378 278 +-822 10983 +-638 3700 +-4182 -6142 +-5975 -6997 +-2062 -4257 +1284 2331 +-2992 690 +3752 -2332 +2985 -376 +-9930 256 +575 2364 +-1194 3867 +-9060 4173 +-724 2382 +51 2617 +7809 6254 +2591 3225 +-6694 1323 +1201 -121 +-362 -4030 +986 2784 +-3680 5005 +-6769 -3493 +2591 -1420 +4425 4097 +8490 -272 +-159 -3900 +575 -654 +11073 -657 +-2431 -5235 +-297 -3196 +1378 -278 +-4391 -3955 +2820 704 +-2973 8216 +-280 2977 +5533 1753 +1697 3604 +1280 -410 +-5825 4405 +-548 6038 +6984 -1720 +-1002 -3254 +-3721 -3563 +112 -2190 +4678 -268 +2148 -4284 +-2627 -4181 +-1473 1524 +-5747 4532 +-9217 31 +1436 -4386 +7917 -1694 +-766 -5937 +-1531 -8671 +518 3493 +-590 2018 +4716 -8523 +1856 -229 +3304 2423 +8197 -2740 +-57 2262 +-2860 -946 +-1378 278 +-822 10983 +-638 3700 +-4182 -6142 +-5975 -6997 +-2062 -4257 +1284 2331 +-2992 690 +518 6861 +-4000 -725 +-7921 -1941 +-7433 7996 +737 1418 +2934 -6894 +-1544 7821 +-971 3837 +-2749 -7526 +3783 4541 +1153 -1540 +-12693 -5397 +-251 5388 +4226 -707 +-8437 -476 +-5625 2385 +902 -4405 +4969 -1296 +-1709 2447 +-6137 -5257 +4217 -6257 +1229 2892 +-4603 2691 +-1904 -1374 +-1927 -1561 +2075 2013 +6307 4077 +6871 -1249 +3298 2452 +-283 701 +3368 -4527 +1410 6248 +-518 4539 +5710 -1501 +-869 -1101 +-7721 -3993 +1639 -648 +612 3012 +-7354 5698 +-3613 -758 +-360 -6983 +-766 -20 +-2260 3060 +0 7017 +6647 3673 +4137 -1598 +987 -359 +2255 -3830 +-1938 5441 +-1631 4935 +6228 -3247 +5868 3453 +-375 -1767 +1745 -192 +1546 5220 +-2077 -965 +1927 1561 +-1494 -2084 +-5074 -7973 +3805 -5502 +8960 -2185 +5771 -3077 +-545 -9849 +-1053 -2612 +518 6861 +-4000 -725 +-7921 -1941 +-7433 7996 +737 1418 +2934 -6894 +-1544 7821 +-971 3837 +-2749 -7526 +3783 4541 +1153 -1540 +-12693 -5397 +-251 5388 +4226 -707 +-8437 -476 +-5625 2385 +-1814 -1689 +2413 -10573 +-3180 -7740 +-11593 3594 +-441 12 +4761 -2291 +2072 3223 +4186 -1067 +112 -923 +-2371 4761 +1762 2877 +7832 -1266 +2655 4669 +-5108 7839 +787 -1402 +403 -3711 +-1948 -4664 +-1211 -236 +-3326 7102 +2194 327 +3446 960 +3045 462 +8371 -3699 +4980 -1422 +75 -1605 +866 6907 +2278 1502 +7005 -6113 +5050 4835 +-6120 193 +404 1345 +5921 7288 +-6995 135 +-2240 655 +1027 -1875 +-3527 -330 +4031 1543 +-992 -5395 +-1226 668 +203 2545 +-9957 -4777 +-1881 -2667 +3571 1616 +-2861 545 +-7052 -1901 +-6507 1124 +1002 1807 +-3401 -5851 +-7897 -5182 +-3209 4614 +475 189 +6822 -6085 +7472 595 +6499 -2218 +2611 -1657 +-4208 4345 +-2666 51 +-3842 4223 +-6752 475 +-6125 -7150 +-653 3796 +7605 5039 +6705 -283 +459 1915 +-1814 -1689 +2413 -10573 +-3180 -7740 +-11593 3594 +-441 12 +4761 -2291 +2072 3223 +4186 -1067 +112 -923 +-2371 4761 +1762 2877 +7832 -1266 +2655 4669 +-5108 7839 +787 -1402 +403 -3711 +-5441 -3244 +-1402 -6434 +-2909 -173 +-4054 8491 +2588 1876 +-4165 -871 +891 2789 +2888 1600 +1661 -3904 +10743 838 +4036 3987 +-32 -9112 +-858 -3774 +-6402 2847 +-197 -1615 +5968 3284 +-135 -3368 +-8525 2512 +-4062 10532 +-4967 -6209 +-5059 -1850 +6292 5101 +-231 -2773 +2397 -378 +8738 947 +-5035 -772 +-2705 -3183 +1214 420 +1264 5298 +4832 168 +-2858 3876 +-1510 4866 +2850 -2975 +1982 1058 +7081 -688 +7023 -2926 +2238 10027 +-231 3531 +-2537 -11920 +-4777 -3522 +-2179 2868 +1377 291 +404 -3706 +2031 -9321 +5862 -4894 +4081 -762 +-3425 4530 +-5217 6046 +-2456 -3886 +-480 1827 +1230 6074 +-5231 -3930 +-1840 -3835 +5470 1799 +-3001 2984 +-3244 -4086 +-965 -5092 +-984 1644 +1071 -406 +-2453 -1825 +-2122 -775 +-3408 3806 +3067 6274 +5820 20 +-5441 -3244 +-1402 -6434 +-2909 -173 +-4054 8491 +2588 1876 +-4165 -871 +891 2789 +2888 1600 +1661 -3904 +10743 838 +4036 3987 +-32 -9112 +-858 -3774 +-6402 2847 +-197 -1615 +5968 3284 +-2332 2456 +-2527 -543 +-325 -4923 +1159 1838 +-867 1733 +-3993 4324 +972 6870 +-2411 -3857 +-2092 -6861 +4118 551 +-331 -4167 +-4544 -3498 +-3924 5156 +3623 -4181 +4993 -6641 +-412 1283 +1420 777 +2395 527 +3080 -1088 +-191 398 +-1484 -5914 +3371 -12796 +4130 -1327 +1584 2889 +-7944 2716 +-3295 672 +6244 -1802 +-3811 5287 +-4043 2213 +-1537 1919 +-5097 1365 +-1587 -2963 +1295 6353 +1663 6369 +-1119 2167 +3895 -1426 +4432 -812 +-3669 9974 +-1669 3214 +-4626 -604 +-1535 643 +3129 -3735 +-2007 5130 +6973 -354 +8473 -12473 +1146 -8406 +629 -870 +-1382 9534 +4798 9068 +5422 140 +-3102 -2625 +811 -2215 +7247 -1225 +3569 1252 +3037 6255 +2795 1856 +-8120 -4789 +-7366 415 +-2440 3162 +-4899 -4427 +531 -7332 +-1904 3518 +-2850 3571 +2501 -3740 +-2332 2456 +-2527 -543 +-325 -4923 +1159 1838 +-867 1733 +-3993 4324 +972 6870 +-2411 -3857 +-2092 -6861 +4118 551 +-331 -4167 +-4544 -3498 +-3924 5156 +3623 -4181 +4993 -6641 +-412 1283 +-3109 2197 +-2409 593 +5830 -1812 +-2087 124 +-3925 3586 +-929 -3727 +-2868 -1577 +-2563 -3001 +-2160 -6634 +3063 5955 +-3441 6724 +-11957 -1637 +-3241 4636 +1320 4628 +6894 -5920 +4122 -2389 +-2725 3886 +6314 906 +2077 -101 +-3079 869 +1478 3851 +1681 1617 +1704 -2687 +-2850 974 +2792 -898 +3900 -1828 +-5124 999 +-2319 -1862 +-3119 -1915 +-118 -2986 +-692 -2012 +-7435 -318 +-2073 -2197 +-701 5807 +7449 3869 +6874 -7803 +-6272 -1701 +2911 180 +4457 -4436 +-232 2841 +6824 1970 +7161 -3439 +6934 1133 +4024 1980 +-1575 -1161 +-1432 -2824 +3442 -382 +4625 871 +653 -4923 +3927 -526 +-396 4566 +-4414 3404 +6646 4628 +5377 -3802 +-1169 107 +-2132 5373 +-4346 -5838 +-4422 1934 +-11257 -869 +-12669 -10339 +-2429 4658 +-770 1658 +2740 -1746 +7219 6765 +-3109 2197 +-2409 593 +5830 -1812 +-2087 124 +-3925 3586 +-929 -3727 +-2868 -1577 +-2563 -3001 +-2160 -6634 +3063 5955 +-3441 6724 +-11957 -1637 +-3241 4636 +1320 4628 +6894 -5920 +4122 -2389 +4405 -1679 +4219 461 +-3392 -4533 +2337 3954 +27 8002 +-116 -3407 +1574 -7133 +-3151 -863 +-2603 763 +618 417 +-2165 8339 +-3047 9015 +3298 -5035 +3847 -7816 +2022 -1737 +-2531 -4351 +-2197 -2850 +7933 2739 +4324 1840 +4510 -4635 +4343 -7513 +-3472 -943 +8550 -1012 +7278 -1032 +-7241 3078 +-2639 -1922 +3812 -26 +547 602 +-4635 -3318 +2273 -1975 +5589 -4146 +-1984 3165 +-5441 3234 +-8348 -3154 +-4375 3848 +-2756 -1682 +-5005 -3365 +-1389 3549 +699 2073 +3229 897 +-2061 -4909 +-4519 1318 +-959 4154 +-2706 -4485 +1680 1863 +1179 -3125 +-2018 -6154 +-3342 4034 +125 -777 +9156 -1951 +2407 5492 +3875 10491 +1671 7021 +-10815 2041 +2902 3215 +5267 -118 +-1568 7285 +-306 6134 +-1724 -8750 +5783 287 +-1379 2344 +-5912 -4801 +-662 385 +-5017 -2842 +4405 -1679 +4219 461 +-3392 -4533 +2337 3954 +27 8002 +-116 -3407 +1574 -7133 +-3151 -863 +-2603 763 +618 417 +-2165 8339 +-3047 9015 +3298 -5035 +3847 -7816 +2022 -1737 +-2531 -4351 +-2591 2456 +-1226 642 +-56 5045 +-2719 1584 +-7244 7845 +-3 2887 +1100 -3488 +-5244 -153 +3639 -4050 +5616 5465 +-662 4736 +1579 -6550 +1785 -489 +-133 -1417 +-429 -4217 +2458 668 +4011 0 +2832 117 +4418 2407 +-1226 -2334 +-7374 -1386 +-4490 6033 +-2566 2092 +-3020 4503 +-747 3354 +6229 -11009 +5449 -6120 +-1018 2160 +-2409 2421 +-5626 115 +-218 -2987 +5084 3594 +-1036 653 +119 -2349 +-348 2488 +-696 -2449 +6030 -5595 +4043 -4436 +-2877 -122 +-6543 1163 +3097 -4241 +11158 -5409 +827 -1341 +-308 -399 +3219 -11895 +902 -9286 +1809 1774 +-3103 -1228 +-1420 4145 +2829 -2484 +-2675 -9208 +-1673 7062 +297 9499 +2640 5730 +2144 5788 +-7673 4563 +-4953 -2318 +-1678 -7920 +-2808 1992 +6565 -2509 +1550 -4546 +-6630 6739 +1036 5304 +956 6906 +-2591 2456 +-1226 642 +-56 5045 +-2719 1584 +-7244 7845 +-3 2887 +1100 -3488 +-5244 -153 +3639 -4050 +5616 5465 +-662 4736 +1579 -6550 +1785 -489 +-133 -1417 +-429 -4217 +2458 668 +-1036 -1430 +-4079 3320 +-114 -2653 +2973 -2168 +3499 -2299 +2577 -5073 +2875 6825 +6851 1266 +-1694 -10816 +-1715 -1383 +3619 4169 +2224 5381 +2205 9768 +-4497 5564 +178 616 +3912 6306 +-2207 8550 +2214 500 +1852 -2037 +-1261 893 +-4119 2990 +-3345 1522 +7096 -6039 +4351 -4604 +-5081 3399 +-2735 1006 +193 1213 +-5076 5484 +-2863 -191 +2381 -2936 +-3455 126 +-3816 2292 +-1555 5057 +4091 3385 +9770 3405 +4332 1832 +4866 190 +-88 2969 +-5514 -4792 +-2716 -1136 +-2970 5116 +2227 -3599 +-1146 4007 +417 -621 +6463 -10768 +1605 1267 +5121 -596 +-2410 3018 +-5565 5441 +-2141 -9940 +-9005 -5007 +617 3091 +-2173 2229 +-2888 -2257 +8660 -8505 +-2706 -3504 +-2692 -808 +65 1706 +-3095 -1025 +778 -11819 +-1660 -8136 +2182 -196 +-452 2000 +-4324 -1566 +-1036 -1430 +-4079 3320 +-114 -2653 +2973 -2168 +3499 -2299 +2577 -5073 +2875 6825 +6851 1266 +-1694 -10816 +-1715 -1383 +3619 4169 +2224 5381 +2205 9768 +-4497 5564 +178 616 +3912 6306 +-6736 1679 +5814 2973 +4640 4837 +-2201 -970 +-890 -6083 +-927 2261 +5985 -10 +2612 -5800 +-708 -802 +9964 5144 +3987 3314 +-12176 -2938 +-12531 -3458 +-3012 -890 +4890 2450 +8637 5118 +5306 1036 +2254 -3633 +1912 980 +-3833 2970 +-2709 -4689 +-328 -3224 +-5042 4444 +-2449 6043 +580 6906 +1250 6731 +170 1164 +-290 -855 +1780 5985 +-2081 2341 +3981 1104 +6362 6618 +-2591 -3234 +4685 339 +6147 -886 +-370 -9512 +1052 8066 +-1646 454 +-1207 -8852 +1373 3396 +2780 -2825 +1575 2051 +-2968 4450 +1591 -3344 +3648 -3833 +-2048 -4913 +-2985 6270 +-126 1406 +-125 -5700 +-3709 1261 +-1958 -5057 +-2393 2181 +-8853 3742 +-3839 -6422 +2817 -3035 +-3361 -4464 +-4726 867 +-708 2470 +-3638 -4657 +1477 -4869 +3994 -5948 +-3099 1348 +3997 1775 +1001 -3271 +-6736 1679 +5814 2973 +4640 4837 +-2201 -970 +-890 -6083 +-927 2261 +5985 -10 +2612 -5800 +-708 -802 +9964 5144 +3987 3314 +-12176 -2938 +-12531 -3458 +-3012 -890 +4890 2450 +8637 5118 +3109 -1420 +82 -6607 +3090 2134 +-278 1015 +-7774 -743 +379 -1793 +-6978 -1831 +-6002 -3057 +7494 -3937 +-1041 1526 +1632 -2333 +3697 -1975 +-4016 3081 +6118 3719 +4858 2309 +-1800 -3749 +-1420 2591 +-8558 2933 +-6661 -6058 +-3622 754 +-2142 3297 +4680 -568 +2833 3017 +48 2980 +-2085 -4542 +-1428 -1328 +3997 790 +-129 -7581 +-223 1714 +6073 2777 +5649 -5055 +5661 1360 +4145 -2207 +1293 -598 +-235 1709 +-1761 1178 +4728 7793 +10995 738 +2571 -793 +-5904 -1977 +-757 -2281 +-63 5448 +-5147 -3693 +-2271 -3817 +-2694 -553 +-603 -2727 +4546 4933 +-1356 5452 +-653 1036 +2395 -5402 +570 3199 +3575 6513 +-3103 -7239 +-7195 7857 +160 10348 +626 -3694 +-1542 397 +-2585 -4158 +680 -4039 +1898 375 +-1358 3013 +1894 2330 +-3275 -4637 +-4820 2078 +3109 -1420 +82 -6607 +3090 2134 +-278 1015 +-7774 -743 +379 -1793 +-6978 -1831 +-6002 -3057 +7494 -3937 +-1041 1526 +1632 -2333 +3697 -1975 +-4016 3081 +6118 3719 +4858 2309 +-1800 -3749 +7514 -4021 +-5230 1167 +-3617 1495 +2990 -2321 +-2535 164 +-3500 2552 +3628 5242 +8801 4532 +9654 95 +-1858 1545 +-5717 -7088 +-413 -2538 +-5105 4178 +4669 -9713 +6366 -3023 +-8312 1367 +-4539 -4405 +-6164 -1955 +-4263 -2499 +5674 6403 +1906 3435 +1123 -3533 +-2923 2306 +-2956 326 +2766 1978 +2810 -1879 +4881 -3669 +-1858 4323 +-87 -3165 +3765 -6069 +-3248 3743 +4883 4138 +5959 -6861 +3908 -9338 +9126 -5094 +2048 -3462 +399 8582 +-2871 4727 +-6614 -7596 +101 -233 +-1881 -95 +-3569 -1731 +-1398 -1465 +2222 -2758 +6204 2444 +-1815 3247 +-2690 3821 +5870 1239 +394 2850 +-5789 6959 +-1979 -1032 +-1512 1313 +-1844 7509 +118 2996 +1031 -2580 +-1692 -2010 +-9502 2168 +-3518 -1238 +2967 697 +-7330 2577 +-1012 1725 +5484 8116 +-3840 159 +3920 -8753 +7514 -4021 +-5230 1167 +-3617 1495 +2990 -2321 +-2535 164 +-3500 2552 +3628 5242 +8801 4532 +9654 95 +-1858 1545 +-5717 -7088 +-413 -2538 +-5105 4178 +4669 -9713 +6366 -3023 +-8312 1367 +1295 -7389 +2237 -2159 +-786 3970 +-3316 -7979 +-6133 -6113 +3042 -1327 +11009 5303 +3916 6258 +-5960 -5788 +-6652 3544 +2900 10641 +435 972 +-5425 3423 +-1822 51 +-2075 -9896 +2795 2401 +7638 5959 +2311 -10110 +-389 -4281 +2769 -216 +2180 -6892 +-3102 -207 +-3620 44 +-1011 2087 +1813 4940 +1546 971 +2691 1220 +6725 -2776 +-2513 -1320 +-7070 -1691 +-646 -464 +2054 8577 +5441 5316 +-1576 4305 +-4647 -3966 +2933 -5794 +56 7919 +-1797 -3347 +175 -4036 +-1814 4335 +-9067 -5612 +-8682 -2730 +1884 2192 +-1550 257 +-5708 -4371 +-2782 -2166 +-2351 7440 +-4089 3411 +-8156 4405 +1140 3579 +6911 -7573 +3547 -5418 +4934 -96 +-263 2644 +3178 5285 +5179 3637 +-1295 -1831 +3102 -1547 +3872 1943 +3824 -1282 +174 3304 +-4505 1898 +2622 -3675 +2474 -180 +1295 -7389 +2237 -2159 +-786 3970 +-3316 -7979 +-6133 -6113 +3042 -1327 +11009 5303 +3916 6258 +-5960 -5788 +-6652 3544 +2900 10641 +435 972 +-5425 3423 +-1822 51 +-2075 -9896 +2795 2401 +-4923 4280 +6594 1205 +5356 -1935 +3909 -3959 +789 -6917 +-2706 -1115 +-1884 5555 +-5034 2291 +6457 -8164 +2393 -12516 +-4780 -5932 +5450 -2425 +771 -2097 +-2191 -3179 +-2593 -3355 +-4808 351 +-4270 1036 +-4074 2350 +-805 30 +-3377 -1078 +2667 3579 +4377 -433 +-797 2698 +4760 5622 +443 -4650 +743 2062 +-3896 5617 +-12326 -8837 +2799 -5424 +5396 5609 +2818 4904 +4637 2553 +-4405 -135 +-2073 -398 +773 3879 +-3463 3157 +1917 -741 +5864 3907 +939 7921 +1414 59 +-1794 -645 +-6990 6964 +2680 213 +-987 -4898 +-4890 2374 +-227 1466 +-10319 -1324 +-5965 1647 +-912 1036 +605 -2297 +5416 -1848 +-1389 1240 +8100 -3175 +3757 -2973 +-5208 6648 +9328 5499 +3184 1023 +477 -2981 +3547 -4169 +-150 4823 +-1789 2037 +-7800 -5961 +4609 -2320 +3856 2246 +-4923 4280 +6594 1205 +5356 -1935 +3909 -3959 +789 -6917 +-2706 -1115 +-1884 5555 +-5034 2291 +6457 -8164 +2393 -12516 +-4780 -5932 +5450 -2425 +771 -2097 +-2191 -3179 +-2593 -3355 +-4808 351 +2073 -1679 +1134 6262 +578 1490 +2781 -5017 +-4440 299 +-2319 1972 +3446 344 +-2156 10776 +-3095 6115 +-4889 -1563 +-2331 1753 +10076 -3217 +6623 -1779 +-1543 -769 +-516 -1031 +-3445 820 +-3493 2332 +2137 10211 +1912 127 +1824 -47 +7514 11908 +4357 -2671 +-3111 -3457 +58 6006 +4348 51 +2762 -6991 +-3929 -7639 +-4601 532 +5116 -3743 +4795 -7085 +-2213 2270 +-559 3967 +3627 2197 +1559 -3397 +-2754 -3852 +-2188 -855 +991 -247 +7842 1581 +7956 -5750 +1614 -6019 +2577 -2488 +-1678 -1374 +-517 3374 +3086 -1182 +-7142 565 +-4134 805 +-3175 -263 +-10289 11449 +-3244 5441 +-1797 -1384 +-1380 5596 +-2624 2922 +-5101 -1596 +4295 -1399 +-2858 -2182 +-8858 -4743 +3425 -1605 +3201 -46 +2203 -2921 +942 -716 +-5634 -3333 +860 -2442 +2544 -295 +-2244 -6386 +2073 -1679 +1134 6262 +578 1490 +2781 -5017 +-4440 299 +-2319 1972 +3446 344 +-2156 10776 +-3095 6115 +-4889 -1563 +-2331 1753 +10076 -3217 +6623 -1779 +-1543 -769 +-516 -1031 +-3445 820 +777 5825 +-5498 -1251 +-2604 -3404 +1847 -854 +-2807 -3716 +922 -2557 +2857 383 +1304 142 +2098 3576 +1059 5462 +-281 -3663 +-2232 830 +-2933 4278 +-181 -3293 +2051 4112 +1214 -296 +4529 -4664 +3234 7349 +-5837 4865 +104 -3075 +3437 -5102 +-7497 3670 +-8799 7862 +-4470 -113 +-215 4448 +708 6207 +-1239 579 +-1104 -454 +733 304 +10583 -1258 +6308 -5694 +-3618 -663 +7514 -2715 +5764 -7218 +-3399 -2212 +-703 -5530 +-1590 1680 +610 5247 +-4162 -8469 +-7095 -8473 +4120 -3576 +-2329 -1520 +-7188 2184 +2796 2443 +-856 -3331 +2026 -5148 +7473 819 +-4400 -460 +-4529 2591 +1357 4407 +-166 -537 +1763 1560 +959 -4262 +4968 -4087 +7958 2120 +874 413 +-1857 2806 +-6264 3041 +-6230 8406 +2430 6190 +7202 -2287 +7119 3383 +-3322 -3204 +-5290 -4097 +777 5825 +-5498 -1251 +-2604 -3404 +1847 -854 +-2807 -3716 +922 -2557 +2857 383 +1304 142 +2098 3576 +1059 5462 +-281 -3663 +-2232 830 +-2933 4278 +-181 -3293 +2051 4112 +1214 -296 +1036 -4539 +-2453 -1274 +-3797 938 +4193 -3500 +119 -275 +-291 517 +2308 3422 +-6068 59 +-4785 -2040 +89 9160 +-3407 1502 +-5302 -5294 +-856 2431 +-1006 2173 +-4311 1646 +-1701 -3026 +384 -3368 +4676 884 +3699 967 +-1103 2630 +905 -1969 +539 1722 +5737 -2001 +9384 -13498 +5490 -1864 +3576 -4974 +-2410 -9054 +-2609 2319 +1071 -2939 +885 2496 +-4581 6904 +-7108 -3308 +4145 -3752 +953 -3271 +98 2383 +11090 4027 +-1318 -1316 +-4427 77 +3631 -4564 +-5608 -5429 +-9724 -32 +-1617 -322 +4383 1702 +484 1842 +-824 -2128 +1930 1536 +2757 6350 +3572 -3261 +-384 -6995 +1069 5038 +-2679 5719 +-3555 5053 +9622 7706 +2335 -1940 +-2274 -2971 +3928 1711 +-2381 -208 +-2755 4515 +-4177 4134 +-3805 754 +1645 4708 +642 243 +879 -495 +63 2340 +1036 -4539 +-2453 -1274 +-3797 938 +4193 -3500 +119 -275 +-291 517 +2308 3422 +-6068 59 +-4785 -2040 +89 9160 +-3407 1502 +-5302 -5294 +-856 2431 +-1006 2173 +-4311 1646 +-1701 -3026 +2073 2715 +-5221 1630 +945 3108 +-4440 -7845 +-3782 -7989 +1541 2251 +-1951 4335 +-833 1424 +-2610 3791 +-4508 2520 +-4423 304 +-6223 7428 +220 6310 +2702 704 +-2616 217 +1516 -2607 +5825 -6736 +1802 -8054 +-1510 -2091 +643 -1283 +5928 -6236 +-186 -2288 +-5889 3058 +-34 1245 +347 -1592 +9925 4760 +11518 4777 +-6700 -3695 +-1536 -1577 +2746 639 +-2549 6286 +4025 5782 +518 -5825 +1451 2847 +5349 5840 +-1448 -6495 +-3242 -4092 +-3617 -3819 +-1597 -8201 +-1319 -4073 +-2053 -4827 +1262 -7176 +1588 1372 +1133 -620 +-2470 -7954 +-301 3134 +-371 3409 +-4916 -3668 +4021 3627 +124 6402 +-5465 575 +4384 -4450 +3169 5880 +1555 8370 +4256 -4447 +4942 2840 +171 2629 +-4228 -1109 +2361 6842 +-2612 -2450 +-6577 -2998 +-902 1624 +355 -512 +7738 6030 +2073 2715 +-5221 1630 +945 3108 +-4440 -7845 +-3782 -7989 +1541 2251 +-1951 4335 +-833 1424 +-2610 3791 +-4508 2520 +-4423 304 +-6223 7428 +220 6310 +2702 704 +-2616 217 +1516 -2607 +5182 -2975 +2469 6988 +-637 -2102 +908 -3713 +3708 7247 +5184 3988 +-4111 -4641 +-8129 -1696 +-1800 6749 +-2023 -2731 +6509 -11222 +3788 -2372 +-4424 -2395 +3948 -1381 +-3516 2426 +-3415 -4761 +4280 -4664 +-2980 266 +-31 -1191 +-1327 -955 +-2603 435 +-2110 -1656 +-7556 1629 +5180 6514 +7753 810 +-3662 1310 +-5692 5863 +-6926 -250 +1658 3650 +3864 10963 +-3458 -848 +-150 -9158 +-2591 -1171 +-1873 -293 +4451 -5427 +-3602 -433 +-3520 3520 +1137 176 +-5356 -1809 +702 1974 +3873 6206 +-2699 3812 +793 2134 +-4296 -153 +-6254 -1850 +1437 5413 +357 7030 +4998 -1096 +4529 -5700 +-627 -1595 +3776 733 +1058 -2892 +-3804 2270 +-2713 3537 +4462 -4276 +6722 -1235 +-498 2818 +3459 -1184 +7414 -3297 +3677 1263 +-1344 1632 +-6957 -2740 +-1548 -1583 +4959 -5909 +5182 -2975 +2469 6988 +-637 -2102 +908 -3713 +3708 7247 +5184 3988 +-4111 -4641 +-8129 -1696 +-1800 6749 +-2023 -2731 +6509 -11222 +3788 -2372 +-4424 -2395 +3948 -1381 +-3516 2426 +-3415 -4761 +6736 -10498 +-3122 -6471 +-7000 -795 +-2556 1479 +-1948 3859 +216 192 +-250 -19 +4216 1344 +9421 1024 +2558 121 +-4 2693 +-3542 1037 +-2660 -3452 +9681 -200 +5400 -4791 +-2240 -1658 +902 4664 +7674 -3255 +6114 6194 +-7859 7054 +-1476 -7616 +2602 -3046 +-6218 -5681 +2836 -8706 +2281 782 +-562 -5506 +2649 -12506 +-4069 -3782 +-2228 -1068 +209 -4713 +306 -1469 +949 2318 +-1036 5316 +-1938 947 +-5927 -5524 +1196 988 +5889 904 +-1556 -76 +-1941 6691 +-514 6308 +3016 1567 +2032 -8614 +1303 -2013 +4647 8870 +-2067 -2526 +-1261 3622 +-700 8990 +-6086 -3761 +-2456 1555 +1518 5308 +4007 1287 +-3626 4774 +-5575 2852 +1407 -207 +-3797 4065 +3064 -1217 +3937 -8555 +-10128 4782 +-5288 8591 +-2742 -1870 +-300 -1245 +7253 533 +-1091 6723 +-256 3402 +6736 -10498 +-3122 -6471 +-7000 -795 +-2556 1479 +-1948 3859 +216 192 +-250 -19 +4216 1344 +9421 1024 +2558 121 +-4 2693 +-3542 1037 +-2660 -3452 +9681 -200 +5400 -4791 +-2240 -1658 +-6736 -902 +-8074 -3039 +4191 -1692 +11078 2458 +3147 -2941 +-4003 -4961 +-3111 -9000 +-2484 -2693 +4720 6576 +3539 -1795 +-4119 1485 +1274 4123 +-185 -4340 +-4702 1576 +-1488 7930 +3607 5479 +1948 5959 +-5228 4653 +1631 -2807 +1700 -1273 +-2346 4732 +6303 979 +3685 142 +-2197 174 +-8371 -195 +-8944 3714 +-358 928 +-2668 -634 +1754 2713 +8296 3784 +7617 3617 +7061 966 +-2073 1420 +-5002 5137 +-2458 2378 +-2031 -3438 +-1127 -2707 +-2512 1013 +-771 784 +-1661 -5332 +-3165 -6058 +-4209 4035 +-1514 -1376 +5971 -8591 +2080 2660 +-185 1564 +1702 -2739 +1736 55 +643 777 +-2149 4048 +6215 -1595 +944 -2564 +-5893 -121 +6937 -4943 +982 -2614 +-4424 -2174 +599 714 +650 -2350 +6775 -7685 +1425 4308 +-5721 4 +-1444 -5124 +1748 3953 +1397 846 +-6736 -902 +-8074 -3039 +4191 -1692 +11078 2458 +3147 -2941 +-4003 -4961 +-3111 -9000 +-2484 -2693 +4720 6576 +3539 -1795 +-4119 1485 +1274 4123 +-185 -4340 +-4702 1576 +-1488 7930 +3607 5479 +-1295 -1938 +6500 1100 +2324 1934 +-1782 1496 +143 -4702 +-2941 -1175 +-12718 3480 +-8646 1291 +-991 8416 +-4331 -1636 +1972 -6734 +10678 225 +3280 -9418 +-192 -1371 +4818 4659 +-1231 -1356 +-4529 4405 +56 -345 +589 -861 +3811 3717 +-490 -568 +-6576 -1143 +-989 -190 +835 -632 +-1206 -5066 +-2096 -3862 +-70 -1283 +-893 -749 +-5722 5405 +-3748 -2241 +-454 -7723 +6524 3966 +5959 10229 +-606 202 +3240 -10953 +-2449 -46 +-1519 4613 +4371 -704 +-3462 1536 +2780 -4754 +3582 911 +-5206 3461 +-2505 -3232 +-3543 9259 +-3672 4681 +-1640 -6032 +-1854 1340 +-3803 3417 +-135 3886 +5710 1343 +-2918 3003 +134 7992 +4976 -2452 +-3381 -7652 +3069 -732 +5083 2483 +4833 6102 +8767 1958 +-559 -8819 +-3026 -663 +933 4514 +5313 -6775 +5373 -4442 +-4474 -772 +-1295 -1938 +6500 1100 +2324 1934 +-1782 1496 +143 -4702 +-2941 -1175 +-12718 3480 +-8646 1291 +-991 8416 +-4331 -1636 +1972 -6734 +10678 225 +3280 -9418 +-192 -1371 +4818 4659 +-1231 -1356 +-3368 1171 +3620 844 +4567 10321 +6096 2011 +3884 -4568 +-11331 6419 +-3218 8118 +3271 5109 +-1491 -5845 +2275 -8966 +-72 1013 +475 -7764 +-2456 -6817 +-3942 3287 +2696 -3986 +3035 -1779 +3762 1814 +674 -172 +-2859 2708 +-5578 961 +-330 147 +7751 -5107 +-6398 -3419 +-7104 6844 +3173 -5869 +-1864 -7668 +-1874 6542 +-2980 -755 +1310 -585 +8871 4652 +4332 740 +603 3263 +-259 -135 +-4037 242 +-8761 3933 +-690 -2881 +3344 -6414 +-3088 -6668 +-368 1608 +-4337 6298 +-582 -891 +4963 214 +-4619 -6434 +-43 -9477 +535 4807 +-5767 3308 +-3064 -1904 +2858 3606 +9193 3368 +2139 -472 +1441 124 +6797 1358 +2429 -1602 +-1301 -626 +-3562 -696 +4875 -3135 +4082 169 +-2514 -1359 +3885 -1624 +-538 -1292 +-2499 -3623 +-594 3780 +-2853 7829 +-2595 5924 +-3368 1171 +3620 844 +4567 10321 +6096 2011 +3884 -4568 +-11331 6419 +-3218 8118 +3271 5109 +-1491 -5845 +2275 -8966 +-72 1013 +475 -7764 +-2456 -6817 +-3942 3287 +2696 -3986 +3035 -1779 +1814 -1948 +-3603 2054 +1040 2792 +-3852 5576 +1283 929 +-2298 -4478 +-5988 327 +4925 -1854 +2046 1644 +4958 8621 +1893 -3691 +-9570 -8305 +890 -8 +5601 -609 +1333 2607 +3670 6187 +2456 4145 +1558 1604 +8828 -6200 +4839 -3841 +-8614 -1156 +341 -6817 +6710 -2652 +1132 -2972 +5485 -859 +2668 5795 +-3575 2186 +-5663 2799 +-2849 -633 +-2115 87 +-7392 6424 +2024 -2507 +6477 -2197 +1860 3260 +-584 -2668 +-4577 -4799 +1166 -358 +-3193 989 +-7169 -2729 +-1484 6469 +-6191 7683 +-1553 -8269 +1378 -5253 +-1258 -2198 +1004 -5818 +-4017 -2146 +-3126 -1570 +660 681 +-1420 -2073 +-5271 -6061 +-616 -4236 +6573 2990 +-2127 11986 +947 912 +8897 -4044 +3497 5844 +3843 1896 +-366 2041 +-4218 6705 +-1399 4594 +-1119 -796 +-3808 -5275 +-1556 -436 +8776 -375 +1814 -1948 +-3603 2054 +1040 2792 +-3852 5576 +1283 929 +-2298 -4478 +-5988 327 +4925 -1854 +2046 1644 +4958 8621 +1893 -3691 +-9570 -8305 +890 -8 +5601 -609 +1333 2607 +3670 6187 +1295 -384 +2838 -2565 +2894 3412 +2080 604 +601 -625 +3953 355 +2484 -2743 +-3447 18 +-612 -3534 +1533 -946 +3017 1405 +2244 -2495 +108 2989 +11979 -392 +6179 3174 +-7937 7302 +912 0 +760 5574 +-4685 412 +-5585 -229 +-4960 6145 +-1263 -3432 +-4494 7449 +2362 9854 +5036 -7537 +-4117 -5647 +-2986 -4095 +-4447 -557 +-632 2606 +5227 -2181 +-3315 3421 +-8313 1192 +-4923 -5316 +-3435 -2126 +-5653 1039 +576 5955 +3644 -1547 +-3251 -6007 +2350 7954 +7047 644 +5793 -9939 +4176 -1746 +-1325 -4699 +1913 -3695 +3530 2344 +243 -2767 +996 -3892 +3367 -713 +5825 4664 +2058 861 +-3423 -6862 +-6220 -1311 +-321 1209 +5053 1462 +519 -3333 +3862 -4707 +1182 5464 +437 -5235 +8016 -9267 +-6536 4763 +-8188 3460 +2827 4064 +-8864 6625 +-9984 4101 +1295 -384 +2838 -2565 +2894 3412 +2080 604 +601 -625 +3953 355 +2484 -2743 +-3447 18 +-612 -3534 +1533 -946 +3017 1405 +2244 -2495 +108 2989 +11979 -392 +6179 3174 +-7937 7302 +-518 2456 +-4391 1128 +-7469 -7318 +-5523 -11431 +-1531 -3133 +2084 -3603 +1889 -1402 +-2021 -1320 +-1422 -3278 +-755 9439 +-2073 7864 +381 -1648 +374 1772 +12 2696 +950 2372 +-2478 2861 +-1948 259 +2458 853 +4030 3974 +1638 -5083 +-7628 -5601 +-10418 12874 +-1972 6038 +1877 -2844 +258 4555 +-1090 -5766 +2231 -9017 +4828 -1913 +-1091 3653 +-3751 6433 +-1347 -3993 +2193 -3 +3627 4798 +436 -887 +4165 3621 +2304 -2386 +-5875 -5184 +-220 -3024 +4606 -12252 +3447 -3910 +-133 2760 +-4067 -6733 +-1436 -2318 +-243 2251 +7587 23 +9550 3785 +-2791 -1168 +19 -6843 +912 777 +-4551 3564 +1651 2958 +2072 1265 +-511 -7846 +-2666 -5862 +178 4381 +7788 5074 +-2849 2181 +-7196 2902 +5121 2308 +4907 -213 +2457 1807 +3841 2928 +560 3951 +-461 5415 +-518 2456 +-4391 1128 +-7469 -7318 +-5523 -11431 +-1531 -3133 +2084 -3603 +1889 -1402 +-2021 -1320 +-1422 -3278 +-755 9439 +-2073 7864 +381 -1648 +374 1772 +12 2696 +950 2372 +-2478 2861 +259 -5047 +5192 -113 +-627 -559 +2016 -4853 +115 3764 +-5174 10768 +224 5719 +-2421 -287 +-763 -2990 +2684 -3085 +3771 -183 +4085 -2648 +-5452 -7858 +-1976 -915 +5857 809 +3408 -7703 +2466 -7255 +890 1276 +-1539 8394 +-5598 4361 +1422 1683 +8568 1959 +-3150 -2106 +-5487 2067 +1346 1498 +4083 759 +4331 4479 +-3880 -2722 +-3777 -2396 +903 4557 +-4672 4894 +-1795 278 +3368 -1689 +-2108 1264 +-3673 60 +-3839 3433 +-178 -592 +8194 -485 +6918 10264 +9064 -6243 +4909 -4265 +-6514 10057 +-1351 -9480 +-4083 -5698 +-5833 6884 +-749 -548 +-6750 1722 +1269 2402 +5306 -1555 +3022 -2806 +6320 2239 +-2152 6107 +713 -709 +-337 -3817 +-6546 -1922 +3247 1374 +-310 575 +-3104 -778 +1058 -805 +514 -7486 +553 -776 +-5283 6781 +-4317 -2798 +-2637 -7256 +259 -5047 +5192 -113 +-627 -559 +2016 -4853 +115 3764 +-5174 10768 +224 5719 +-2421 -287 +-763 -2990 +2684 -3085 +3771 -183 +4085 -2648 +-5452 -7858 +-1976 -915 +5857 809 +3408 -7703 +-2073 -643 +-3025 557 +1338 384 +7172 4033 +-3787 635 +4475 4342 +3983 6822 +-991 281 +7748 1698 +-2983 62 +722 -5172 +4768 2818 +-377 8157 +7349 3601 +-1585 2156 +-5191 -3580 +-5825 -1555 +-9966 12313 +164 4220 +-1411 -6450 +1075 -1476 +6865 -768 +538 3355 +-2432 -917 +-6521 -6058 +-3531 7797 +1615 4600 +2588 -5474 +4415 1272 +1093 -752 +2305 -5519 +3468 -1218 +518 1679 +-206 -2029 +-1622 -7561 +-1810 -3652 +-333 -321 +846 -1519 +-2733 3794 +-832 -534 +5725 -9471 +1648 -1601 +-1511 2018 +-2023 -2263 +-1544 -2609 +2794 -8129 +4918 -3882 +4299 4786 +-912 -4664 +-2238 -6576 +-613 1187 +-1462 -6088 +-65 -9202 +2032 2996 +2231 5742 +-5334 2424 +-4879 6576 +1249 2166 +-93 -1749 +2436 4388 +-5603 3543 +-6401 4122 +2779 2042 +-3247 -5136 +-2073 -643 +-3025 557 +1338 384 +7172 4033 +-3787 635 +4475 4342 +3983 6822 +-991 281 +7748 1698 +-2983 62 +722 -5172 +4768 2818 +-377 8157 +7349 3601 +-1585 2156 +-5191 -3580 +3886 1420 +354 -4598 +-3068 -2927 +2589 8199 +149 -99 +-1007 -11330 +-141 -4196 +4902 901 +2375 -3090 +-4738 -4480 +-2638 -4183 +-9121 -3876 +-7866 396 +-2703 2322 +-1612 1265 +7230 7240 +-1689 3886 +-5173 -6131 +6991 5464 +3242 11462 +-1300 -336 +-3181 -1681 +-2848 -995 +9557 78 +11954 3493 +-2086 -439 +150 164 +3879 3520 +-10436 1287 +-2181 -1904 +4968 -2849 +-3121 -2717 +2850 -2456 +-1350 3589 +2320 2581 +7264 -6989 +-3750 -3717 +3722 3978 +1875 5221 +-5198 316 +734 -3647 +-482 878 +-17 -206 +-2568 -887 +-1398 2990 +946 -1098 +866 -1363 +6036 1359 +-2975 -1814 +-3495 -5363 +3587 -2008 +-1064 6920 +2828 3115 +3426 -1746 +684 4796 +2126 -506 +1519 -4011 +-115 8252 +-5253 7333 +-4724 -4195 +-1027 -1565 +1481 3169 +-1720 -3951 +-4446 -4242 +3886 1420 +354 -4598 +-3068 -2927 +2589 8199 +149 -99 +-1007 -11330 +-141 -4196 +4902 901 +2375 -3090 +-4738 -4480 +-2638 -4183 +-9121 -3876 +-7866 396 +-2703 2322 +-1612 1265 +7230 7240 +-259 -1679 +-1136 5029 +-3809 -1607 +588 -2782 +-1858 -413 +-5004 2619 +3057 -6753 +2320 -3343 +-3980 8358 +-2208 -5693 +-2215 -1794 +-7181 4263 +-1355 -4248 +8823 6854 +5918 4471 +2264 -2785 +-3752 2850 +-8048 -1800 +1321 -2466 +1524 -174 +2547 727 +9277 1579 +1597 -3080 +-3336 1601 +-5786 4392 +-1789 -1577 +10232 3075 +1655 394 +-5767 -7574 +1608 5500 +1139 9833 +-2951 -875 +-3368 -394 +-177 500 +-1417 1852 +-3031 1035 +2287 -5146 +921 -1771 +3670 1896 +10084 69 +2425 -3177 +-1498 -2401 +-622 -2188 +-5513 -6283 +-4560 1693 +2175 2183 +6808 -3536 +3125 837 +-2985 -4923 +2902 -4826 +8909 -888 +2398 -616 +-5049 6905 +-7017 765 +-5895 -2145 +1564 6138 +9413 4935 +1087 256 +-8253 -2202 +-93 18 +1318 -2309 +-4061 1073 +289 5532 +728 -5788 +-259 -1679 +-1136 5029 +-3809 -1607 +588 -2782 +-1858 -413 +-5004 2619 +3057 -6753 +2320 -3343 +-3980 8358 +-2208 -5693 +-2215 -1794 +-7181 4263 +-1355 -4248 +8823 6854 +5918 4471 +2264 -2785 +1036 2207 +7949 5988 +4204 -51 +1003 -5111 +4840 2394 +2271 2669 +-1591 -1747 +-1735 -843 +2806 4600 +413 -2030 +-2931 -876 +1945 7942 +696 -4787 +-351 -573 +325 6877 +-5004 -5094 +-8934 259 +-4999 816 +-201 -444 +-1588 5459 +-2081 -6412 +-4217 -5484 +-1496 10159 +1101 7710 +-6432 -7343 +1216 -11241 +3624 -789 +-5179 -563 +2615 -5829 +356 -1761 +-1215 539 +8698 1344 +1036 5047 +-6036 2934 +-2029 -911 +378 4374 +2666 2647 +533 -5697 +-3229 -2926 +3676 1069 +4448 3691 +-5169 614 +-907 -3812 +-1955 1147 +-6004 -4577 +2327 -6295 +-126 5234 +2651 3140 +3752 777 +-3729 620 +6013 -7618 +7464 -6844 +793 -1738 +-1895 12 +-2530 3894 +2996 -942 +-1859 -7166 +1812 -2808 +6736 -2081 +-5251 1636 +-3526 3793 +1227 -2636 +-502 6988 +-909 10449 +1036 2207 +7949 5988 +4204 -51 +1003 -5111 +4840 2394 +2271 2669 +-1591 -1747 +-1735 -843 +2806 4600 +413 -2030 +-2931 -876 +1945 7942 +696 -4787 +-351 -573 +325 6877 +-5004 -5094 +3368 -1689 +764 -1066 +-467 2774 +4442 -5465 +4935 -2068 +-1302 4293 +3296 -1306 +8685 -4170 +3051 2142 +639 5490 +1762 -2742 +1448 326 +-3549 8815 +-7257 4278 +-2404 -695 +-1202 865 +-1948 4145 +-2400 -2852 +-2323 -165 +4813 7897 +5243 -394 +-1802 6812 +-2364 5470 +1853 -6963 +3695 4354 +2145 -2423 +-1659 -7542 +-4112 6794 +-611 -1392 +-614 -5005 +1294 3224 +4055 1248 +-777 1171 +11730 2556 +13851 -134 +-6097 -3792 +-2800 -1282 +-903 4361 +-4550 -1362 +888 -7405 +-4087 -3178 +-2827 -3323 +1162 -663 +568 4336 +2576 -1372 +-243 2414 +-612 -578 +-1164 -14597 +-1679 -2591 +-1639 6120 +-1964 -204 +124 -2926 +-5306 -6620 +263 -1490 +5994 1040 +-7464 3627 +-7840 6010 +-5837 -7078 +-8289 -5834 +-832 4200 +-2561 2240 +-3154 -652 +5565 426 +6431 3589 +3368 -1689 +764 -1066 +-467 2774 +4442 -5465 +4935 -2068 +-1302 4293 +3296 -1306 +8685 -4170 +3051 2142 +639 5490 +1762 -2742 +1448 326 +-3549 8815 +-7257 4278 +-2404 -695 +-1202 865 +4145 4529 +6654 -2603 +-3595 -4974 +5054 6044 +2310 -2961 +236 -4561 +-154 7441 +-79 -3248 +8214 -5364 +-4072 1600 +-7625 -4005 +416 -1463 +-2903 5156 +-294 -42 +3563 -6403 +3800 678 +-653 2591 +902 -5528 +5455 45 +-3238 1082 +-6349 -4391 +-2347 3293 +2015 2562 +2792 -3259 +-872 3203 +2671 3210 +2395 -3746 +-695 -1540 +-619 46 +-4381 -2155 +-5816 -705 +108 29 +4664 5835 +-216 6988 +-1630 -1277 +-1023 3980 +-201 3050 +4200 -6412 +-4338 -529 +-4626 -2063 +4740 -1890 +-1307 4759 +-5809 -6246 +-2048 -5376 +6656 2135 +9118 -5558 +622 289 +-5889 9001 +-7120 8809 +-1129 6631 +1739 -4942 +5382 -1693 +1130 7411 +-9400 1548 +1618 6826 +1790 7733 +-682 -3203 +4431 -4545 +-7512 -5945 +-2988 -2750 +-25 -83 +-921 -4915 +6635 -3262 +-2901 1136 +4145 4529 +6654 -2603 +-3595 -4974 +5054 6044 +2310 -2961 +236 -4561 +-154 7441 +-79 -3248 +8214 -5364 +-4072 1600 +-7625 -4005 +416 -1463 +-2903 5156 +-294 -42 +3563 -6403 +3800 678 +-518 2456 +-6025 656 +-2237 1046 +5963 4774 +5985 -6853 +-426 -1952 +1047 3946 +212 -1956 +-6300 2647 +-3734 343 +4 -2524 +-3046 -2361 +-3217 1598 +2656 3383 +-875 -3867 +-7176 5766 +1679 1295 +7267 -11327 +-103 2542 +-7689 -1484 +-5134 -7609 +1264 3970 +-1955 -877 +-2419 -4619 +-260 133 +1074 -1525 +8027 957 +3377 3326 +-5747 -1039 +-2960 -1884 +-1041 -5457 +-3230 -7352 +-2591 1689 +-1093 4381 +-1396 5031 +-1140 3651 +-3939 -3914 +-2685 286 +2784 3290 +-3351 -2858 +-3545 -5238 +4152 -613 +4547 4147 +4991 1653 +2710 2093 +5543 1866 +7134 1372 +-966 1288 +394 -259 +1640 7927 +1160 102 +3664 -6545 +2051 4904 +5326 -3148 +6415 -2747 +1737 5536 +-3367 1422 +-3534 4555 +2434 3209 +-2937 4316 +-7218 2531 +-175 -6917 +3074 -6024 +3721 -3133 +-518 2456 +-6025 656 +-2237 1046 +5963 4774 +5985 -6853 +-426 -1952 +1047 3946 +212 -1956 +-6300 2647 +-3734 343 +4 -2524 +-3046 -2361 +-3217 1598 +2656 3383 +-875 -3867 +-7176 5766 +-2591 8675 +1566 5095 +794 -1101 +8786 7039 +-2883 3399 +658 -66 +6596 3814 +2292 -4929 +8921 -4202 +-551 -480 +-3647 -494 +7057 911 +1840 -5042 +-926 -3682 +20 380 +-1407 2240 +4011 3627 +629 -2730 +-6308 -3230 +1489 -96 +1058 849 +-6862 2993 +-3915 1890 +-400 323 +3184 -1524 +2987 -4112 +6290 -3253 +10866 7020 +-1747 10185 +-4758 -3422 +-160 -1299 +-5596 6918 +-3627 1171 +4431 2990 +4475 5359 +-3815 1046 +3553 -138 +7505 -2367 +-7078 -6806 +-2762 -9759 +-1148 -5125 +-3103 4726 +4175 7439 +-3209 600 +-4153 -5547 +-2666 -2694 +-3104 2264 +-1931 1243 +-11265 -1036 +-7054 -2580 +484 -2619 +282 5280 +345 3144 +-1284 -8844 +-1162 370 +-5926 4169 +443 -5731 +2811 1384 +-45 2045 +9070 -5574 +-4230 -6850 +-1673 -6938 +10877 -613 +-6507 4297 +-2591 8675 +1566 5095 +794 -1101 +8786 7039 +-2883 3399 +658 -66 +6596 3814 +2292 -4929 +8921 -4202 +-551 -480 +-3647 -494 +7057 911 +1840 -5042 +-926 -3682 +20 380 +-1407 2240 +5182 5047 +2130 -904 +-2638 -2996 +3275 4020 +-8488 20 +-11792 -2636 +396 -2884 +6026 -1482 +776 3824 +-8777 -4822 +-171 -2923 +1870 1716 +-7159 -3143 +-1141 -1325 +67 142 +2915 6880 +6861 -2850 +4055 -8259 +4932 10005 +-5589 4338 +-4626 1577 +6675 3680 +-2539 -7459 +-5489 -3295 +651 599 +4796 210 +6510 -3225 +1729 -1345 +2343 10040 +-1123 1766 +-6762 -4164 +-4565 4978 +-3109 6353 +1964 1540 +1754 -606 +-3819 -4764 +-4263 -7893 +-3969 700 +620 -6058 +-226 -7769 +-2331 9130 +6081 -1585 +3638 -1181 +-2896 6499 +1360 -5965 +-527 3624 +-7340 7106 +-4892 2253 +2466 6995 +2805 -2318 +2473 -4990 +5985 1525 +2867 77 +3009 -2557 +5867 2551 +1691 2861 +1940 -8371 +-721 -9489 +-1990 -4449 +4701 4844 +1384 9432 +681 -2498 +-675 -3743 +-4861 3614 +5182 5047 +2130 -904 +-2638 -2996 +3275 4020 +-8488 20 +-11792 -2636 +396 -2884 +6026 -1482 +776 3824 +-8777 -4822 +-171 -2923 +1870 1716 +-7159 -3143 +-1141 -1325 +67 142 +2915 6880 +1555 1948 +2278 671 +2330 3248 +9858 4540 +-2961 4358 +-3427 -4209 +-26 -3303 +-3802 3305 +1864 2836 +-6027 6520 +-10994 4181 +-776 -1550 +2733 -1672 +-1715 -2744 +2459 -2730 +5927 -1309 +-2975 4923 +2518 2365 +-192 -8209 +-10743 -1266 +628 4267 +2711 -4318 +5441 -7897 +3801 -1779 +-4265 1320 +6715 -2450 +-340 2797 +-2073 2647 +11218 -2153 +2264 4275 +1714 -2689 +2545 -2568 +-5700 7379 +-5585 -4069 +-6255 -2846 +-3946 5368 +-2132 -694 +-624 1771 +7869 1710 +8017 -3715 +208 -2836 +-288 1653 +-2212 7931 +-3853 5442 +4307 -2850 +2197 -4627 +1495 2962 +5132 7960 +-4280 -1814 +-626 -4779 +1259 2730 +-6499 -1696 +-2789 -7931 +-1869 -7041 +1047 -6307 +-187 -5884 +-2990 -5466 +2480 -2946 +1893 533 +1911 7138 +-2713 10821 +-1004 -799 +2801 -4547 +-5311 4093 +1555 1948 +2278 671 +2330 3248 +9858 4540 +-2961 4358 +-3427 -4209 +-26 -3303 +-3802 3305 +1864 2836 +-6027 6520 +-10994 4181 +-776 -1550 +2733 -1672 +-1715 -2744 +2459 -2730 +5927 -1309 +777 -8156 +3340 -6683 +-1225 -2927 +-1786 4537 +6710 4527 +3223 -1626 +-3359 -7270 +-1014 -2005 +2662 2768 +1352 -5192 +287 -2261 +-496 959 +937 -709 +5974 1136 +1401 2345 +1175 4546 +7907 -259 +-1926 588 +-4947 -672 +1632 -3204 +-5572 8669 +-2109 1911 +4963 -5501 +-4038 728 +-4985 -3689 +413 -2929 +4709 1752 +3290 1034 +-3583 -4631 +8524 -4717 +10335 197 +-7868 -4159 +-5441 -3244 +-465 -3024 +15 -683 +2122 5055 +-4082 -937 +-1859 2048 +-38 4747 +1346 3158 +12365 5523 +9092 1142 +-4320 523 +-10730 3259 +-8443 9377 +-3400 5379 +-4141 -69 +-3662 7547 +2975 -4923 +4275 -5583 +-6960 5748 +-6655 -2500 +872 2249 +-6070 555 +1543 -4915 +8397 2680 +321 1617 +4542 1058 +-2067 -1479 +-5069 -243 +4871 108 +-4180 -4791 +-4486 2175 +2628 -666 +777 -8156 +3340 -6683 +-1225 -2927 +-1786 4537 +6710 4527 +3223 -1626 +-3359 -7270 +-1014 -2005 +2662 2768 +1352 -5192 +287 -2261 +-496 959 +937 -709 +5974 1136 +1401 2345 +1175 4546 +518 3493 +853 -4514 +1377 -1371 +-161 4090 +-430 -4695 +-4869 1547 +-26 5162 +1428 -7185 +2344 406 +5870 5235 +-829 -5663 +-4344 -3967 +-7303 275 +-2518 -2985 +4614 -1580 +-48 2135 +2975 -4145 +2330 -8827 +-3826 -552 +-850 3289 +-1817 2151 +-3907 4495 +-936 4598 +-3584 3289 +-7360 1049 +-2534 1609 +-2388 1709 +-2455 -482 +-286 4682 +-4544 -1128 +1969 -9053 +-1240 -54 +-8809 -5047 +4803 -6166 +5573 4857 +1259 -1115 +-1983 4051 +-8000 4866 +-2248 -4523 +-1794 11384 +1801 10476 +1414 -3255 +-2602 -603 +4740 -3162 +2817 -1296 +5087 -143 +1008 1272 +-4290 4129 +5316 -8809 +-3385 -8270 +969 -914 +13632 -2607 +5266 1602 +1431 -2701 +-3814 -3823 +815 3280 +5288 506 +-3843 -3764 +-347 -3681 +3191 5524 +1662 11884 +-623 3274 +1506 -2416 +6137 2179 +518 3493 +853 -4514 +1377 -1371 +-161 4090 +-430 -4695 +-4869 1547 +-26 5162 +1428 -7185 +2344 406 +5870 5235 +-829 -5663 +-4344 -3967 +-7303 275 +-2518 -2985 +4614 -1580 +-48 2135 +-518 -2207 +-4647 -1827 +-1893 -530 +-3023 8130 +-3947 709 +-524 -4052 +782 5245 +-1074 716 +2203 -530 +4958 2425 +499 -2240 +-4753 2042 +-4138 2606 +5872 -5824 +7097 -4889 +3192 -3744 +-135 -7255 +-10467 -2040 +-3825 2587 +4550 1875 +2247 4415 +998 1034 +-6928 -5660 +-4441 -3996 +397 808 +4028 -442 +6907 -3760 +-4589 -939 +-588 126 +4191 491 +-282 988 +628 221 +-4664 6871 +2774 5652 +3156 -489 +-5521 -343 +-665 -2944 +-2587 310 +949 2539 +-3481 410 +-11530 12 +32 973 +-472 8828 +-450 3517 +2761 -3731 +-445 4158 +5929 2029 +4482 -4914 +-1938 -4664 +-2165 2580 +-192 1113 +1372 -6938 +3401 5075 +8954 6160 +3051 -658 +-5477 6062 +-4542 -3399 +-271 -6714 +2037 2784 +-2347 -2088 +5074 -4182 +10026 1261 +-234 407 +205 -4156 +-518 -2207 +-4647 -1827 +-1893 -530 +-3023 8130 +-3947 709 +-524 -4052 +782 5245 +-1074 716 +2203 -530 +4958 2425 +499 -2240 +-4753 2042 +-4138 2606 +5872 -5824 +7097 -4889 +3192 -3744 +6995 -3752 +-2150 -1688 +-181 6435 +1992 -2435 +681 -7848 +-367 7766 +-1764 -4600 +-2606 -4719 +-2608 9471 +-1300 -2322 +2141 -2898 +-505 -271 +-11252 -302 +-3060 1111 +10303 -5225 +1772 -1961 +-3493 0 +-3332 3570 +-3734 5350 +647 -168 +2537 4527 +5245 4539 +668 5934 +-5404 5800 +45 -1180 +-307 2922 +-949 3819 +6250 1731 +3776 -5398 +-1835 -9164 +4446 -54 +8657 275 +1295 3752 +-4249 3268 +-1271 31 +2807 3547 +-63 -5207 +-2733 481 +888 4680 +4126 -7837 +4163 -1698 +-1804 3943 +-1677 3162 +4923 4061 +752 4155 +-1829 3355 +904 -2476 +3183 4126 +1420 6218 +-4748 1163 +-1713 3196 +-6493 -9829 +-11446 -8054 +-3310 5119 +-7550 -7836 +-4647 -4284 +4618 9989 +3130 -744 +5311 -6659 +2210 -6747 +2579 -4673 +1922 5697 +-5822 -2860 +3816 -10307 +6995 -3752 +-2150 -1688 +-181 6435 +1992 -2435 +681 -7848 +-367 7766 +-1764 -4600 +-2606 -4719 +-2608 9471 +-1300 -2322 +2141 -2898 +-505 -271 +-11252 -302 +-3060 1111 +10303 -5225 +1772 -1961 +5182 -5565 +4373 -5751 +-6662 -5205 +5559 -7163 +3386 2922 +-1191 9065 +-54 -5337 +-8211 1770 +3223 7688 +9344 -2625 +-1707 416 +1150 -1223 +3839 240 +-3147 6957 +-5400 748 +-639 -4521 +2725 0 +2099 6595 +42 3112 +4277 2283 +11495 6581 +2932 513 +-1142 -651 +7425 4954 +2579 1916 +-1295 -4154 +16 -1228 +-2757 1647 +-2818 814 +-3872 2017 +3926 -4793 +10173 -4910 +-1036 -1171 +-4922 -4253 +-2838 987 +-5221 -3035 +-1502 -1734 +1156 6896 +1072 -1453 +657 -396 +-632 -4579 +4261 -7725 +4216 9396 +336 8240 +1050 949 +-5918 -1787 +-5489 -4438 +4380 2461 +-653 1555 +-6735 -1151 +-1157 1713 +3410 -391 +-3015 -4660 +-8747 -5377 +-4022 -4388 +-1540 583 +3121 10520 +2777 2942 +-4345 -5045 +-2001 4039 +-6216 1107 +-3553 -2162 +2817 -415 +-4560 -4338 +5182 -5565 +4373 -5751 +-6662 -5205 +5559 -7163 +3386 2922 +-1191 9065 +-54 -5337 +-8211 1770 +3223 7688 +9344 -2625 +-1707 416 +1150 -1223 +3839 240 +-3147 6957 +-5400 748 +-639 -4521 +3368 643 +557 -6194 +-6927 -280 +-6536 2514 +-5945 1312 +119 -1192 +982 5964 +1074 3791 +8358 -1630 +5744 3821 +2442 -2939 +-3621 -339 +-7868 4321 +3375 -2218 +3406 -6515 +-2235 -10157 +1679 777 +-3618 1543 +-5922 -5526 +2408 1604 +1384 -389 +2017 1694 +5219 4270 +395 -442 +5793 2488 +6342 2703 +-946 5953 +3990 6526 +316 -4549 +-549 -5969 +967 4162 +-7751 4952 +777 -2197 +1939 -4841 +-2930 -3360 +5914 7963 +-2759 6838 +-6596 -5037 +612 2360 +-5248 2987 +-3177 1111 +5372 -14 +5895 -3844 +695 9711 +-4731 2238 +-5090 -4994 +-3002 8881 +169 1312 +2466 -1295 +-1879 2843 +-7901 -1576 +1652 -2632 +10430 -4652 +1142 -3571 +997 -994 +4416 1212 +-612 -6115 +-51 -5828 +-292 3281 +-1320 -4725 +-1189 5245 +-532 6526 +-891 -13982 +-2294 -3550 +3368 643 +557 -6194 +-6927 -280 +-6536 2514 +-5945 1312 +119 -1192 +982 5964 +1074 3791 +8358 -1630 +5744 3821 +2442 -2939 +-3621 -339 +-7868 4321 +3375 -2218 +3406 -6515 +-2235 -10157 +-518 -3752 +11522 668 +3696 1737 +-7905 2150 +-1604 4233 +2489 -2313 +1793 379 +-4073 1660 +-2546 -3084 +5667 1420 +2718 -2189 +4779 -784 +4014 2114 +-1372 -1592 +-801 7553 +-8885 2038 +-8934 -1036 +2150 6720 +1903 -6801 +-329 -2117 +3949 10039 +2372 -145 +-1731 -2892 +2827 -3856 +2003 -8264 +-1163 -3648 +-362 2358 +-6973 -1316 +-4986 -917 +3603 5695 +6318 -2029 +7329 -3818 +1036 1679 +1351 576 +6139 3945 +-2125 1115 +-1719 -554 +8822 -1149 +3589 -6749 +-2315 -3093 +2027 -1062 +-4969 1799 +-9112 1945 +-4187 -702 +-6604 3534 +-4563 -4951 +-5552 -3721 +-7003 6708 +-1948 -3109 +-3519 -5182 +4006 993 +5610 2736 +-5807 3900 +-1979 743 +5624 3525 +6496 4662 +-1485 -27 +-5072 830 +3447 2157 +2233 1598 +2395 -3694 +5389 -7761 +-949 -212 +-6205 1311 +-518 -3752 +11522 668 +3696 1737 +-7905 2150 +-1604 4233 +2489 -2313 +1793 379 +-4073 1660 +-2546 -3084 +5667 1420 +2718 -2189 +4779 -784 +4014 2114 +-1372 -1592 +-801 7553 +-8885 2038 +518 -3244 +-2750 -3705 +1197 -5499 +-1431 -4491 +1636 -2634 +3998 -207 +2449 7670 +5577 192 +3935 -6204 +4010 687 +569 -3742 +-633 -1748 +-439 6784 +-4014 10 +4099 -6375 +3247 -1128 +-5316 1036 +-9171 -5514 +-8810 -2974 +3201 5327 +3843 6580 +-1450 4944 +-6737 -216 +-9146 -76 +7285 5257 +5645 2830 +-3962 1086 +-15 4375 +-4804 -795 +-4052 -8376 +-2212 -3444 +-2250 -1601 +2073 -5047 +-1131 -4610 +-2086 -7005 +-4558 2404 +-2646 9826 +8843 -1682 +3775 -231 +-6399 6042 +-3935 -532 +-1005 259 +-507 3378 +1173 -4651 +4380 -6721 +3845 589 +-4237 2379 +-6053 4440 +-3493 0 +-6043 -8297 +425 -3020 +2071 165 +277 2810 +11242 -805 +3318 -8992 +-5190 3120 +3078 2516 +3221 -1666 +4884 11558 +-1379 3979 +-2247 -1341 +9539 8961 +3690 7137 +1058 234 +518 -3244 +-2750 -3705 +1197 -5499 +-1431 -4491 +1636 -2634 +3998 -207 +2449 7670 +5577 192 +3935 -6204 +4010 687 +569 -3742 +-633 -1748 +-439 6784 +-4014 10 +4099 -6375 +3247 -1128 +259 5835 +6351 273 +-691 912 +-66 -4394 +7818 -5714 +-2218 2877 +-2532 -3355 +527 -3465 +-6437 -2445 +3712 -7881 +4563 -2851 +-5166 -3982 +1235 -4703 +-3191 6454 +-7580 10371 +460 690 +6612 -3109 +10152 5428 +-2955 3608 +-8293 -1822 +1581 2106 +-1611 882 +-1271 -3003 +2068 -2059 +-2622 -612 +-8768 1169 +-7936 4996 +1699 4260 +-510 13 +-2696 -2088 +3358 -4124 +1846 2198 +777 4529 +-570 -4389 +-2565 -700 +-6526 1763 +-5620 -2739 +4573 3741 +2180 3903 +2043 -1978 +4365 1409 +-4289 -351 +-4278 -6845 +-4057 -5068 +157 -4787 +9067 -2790 +4580 3844 +3266 2173 +5825 1036 +5435 -42 +4515 1131 +-400 6709 +-3779 1165 +-3979 1195 +-1789 -1031 +-1220 -6497 +1585 5793 +1524 537 +-7235 -6471 +3400 4858 +13627 2222 +-1056 -870 +-5236 -386 +-2017 2468 +259 5835 +6351 273 +-691 912 +-66 -4394 +7818 -5714 +-2218 2877 +-2532 -3355 +527 -3465 +-6437 -2445 +3712 -7881 +4563 -2851 +-5166 -3982 +1235 -4703 +-3191 6454 +-7580 10371 +460 690 +-259 1679 +-186 5339 +896 -6372 +2475 1444 +-982 -1262 +-1294 -12837 +-675 822 +4692 5106 +6325 6023 +-2568 -809 +1761 -6828 +3022 -185 +-3998 -1258 +520 1586 +-2160 -961 +-2778 -66 +5047 2073 +6047 -7564 +11484 -984 +3804 3061 +-7466 -2806 +4367 -8048 +1789 -8639 +-4124 -1540 +6550 -5112 +2481 -3842 +-1753 -3995 +-1150 -2933 +-3943 6200 +-539 -3379 +-2944 1499 +-1763 8357 +4405 -643 +3993 4211 +4882 1546 +2054 3605 +652 7025 +201 -6252 +-4472 -2743 +-2750 9285 +2484 6932 +4785 -1806 +-1685 936 +-2702 3595 +-122 -3239 +-9822 776 +-2610 118 +6327 -5677 +-2975 1036 +-5561 3695 +-7128 -3214 +-2236 36 +541 6370 +-2711 -5376 +4950 -3718 +-4012 3319 +-11214 -1624 +-1664 8251 +-4311 2330 +-870 -1442 +4954 7623 +1950 -2964 +1976 1187 +10 3052 +-259 1679 +-186 5339 +896 -6372 +2475 1444 +-982 -1262 +-1294 -12837 +-675 822 +4692 5106 +6325 6023 +-2568 -809 +1761 -6828 +3022 -185 +-3998 -1258 +520 1586 +-2160 -961 +-2778 -66 +-1295 -2466 +1715 -3735 +-3488 -3111 +-6663 -1304 +-1015 -1985 +-1417 -508 +-333 1895 +3957 3834 +3551 2294 +5571 3798 +-285 -1333 +-7678 -6430 +401 10757 +5417 4713 +3825 -6154 +2303 5402 +-394 -5959 +-423 -5500 +-242 2051 +2218 -5790 +-2069 1760 +-7559 1907 +1911 1986 +3681 2036 +593 -2738 +5021 7409 +2699 4570 +-391 891 +-3447 5888 +-3343 4153 +1482 3011 +-4139 535 +-5959 1948 +2952 -5650 +3122 -5683 +-4211 8435 +-5392 3048 +-1284 3896 +1884 8005 +2825 1547 +77 -2294 +3201 -9924 +5950 -1858 +-1910 8069 +2416 1779 +6815 -3973 +-2787 -10508 +-1892 -8015 +-643 3368 +-3497 5305 +1394 -6018 +3256 -6540 +2258 4432 +2021 128 +1720 1733 +-277 354 +-2148 -12807 +2545 -496 +1215 9310 +-7567 -262 +-7660 -2879 +-1152 -1522 +2662 -2042 +-92 -2762 +-1295 -2466 +1715 -3735 +-3488 -3111 +-6663 -1304 +-1015 -1985 +-1417 -508 +-333 1895 +3957 3834 +3551 2294 +5571 3798 +-285 -1333 +-7678 -6430 +401 10757 +5417 4713 +3825 -6154 +2303 5402 +777 135 +1975 -5170 +268 -4578 +10557 1051 +6774 4174 +1409 3869 +4011 -1359 +1965 5054 +3437 4890 +699 -6261 +1666 -6376 +3941 -1588 +-1439 -905 +2163 -9214 +2949 -6467 +3446 549 +2985 -4145 +-3912 1221 +1872 5078 +-1281 3314 +-2683 1068 +8318 -233 +748 6369 +-2320 1835 +-2970 77 +-7797 4772 +228 -1862 +-548 -4971 +-322 -2987 +5592 -1258 +1841 -5575 +-687 -5570 +-3886 -1171 +-10243 -6806 +-10569 -782 +2275 3399 +6317 -2001 +-2367 3632 +5746 5769 +7084 4668 +-1883 2882 +-1376 1898 +-4006 1876 +-1 -1502 +2146 1486 +78 -1040 +2143 -3403 +-6488 1474 +-5057 0 +343 319 +-3652 3035 +2080 -21 +-1081 -8422 +-8595 -1814 +-6611 6987 +-6167 -2556 +-1694 3551 +-1777 4667 +1755 -2610 +7997 8609 +-5567 1369 +-5238 -5165 +5755 3898 +-1125 2837 +777 135 +1975 -5170 +268 -4578 +10557 1051 +6774 4174 +1409 3869 +4011 -1359 +1965 5054 +3437 4890 +699 -6261 +1666 -6376 +3941 -1588 +-1439 -905 +2163 -9214 +2949 -6467 +3446 549 +-2073 -2975 +-641 -3915 +3135 1255 +-1225 4131 +-4792 10284 +-66 6728 +-1440 540 +-5533 269 +-3304 -412 +-3057 -155 +-6858 -189 +-690 317 +4198 -81 +3629 1044 +3302 4282 +-1370 -663 +2466 259 +3802 9069 +-2188 3376 +-2408 -1058 +-1196 1375 +708 -6407 +-3011 -6992 +-1251 -2512 +1359 -3367 +-3295 6231 +-119 4604 +-4473 -9532 +-1085 440 +7265 9861 +1855 -2833 +5116 -5653 +-3109 2975 +-7714 503 +1895 -4181 +-1426 -2818 +3730 -4522 +4927 -120 +5696 3427 +10983 -7583 +-2396 -4252 +-5355 7423 +-1302 -1149 +39 -5450 +4925 662 +3933 -1730 +2998 -3117 +-1044 382 +4788 3886 +10376 5305 +-2288 -1612 +-8220 -2996 +-4996 2190 +360 -4216 +-3265 -2282 +-5654 6086 +2268 -260 +-1947 306 +1506 -32 +5715 -7362 +-784 -2057 +3656 -909 +83 758 +-5142 5424 +-2073 -2975 +-641 -3915 +3135 1255 +-1225 4131 +-4792 10284 +-66 6728 +-1440 540 +-5533 269 +-3304 -412 +-3057 -155 +-6858 -189 +-690 317 +4198 -81 +3629 1044 +3302 4282 +-1370 -663 +-259 -9452 +7515 2954 +3694 -2258 +-3429 -10779 +1206 2102 +-2931 1659 +-2130 6247 +650 6173 +-5168 -613 +-6448 5668 +2343 4822 +6471 4710 +-1938 2149 +1423 -3107 +2589 6 +-4100 -3234 +-125 -259 +1142 1157 +2796 -4333 +-1176 7589 +1239 6360 +11292 -5909 +313 2837 +-336 729 +3437 -6338 +-2799 -1001 +-606 -5005 +-3797 -2741 +-508 1005 +4188 -3231 +500 -771 +-15 -3630 +1295 -394 +8285 4550 +-414 3595 +-10247 12110 +3039 3143 +9719 -6285 +1670 1216 +-6695 -1418 +504 -423 +3580 2524 +-4862 3204 +-1000 3593 +-3092 -3071 +-3777 -5049 +-965 -2963 +-5516 7435 +125 8032 +1999 -4963 +2466 -1401 +1448 -894 +-6521 -3314 +-1776 925 +3686 1425 +2104 525 +-1883 -5062 +-231 -127 +2874 1376 +-4245 -900 +-3790 6134 +-2164 -6348 +-1517 -7998 +866 -2685 +-259 -9452 +7515 2954 +3694 -2258 +-3429 -10779 +1206 2102 +-2931 1659 +-2130 6247 +650 6173 +-5168 -613 +-6448 5668 +2343 4822 +6471 4710 +-1938 2149 +1423 -3107 +2589 6 +-4100 -3234 +-777 -4021 +1201 4909 +-310 -1916 +-1102 341 +934 -6007 +2724 -4494 +1633 85 +-1889 -6544 +-2490 -2595 +-55 1627 +2391 4352 +-811 355 +-588 -6620 +1192 2008 +-2666 7901 +-868 3230 +2197 -4405 +6277 -5420 +6677 3256 +1010 5972 +-1554 1270 +-6123 -1254 +-2912 -3486 +-2476 -2994 +-4688 8662 +4037 5819 +-1277 -3263 +-6122 8886 +-5146 -2639 +-4573 -14859 +4323 4939 +-1644 2649 +-777 -2197 +7521 7337 +-158 2205 +-37 674 +2301 6918 +3115 5151 +438 -3013 +-4199 -3411 +-101 6741 +987 9814 +5310 -1145 +557 -7045 +-4290 1008 +1414 715 +-10673 -907 +-9401 2954 +2466 1295 +889 1942 +1171 -4457 +-5607 -2436 +392 4038 +9834 -6194 +2003 -3 +3061 4643 +2097 -1407 +-3415 -504 +-3441 -5252 +-2397 -25 +1734 -41 +3995 -2452 +5781 702 +2907 -11394 +-777 -4021 +1201 4909 +-310 -1916 +-1102 341 +934 -6007 +2724 -4494 +1633 85 +-1889 -6544 +-2490 -2595 +-55 1627 +2391 4352 +-811 355 +-588 -6620 +1192 2008 +-2666 7901 +-868 3230 +518 -4021 +2245 -920 +-3508 4488 +-3326 -3284 +1552 -2629 +3896 -2279 +6058 -2799 +-935 7653 +-4120 4657 +9153 -973 +2443 -5909 +-4480 -3795 +6030 5401 +-5239 -3736 +-8336 -3748 +6362 3391 +2715 1036 +-4542 1752 +-4184 -2034 +-2228 -2738 +-5928 1145 +-11843 4552 +-8334 -150 +-3001 -4419 +-3450 6173 +-3985 -1573 +6876 -6870 +9368 3847 +777 -4020 +7540 -2887 +-531 4578 +-10483 198 +8291 -3234 +9213 -5747 +523 -1623 +1038 180 +-3865 4121 +3286 5651 +3919 -6956 +-7788 -5762 +-2098 -1030 +4289 -3838 +2016 543 +637 -880 +680 -4820 +6612 787 +4352 6612 +-4115 5373 +-1161 3109 +878 1532 +2950 -1009 +5619 1120 +-49 2545 +-724 -2305 +-3286 -3464 +-5793 4551 +-695 1600 +-4563 -6711 +-898 6195 +7066 10303 +804 2403 +366 4259 +-59 -292 +-4522 -3301 +518 -4021 +2245 -920 +-3508 4488 +-3326 -3284 +1552 -2629 +3896 -2279 +6058 -2799 +-935 7653 +-4120 4657 +9153 -973 +2443 -5909 +-4480 -3795 +6030 5401 +-5239 -3736 +-8336 -3748 +6362 3391 +-8550 912 +-4221 440 +-1414 1278 +-6023 -3222 +-2475 1072 +814 4706 +260 -2856 +-3384 -3832 +386 -4379 +9655 -4310 +1352 5782 +4545 3209 +11072 -3916 +-887 3803 +1404 5514 +2718 -2232 +-2456 1555 +-368 5979 +1269 -720 +4260 -2682 +-71 -5242 +-404 -3596 +2395 4740 +-3677 -1218 +-1724 1726 +1606 7926 +-805 -3710 +-2646 -4696 +-958 1285 +1926 4159 +3179 367 +7771 -5323 +2850 4788 +-2255 5454 +6761 -285 +5944 5 +-4602 -10185 +-2418 -10075 +7944 2417 +5249 4451 +-904 -2357 +-2649 -2368 +-6447 2862 +-1751 -4409 +-3869 -4411 +-4145 7369 +4727 5543 +410 -1658 +6084 -4145 +4022 -1430 +-8437 -1131 +691 -7267 +-1143 -5336 +-5866 2055 +-6706 3739 +-10583 1180 +4315 1902 +5937 2464 +-4715 70 +-2088 1976 +-2099 -2285 +-746 -5991 +3378 5409 +-1436 9137 +-8550 912 +-4221 440 +-1414 1278 +-6023 -3222 +-2475 1072 +814 4706 +260 -2856 +-3384 -3832 +386 -4379 +9655 -4310 +1352 5782 +4545 3209 +11072 -3916 +-887 3803 +1404 5514 +2718 -2232 +1295 3762 +-2489 -1818 +726 -2184 +-2343 -1554 +-8216 997 +-944 -3980 +-882 -7767 +903 -1046 +2294 1433 +214 -467 +2131 837 +-2587 3385 +4892 1754 +3918 3897 +-6306 6683 +827 609 +-8415 3109 +-8223 3421 +5099 -3883 +-1980 585 +-23 892 +740 -1285 +370 1365 +6879 -1612 +-701 -5793 +-635 -6199 +6044 317 +2426 -1970 +-814 -2062 +-163 10600 +-1485 26 +-2361 -4228 +1814 9711 +-2553 2227 +-4516 985 +1921 7729 +621 2667 +7229 -2018 +8612 -6368 +-5036 -4973 +-2294 -3506 +5544 -74 +-265 6251 +-3562 -822 +-228 -3398 +-1167 -2526 +-2073 -4087 +-1537 1958 +3234 -3109 +14234 -2293 +5213 7636 +-7596 -1886 +-1709 -4556 +-3458 597 +-4362 -44 +2252 5854 +2773 612 +1591 -4198 +77 2477 +3106 -1928 +5478 -2513 +-1401 -28 +-8384 -2246 +-3749 4043 +1295 3762 +-2489 -1818 +726 -2184 +-2343 -1554 +-8216 997 +-944 -3980 +-882 -7767 +903 -1046 +2294 1433 +214 -467 +2131 837 +-2587 3385 +4892 1754 +3918 3897 +-6306 6683 +827 609 +-777 -6343 +1532 -7422 +-3685 -3941 +-182 -2245 +-3776 3633 +1601 1723 +2742 1211 +-3474 5369 +7020 1755 +4192 4057 +978 8879 +4033 -801 +-2027 -477 +5473 5204 +2943 -5825 +-10021 -7574 +-7379 -777 +-2302 4458 +1203 4043 +2135 -7263 +6936 -2166 +11196 5210 +4158 2816 +-1211 4185 +-208 -3006 +-1412 -797 +-8042 8387 +-2402 4629 +5806 -583 +1109 -2452 +4088 681 +2625 1328 +-2332 4788 +627 5105 +-1845 -5154 +-1471 -3001 +-2175 -2686 +1875 -163 +7644 7435 +-1351 -3567 +234 -5383 +5768 -1002 +2782 -5213 +1299 -3179 +-2155 2031 +-1060 3831 +-2423 -5708 +-3046 -6242 +1161 1295 +-3476 -1169 +-4393 403 +-2728 -3678 +-4093 182 +92 9296 +-213 -3882 +-3983 -4594 +-1864 5597 +-679 2737 +-1507 887 +-2003 3708 +-2660 2138 +4482 -7888 +3860 -5019 +-7238 2198 +-777 -6343 +1532 -7422 +-3685 -3941 +-182 -2245 +-3776 3633 +1601 1723 +2742 1211 +-3474 5369 +7020 1755 +4192 4057 +978 8879 +4033 -801 +-2027 -477 +5473 5204 +2943 -5825 +-10021 -7574 +-1295 912 +-1714 2022 +-4285 -964 +1355 1695 +5691 494 +-855 1029 +-4491 3069 +-1998 -413 +2409 -202 +7578 -3361 +2643 -5012 +-3677 2353 +-1553 -5428 +-873 -9059 +-5625 1720 +-5270 -5791 +4539 -4405 +-2973 5275 +-8124 -4938 +5425 -3424 +-2474 3762 +-2551 4555 +4071 8245 +-8327 2750 +-4265 -619 +807 -913 +6655 -1026 +7366 1823 +-4456 -243 +8967 3241 +7050 2571 +-2213 1097 +9586 7897 +984 1956 +-3287 1425 +1891 2737 +2244 -9162 +3810 -2146 +-1689 8552 +2694 1373 +5882 721 +2545 3747 +1509 -2374 +-1951 -1494 +-38 6161 +-2316 3666 +335 1729 +3767 3123 +-1430 -1295 +253 -4112 +-3839 -5762 +-1178 -4345 +-1316 761 +-12503 3144 +-445 4170 +2615 1639 +-2990 -3008 +4247 -7544 +437 -6224 +5425 655 +10193 -490 +-5403 -1497 +-11642 -1037 +-5923 -3777 +-1295 912 +-1714 2022 +-4285 -964 +1355 1695 +5691 494 +-855 1029 +-4491 3069 +-1998 -413 +2409 -202 +7578 -3361 +2643 -5012 +-3677 2353 +-1553 -5428 +-873 -9059 +-5625 1720 +-5270 -5791 +-2332 -5575 +-1194 411 +994 -6024 +-1826 -7559 +-2328 -7409 +4286 -1092 +3313 9895 +3983 3886 +3223 2262 +-11541 9878 +-3737 3700 +3373 -1813 +-4072 2462 +945 2923 +-2562 2104 +-4786 3624 +-2207 -1036 +1632 -3007 +4130 3887 +-4857 176 +-2226 -5462 +-4606 2750 +-4102 2445 +7492 -2731 +4244 821 +4509 -4498 +-1841 -5367 +-1603 4105 +6710 801 +945 201 +4652 1277 +-2293 -7007 +-259 -3234 +10159 294 +-3565 -3008 +-1233 -844 +1481 -4305 +2194 -2789 +2243 3108 +-5264 -2057 +8177 -1226 +946 4812 +-3609 2321 +9765 -3827 +-388 -8136 +5363 -2525 +7163 5331 +-7330 2390 +-8675 2591 +-7755 4140 +-2899 -2058 +-6557 2083 +-5217 4740 +4785 -4455 +4639 -207 +1855 4910 +-8390 -821 +-4941 -558 +6381 2403 +2331 2066 +-177 -1345 +-1581 1803 +1236 5064 +2805 -5695 +-2332 -5575 +-1194 411 +994 -6024 +-1826 -7559 +-2328 -7409 +4286 -1092 +3313 9895 +3983 3886 +3223 2262 +-11541 9878 +-3737 3700 +3373 -1813 +-4072 2462 +945 2923 +-2562 2104 +-4786 3624 +2073 -4270 +-2253 -3558 +795 56 +1450 -815 +-4844 -2035 +5548 -1732 +-3540 -1165 +-15124 1159 +-3304 3412 +-4371 447 +-143 4647 +8439 3808 +3275 -4393 +2867 2606 +2702 1709 +2263 -7437 +912 2073 +-6587 5644 +-2667 -118 +7151 4939 +2290 296 +-837 -3464 +1408 7817 +-748 4818 +4809 1100 +-928 3229 +-7428 -4897 +5319 -5674 +1833 -47 +-555 5387 +4158 4435 +-1266 -841 +3109 2715 +1212 959 +-2062 795 +-2267 1818 +-5404 -3068 +7340 -1014 +6494 -2585 +-4685 685 +-2396 1770 +-3057 -6490 +-1351 -4037 +2223 -7640 +1362 -7055 +-3195 -461 +-994 -1186 +4119 4452 +-5057 -2591 +-1851 -8713 +5955 -357 +-2680 5015 +-4478 9989 +-119 1912 +3625 -5658 +2303 -2894 +-145 2009 +2571 4942 +683 -8526 +-1439 -3774 +-2325 8385 +68 -3842 +655 779 +-911 6529 +2073 -4270 +-2253 -3558 +795 56 +1450 -815 +-4844 -2035 +5548 -1732 +-3540 -1165 +-15124 1159 +-3304 3412 +-4371 447 +-143 4647 +8439 3808 +3275 -4393 +2867 2606 +2702 1709 +2263 -7437 +-4664 -7120 +7153 1552 +6991 3517 +2134 -4364 +918 -1196 +-3997 5581 +9237 841 +1795 1613 +-12776 5788 +859 3039 +3578 1856 +966 1906 +1292 1584 +-1111 -322 +-3428 -868 +-4804 853 +4021 3368 +3973 9401 +2648 5959 +6017 2558 +-3499 6533 +-3261 -3422 +4598 -5896 +6461 4232 +4853 -177 +-1670 -2830 +1522 909 +6036 -977 +65 -486 +1213 -630 +5751 -2067 +-1353 -56 +-2073 -2725 +3321 -2983 +-6367 5523 +-9076 5307 +-3964 275 +-4016 1289 +3403 -56 +825 1323 +-3806 5612 +2663 3351 +-1770 -890 +-3291 -3659 +2184 -7384 +-2738 -9943 +-3374 -1321 +4927 8989 +2715 2332 +-3092 -5854 +-1628 -3525 +-2789 -635 +-1746 606 +-3411 1 +-5335 -4645 +1986 -7011 +1365 -2932 +2308 -3959 +1244 -5059 +-3787 4009 +2678 4213 +-2339 -2561 +-4633 -2570 +-1901 -5799 +-4664 -7120 +7153 1552 +6991 3517 +2134 -4364 +918 -1196 +-3997 5581 +9237 841 +1795 1613 +-12776 5788 +859 3039 +3578 1856 +966 1906 +1292 1584 +-1111 -322 +-3428 -868 +-4804 853 +-4664 4011 +633 -1000 +3502 -2436 +-7103 3322 +-4778 -13 +-4385 -1301 +-4863 2494 +-4709 2400 +-797 -1187 +4392 2873 +-1100 4291 +-1455 939 +-6629 4818 +-5651 418 +1183 2576 +554 8362 +9452 -7514 +2970 -5522 +-1004 3240 +4510 -3991 +-4713 5582 +-190 5145 +2355 -7308 +4903 -4699 +9326 -2906 +-3106 5776 +-1231 8352 +-1531 -2152 +-10243 -118 +-6093 796 +-1695 506 +-1446 441 +-3109 -6602 +1209 -2322 +302 -189 +-900 -3989 +5144 2326 +845 1577 +-4421 -4623 +-9722 -2636 +-240 1187 +11913 3065 +-344 925 +-2628 -3270 +-2028 -1950 +-690 1220 +5933 -3852 +-1224 -5942 +394 1814 +880 2065 +-1334 -2761 +4127 -2551 +4347 396 +5356 -563 +2532 -6611 +6334 -135 +6219 4979 +-3019 -3366 +1209 -1057 +833 -1604 +2319 -678 +3228 11864 +-1024 2309 +1164 -5223 +-4664 4011 +633 -1000 +3502 -2436 +-7103 3322 +-4778 -13 +-4385 -1301 +-4863 2494 +-4709 2400 +-797 -1187 +4392 2873 +-1100 4291 +-1455 939 +-6629 4818 +-5651 418 +1183 2576 +554 8362 +-4664 135 +-3587 -6511 +-4599 -1506 +2315 -2122 +-145 -5259 +-777 -1288 +-1564 501 +-4056 4169 +723 3715 +-2138 -12568 +3821 -4234 +10035 10569 +2997 -5834 +281 -5086 +-3271 1737 +1624 -4372 +8166 259 +3045 -2170 +2373 -5337 +4416 -4808 +2625 -3354 +-3883 3042 +-3670 -1423 +1760 -1043 +-4637 3691 +-5778 2184 +1701 499 +-829 -1915 +-6034 4855 +-564 -2265 +3455 -11032 +-3160 5628 +-3627 4011 +-1107 -3326 +-1710 3112 +-783 -1605 +-4467 507 +-5221 6795 +-1581 3728 +5963 3475 +14823 3539 +7266 3516 +-3414 1449 +-2189 -618 +-1924 6138 +-3207 3208 +-279 -7079 +-1768 97 +-1948 2850 +3082 -2858 +-3266 1102 +-2785 -3517 +3023 -4330 +-3112 4760 +5476 932 +10338 -189 +3600 4600 +5583 6721 +950 6987 +1042 1441 +-221 -3086 +-6466 -6591 +-2710 -1873 +-5342 7246 +-4664 135 +-3587 -6511 +-4599 -1506 +2315 -2122 +-145 -5259 +-777 -1288 +-1564 501 +-4056 4169 +723 3715 +-2138 -12568 +3821 -4234 +10035 10569 +2997 -5834 +281 -5086 +-3271 1737 +1624 -4372 +4664 -912 +-1711 3379 +-9000 -6759 +4818 2184 +-1175 3298 +-2454 1673 +6982 3369 +-508 -4415 +44 -821 +-2894 987 +-4973 3083 +3644 -2788 +1073 -9622 +-20 2134 +-1359 235 +-3758 -916 +643 -2073 +721 -4833 +475 4120 +-938 -3723 +-5432 -1054 +-10835 1986 +-12290 -9109 +-198 -1049 +7879 -2414 +1188 -4770 +-4522 1696 +-3625 2685 +-635 6998 +1405 752 +1054 559 +2737 2966 +7255 -6343 +6493 -744 +6100 7096 +5325 1836 +-2578 -3638 +-2067 -1578 +3696 2924 +1851 4884 +-1598 821 +-1793 -4857 +-751 6775 +-1633 7247 +2377 -8662 +3988 -1488 +-344 2072 +3203 -8144 +4021 -3109 +1164 2913 +551 -2333 +681 1567 +6076 6576 +3539 -1599 +-3088 3120 +192 5836 +4039 341 +-2309 5853 +-10681 831 +-6199 1859 +-1778 4031 +1440 -3952 +3276 -1097 +-1446 -5884 +4664 -912 +-1711 3379 +-9000 -6759 +4818 2184 +-1175 3298 +-2454 1673 +6982 3369 +-508 -4415 +44 -821 +-2894 987 +-4973 3083 +3644 -2788 +1073 -9622 +-20 2134 +-1359 235 +-3758 -916 +2073 1679 +342 -5394 +-7037 -1721 +-8989 -2224 +6622 375 +3543 1974 +823 -2876 +-1606 -2303 +-3963 -3632 +6512 5243 +162 3357 +309 -5247 +4815 6865 +-4880 1103 +-523 -6392 +5736 71 +4529 -4405 +6650 1400 +7772 6612 +-614 573 +-3800 623 +3303 -28 +-4741 -1017 +-5353 -4175 +5490 -5492 +3626 1460 +-978 2175 +-8993 1417 +-4957 3001 +2429 -946 +-4164 456 +-1054 579 +1036 -2715 +-1552 4164 +2606 3547 +1689 3104 +-5764 9847 +-4706 -1062 +4198 -5679 +-3307 3688 +-7437 5705 +2751 3786 +674 -2308 +921 -456 +6481 1766 +5622 -3904 +999 -2516 +-4177 -3570 +-5565 -1814 +-4279 1636 +3484 -1613 +3390 -1570 +-1204 -3591 +833 -3010 +-1494 -2258 +1220 -4433 +-2381 -3836 +-1362 -1680 +9899 6533 +-400 2583 +-4266 -233 +-2250 7694 +-3389 -447 +4647 -473 +2073 1679 +342 -5394 +-7037 -1721 +-8989 -2224 +6622 375 +3543 1974 +823 -2876 +-1606 -2303 +-3963 -3632 +6512 5243 +162 3357 +309 -5247 +4815 6865 +-4880 1103 +-523 -6392 +5736 71 +1036 2197 +1187 6101 +-2776 4738 +911 1785 +1708 4950 +2871 1364 +3523 5180 +-434 3690 +-8043 -947 +-7939 3637 +-1250 -6049 +3193 -4711 +4841 2111 +-4194 -5945 +-9149 -1038 +-202 3049 +5306 -3627 +4824 -4772 +2101 2678 +788 1377 +-1241 -6701 +-4231 -363 +-1942 -4711 +-895 -7096 +-993 5521 +-452 1611 +1873 -581 +4829 -19 +-3765 -1149 +-7696 -694 +-225 -5527 +-4047 1598 +-3627 1948 +9274 -1668 +9873 -874 +5438 -9244 +2296 2289 +-4567 8534 +-406 -5692 +4584 -2552 +1307 5092 +2413 4857 +2201 -676 +2834 -749 +2828 6949 +-2305 6114 +127 4267 +2529 1614 +-2715 -518 +-3805 1911 +6117 1090 +5623 585 +-7945 -3647 +2829 -7849 +11461 -2764 +-1417 -118 +-2635 -3449 +-5000 -1668 +-3631 1748 +-668 968 +-9086 1416 +209 -2877 +2829 -4224 +-6483 1532 +1036 2197 +1187 6101 +-2776 4738 +911 1785 +1708 4950 +2871 1364 +3523 5180 +-434 3690 +-8043 -947 +-7939 3637 +-1250 -6049 +3193 -4711 +4841 2111 +-4194 -5945 +-9149 -1038 +-202 3049 +5441 -4539 +3872 -6895 +-4649 -2476 +-10171 -2165 +2280 -6632 +815 2564 +-9114 7944 +-775 2611 +8934 1011 +-926 4593 +-9245 1082 +-655 -6859 +2083 -643 +-107 -1432 +9 -5557 +2137 5541 +3493 1555 +1892 -3470 +7912 -1102 +2683 -3763 +-4145 4099 +1371 3132 +-40 -1556 +3590 2148 +-948 2161 +-4662 5249 +3589 519 +-4399 -933 +-5073 2880 +-6836 -861 +-9490 5993 +3224 4608 +-777 -3234 +-940 1360 +2010 1645 +-745 2548 +2231 3763 +-8158 2854 +-4644 2603 +5258 -966 +1430 -1011 +3539 -753 +373 -1955 +3273 -5543 +7381 -5031 +2910 4952 +3769 7385 +-1985 2647 +-1938 -6218 +1708 -8286 +-3808 2718 +1478 2287 +7924 -3303 +89 -6750 +-4500 -7347 +1400 2231 +-1124 1985 +-3372 2945 +3817 9933 +1563 -835 +-2318 -3425 +-3629 4941 +-862 -3247 +6561 -7702 +5441 -4539 +3872 -6895 +-4649 -2476 +-10171 -2165 +2280 -6632 +815 2564 +-9114 7944 +-775 2611 +8934 1011 +-926 4593 +-9245 1082 +-655 -6859 +2083 -643 +-107 -1432 +9 -5557 +2137 5541 +-4405 1689 +-8313 -547 +-3327 -5226 +-739 852 +1053 4146 +5872 -4017 +-8720 -2665 +-5206 6556 +228 3310 +-2061 369 +8465 4931 +-3893 2952 +-811 281 +7200 4918 +-7010 9053 +2556 4181 +2985 -518 +-3818 3753 +1138 2674 +-1554 -2354 +1598 1138 +-1115 1631 +-3640 -2912 +1344 -1870 +-1174 -3702 +624 -5003 +4521 1735 +-309 -226 +-5429 -3416 +1169 -4613 +5715 -9330 +690 3788 +-2850 6602 +-4585 -10930 +-1350 -6377 +-902 3137 +1601 2779 +4974 2238 +-285 1181 +1781 1921 +4435 -3828 +9484 -4957 +5962 -4395 +-6219 -4383 +2125 6947 +2209 58 +2107 -6401 +3788 6911 +-5057 1555 +4687 -3599 +-931 6856 +-6438 8105 +-1142 -809 +-11913 -7333 +2459 -2430 +10606 1336 +3765 -961 +8128 -2389 +32 -4344 +1251 564 +3079 5515 +-4250 1403 +-5134 -3077 +-5047 -2450 +-4405 1689 +-8313 -547 +-3327 -5226 +-739 852 +1053 4146 +5872 -4017 +-8720 -2665 +-5206 6556 +228 3310 +-2061 369 +8465 4931 +-3893 2952 +-811 281 +7200 4918 +-7010 9053 +2556 4181 +4664 -7389 +363 -1720 +1401 3232 +-9858 1844 +-9650 -8496 +-2977 -9118 +-901 2120 +5299 58 +-3254 -776 +836 6458 +1714 3261 +-5237 3933 +4229 5867 +126 -3656 +-1159 -3520 +-3745 4661 +-6612 1295 +5270 -4875 +-593 -1210 +208 2648 +6244 1628 +710 2716 +1454 2606 +-1531 3971 +1598 4226 +4545 -2312 +1707 538 +2231 6219 +-1849 988 +-2484 -2269 +-5523 -1280 +-5621 -2106 +2073 -384 +1789 5627 +-614 4831 +-451 1087 +1374 3670 +-1437 2530 +-5078 2153 +-3205 2925 +1700 2331 +6296 3224 +1323 -3495 +2657 -1463 +561 173 +-6392 -8959 +1825 -1399 +-4274 -3539 +-6343 -11141 +2725 -941 +1147 -3010 +5808 940 +3069 5271 +6067 -7388 +9048 -713 +-2707 3525 +-44 -4744 +-1202 297 +-1939 2072 +1607 -448 +2241 -810 +6491 -341 +-3811 2106 +-1907 -3527 +4664 -7389 +363 -1720 +1401 3232 +-9858 1844 +-9650 -8496 +-2977 -9118 +-901 2120 +5299 58 +-3254 -776 +836 6458 +1714 3261 +-5237 3933 +4229 5867 +126 -3656 +-1159 -3520 +-3745 4661 +2332 -3493 +-3935 4313 +7749 -2710 +3392 -4213 +281 2600 +-733 -4423 +-3633 -11721 +709 2420 +-1075 6115 +-467 -8023 +2543 -2097 +6481 1483 +5270 -607 +-2071 3405 +1201 938 +3687 3297 +-643 1555 +-6018 122 +-3177 -1378 +893 -6289 +-3684 219 +2337 -3061 +3952 -517 +-1648 4426 +-227 -5901 +-1828 2330 +2726 3094 +5975 -7640 +3730 -4916 +3366 -2030 +2693 6909 +6909 8475 +5959 5565 +353 5430 +-3794 -6847 +-3642 -6746 +-1647 1697 +-650 -1173 +7083 1819 +-641 4709 +-5662 -2488 +6009 398 +336 5002 +-2469 -1268 +-1328 3816 +483 5281 +5587 -325 +-5718 2404 +-6612 -4664 +-3343 -7595 +-3961 -8904 +482 -9718 +-4277 4811 +-5084 4959 +-9119 1803 +-6573 2834 +1781 1237 +-1994 8029 +5869 3477 +112 73 +-10781 -1402 +-3008 -3817 +526 7313 +8634 1607 +2332 -3493 +-3935 4313 +7749 -2710 +3392 -4213 +281 2600 +-733 -4423 +-3633 -11721 +709 2420 +-1075 6115 +-467 -8023 +2543 -2097 +6481 1483 +5270 -607 +-2071 3405 +1201 938 +3687 3297 +-1295 2975 +983 343 +-3987 -2673 +-8749 9459 +1717 2760 +-2514 6993 +-4342 11806 +-241 -6859 +-2123 -3639 +426 4839 +-5202 -442 +-7006 -3214 +-1251 -2056 +-2631 -4087 +-2323 -2588 +5011 8362 +8934 4145 +-322 355 +2368 3122 +8913 -3716 +-1227 -2937 +-1367 -5694 +-222 -5814 +2746 -1916 +8832 -4374 +2127 1466 +2819 -4555 +430 -7659 +-5029 134 +-587 -297 +1250 8896 +2465 6010 +-1295 -4529 +954 -1482 +2489 -2333 +-4698 2933 +3413 3799 +4763 -3423 +-6272 346 +-7967 754 +-468 -3097 +5444 -3370 +-3100 -3089 +-2345 495 +5648 -105 +2977 -3991 +990 3241 +-3163 4918 +-125 518 +3526 6029 +1454 -797 +3253 -3058 +-3903 3633 +-2049 -3129 +4366 3167 +-6205 1562 +-4168 -8581 +4805 258 +-986 2475 +-1879 2184 +632 -5227 +-4099 -7247 +2408 5819 +6999 2181 +-1295 2975 +983 343 +-3987 -2673 +-8749 9459 +1717 2760 +-2514 6993 +-4342 11806 +-241 -6859 +-2123 -3639 +426 4839 +-5202 -442 +-7006 -3214 +-1251 -2056 +-2631 -4087 +-2323 -2588 +5011 8362 +5959 -2715 +1438 7446 +-2235 -452 +-7141 -4382 +2046 3901 +3333 4597 +-6066 7750 +-5214 5946 +-6369 -1252 +-6159 2240 +-2226 -176 +61 253 +3735 198 +318 -8564 +-2413 2980 +-700 2767 +-1420 -6218 +4480 5889 +2884 -2389 +-7927 -2411 +-4629 12960 +551 584 +-1061 -1388 +-4230 1813 +-3197 -6343 +3708 1232 +-2455 5511 +-2678 511 +7034 2632 +-1861 5268 +-4834 3343 +578 3627 +3368 643 +6168 -6096 +-2732 3248 +-3217 5877 +-3349 -8120 +-3518 -5700 +11850 -2179 +4101 -7312 +-3995 -2894 +3200 3152 +-6031 263 +-5574 -3313 +-537 2179 +-603 337 +7482 -8008 +3501 -3590 +-1689 1036 +4954 -433 +5496 -3036 +-1903 -3984 +-5468 -2522 +-1372 -3496 +3945 517 +2495 3905 +-3021 1161 +7837 975 +15591 -898 +-179 -1094 +-905 1209 +2397 859 +-4758 -943 +3155 -6905 +5959 -2715 +1438 7446 +-2235 -452 +-7141 -4382 +2046 3901 +3333 4597 +-6066 7750 +-5214 5946 +-6369 -1252 +-6159 2240 +-2226 -176 +61 253 +3735 198 +318 -8564 +-2413 2980 +-700 2767 +-8291 -3244 +-3059 294 +396 5520 +-4318 3058 +-6248 -941 +2301 6466 +3387 7438 +-3624 3082 +-2066 778 +-524 1544 +610 6353 +-142 430 +-1750 170 +-12 4917 +-1625 -3844 +1735 -1460 +2197 2332 +-2371 -4638 +2381 -769 +1745 1923 +-3969 -3130 +-2141 -2515 +-2293 -1422 +-3886 -3177 +3886 1054 +8311 561 +3422 -6279 +3517 1077 +-482 2581 +-673 -6165 +8684 -3007 +3417 -4108 +0 -4011 +3288 220 +-852 -6978 +-2190 -3403 +1343 9939 +6766 7755 +-1288 -878 +-7432 1633 +3620 3886 +522 -4408 +-1403 -7253 +7316 -4964 +5744 -573 +2972 5994 +-1249 -1086 +-2736 -6229 +-2197 2850 +-3636 3803 +3633 -757 +3352 2790 +-4600 5533 +-1087 2725 +1712 1279 +-1271 -868 +778 -5718 +-3390 -5814 +-10260 -202 +373 4596 +3743 5077 +-3122 1696 +3036 -4699 +0 -6818 +-8291 -3244 +-3059 294 +396 5520 +-4318 3058 +-6248 -941 +2301 6466 +3387 7438 +-3624 3082 +-2066 778 +-524 1544 +610 6353 +-142 430 +-1750 170 +-12 4917 +-1625 -3844 +1735 -1460 +-2073 653 +-8567 2677 +1722 -2632 +-388 1379 +-1919 2271 +-7779 1344 +-10191 2114 +-722 -2390 +-1093 -1846 +294 834 +4044 -688 +1968 -2654 +-1422 -2753 +-2131 -406 +-2162 5269 +-5582 4116 +-902 -1555 +4237 2934 +1165 1787 +625 -124 +739 4534 +-258 -1025 +2435 1099 +4254 4637 +6 -4283 +-2840 -4166 +-4779 -41 +1071 386 +8108 1538 +-4108 1542 +-474 -2455 +9864 -6087 +-518 -3762 +432 -4053 +2586 -2195 +3079 1062 +427 -1853 +-4272 537 +5935 1586 +-3714 -3890 +-2016 -3854 +15854 559 +1330 -1483 +-5719 -4260 +-6058 1225 +-2411 1202 +10148 2788 +3349 7803 +3493 1555 +5769 1501 +2514 6955 +2031 8620 +-4429 5411 +-1125 -3670 +51 -5784 +-4161 -4781 +-3115 656 +4482 -1499 +5926 -9994 +-10603 2668 +-1664 2064 +6570 -6601 +-7816 3673 +502 1807 +-2073 653 +-8567 2677 +1722 -2632 +-388 1379 +-1919 2271 +-7779 1344 +-10191 2114 +-722 -2390 +-1093 -1846 +294 834 +4044 -688 +1968 -2654 +-1422 -2753 +-2131 -406 +-2162 5269 +-5582 4116 +5182 394 +874 -2103 +4493 -4163 +3288 7551 +1578 8596 +3701 5773 +1606 1976 +-136 -4359 +5497 -3191 +2380 -6314 +-6539 696 +1396 3182 +6170 -6535 +4215 -317 +4947 -2297 +2329 -5062 +912 8291 +-4705 4122 +-336 -4011 +4144 781 +-6718 -2010 +-2335 -2574 +3268 1105 +-2016 -2550 +-5673 -891 +-8033 -974 +-4515 -2185 +-4306 3479 +5 2712 +3193 3674 +-3585 1142 +229 -3195 +5182 -912 +3599 -4876 +1712 4247 +4256 9176 +5661 -3126 +34 -2060 +2960 46 +463 1172 +-2388 -436 +689 1095 +-10246 8453 +-10539 -1713 +-5830 1191 +-3881 6479 +3810 200 +-628 4946 +1161 -5700 +5020 -5812 +372 5874 +-10 -5002 +-3631 -8642 +-3382 -1005 +-2956 5540 +-1496 -1598 +2564 -5845 +177 4854 +2625 -620 +-1161 1348 +-5527 3669 +-1544 -8251 +-1759 -3567 +4188 134 +5182 394 +874 -2103 +4493 -4163 +3288 7551 +1578 8596 +3701 5773 +1606 1976 +-136 -4359 +5497 -3191 +2380 -6314 +-6539 696 +1396 3182 +6170 -6535 +4215 -317 +4947 -2297 +2329 -5062 +5182 -3493 +6901 -1187 +4781 -1599 +2035 -3436 +4535 2373 +-7110 2669 +-3938 -136 +887 -841 +-8264 1742 +-1485 -797 +-6315 -5758 +-3973 1818 +9734 1398 +-736 -2686 +-4699 4823 +-3177 4750 +-3234 259 +-3518 1757 +-6460 4289 +2405 -316 +4276 -2132 +-1150 5329 +-7003 5043 +-5726 3269 +6256 -1794 +3363 -6482 +2239 -282 +3069 -2438 +1021 3153 +8300 5138 +201 -11728 +-4919 -4125 +518 6084 +-4208 273 +-4856 -300 +-5286 -2913 +-2399 14 +6054 1728 +3545 -625 +-868 -1203 +-27 -7961 +-1679 -3374 +2293 2190 +9786 2382 +818 8118 +-4392 -594 +254 634 +-1068 11344 +5825 -2850 +5597 -1704 +2390 7188 +4762 -9016 +-4339 -6473 +-1922 6747 +-3826 1402 +-11929 -2279 +2035 -2351 +5037 1861 +-2362 4634 +643 120 +2936 -233 +-762 -4534 +-1117 -5631 +5068 -1262 +5182 -3493 +6901 -1187 +4781 -1599 +2035 -3436 +4535 2373 +-7110 2669 +-3938 -136 +887 -841 +-8264 1742 +-1485 -797 +-6315 -5758 +-3973 1818 +9734 1398 +-736 -2686 +-4699 4823 +-3177 4750 +2332 -5825 +2090 7033 +135 -1793 +-8644 -5252 +2291 2168 +5969 239 +3791 -2060 +2408 -2233 +-10463 1705 +-7235 -2597 +8727 -4816 +11589 5429 +3467 6339 +2371 -122 +746 -6730 +-8548 -5933 +-5047 -4664 +25 -9799 +2386 -2131 +3697 2093 +-1816 -2637 +471 1247 +-1477 1686 +-2639 -2094 +3665 -1098 +1196 2604 +4543 893 +3542 -5760 +-5278 -3089 +1018 5949 +4874 2856 +-1802 -6694 +-1295 -1430 +1950 3625 +-2771 1408 +-3860 5196 +3482 1067 +6817 -1055 +165 1960 +-5230 -3715 +2172 -669 +1610 4770 +-3856 2960 +-1495 -737 +-7828 -2068 +-5208 4034 +1586 4585 +-658 4145 +2975 1555 +408 -5536 +-1289 3197 +-2981 8158 +-7066 1475 +-2265 2012 +-4374 -801 +-2975 -3285 +-556 4207 +312 256 +6634 -10081 +728 -690 +2383 2963 +2908 -222 +-3239 8868 +4432 -1065 +2332 -5825 +2090 7033 +135 -1793 +-8644 -5252 +2291 2168 +5969 239 +3791 -2060 +2408 -2233 +-10463 1705 +-7235 -2597 +8727 -4816 +11589 5429 +3467 6339 +2371 -122 +746 -6730 +-8548 -5933 +259 3244 +3233 -1335 +823 1067 +2156 -3138 +1219 -1756 +-3854 8882 +-1223 2482 +-6738 -4137 +-4935 1648 +2575 -1787 +-1599 -5052 +-953 5371 +-65 6172 +560 -1443 +-1437 -608 +-5352 1563 +-1679 6218 +-1971 1342 +-18 -7737 +195 4470 +-6685 5714 +-5602 -4417 +-1204 4478 +1771 4501 +3696 -6123 +5619 -1358 +2856 8374 +275 3336 +6387 -3644 +2500 1917 +-2594 -2294 +5604 -6034 +9586 2456 +675 114 +-9243 -410 +-6226 408 +-1763 -1112 +4437 8186 +8395 5624 +-3481 -5364 +-4392 -4757 +2332 1238 +974 1104 +-977 -6199 +-4091 1649 +-2300 7846 +-1861 -2208 +3770 -86 +9452 1555 +-573 3545 +-2125 8598 +602 -5277 +1011 -4918 +5153 3061 +4847 -8490 +3027 -8134 +-1624 -6313 +3122 -6052 +6259 4420 +-1290 -3472 +1915 -8322 +-3470 989 +-11140 -1055 +-4819 1463 +259 3244 +3233 -1335 +823 1067 +2156 -3138 +1219 -1756 +-3854 8882 +-1223 2482 +-6738 -4137 +-4935 1648 +2575 -1787 +-1599 -5052 +-953 5371 +-65 6172 +560 -1443 +-1437 -608 +-5352 1563 +-4405 -4280 +-6187 -1376 +-7493 2427 +3740 -2900 +6508 -5696 +-3519 -786 +-109 502 +-1765 -2944 +2326 6269 +5267 6482 +-924 -1867 +2887 5408 +-701 619 +-3652 -3806 +-1295 2729 +-2920 -2143 +-394 -7255 +98 -6002 +2300 -1351 +601 -7994 +-6638 -8277 +-4418 9564 +-1049 6869 +-1744 -2763 +-987 -3589 +3290 -365 +3732 4286 +635 2321 +4662 9302 +6098 5293 +222 -1997 +-813 5496 +4405 -4011 +3348 -4110 +13 4667 +2385 -712 +-65 4937 +-801 3073 +-2954 -8676 +-5621 -3263 +5447 4613 +4729 2741 +-3999 1686 +-1704 713 +172 443 +4555 5647 +3917 -249 +-5055 -2932 +-7897 5182 +-2276 -10 +2803 -1294 +1887 3260 +-842 3854 +-4018 -3149 +625 -11938 +-859 1619 +-8858 998 +-332 -8730 +-2651 1810 +-3709 -3383 +7267 -3110 +1964 7970 +6860 2397 +7910 -2220 +-4405 -4280 +-6187 -1376 +-7493 2427 +3740 -2900 +6508 -5696 +-3519 -786 +-109 502 +-1765 -2944 +2326 6269 +5267 6482 +-924 -1867 +2887 5408 +-701 619 +-3652 -3806 +-1295 2729 +-2920 -2143 +-4923 4798 +700 1832 +2312 -1736 +9095 1110 +6671 768 +-1291 2892 +-2485 -3545 +-1521 -8374 +1825 999 +1173 -4309 +-1566 -6607 +-2097 -231 +565 -6651 +-1681 -2733 +-6155 2880 +-1717 -2090 +-3493 4405 +-3439 10115 +4531 1956 +4894 -2761 +-823 -1001 +-5438 -862 +-479 -198 +-2265 397 +-7513 1555 +-1873 1174 +-492 -2003 +3619 -128 +2418 2745 +1216 5034 +9191 3058 +2212 1030 +-1295 7120 +-1204 669 +-4564 -3157 +-3290 2975 +-8628 -2993 +-523 -1748 +6885 -691 +-5101 -1166 +-7525 5219 +330 -2554 +-777 -4249 +-11280 -1505 +-5758 -4671 +2125 -2507 +736 -4420 +8138 2706 +384 4405 +-2992 -4145 +9069 1671 +8632 -1766 +6927 -1956 +-1351 8715 +-508 2665 +4904 2485 +-4405 4663 +1695 -7178 +3923 -4530 +-1030 6703 +4847 1322 +4263 -4394 +1107 -1822 +-4901 615 +-4923 4798 +700 1832 +2312 -1736 +9095 1110 +6671 768 +-1291 2892 +-2485 -3545 +-1521 -8374 +1825 999 +1173 -4309 +-1566 -6607 +-2097 -231 +565 -6651 +-1681 -2733 +-6155 2880 +-1717 -2090 +-3109 7379 +-2890 -3651 +5364 -2405 +288 3712 +1454 3508 +4755 10401 +6092 7081 +3061 -623 +-5447 -379 +-5806 2203 +-6282 -6388 +-4304 -8177 +112 -26 +-1614 -3681 +1480 1945 +813 4521 +-5835 -4405 +-755 -4718 +8314 -20 +17 10728 +-11594 6782 +-4537 2011 +-2206 9434 +-4970 836 +3488 -2490 +7280 -3116 +7258 -7777 +136 1490 +-8201 2140 +-846 -678 +1513 3446 +-1822 -3715 +0 -6343 +908 5696 +3564 2539 +3846 -5614 +4079 2988 +5004 2629 +-1586 -655 +-5669 1524 +-2326 897 +-1105 1974 +-3146 -3969 +-3222 -279 +-1907 4701 +304 -67 +3374 521 +160 -7345 +-3493 -4923 +2291 -4693 +1612 -12854 +-7389 -1557 +-1194 -3951 +6605 -1562 +-783 4816 +-1879 -4944 +2212 -101 +1291 -2489 +1971 3929 +3551 8491 +2741 -9924 +-2595 -258 +2480 4504 +9092 952 +-3109 7379 +-2890 -3651 +5364 -2405 +288 3712 +1454 3508 +4755 10401 +6092 7081 +3061 -623 +-5447 -379 +-5806 2203 +-6282 -6388 +-4304 -8177 +112 -26 +-1614 -3681 +1480 1945 +813 4521 +-6477 2456 +-10930 -4607 +-4555 -2940 +-5419 4568 +-1486 -1146 +-1221 3225 +-846 6796 +8452 -3190 +-475 6004 +-4071 6162 +-592 -4282 +-2983 3013 +1284 -1182 +-1053 -9773 +-2377 -2439 +672 1104 +3493 -1295 +5713 -2467 +4884 1652 +7233 3338 +-35 -5296 +-7377 -213 +-8885 4613 +-4275 -5545 +10052 2956 +556 6865 +-7375 -4500 +3401 -2351 +-726 -1333 +-1434 1559 +2676 5158 +4026 2366 +6995 1689 +781 -2996 +-1214 -1812 +2977 -5713 +-1623 -8129 +-3605 2750 +4306 -4722 +900 -874 +-2116 10577 +8176 -4845 +6260 -3401 +3411 4803 +-729 -5591 +-3695 -7001 +7362 -919 +-389 -252 +-4011 1295 +2955 2090 +-3690 -6405 +-1622 -3398 +-3074 6280 +928 2480 +8282 3069 +-3150 -202 +-3315 -884 +862 3838 +64 -3184 +-2246 3424 +-6047 1888 +980 -9505 +3991 5025 +1448 11345 +-6477 2456 +-10930 -4607 +-4555 -2940 +-5419 4568 +-1486 -1146 +-1221 3225 +-846 6796 +8452 -3190 +-475 6004 +-4071 6162 +-592 -4282 +-2983 3013 +1284 -1182 +-1053 -9773 +-2377 -2439 +672 1104 +-6477 -2975 +1725 4464 +10973 -592 +1714 1415 +5359 1782 +6524 -2194 +-4386 -3074 +-2715 -1834 +-1870 2755 +-149 933 +1545 -3719 +251 -3840 +-995 -2552 +-325 -3006 +560 99 +-9901 632 +-7379 -2591 +1938 3790 +-6930 -1430 +-9883 -3279 +-466 6321 +7974 -4766 +5105 -5073 +-352 -1405 +-808 -2274 +-4207 10154 +-4042 1593 +-2309 -5088 +991 -2854 +-150 -5745 +-1568 5525 +974 6318 +-6995 2975 +2285 -279 +8533 -4864 +-5839 5840 +1351 2426 +7367 -4619 +6415 867 +2838 4014 +-2793 2945 +6417 -3276 +220 759 +-1062 3355 +7098 -1960 +1078 -3351 +5762 -1527 +2880 6820 +-1948 -3627 +83 -11547 +-4640 5849 +1771 3559 +2046 -4311 +-2554 3166 +2874 3919 +6273 1238 +3399 4864 +-2376 5058 +-1512 331 +-5016 3397 +-2949 -925 +3388 -5363 +-6471 1336 +-8645 -4563 +-6477 -2975 +1725 4464 +10973 -592 +1714 1415 +5359 1782 +6524 -2194 +-4386 -3074 +-2715 -1834 +-1870 2755 +-149 933 +1545 -3719 +251 -3840 +-995 -2552 +-325 -3006 +560 99 +-9901 632 +5700 -2985 +-3996 1043 +2771 2995 +-7773 2076 +-4574 -1460 +13836 64 +5535 -479 +37 43 +-8177 412 +-12619 -2676 +-1185 -1480 +1217 1301 +-673 3233 +-5933 42 +-4099 -1421 +2843 784 +643 -5182 +-32 -4966 +2065 3768 +6431 2699 +-1958 59 +-11783 2741 +-3610 -2298 +-264 -7884 +-189 -3584 +-1228 874 +-1898 3638 +-1688 1905 +-3394 1315 +3470 3045 +-4463 -3162 +-5406 -911 +10882 2466 +3444 313 +2017 1797 +3220 -2129 +-5649 4051 +-1554 1170 +-6293 -12554 +-8819 358 +-3223 4252 +-4531 -1170 +626 4582 +2375 299 +-327 -1552 +2133 2569 +3516 3142 +1316 -3390 +-643 -2591 +3170 7736 +5102 464 +4104 -243 +4926 2532 +3076 -6725 +6818 194 +13344 1654 +3299 -5225 +-2151 -2012 +7083 818 +-1667 813 +-4933 -4032 +2263 2098 +-5694 8288 +3166 -1519 +5700 -2985 +-3996 1043 +2771 2995 +-7773 2076 +-4574 -1460 +13836 64 +5535 -479 +37 43 +-8177 412 +-12619 -2676 +-1185 -1480 +1217 1301 +-673 3233 +-5933 42 +-4099 -1421 +2843 784 +-3109 -8415 +4333 2003 +2189 9703 +2732 -1001 +-5523 -1897 +108 678 +-398 -7076 +-10167 -2710 +-1635 1679 +-213 -2536 +2114 994 +-49 -670 +-3504 -4037 +5080 2667 +-2126 541 +-5382 -2267 +-643 3109 +1374 36 +3124 -2141 +-1917 3017 +3798 5358 +3240 1482 +-1554 -2198 +3881 -28 +-1169 -4940 +-3523 -7732 +-4241 690 +-3646 1356 +767 -1304 +-1716 -2514 +4171 -810 +5707 4758 +0 2715 +504 616 +609 -923 +3480 -2050 +5030 2959 +3916 3300 +-1071 -1211 +703 -5641 +7335 -5825 +-5015 -4024 +-6708 720 +4530 9917 +1798 3456 +939 -5820 +-765 -2811 +275 1945 +9970 5700 +6073 -3099 +-2309 -4138 +1253 5131 +840 834 +-1997 4549 +-1803 4444 +-8269 -3575 +-2458 1831 +2078 -1743 +-5140 -2834 +2662 6640 +-3206 2922 +-6889 -300 +5618 2903 +-4084 -2385 +-3109 -8415 +4333 2003 +2189 9703 +2732 -1001 +-5523 -1897 +108 678 +-398 -7076 +-10167 -2710 +-1635 1679 +-213 -2536 +2114 994 +-49 -670 +-3504 -4037 +5080 2667 +-2126 541 +-5382 -2267 +-5182 4529 +2300 1448 +1122 -667 +-7049 3085 +3868 2813 +2707 3139 +-2304 8388 +1367 3645 +2250 3780 +5910 2946 +-1701 -4199 +-8053 -1274 +-2157 636 +-168 -1939 +925 -3105 +-194 3415 +2715 5959 +4433 -3899 +-3417 -6979 +-4229 -8327 +-4425 -6986 +-1587 -3357 +7661 -5866 +3848 -2255 +-1618 -582 +-1418 -1700 +-1207 1731 +3569 4809 +1399 3479 +-3580 -1967 +-949 4292 +-5110 7629 +-7773 -5047 +2312 -8765 +9336 -2802 +7854 -3392 +3915 -8021 +4631 -3679 +2817 3623 +-2106 9115 +5005 7620 +3109 -5413 +-6066 -4158 +-111 -1440 +2183 -484 +1944 9563 +-3862 -372 +-11306 -2304 +2985 3886 +5804 -2295 +-3428 4836 +1304 3178 +-249 -3351 +-1627 -5534 +2263 -5538 +-1936 7715 +-2527 -1491 +246 -7949 +-5002 3946 +-4256 -3256 +3757 -2594 +-144 4527 +-334 2724 +1534 4529 +-5182 4529 +2300 1448 +1122 -667 +-7049 3085 +3868 2813 +2707 3139 +-2304 8388 +1367 3645 +2250 3780 +5910 2946 +-1701 -4199 +-8053 -1274 +-2157 636 +-168 -1939 +925 -3105 +-194 3415 +9068 7379 +-2372 502 +-6673 1404 +1570 3799 +-2209 -1724 +7281 545 +6443 99 +1384 -3551 +1653 -1831 +-2487 6836 +3992 7137 +7809 -1123 +5146 303 +-1026 1323 +-1974 5141 +3501 6112 +-653 -1555 +-417 -1259 +372 -1544 +-2248 -2716 +-1770 -4977 +-5845 -4927 +-3909 -2051 +2649 -8170 +2072 -4120 +-8 2031 +3237 742 +-2832 977 +-8709 -2605 +5846 1737 +3019 4334 +-7560 2636 +259 4021 +2704 3833 +6989 6099 +2545 -701 +-7066 -1348 +458 -3785 +3468 -14476 +-2549 1179 +-10981 4940 +-10257 -5248 +-1364 -204 +342 -1850 +-1230 -140 +-1770 -510 +2812 -3194 +-4184 345 +-8675 -2591 +4044 321 +2420 2509 +1714 -847 +2754 -5424 +1028 -7806 +6256 2201 +860 3969 +-1036 -2098 +-5611 1172 +-2756 6656 +8437 136 +-3498 -8958 +143 1091 +2539 5874 +-3146 3948 +9068 7379 +-2372 502 +-6673 1404 +1570 3799 +-2209 -1724 +7281 545 +6443 99 +1384 -3551 +1653 -1831 +-2487 6836 +3992 7137 +7809 -1123 +5146 303 +-1026 1323 +-1974 5141 +3501 6112 +4664 7897 +741 7145 +1551 1458 +-3771 4331 +-696 -676 +7902 -1082 +-2581 4784 +-2557 3102 +3595 -1004 +-2863 -6267 +-2339 -4464 +-3304 -2151 +-3831 -2612 +-6757 -1261 +-7279 -1489 +3047 3873 +-2207 259 +-2098 -2537 +6329 4445 +4295 -8153 +2626 -6991 +-6112 10182 +-5433 2642 +336 2005 +1371 5616 +3368 -5335 +-9913 -2615 +-8566 3152 +-1130 -300 +-8107 -843 +-1421 -1899 +-1243 -599 +-1036 2985 +7298 2269 +175 508 +-2446 -716 +3099 -82 +4518 -97 +882 -185 +-2419 2516 +1587 1004 +2823 -35 +-1118 239 +88 -1606 +6056 -1434 +-1370 -4814 +-6946 -2038 +1737 1663 +-2456 -3886 +-437 -314 +5135 -3301 +-1962 -8318 +6372 2568 +8412 3720 +-300 3804 +527 2853 +-1371 -6652 +317 2144 +178 9949 +-2278 -4127 +4087 -13273 +4801 -2873 +2352 4745 +6078 2174 +4664 7897 +741 7145 +1551 1458 +-3771 4331 +-696 -676 +7902 -1082 +-2581 4784 +-2557 3102 +3595 -1004 +-2863 -6267 +-2339 -4464 +-3304 -2151 +-3831 -2612 +-6757 -1261 +-7279 -1489 +3047 3873 +2591 -1161 +3251 6025 +963 10479 +4060 -2502 +3664 -1335 +610 2065 +1819 -1338 +6183 6918 +-858 1017 +-1804 -4186 +6396 773 +4021 -3750 +4130 -852 +1907 127 +2893 -366 +5048 3092 +-643 2591 +2384 7347 +-1756 -508 +-2220 -8985 +-584 -2679 +-5735 -3907 +7207 -6550 +1230 -6578 +-13938 -5137 +-9804 -3581 +-5399 -68 +7070 3298 +5403 1415 +717 13118 +4872 6323 +-4328 -11897 +-2591 4788 +-713 6177 +-1529 -2184 +3618 2368 +-1895 -6778 +-3674 -1685 +-3040 2000 +2638 -8112 +8112 1574 +329 8780 +-2634 -369 +-3348 -3385 +-2057 2318 +-4763 -1143 +-11639 -3590 +-3480 3155 +1679 -1036 +-5094 704 +-8849 5205 +-2380 -1913 +888 428 +-2419 -3051 +3718 -7354 +2283 2147 +-571 -563 +6949 -894 +6589 5327 +2474 -2572 +-3330 -2881 +-2870 3122 +388 513 +-2141 -304 +2591 -1161 +3251 6025 +963 10479 +4060 -2502 +3664 -1335 +610 2065 +1819 -1338 +6183 6918 +-858 1017 +-1804 -4186 +6396 773 +4021 -3750 +4130 -852 +1907 127 +2893 -366 +5048 3092 +-3886 -5306 +3185 9715 +-1426 3758 +3117 -4700 +5761 7915 +-6444 1632 +-30 7972 +1840 9399 +-5990 -1864 +2407 -3965 +3505 -10286 +-1992 -2731 +1949 -711 +3492 -5798 +-1722 -111 +-3358 -675 +2466 1814 +3412 1234 +2440 -5023 +3540 -6310 +-575 -229 +706 6490 +5607 -29 +3508 -4972 +-4283 -8751 +-8331 -4028 +-175 6913 +153 566 +-4167 1242 +3971 -1768 +4916 -9753 +2154 1459 +777 1679 +-4332 -6640 +456 -6448 +2066 -2768 +-6467 -1508 +-10979 -1076 +-4466 6187 +6660 3378 +-1783 -208 +-7524 3123 +5386 -2021 +-18 -4034 +-7890 -514 +-161 354 +2452 -1101 +3446 4485 +1679 3886 +-2930 -7323 +352 -828 +6348 3616 +6464 40 +4323 1534 +7432 1741 +5130 4916 +656 -1613 +4001 -659 +1899 5645 +-171 1453 +-5438 4128 +-13816 -1116 +-5897 3385 +-3404 5209 +-3886 -5306 +3185 9715 +-1426 3758 +3117 -4700 +5761 7915 +-6444 1632 +-30 7972 +1840 9399 +-5990 -1864 +2407 -3965 +3505 -10286 +-1992 -2731 +1949 -711 +3492 -5798 +-1722 -111 +-3358 -675 +2850 2975 +-6331 -5621 +-4303 -4628 +-1881 4070 +-3194 5945 +6860 3337 +7930 2891 +1212 1089 +5445 -1200 +4154 -4 +2046 -1222 +-654 -852 +-3632 2386 +1354 1187 +-4322 -5057 +1250 -3275 +8934 5182 +-5557 1582 +-655 -2375 +2366 -4724 +-6987 -3844 +-1544 7021 +-2546 -2850 +81 -10633 +4802 2996 +4066 780 +2955 -1947 +-8572 3029 +-4005 1225 +3212 2663 +-2948 3191 +1057 2833 +777 5835 +-4265 4397 +-8436 -283 +-4528 1300 +4963 2080 +-123 -2176 +1543 2411 +1831 4451 +-3891 -1391 +104 -1520 +-3897 1268 +1362 3370 +4669 -402 +-6736 634 +-1814 6210 +197 -2757 +-2197 -8809 +-2255 -5158 +-7229 -3329 +2512 -19 +7291 3074 +1217 435 +3333 -3666 +1431 3358 +-138 6850 +76 896 +-1208 79 +4747 -4455 +5042 -2173 +-2524 -161 +-1176 -11420 +5882 -5074 +2850 2975 +-6331 -5621 +-4303 -4628 +-1881 4070 +-3194 5945 +6860 3337 +7930 2891 +1212 1089 +5445 -1200 +4154 -4 +2046 -1222 +-654 -852 +-3632 2386 +1354 1187 +-4322 -5057 +1250 -3275 +3109 -5047 +-4546 2675 +6203 5786 +6789 5105 +-6287 2299 +-2636 -3835 +6579 -1848 +-1585 4381 +-7803 5927 +1905 4456 +1979 -1816 +733 -7886 +1540 -3616 +854 -1751 +2973 -4136 +-6821 -1742 +-5047 -6218 +2153 -5072 +1394 -652 +6019 -6470 +-1509 -1438 +-1328 -1859 +2248 -2414 +-3913 9573 +-120 -4953 +31 -12004 +2612 2804 +56 -1952 +-1996 1140 +4926 7273 +-1880 -792 +4031 3639 +3109 4529 +-5673 -1560 +4541 5765 +-1583 10694 +-1397 2402 +-187 -4729 +-8949 -842 +-719 4100 +-3597 3919 +-2576 1355 +1718 -2309 +-3914 6158 +1392 14231 +-2483 1486 +135 -3855 +9563 301 +902 -3627 +-1020 2212 +3838 4543 +808 -4458 +-3243 -1190 +153 3728 +373 1639 +-3291 -1874 +1156 -747 +3508 3791 +6734 -3756 +6104 -5839 +-935 681 +-5517 -4458 +-9770 -6406 +160 -5439 +3109 -5047 +-4546 2675 +6203 5786 +6789 5105 +-6287 2299 +-2636 -3835 +6579 -1848 +-1585 4381 +-7803 5927 +1905 4456 +1979 -1816 +733 -7886 +1540 -3616 +854 -1751 +2973 -4136 +-6821 -1742 +4145 1430 +-1549 5213 +2992 4975 +-29 972 +-2916 4669 +-2260 5240 +-4233 -2573 +-1786 -3179 +506 5383 +1054 -696 +811 -2976 +623 1902 +5415 474 +6909 10928 +1407 4360 +-3409 -5112 +-4011 5959 +-946 -2348 +251 -6213 +-2915 1271 +-2757 19 +229 -335 +-1016 -4290 +-2568 -107 +-5320 -3425 +-4508 -11862 +2762 83 +-985 -580 +-2972 -7837 +4874 -2807 +3210 -1813 +1390 -2152 +0 -3503 +-3704 9 +-361 6204 +513 2162 +-1507 -1157 +-4599 1469 +-8171 -40 +-5843 -5309 +1049 -1755 +3408 7925 +-7294 6924 +-7302 537 +1814 1395 +-2763 312 +4112 -656 +9003 3656 +-135 -3886 +5763 -6293 +4499 89 +-1763 -8860 +1998 -4568 +-5270 8911 +-4220 6600 +3282 1647 +-380 -4348 +6092 1078 +6704 5422 +-2547 -2156 +5071 -1286 +5560 -4310 +-1453 -3661 +6044 2871 +4145 1430 +-1549 5213 +2992 4975 +-29 972 +-2916 4669 +-2260 5240 +-4233 -2573 +-1786 -3179 +506 5383 +1054 -696 +811 -2976 +623 1902 +5415 474 +6909 10928 +1407 4360 +-3409 -5112 +-1036 -2456 +3851 -5935 +4182 -7093 +-2778 -2858 +617 3096 +1661 2474 +-15 1357 +2191 -325 +2427 -2990 +8846 -3525 +6673 3568 +-2011 7151 +19 -3174 +2934 2173 +3391 3956 +1163 -8381 +-902 2591 +-1994 9068 +-4411 1263 +-2021 -723 +1548 2347 +1938 7922 +158 4928 +634 1810 +658 -6652 +-2525 -5786 +1205 8421 +1728 -3018 +-1435 -4946 +4296 5054 +5658 -1704 +-285 270 +-5182 1938 +-4603 667 +-3733 -1916 +-6276 -2416 +304 4436 +3111 127 +-2383 -844 +547 -3702 +2237 -4265 +1770 6029 +-702 -3210 +-5985 -7997 +-81 -1856 +1528 35 +-1838 839 +4574 -6384 +-135 0 +-4358 4223 +2548 -4460 +-5405 -910 +-8688 1521 +-4308 1318 +-8930 44 +-2269 1408 +1933 5616 +1190 -2564 +4602 -4863 +-2880 -199 +3571 648 +11535 3593 +-2259 3860 +-5800 1403 +-1036 -2456 +3851 -5935 +4182 -7093 +-2778 -2858 +617 3096 +1661 2474 +-15 1357 +2191 -325 +2427 -2990 +8846 -3525 +6673 3568 +-2011 7151 +19 -3174 +2934 2173 +3391 3956 +1163 -8381 +-2850 -394 +4385 -1926 +6860 -2677 +-5371 -1027 +-4581 9189 +2200 -2514 +-2005 2175 +-2413 8754 +884 -3564 +2284 2673 +2025 -4524 +-1646 -7494 +-2392 8742 +3383 5745 +6101 3360 +5260 4356 +4529 1555 +2566 3242 +6595 3415 +6322 2494 +-527 687 +-402 -227 +-4815 2954 +-8375 7201 +-1644 4171 +6202 -747 +5251 -3626 +-6764 -6000 +-5389 -696 +210 -1296 +-4014 -2532 +1182 -7 +2850 -3752 +393 -148 +2667 -3609 +2785 -3404 +-423 8539 +-8095 331 +-1952 -3432 +4793 738 +-2956 -2655 +-3458 2978 +-621 4273 +5262 -4835 +5198 -3094 +-185 8137 +4729 300 +-2821 -9508 +-5565 518 +3020 -862 +-4167 -8907 +-3397 -9579 +1386 -4943 +-2046 -2901 +104 -4074 +-3367 10388 +-7683 6193 +-3310 -3998 +-2029 5290 +-479 -3612 +6729 -3916 +5291 -198 +-2294 -4970 +-3408 3244 +-2850 -394 +4385 -1926 +6860 -2677 +-5371 -1027 +-4581 9189 +2200 -2514 +-2005 2175 +-2413 8754 +884 -3564 +2284 2673 +2025 -4524 +-1646 -7494 +-2392 8742 +3383 5745 +6101 3360 +5260 4356 +-2073 643 +3435 7872 +1419 1823 +-5571 -1426 +1638 -365 +7595 -899 +5227 1915 +-416 -1011 +-2275 -4006 +-216 970 +-5167 -2020 +2513 -8304 +767 -3674 +-11302 -882 +1142 -772 +3843 1521 +2975 2850 +3380 5407 +-5457 946 +-4168 -1960 +-9891 4492 +-1990 4288 +7604 -1842 +-5454 -4157 +-1694 4168 +3872 7072 +4144 -2979 +5087 -387 +1924 1304 +-334 -2458 +-4785 6332 +2084 7399 +-5700 2985 +-10356 3750 +8034 -4357 +407 -12852 +-3281 -6445 +844 4547 +-1285 5765 +4511 1570 +-1352 -2730 +3391 -1521 +1640 2805 +-5225 -3095 +7221 -4779 +-1883 4390 +-4990 5353 +1450 -1135 +-3493 -3368 +-895 -5026 +-5033 -9457 +484 -1957 +5316 3354 +-290 -4624 +8292 1165 +3997 2183 +-2970 -8832 +1786 -3764 +-1654 2874 +-855 3495 +4597 1968 +2964 1604 +-841 4884 +-2688 -611 +-2073 643 +3435 7872 +1419 1823 +-5571 -1426 +1638 -365 +7595 -899 +5227 1915 +-416 -1011 +-2275 -4006 +-216 970 +-5167 -2020 +2513 -8304 +767 -3674 +-11302 -882 +1142 -772 +3843 1521 +3109 -7130 +2958 -5268 +-4988 213 +-6024 8775 +-1852 869 +142 -2347 +-5746 7017 +-10260 1194 +2318 -3040 +-397 2095 +-5073 -4637 +-667 -4907 +-2249 4471 +1479 1659 +70 390 +4646 3818 +7638 -4405 +-336 -6596 +1967 1136 +3372 510 +4571 4121 +1442 4186 +1698 -8630 +8074 -5486 +5856 3873 +10816 474 +1346 -2218 +-7059 998 +-1403 2217 +-8713 -2611 +1718 4598 +3658 12348 +-6218 -2197 +2101 -6747 +-239 2754 +4712 4211 +5657 1936 +-4711 -1453 +-695 983 +-3113 12 +-3354 -8360 +-2257 -4617 +-3577 956 +4102 -1019 +2338 2428 +-3820 7138 +-1276 1641 +1721 -3903 +-2456 259 +-278 3518 +3638 2063 +-47 -2790 +5096 -2781 +1136 6964 +-1601 7152 +3813 1125 +-2747 -1800 +-23 -4592 +-5509 1806 +-8041 1300 +4423 -7043 +-3684 -94 +-2459 1358 +5259 -7894 +3109 -7130 +2958 -5268 +-4988 213 +-6024 8775 +-1852 869 +142 -2347 +-5746 7017 +-10260 1194 +2318 -3040 +-397 2095 +-5073 -4637 +-667 -4907 +-2249 4471 +1479 1659 +70 390 +4646 3818 +-1295 -6343 +2204 1341 +5829 10896 +-2992 -781 +2669 -4515 +1101 1765 +-15915 -4093 +-5977 -4278 +69 -872 +-3 -3554 +4290 -480 +-1723 -2079 +-309 -1562 +-29 2312 +-484 -3000 +62 -32 +-4788 3886 +161 1734 +1426 241 +-1283 -2135 +4557 1671 +3257 -3061 +-3381 -5537 +-289 3996 +3482 -1907 +2829 -723 +3099 9186 +-2127 -654 +46 -6717 +3212 -1016 +-263 1255 +5989 -443 +-4405 -1948 +-9047 1026 +3537 1825 +-4281 -3904 +2916 -5253 +7245 677 +-5504 2124 +-287 -88 +-5251 -682 +-4624 -817 +7433 2492 +2286 3809 +-4239 714 +-3525 -1651 +4981 2051 +3918 5562 +-1948 -4923 +1379 -6682 +2127 1851 +3052 -1288 +-7033 3951 +-11484 7726 +-2418 -2806 +-3494 -2122 +-373 4498 +2704 6207 +5423 5080 +8534 2635 +3466 -726 +4621 -5286 +2257 -357 +-1387 1803 +-1295 -6343 +2204 1341 +5829 10896 +-2992 -781 +2669 -4515 +1101 1765 +-15915 -4093 +-5977 -4278 +69 -872 +-3 -3554 +4290 -480 +-1723 -2079 +-309 -1562 +-29 2312 +-484 -3000 +62 -32 +259 394 +2348 926 +-4342 904 +2285 -1575 +791 -401 +-2204 3505 +-2468 -1675 +-2049 -2894 +-1111 -1498 +-4267 -5369 +3273 435 +3806 517 +828 -3644 +2715 -1936 +-6450 -4835 +-4473 -2533 +-125 -259 +-1020 -2874 +2202 -4461 +-2394 -3016 +348 2259 +4793 -2914 +1288 -4848 +-2478 2668 +-6471 739 +-666 967 +-1567 768 +-11769 -6838 +-5274 -6471 +10727 -2204 +8754 -136 +-6870 4265 +-1814 2715 +6018 -2180 +-1327 3635 +-625 3693 +-576 -975 +11 7303 +1870 6149 +-1401 -2121 +1630 -575 +-3182 -1801 +-6226 -5201 +1943 -3681 +-791 2393 +-79 6748 +4018 7685 +426 6010 +8934 259 +13373 -25 +3289 780 +1647 -212 +-1599 2227 +1749 3735 +12176 -485 +-2955 -11407 +-9593 -3848 +439 9246 +-1521 -1007 +858 497 +-2018 6686 +-1738 -690 +3612 2289 +-4968 4191 +259 394 +2348 926 +-4342 904 +2285 -1575 +791 -401 +-2204 3505 +-2468 -1675 +-2049 -2894 +-1111 -1498 +-4267 -5369 +3273 435 +3806 517 +828 -3644 +2715 -1936 +-6450 -4835 +-4473 -2533 +7773 -7638 +3525 -863 +655 -4141 +733 -5301 +391 1211 +4072 -3503 +-59 4156 +-4015 3816 +-379 -1498 +-6084 5628 +-912 3250 +7363 1172 +-794 2073 +4208 851 +1916 -3958 +-6170 -8268 +2207 -2073 +-5266 -2803 +-9804 -5292 +1824 -960 +5417 3752 +458 5406 +-4890 -2931 +1410 -1702 +-693 1838 +-9054 -550 +-1446 5103 +2782 -892 +1054 -907 +-669 9153 +-794 747 +-2534 1299 +-6218 7638 +402 -513 +1552 -1592 +-2962 2243 +-3056 -389 +-954 -1725 +553 1736 +-5019 2390 +897 -575 +2695 966 +-5178 -628 +1701 -5127 +-1619 518 +-1912 3509 +2940 1397 +-4594 1186 +3493 -9327 +3507 -9136 +-4662 5769 +4429 3674 +6575 -1464 +2074 -1062 +-786 -888 +4099 -330 +11575 -4947 +6486 -1524 +1140 1677 +-356 2259 +2395 3497 +659 -3836 +-9244 3887 +-2839 4540 +7773 -7638 +3525 -863 +655 -4141 +733 -5301 +391 1211 +4072 -3503 +-59 4156 +-4015 3816 +-379 -1498 +-6084 5628 +-912 3250 +7363 1172 +-794 2073 +4208 851 +1916 -3958 +-6170 -8268 +777 -1679 +8556 -1331 +-6406 2790 +-9674 71 +-3215 -2239 +-4626 -2945 +-7948 -6537 +-11353 -1233 +-486 2085 +1835 -2587 +190 1676 +12992 6033 +6735 -1280 +-4810 -1658 +-1296 1881 +-1200 -2160 +-125 5441 +-212 7639 +-3916 -2559 +2820 1228 +4433 -46 +-3911 -3720 +-3300 4495 +-3861 5560 +-753 -3184 +3780 -8480 +-8487 1856 +-4982 4293 +6990 -2200 +-2881 4900 +-5607 -229 +1335 -4352 +-259 3752 +-1975 -581 +1770 -884 +803 2150 +-3228 1317 +689 467 +3260 -7647 +3601 -9552 +1522 1542 +2605 8029 +10607 1576 +1455 1137 +-4458 4400 +2944 -3116 +1803 -4701 +5080 -2422 +6861 777 +4133 1089 +1224 -6068 +-491 2477 +3047 7185 +-3289 -1091 +-3235 539 +-2409 431 +-7538 -443 +3869 1730 +5018 5758 +3799 6417 +6279 -7138 +-2562 -10780 +-260 -238 +-2059 2360 +777 -1679 +8556 -1331 +-6406 2790 +-9674 71 +-3215 -2239 +-4626 -2945 +-7948 -6537 +-11353 -1233 +-486 2085 +1835 -2587 +190 1676 +12992 6033 +6735 -1280 +-4810 -1658 +-1296 1881 +-1200 -2160 +-2591 -5825 +2091 -4697 +205 299 +-3749 5068 +3005 7789 +-881 -1261 +-4735 -2437 +-9503 2464 +-5584 -1322 +6952 2357 +-3865 3991 +-7701 32 +1629 -502 +1567 -4242 +4176 -4571 +-2774 -2581 +-7897 -3627 +-3388 -3806 +-6153 -3192 +75 -2709 +3655 -2353 +-3461 -3321 +-1991 -4440 +-2845 773 +-5584 1814 +-748 -1187 +2350 5238 +2084 5437 +3117 1821 +3136 571 +1623 -4550 +2494 755 +3627 5306 +4022 -671 +-195 649 +-5970 5175 +-1665 -1320 +-922 -5132 +-2592 4687 +5475 440 +5584 -9560 +2857 -1765 +5452 1518 +1471 2846 +-1754 2272 +1843 -7014 +6992 -3268 +2038 6205 +-5575 6218 +3161 1668 +4248 1993 +-4694 3142 +-850 29 +-783 4126 +-1371 1834 +4468 -3037 +5584 4922 +6535 2993 +31 -2204 +-2998 774 +1155 -1517 +-5399 -3492 +-30 308 +5547 90 +-2591 -5825 +2091 -4697 +205 299 +-3749 5068 +3005 7789 +-881 -1261 +-4735 -2437 +-9503 2464 +-5584 -1322 +6952 2357 +-3865 3991 +-7701 32 +1629 -502 +1567 -4242 +4176 -4571 +-2774 -2581 +259 -384 +-451 -523 +-5373 -7907 +3833 -3999 +2454 5621 +-1585 1690 +365 1857 +-6361 -1607 +645 -5212 +892 2348 +-4336 3235 +3267 -288 +2760 -3111 +-4314 3395 +-4763 6226 +6208 -8228 +2207 -4405 +-7535 4219 +511 -483 +-2403 2132 +-3764 5897 +1956 7632 +64 459 +-1171 -2904 +-795 1637 +2024 -3622 +-4077 1764 +-477 -1689 +9833 -11120 +2457 -585 +1729 -3979 +0 694 +-1295 10229 +-1647 -3346 +-9231 488 +366 6580 +1121 205 +-2416 -2502 +5511 -4251 +756 6582 +-645 7803 +-1461 -4397 +-5785 -3316 +-5980 2228 +-5246 5901 +-3030 2103 +-3289 -955 +3889 3830 +1938 -2332 +-2490 -5711 +5196 396 +-2164 -3285 +-2920 -4468 +1548 3152 +-3009 648 +2666 -7180 +5977 -1118 +4449 6384 +2369 -395 +6844 -5825 +8199 -5143 +-833 -1945 +3391 6214 +3162 4668 +259 -384 +-451 -523 +-5373 -7907 +3833 -3999 +2454 5621 +-1585 1690 +365 1857 +-6361 -1607 +645 -5212 +892 2348 +-4336 3235 +3267 -288 +2760 -3111 +-4314 3395 +-4763 6226 +6208 -8228 +1555 8944 +-2099 7788 +2718 -1440 +1439 -3675 +-8271 2940 +-4572 488 +-3756 -4198 +-2406 -2084 +4563 -2068 +-3916 -3143 +-4502 94 +6077 1423 +6174 -785 +5527 6820 +770 6635 +-700 -4224 +1171 -518 +-2564 1424 +4936 -390 +2882 248 +-8800 -716 +-4110 4093 +-328 5657 +2306 6358 +2945 247 +1248 -4784 +2861 6625 +-4064 -303 +2857 -11726 +9750 -3068 +-5822 1629 +114 697 +10364 -2725 +-3485 -4455 +-4557 -3009 +2750 -2292 +373 -2422 +3757 -1125 +6284 3524 +1133 -5705 +2174 -11405 +1142 -3538 +-2333 -5202 +333 -1133 +-3761 1984 +-2822 -1034 +2292 3627 +-3695 2660 +-653 -1555 +1006 -4820 +-4259 139 +4443 5120 +5298 1235 +-5228 7852 +-5790 3612 +-1790 -6506 +2755 789 +8061 2483 +7209 1111 +-1529 863 +-6306 1199 +-5840 3310 +-8159 -1831 +-3149 262 +1555 8944 +-2099 7788 +2718 -1440 +1439 -3675 +-8271 2940 +-4572 488 +-3756 -4198 +-2406 -2084 +4563 -2068 +-3916 -3143 +-4502 94 +6077 1423 +6174 -785 +5527 6820 +770 6635 +-700 -4224 +-2332 5825 +-6716 1569 +-325 3372 +-3483 8441 +-1604 -1689 +-407 -9020 +-5130 -2934 +-3117 4056 +-399 4751 +1245 1476 +2118 -4660 +1245 -3803 +-3048 4693 +-1423 -1772 +6329 -7484 +2278 1875 +5825 -1814 +8761 -3710 +-5497 3261 +-903 -5722 +2056 -8852 +-6816 160 +-242 2463 +-1010 2700 +-1587 164 +567 -1246 +-3721 7669 +3782 10552 +-2598 -1168 +-10040 -1350 +1510 7148 +-2995 1326 +-3886 -2197 +1501 532 +-6584 3231 +-2192 6158 +3033 -650 +-4075 -766 +-7268 4123 +-6303 -937 +-1674 -4233 +1376 -3940 +1121 5242 +2502 8532 +2100 -4353 +848 -7244 +5848 -4245 +10882 -515 +3503 3368 +-1102 2213 +503 4038 +-1939 -1031 +3770 -2282 +2014 1539 +-2446 -5473 +6831 -1694 +-3595 354 +-9526 -4933 +6166 2720 +4883 -432 +4582 -4354 +7212 1620 +3472 -6033 +6122 -4635 +-2332 5825 +-6716 1569 +-325 3372 +-3483 8441 +-1604 -1689 +-407 -9020 +-5130 -2934 +-3117 4056 +-399 4751 +1245 1476 +2118 -4660 +1245 -3803 +-3048 4693 +-1423 -1772 +6329 -7484 +2278 1875 +5441 3493 +-1930 -1735 +-2358 1305 +-1932 9540 +5512 -3913 +6050 -6015 +-652 4775 +1284 -6973 +3399 -8036 +987 5470 +-4650 -4013 +-3778 -12106 +-5302 -1403 +-10056 3841 +-1423 2128 +6087 1857 +3234 0 +-2948 -305 +-3866 -1171 +-3002 -2333 +-5770 142 +-1600 -2837 +5519 -3943 +6961 82 +190 -202 +1377 -1819 +8687 -5247 +-1489 2671 +-4402 12682 +-1090 3025 +-3383 -1050 +1147 4104 +-4405 5835 +-6389 5648 +-2267 581 +-2526 -38 +4684 -118 +886 -723 +-2851 1201 +2867 -787 +-808 1300 +-3682 -1272 +-2546 -6506 +231 77 +4025 3769 +4506 -38 +1866 -1772 +1206 3504 +-125 3109 +-4930 -7457 +1592 -6682 +1789 616 +-2353 3888 +3508 3392 +-5124 -1174 +-2577 -1099 +5510 721 +-681 3290 +3334 1005 +-3344 -6109 +-539 3607 +11846 9969 +-169 -4311 +1219 -5439 +5441 3493 +-1930 -1735 +-2358 1305 +-1932 9540 +5512 -3913 +6050 -6015 +-652 4775 +1284 -6973 +3399 -8036 +987 5470 +-4650 -4013 +-3778 -12106 +-5302 -1403 +-10056 3841 +-1423 2128 +6087 1857 +-5700 5565 +-1355 -1920 +-2997 608 +-755 -238 +4710 -3831 +3456 4452 +5882 2466 +9358 -352 +9028 -75 +-1488 528 +-6925 2391 +5647 -2303 +5319 -1125 +-1530 -3771 +5483 -3557 +6640 4176 +-653 -3627 +-5332 -3431 +-5469 1153 +-2880 -6724 +-2183 -3611 +-374 4571 +2650 4550 +-390 390 +-2749 -1506 +535 744 +2261 1122 +5901 -2402 +2074 -5262 +-12284 -2118 +-9909 3504 +570 8031 +-518 2207 +-920 -4711 +78 2425 +-37 602 +3137 1366 +1886 9439 +-2101 -1037 +834 -1990 +-1774 2666 +-6638 -7189 +-833 -5498 +-2111 4710 +632 6899 +9262 3561 +790 1651 +-430 1982 +2725 4145 +375 4745 +4369 1551 +-2953 3700 +-4627 -3251 +3452 -10835 +-87 1831 +587 2767 +-360 -9376 +-5192 -8782 +-2920 394 +-3315 2150 +-4916 -3621 +-433 -1864 +5582 -1116 +-84 2082 +-5700 5565 +-1355 -1920 +-2997 608 +-755 -238 +4710 -3831 +3456 4452 +5882 2466 +9358 -352 +9028 -75 +-1488 528 +-6925 2391 +5647 -2303 +5319 -1125 +-1530 -3771 +5483 -3557 +6640 4176 +-518 -2207 +-4333 201 +-172 5549 +673 233 +4749 -2170 +-281 4812 +-2298 4854 +-893 814 +-3887 -1839 +5079 2328 +5461 1126 +920 -2135 +156 1715 +-4467 -1281 +886 -4127 +3877 -5147 +643 -3368 +1938 5695 +4028 5315 +4761 -2765 +-133 -1221 +-1931 7837 +5360 4227 +5467 -2720 +-4657 4100 +-7549 3163 +-5239 -3839 +-3325 433 +-2865 2842 +-9040 -4366 +-3801 -4823 +-348 -359 +-3627 -2975 +3767 2381 +-4480 1054 +-1068 -8815 +9467 -1001 +-7855 -572 +-3771 -2726 +94 2130 +-6995 -3861 +2732 -1821 +-4301 3948 +-2620 3784 +10522 547 +2546 -780 +-3533 6993 +-3358 2222 +-2715 -3886 +1456 -69 +5450 -695 +349 446 +426 247 +8374 1862 +2605 115 +4802 -4931 +1030 -473 +-11632 2022 +-2820 4125 +-2872 -2150 +2551 -7176 +12906 3460 +2480 -368 +1831 -5911 +-518 -2207 +-4333 201 +-172 5549 +673 233 +4749 -2170 +-281 4812 +-2298 4854 +-893 814 +-3887 -1839 +5079 2328 +5461 1126 +920 -2135 +156 1715 +-4467 -1281 +886 -4127 +3877 -5147 +-6477 -643 +6640 2740 +2185 2484 +-7764 -2694 +-2874 -4964 +-3101 -7194 +-5089 -6966 +6190 -3437 +5036 745 +-1893 3942 +5339 278 +1212 -6937 +701 -4489 +4895 1603 +-2765 -1874 +3057 -384 +5057 2332 +-1774 -1790 +-531 1355 +-2960 520 +3536 428 +9195 1789 +-963 -4245 +2790 4155 +7575 5788 +-11394 -217 +-10647 6786 +7850 402 +1394 -517 +-1672 6160 +2848 -1485 +3072 2299 +8032 3752 +-165 -6325 +-1822 -2436 +1973 4875 +-3051 1096 +4534 -4728 +5707 335 +1524 4157 +1182 -1263 +-313 288 +-486 -1557 +-7356 -6613 +273 2013 +6015 1789 +-782 -5330 +3553 -343 +643 -3368 +-263 -1140 +-6176 9265 +-11027 -4661 +4462 -7960 +-4286 6692 +-11003 815 +-2901 -4913 +-6539 -3197 +3047 -680 +3847 6626 +-2197 6565 +1778 6102 +-1174 5363 +-389 94 +-5308 -1282 +-6477 -643 +6640 2740 +2185 2484 +-7764 -2694 +-2874 -4964 +-3101 -7194 +-5089 -6966 +6190 -3437 +5036 745 +-1893 3942 +5339 278 +1212 -6937 +701 -4489 +4895 1603 +-2765 -1874 +3057 -384 +2332 135 +6570 2967 +7332 3540 +1680 1601 +5027 985 +2901 -3844 +-3581 -11761 +-1559 -1858 +3968 7183 +2440 -13 +677 3173 +5263 98 +925 -6589 +1465 4435 +3277 4898 +-1650 -1011 +-902 -259 +-2793 1717 +2894 2194 +3541 -5464 +1357 -3765 +7509 -1246 +-3579 -5653 +-5048 1032 +64 2396 +-1505 2666 +4902 3060 +3219 -5343 +7013 1330 +4830 6016 +-2314 -448 +992 3220 +-7514 2456 +-5499 -2985 +-2771 -1668 +-7784 -309 +-4383 -1074 +-8715 -1524 +-5338 -206 +-106 -1747 +1213 -2520 +1120 2219 +-3948 1962 +2266 -843 +-3464 1978 +-10754 2545 +-5040 -2095 +1519 -3311 +10229 1814 +-1764 4173 +-3613 -225 +4589 -1604 +-5110 744 +-2588 -910 +-3425 -4166 +-1768 -2418 +973 3304 +-8578 478 +745 -5818 +3096 640 +708 4318 +7068 -113 +1344 775 +40 734 +2332 135 +6570 2967 +7332 3540 +1680 1601 +5027 985 +2901 -3844 +-3581 -11761 +-1559 -1858 +3968 7183 +2440 -13 +677 3173 +5263 98 +925 -6589 +1465 4435 +3277 4898 +-1650 -1011 +-5182 1171 +3302 4604 +849 3282 +-1638 -2474 +2041 -4907 +7654 -5485 +1760 -435 +-7344 5011 +-567 2888 +976 1105 +-2755 2561 +-1028 59 +4406 -4047 +4010 -2774 +-4096 1124 +-2381 -895 +-902 -1555 +1595 2931 +4971 -1263 +-3119 -1910 +973 2489 +11001 -4956 +3729 606 +-6368 12493 +-1049 2660 +3258 750 +-4078 6090 +-2763 430 +-3784 5552 +-1803 7143 +5220 -2657 +-6561 445 +-6218 1420 +2277 -1112 +-356 214 +382 -4659 +-2711 -249 +-811 1679 +-257 -4219 +-1662 3993 +7304 7475 +3718 6296 +-5757 5217 +-1796 -1151 +7130 231 +5289 -5088 +3903 -4876 +8313 2674 +-1171 -9327 +189 -6248 +5958 7399 +-2396 2082 +-2376 -2515 +-5536 -4573 +-2856 -3509 +3403 -2963 +-506 -2660 +1518 3651 +-905 -2772 +1387 -7285 +-1534 -2772 +-11765 -6214 +-1186 -2614 +-1302 2442 +-5182 1171 +3302 4604 +849 3282 +-1638 -2474 +2041 -4907 +7654 -5485 +1760 -435 +-7344 5011 +-567 2888 +976 1105 +-2755 2561 +-1028 59 +4406 -4047 +4010 -2774 +-4096 1124 +-2381 -895 +-2332 3752 +1145 3155 +-1797 -2392 +1818 -9028 +3838 -3217 +-2768 -625 +2622 1209 +2969 -1760 +-1252 -7254 +-2674 -3007 +-2053 -8880 +4858 -5213 +-3006 3219 +-10625 -320 +-1812 3671 +4627 -2774 +384 -3627 +-7852 3152 +-1826 -5501 +-417 541 +-2003 5509 +7674 -2034 +-608 1717 +-1614 2682 +8934 -367 +3504 -4132 +-419 -11028 +-2768 -2748 +1257 9429 +-531 2720 +-8776 1681 +-218 3931 +-259 -4270 +-2072 6265 +-793 8175 +-4116 -4778 +3605 4190 +492 4327 +-773 -647 +4390 2147 +-2894 -4146 +3016 674 +5712 11194 +-420 8723 +2640 -1942 +-1351 -3415 +-3507 2356 +-3323 -1281 +-8156 4145 +-5883 6737 +-1625 -5034 +489 -5711 +2851 -2337 +5074 1377 +5658 6012 +3187 3651 +1430 -2742 +-1874 -3087 +-3418 1030 +1519 -6198 +-891 -4488 +-1860 4796 +9270 583 +5601 1235 +-2332 3752 +1145 3155 +-1797 -2392 +1818 -9028 +3838 -3217 +-2768 -625 +2622 1209 +2969 -1760 +-1252 -7254 +-2674 -3007 +-2053 -8880 +4858 -5213 +-3006 3219 +-10625 -320 +-1812 3671 +4627 -2774 +3627 1948 +-1312 -2390 +-6797 -1092 +-2506 -200 +445 -1095 +-2738 -7077 +-2092 -3748 +5878 3112 +-1276 1017 +-7485 -2505 +-1019 -3927 +2773 -1021 +3185 -2473 +2136 -259 +2566 8122 +-1468 -2426 +-3752 -2591 +-2684 7899 +-3364 -7895 +495 -1590 +3100 12401 +6391 -10610 +4705 -9951 +2884 3185 +215 -2053 +-8523 4191 +1947 1664 +-1370 -1644 +-9801 5346 +8798 1326 +5854 3579 +-2040 6762 +-2073 2197 +-1522 -1435 +3881 -2463 +-7027 2168 +958 -41 +8401 59 +-4464 4048 +-380 871 +-1833 1574 +-370 -23 +3900 995 +2485 2488 +6833 301 +-338 5875 +2535 376 +7838 -2286 +5306 4664 +5428 413 +-5926 1139 +-5539 -267 +-2430 -4010 +-2464 -3801 +3798 -7381 +-871 -977 +1857 -2610 +2419 -9186 +-912 1216 +1286 6033 +-4364 2008 +-1991 -3205 +-4611 -1262 +-6586 6521 +3627 1948 +-1312 -2390 +-6797 -1092 +-2506 -200 +445 -1095 +-2738 -7077 +-2092 -3748 +5878 3112 +-1276 1017 +-7485 -2505 +-1019 -3927 +2773 -1021 +3185 -2473 +2136 -259 +2566 8122 +-1468 -2426 +2073 -125 +7249 -137 +11443 -10674 +2413 1575 +661 10138 +-4049 1215 +-5269 1089 +3878 -315 +-6084 2135 +-3575 -2359 +5623 -8271 +-1429 -1548 +-405 -5115 +-5425 -612 +-5484 8564 +2818 170 +-3752 -3109 +-2858 -4 +1606 -173 +-1807 1003 +2222 6179 +3719 3591 +932 -7047 +4823 -2394 +-1269 4120 +-7789 59 +2433 3574 +555 5405 +-4741 -105 +472 2433 +-1066 2623 +-2058 -2792 +-5700 125 +-1857 -4206 +3767 -8684 +-8318 -1804 +-4666 -6207 +3897 -2875 +-809 5795 +240 -3294 +1420 -3171 +1303 -2461 +-3845 -7047 +-4765 3749 +1656 5811 +1808 -5386 +2564 -478 +-659 10018 +125 2073 +3517 -4012 +2771 -1446 +10563 -4906 +6964 -782 +-2275 2904 +-2715 -2413 +14 -2784 +6969 2098 +-137 5185 +-5142 -440 +4003 1530 +4526 6664 +-2293 2520 +-6806 4302 +-1979 531 +2073 -125 +7249 -137 +11443 -10674 +2413 1575 +661 10138 +-4049 1215 +-5269 1089 +3878 -315 +-6084 2135 +-3575 -2359 +5623 -8271 +-1429 -1548 +-405 -5115 +-5425 -612 +-5484 8564 +2818 170 +-5959 -8156 +1789 -10826 +8610 -1087 +590 -979 +-2329 423 +-1801 -1970 +-8429 -4115 +-3522 2877 +3610 1213 +4262 -4621 +4888 -2639 +-3463 4113 +-3293 4782 +-1818 331 +-3980 -4851 +2101 -9205 +-3493 -3886 +-3302 -2145 +2167 -703 +-2096 8745 +-1168 4440 +-1369 -4489 +5452 -1380 +5416 1144 +-5681 -5547 +-2703 -730 +-3160 8050 +-1531 -4518 +5131 1639 +4913 12650 +4028 -6397 +-1320 -8637 +-1814 902 +197 2728 +1434 2326 +-2853 -1209 +-8286 3597 +5241 -1480 +5169 -3337 +2200 7254 +10381 3968 +-2244 5091 +-668 3323 +4100 -2679 +-6841 6995 +1998 5511 +4367 -3171 +-959 -294 +5047 2850 +3170 3437 +1764 1285 +5212 -591 +-653 3977 +-5511 2970 +-2872 -6536 +-7192 -5273 +-6237 -1707 +296 -1980 +-4672 1881 +-1426 -2632 +857 -3053 +-3117 3813 +6630 4913 +4741 3592 +-5959 -8156 +1789 -10826 +8610 -1087 +590 -979 +-2329 423 +-1801 -1970 +-8429 -4115 +-3522 2877 +3610 1213 +4262 -4621 +4888 -2639 +-3463 4113 +-3293 4782 +-1818 331 +-3980 -4851 +2101 -9205 +4923 -4788 +10177 2435 +-3192 -1960 +-2715 -1199 +-4540 4273 +-14701 1558 +-7219 -3537 +-3274 -7427 +-2603 -1043 +24 2493 +2280 3833 +8787 -60 +3337 -6757 +3016 -2785 +10739 -1463 +3217 386 +-3234 2332 +-3545 5486 +-444 2820 +2814 -5755 +-578 -463 +563 -5947 +2685 -2988 +6081 6818 +6117 -8081 +-3630 -3968 +-3512 1442 +-3071 -2518 +-4634 2271 +1518 -1524 +-2587 3128 +-7067 952 +-4405 -2466 +-2035 3891 +2168 -1696 +662 -331 +-2966 -1949 +-6416 -1822 +-4761 4975 +1773 511 +-2061 2079 +-3266 2404 +-3408 4188 +-3583 3646 +6671 -4413 +3627 -1376 +-730 -1903 +2467 -1517 +-394 -2332 +6053 -1318 +4275 6928 +-1880 -3874 +1866 -6007 +-6871 3610 +-5843 3497 +3330 2901 +3728 -210 +10272 1769 +5981 1027 +-407 2764 +2917 2681 +1069 -4904 +-575 6582 +-2989 4704 +4923 -4788 +10177 2435 +-3192 -1960 +-2715 -1199 +-4540 4273 +-14701 1558 +-7219 -3537 +-3274 -7427 +-2603 -1043 +24 2493 +2280 3833 +8787 -60 +3337 -6757 +3016 -2785 +10739 -1463 +3217 386 +-2591 -2725 +-491 -4191 +-552 -2409 +4988 -4128 +-2038 2220 +-6187 1043 +2749 -424 +642 -298 +619 -6097 +1072 2156 +-7219 6283 +-7358 85 +-2047 -324 +39 -3201 +701 2955 +3258 7358 +3493 -1555 +2555 3478 +2493 5254 +-5378 -5335 +-8860 -1679 +-7005 2963 +-5549 -2863 +5529 -7836 +6534 -2508 +-1060 1703 +845 -7382 +111 -2120 +1912 6372 +1062 -680 +-7013 2078 +-401 -1854 +3627 -8156 +-3396 663 +322 -2002 +2108 -4343 +-883 434 +2430 131 +4124 2139 +6623 1805 +3008 -1158 +-6119 -4398 +1027 -1218 +7754 6074 +645 3030 +-2291 -782 +-1481 -2807 +-3866 -3141 +-7638 2073 +-4038 6250 +5798 4465 +4870 -2303 +-656 2134 +2813 3296 +3806 -5625 +474 681 +7457 3545 +9655 3237 +-2714 3228 +-5273 -5623 +-2582 4395 +-1477 9059 +2664 -1670 +-1643 249 +-2591 -2725 +-491 -4191 +-552 -2409 +4988 -4128 +-2038 2220 +-6187 1043 +2749 -424 +642 -298 +619 -6097 +1072 2156 +-7219 6283 +-7358 85 +-2047 -324 +39 -3201 +701 2955 +3258 7358 +-2591 -4539 +-1053 2613 +-4323 1797 +-4794 -1087 +-2522 -1281 +6064 -2941 +5704 2026 +-1566 453 +1692 721 +6130 4569 +1771 1821 +-1132 1717 +-3877 5591 +-9260 6745 +-564 1336 +4317 -465 +-1689 -259 +2954 1962 +2193 4972 +925 -1201 +4009 2541 +-166 3456 +1442 -3911 +647 3862 +834 3147 +4146 -7350 +-1320 -4324 +1216 2878 +3623 -4113 +-1514 -7603 +-3918 2198 +-5162 -3009 +-1555 -643 +-1657 10990 +1100 5608 +10188 -665 +1601 -5378 +-7351 3605 +-1842 4294 +-3075 -7798 +-3247 -202 +2721 -5540 +3790 -4794 +8972 4511 +4724 -5225 +-7699 1201 +-864 501 +1215 -8889 +-1420 -3886 +3403 -2034 +-3241 -3709 +525 -6307 +3131 6190 +-7872 4762 +-781 -8754 +5538 5842 +1757 7735 +-2948 3688 +-4116 2774 +5583 -5811 +3820 3746 +-2479 2603 +-3323 -5982 +-6814 -4757 +-2591 -4539 +-1053 2613 +-4323 1797 +-4794 -1087 +-2522 -1281 +6064 -2941 +5704 2026 +-1566 453 +1692 721 +6130 4569 +1771 1821 +-1132 1717 +-3877 5591 +-9260 6745 +-564 1336 +4317 -465 +4145 -4529 +6046 -5899 +-1552 5082 +2047 4955 +2709 -50 +-910 835 +1867 -927 +-5365 3475 +-517 2501 +3563 -2481 +25 811 +7570 2991 +3224 2302 +2510 2628 +3816 3390 +-344 -2007 +4539 -5959 +-1271 -3896 +-1676 -2824 +-417 -2313 +-2104 -2298 +10457 2735 +2177 -1806 +-8225 -8586 +-2716 1315 +-5413 -1734 +-3221 -6163 +-2459 1635 +-2555 1691 +-771 -405 +-3072 -3315 +4453 3959 +3109 8675 +-6380 -2353 +-1322 -559 +2192 3859 +-1332 -1897 +-3138 -4146 +-1720 3050 +3192 6507 +2590 -3538 +60 -5332 +2844 -2793 +-3858 1015 +-7887 8742 +620 3112 +-2202 2853 +-1924 8678 +643 2850 +-8051 -2078 +-2223 1002 +2702 1094 +-2382 -4046 +-517 2134 +910 -694 +5859 -8935 +4789 758 +-4172 -7717 +907 -11138 +7842 3598 +-2109 -2372 +-9214 -275 +296 9886 +3316 4948 +4145 -4529 +6046 -5899 +-1552 5082 +2047 4955 +2709 -50 +-910 835 +1867 -927 +-5365 3475 +-517 2501 +3563 -2481 +25 811 +7570 2991 +3224 2302 +2510 2628 +3816 3390 +-344 -2007 +259 4529 +1249 -323 +-6147 -4169 +4991 653 +4722 566 +-3685 -3438 +2995 622 +2383 -1256 +1692 -1844 +-3462 3085 +-8788 -1486 +-2912 1895 +-6326 8973 +-4852 828 +1653 -1482 +1225 3058 +4270 -4145 +4619 -2218 +4418 6925 +4094 -1788 +-1800 -2852 +-5963 5170 +-100 155 +6723 -3792 +4043 -4196 +6593 -1364 +3605 4418 +-6878 2491 +-4892 -3904 +-3829 -2301 +2333 5055 +5379 347 +-2332 -2456 +5598 2740 +3961 3156 +-4430 5536 +6814 -1665 +8209 -4316 +704 3601 +-1775 341 +-3247 2362 +-1361 821 +-7633 -1596 +-11132 2122 +3280 -6282 +6445 -3017 +-3090 1595 +614 -2359 +1948 0 +-2071 -4496 +2846 -4697 +2288 -2004 +-3519 3951 +-2360 9103 +1583 -2305 +-914 -2155 +-4561 -2540 +-1157 -6181 +-2627 5740 +-4824 1963 +-352 -2932 +4318 1761 +4286 -3095 +-3124 -905 +259 4529 +1249 -323 +-6147 -4169 +4991 653 +4722 566 +-3685 -3438 +2995 622 +2383 -1256 +1692 -1844 +-3462 3085 +-8788 -1486 +-2912 1895 +-6326 8973 +-4852 828 +1653 -1482 +1225 3058 +-4405 -3493 +2382 -104 +5630 -2028 +2499 -614 +-5324 -1961 +-3011 177 +2219 1161 +-6055 -2258 +-1656 4221 +3562 200 +1732 -2090 +7100 3524 +3991 1218 +637 1429 +-552 -7943 +-590 -5515 +2466 7773 +-2602 324 +1009 -5528 +6607 -3903 +916 3490 +838 -3884 +1723 -16384 +-1598 -932 +-682 569 +1239 748 +-111 7280 +4678 -4191 +5592 2667 +-3551 6836 +-1489 -1799 +105 1025 +1295 384 +7775 -6276 +-118 -9752 +-4333 2181 +-1904 2416 +-132 -8583 +5450 295 +981 890 +-4044 1479 +-5868 5088 +-5520 -2274 +-857 1945 +-2018 2043 +-1891 -955 +-3097 2364 +-1812 -350 +3752 518 +1211 5385 +-52 8943 +-3369 4111 +-3014 -836 +3264 4200 +-2671 4135 +-4920 697 +-872 -1087 +-3051 -362 +1575 3375 +12340 3669 +5908 1326 +-9092 -80 +-5729 -483 +-2485 -4423 +-4405 -3493 +2382 -104 +5630 -2028 +2499 -614 +-5324 -1961 +-3011 177 +2219 1161 +-6055 -2258 +-1656 4221 +3562 200 +1732 -2090 +7100 3524 +3991 1218 +637 1429 +-552 -7943 +-590 -5515 +-9845 5316 +-3149 -477 +7355 727 +5942 3328 +3893 -3062 +-4048 3878 +-1615 -3719 +529 -7644 +-1776 7283 +265 736 +2131 -2867 +7030 3900 +511 -497 +-5383 -1930 +-3068 -511 +1128 1157 +8166 4405 +1507 4214 +1655 3882 +2103 3627 +-6108 277 +4073 -2493 +3053 -1305 +-6885 12 +-5137 -714 +-7483 -2515 +-8461 -3293 +-2624 952 +3407 3573 +-3793 -2012 +-2829 -2594 +8994 4265 +518 2975 +-2658 -1966 +-1895 -790 +-9020 754 +-5777 -3041 +843 -386 +8355 6870 +3527 -9974 +-5997 -11947 +-941 14145 +4156 -630 +5700 -12872 +2661 4705 +320 -657 +510 -2931 +4268 -4571 +8415 -5441 +-1057 9650 +1125 4672 +6371 518 +-2372 1681 +409 -3897 +1377 -2150 +-2510 -5411 +-563 195 +1079 2091 +154 -3773 +-3066 3228 +-2433 -1563 +-4859 -1800 +436 4267 +3387 2149 +-9845 5316 +-3149 -477 +7355 727 +5942 3328 +3893 -3062 +-4048 3878 +-1615 -3719 +529 -7644 +-1776 7283 +265 736 +2131 -2867 +7030 3900 +511 -497 +-5383 -1930 +-3068 -511 +1128 1157 +1036 -4280 +-4743 397 +-2149 6207 +2611 5266 +1345 -121 +3830 1523 +857 2405 +2850 -1224 +5257 -915 +3727 -21 +837 -2362 +-7159 -2130 +-5370 -3537 +-794 -1818 +-1038 5602 +2888 3038 +5565 -3109 +5161 -1629 +5076 4414 +4248 2218 +-3990 -3531 +-7649 6214 +1999 6557 +2750 -4741 +949 -5706 +5472 -6047 +467 -1335 +-3395 1342 +1767 -820 +1810 1984 +-3908 1213 +-4270 -850 +2073 -6602 +5115 -5245 +-1309 -510 +-6030 -4051 +-1282 5706 +-2828 4665 +-4694 -4019 +4935 6834 +2516 4024 +-7451 -2419 +-6989 5789 +-5689 8483 +1036 1616 +4393 -2009 +-7429 3715 +-5139 -1395 +1689 -5700 +-8454 149 +-1367 -3641 +8927 -2173 +1855 1055 +5431 -1609 +3807 -442 +2994 -1642 +9933 -2585 +-2618 -4845 +-2858 -4416 +4414 -1019 +494 -368 +3742 6564 +-6174 5696 +-9081 -3809 +1036 -4280 +-4743 397 +-2149 6207 +2611 5266 +1345 -121 +3830 1523 +857 2405 +2850 -1224 +5257 -915 +3727 -21 +837 -2362 +-7159 -2130 +-5370 -3537 +-794 -1818 +-1038 5602 +2888 3038 +2332 -8166 +-880 -4151 +3328 7297 +4122 1361 +-4568 -2193 +219 -3739 +11455 121 +9211 3910 +18 1145 +879 5452 +-3100 -1313 +-3908 -3037 +148 6167 +-4036 -370 +3506 1513 +2757 584 +-2207 -9845 +5371 -2446 +-143 1708 +-3675 -796 +-6154 -760 +-9918 63 +-1455 2732 +1877 -1410 +1106 -1207 +-1315 2935 +689 4143 +2763 5876 +-5535 -962 +-3949 -4560 +-35 1280 +744 2116 +2332 -4788 +-2757 -9699 +-2618 -3199 +-2147 687 +-4241 727 +265 994 +1540 -6336 +-4624 -1872 +-6754 3519 +-2352 -3569 +-79 3053 +1154 2906 +-415 -9402 +2768 -1485 +6858 4552 +-2426 -5217 +653 -6218 +6442 779 +292 -1305 +-1629 -6022 +-2655 2226 +8126 6689 +5900 300 +-5515 -789 +4594 -1384 +-990 4231 +-2514 10344 +4786 2562 +-3525 2124 +2130 4733 +1249 4128 +-3490 3285 +2332 -8166 +-880 -4151 +3328 7297 +4122 1361 +-4568 -2193 +219 -3739 +11455 121 +9211 3910 +18 1145 +879 5452 +-3100 -1313 +-3908 -3037 +148 6167 +-4036 -370 +3506 1513 +2757 584 +7514 1171 +7295 4506 +4333 -2091 +2404 3516 +11449 851 +3522 1741 +-6061 432 +-797 -289 +-5225 6987 +-7811 -3850 +-2658 -1701 +49 4720 +-3211 -2139 +-2847 408 +662 -1842 +-6164 -2961 +-6084 -3886 +3021 -1144 +4422 4946 +-4796 -1164 +-4925 179 +7031 -2802 +-2201 3477 +-6010 13665 +2547 -7835 +-4617 -6753 +768 6120 +4439 -201 +-1446 3295 +1973 -799 +-1399 -2729 +-1017 6010 +3368 1938 +4331 -4154 +4950 889 +143 6535 +-4246 2206 +-6966 2552 +93 1598 +4179 -7097 +-3584 -4914 +-1841 1222 +-1746 3449 +-3934 -3646 +-1971 -5671 +-1693 7095 +5960 3078 +7552 -8522 +-653 -7514 +77 276 +2522 8514 +-1192 2548 +1868 -7382 +1961 -3355 +-4519 -325 +4482 -7675 +4189 -2529 +-1919 5500 +8137 -1472 +1421 -2049 +-3736 -3777 +-1515 -443 +-9117 6674 +-760 -3390 +7514 1171 +7295 4506 +4333 -2091 +2404 3516 +11449 851 +3522 1741 +-6061 432 +-797 -289 +-5225 6987 +-7811 -3850 +-2658 -1701 +49 4720 +-3211 -2139 +-2847 408 +662 -1842 +-6164 -2961 +3109 9193 +-2353 933 +-445 -4479 +-4123 5764 +-4102 -4264 +-3480 -2952 +-7202 8962 +-1411 -1497 +826 -4624 +1650 515 +-4355 2461 +-6505 7586 +-1975 5452 +-5089 -2950 +3052 -5110 +1192 -191 +-7389 518 +375 404 +3806 4067 +4122 887 +-104 -5243 +-634 -3168 +2307 5412 +320 4162 +5882 -4892 +4962 -4414 +4012 357 +3759 2273 +-1121 -293 +2399 -944 +-2712 381 +-3071 -1914 +4145 653 +2824 319 +6429 582 +4001 -821 +-1545 -10460 +788 -4092 +825 -2590 +-4991 -5503 +-7044 6178 +869 1207 +1491 -2400 +-1464 -710 +-1778 -5112 +82 5409 +5179 4704 +-857 -477 +-1938 6218 +-682 2202 +-1980 2636 +6433 2465 +4715 -8015 +1014 -4848 +-3132 1385 +-3325 -5553 +2409 -9099 +-7645 -1167 +-666 922 +8434 -8650 +1765 -5229 +4920 13544 +-2462 11728 +-2514 2180 +3109 9193 +-2353 933 +-445 -4479 +-4123 5764 +-4102 -4264 +-3480 -2952 +-7202 8962 +-1411 -1497 +826 -4624 +1650 515 +-4355 2461 +-6505 7586 +-1975 5452 +-5089 -2950 +3052 -5110 +1192 -191 +259 2456 +-4121 -5241 +-6380 2978 +569 9513 +-412 1233 +-5518 -1787 +923 702 +10192 47 +9604 -3153 +-1960 4058 +-2292 2426 +115 569 +-7382 8229 +375 1521 +7257 -1303 +911 28 +-1171 -1814 +-178 -2677 +6658 -3697 +4684 2572 +-8279 -4068 +-7448 -14224 +-2001 -8590 +-2026 -560 +-2074 7 +-1207 -8933 +400 -3431 +1272 4828 +4715 -2532 +2053 -4068 +-4810 -1767 +2287 -934 +5959 -4011 +-1570 -519 +1206 3016 +5623 -4073 +2344 6184 +-1908 8806 +-5253 -1640 +-1068 610 +2832 -1511 +2345 2728 +4904 6087 +1175 -1878 +-894 -3491 +4121 -2660 +830 -3190 +-1631 -4755 +2207 259 +845 2422 +-3379 2456 +-2729 6887 +-2981 -240 +-7022 635 +-4107 3918 +-3452 3663 +-5181 5693 +1950 -4525 +955 2601 +-953 3063 +4597 -7387 +2659 7882 +943 3580 +1613 -2997 +259 2456 +-4121 -5241 +-6380 2978 +569 9513 +-412 1233 +-5518 -1787 +923 702 +10192 47 +9604 -3153 +-1960 4058 +-2292 2426 +115 569 +-7382 8229 +375 1521 +7257 -1303 +911 28 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +7 0 +6 0 +5 0 +4 0 +3 0 +2 0 +1 0 diff --git a/testing_inputs/simulated/ag_6M_len14_pre100_post200_openwifi.txt b/testing_inputs/simulated/ag_6M_len14_pre100_post200_openwifi.txt new file mode 100644 index 0000000..f39caa8 --- /dev/null +++ b/testing_inputs/simulated/ag_6M_len14_pre100_post200_openwifi.txt @@ -0,0 +1,1180 @@ +1 0 +2 0 +3 0 +4 0 +5 0 +6 0 +7 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +3320 3320 +-9560 169 +-973 -5668 +10305 -913 +6641 0 +10305 -913 +-973 -5668 +-9560 169 +3320 3320 +169 -9560 +-5668 -973 +-913 10305 +0 6641 +-913 10305 +-5668 -973 +169 -9560 +3320 3320 +-9560 169 +-973 -5668 +10305 -913 +6641 0 +10305 -913 +-973 -5668 +-9560 169 +3320 3320 +169 -9560 +-5668 -973 +-913 10305 +0 6641 +-913 10305 +-5668 -973 +169 -9560 +3320 3320 +-9560 169 +-973 -5668 +10305 -913 +6641 0 +10305 -913 +-973 -5668 +-9560 169 +3320 3320 +169 -9560 +-5668 -973 +-913 10305 +0 6641 +-913 10305 +-5668 -973 +169 -9560 +3320 3320 +-9560 169 +-973 -5668 +10305 -913 +6641 0 +10305 -913 +-973 -5668 +-9560 169 +3320 3320 +169 -9560 +-5668 -973 +-913 10305 +0 6641 +-913 10305 +-5668 -973 +169 -9560 +3320 3320 +-9560 169 +-973 -5668 +10305 -913 +6641 0 +10305 -913 +-973 -5668 +-9560 169 +3320 3320 +169 -9560 +-5668 -973 +-913 10305 +0 6641 +-913 10305 +-5668 -973 +169 -9560 +3320 3320 +-9560 169 +-973 -5668 +10305 -913 +6641 0 +10305 -913 +-973 -5668 +-9560 169 +3320 3320 +169 -9560 +-5668 -973 +-913 10305 +0 6641 +-913 10305 +-5668 -973 +169 -9560 +3320 3320 +-9560 169 +-973 -5668 +10305 -913 +6641 0 +10305 -913 +-973 -5668 +-9560 169 +3320 3320 +169 -9560 +-5668 -973 +-913 10305 +0 6641 +-913 10305 +-5668 -973 +169 -9560 +3320 3320 +-9560 169 +-973 -5668 +10305 -913 +6641 0 +10305 -913 +-973 -5668 +-9560 169 +3320 3320 +169 -9560 +-5668 -973 +-913 10305 +0 6641 +-913 10305 +-5668 -973 +169 -9560 +3320 3320 +-9560 169 +-973 -5668 +10305 -913 +6641 0 +10305 -913 +-973 -5668 +-9560 169 +3320 3320 +169 -9560 +-5668 -973 +-913 10305 +0 6641 +-913 10305 +-5668 -973 +169 -9560 +3320 3320 +-9560 169 +-973 -5668 +10305 -913 +6641 0 +10305 -913 +-973 -5668 +-9560 169 +3320 3320 +169 -9560 +-5668 -973 +-913 10305 +0 6641 +-913 10305 +-5668 -973 +169 -9560 +-11279 0 +887 -7045 +6621 -7642 +-6633 -8311 +-203 -3882 +5419 5345 +-9191 1480 +-8798 1196 +-2529 10892 +-4075 1574 +-4353 -5868 +5021 -1019 +5935 -6667 +-9475 -4708 +-4129 -2837 +2665 -7099 +4512 4512 +8607 296 +-1623 -11597 +4235 1078 +1767 4225 +-9875 3420 +71 8302 +3850 -294 +7041 1869 +-2766 7664 +-8311 3983 +4318 6331 +1524 -2013 +6990 -5977 +2869 8024 +-370 8686 +11279 0 +-370 -8686 +2869 -8024 +6990 5977 +1524 2013 +4318 -6331 +-8311 -3983 +-2766 -7664 +7041 -1869 +3850 294 +71 -8302 +-9875 -3420 +1767 -4225 +4235 -1078 +-1623 11597 +8607 -296 +4512 -4512 +2665 7099 +-4129 2837 +-9475 4708 +5935 6667 +5021 1019 +-4353 5868 +-4075 -1574 +-2529 -10892 +-8798 -1196 +-9191 -1480 +5419 -5345 +-203 3882 +-6633 8311 +6621 7642 +887 7045 +-11279 0 +887 -7045 +6621 -7642 +-6633 -8311 +-203 -3882 +5419 5345 +-9191 1480 +-8798 1196 +-2529 10892 +-4075 1574 +-4353 -5868 +5021 -1019 +5935 -6667 +-9475 -4708 +-4129 -2837 +2665 -7099 +4512 4512 +8607 296 +-1623 -11597 +4235 1078 +1767 4225 +-9875 3420 +71 8302 +3850 -294 +7041 1869 +-2766 7664 +-8311 3983 +4318 6331 +1524 -2013 +6990 -5977 +2869 8024 +-370 8686 +11279 0 +-370 -8686 +2869 -8024 +6990 5977 +1524 2013 +4318 -6331 +-8311 -3983 +-2766 -7664 +7041 -1869 +3850 294 +71 -8302 +-9875 -3420 +1767 -4225 +4235 -1078 +-1623 11597 +8607 -296 +4512 -4512 +2665 7099 +-4129 2837 +-9475 4708 +5935 6667 +5021 1019 +-4353 5868 +-4075 -1574 +-2529 -10892 +-8798 -1196 +-9191 -1480 +5419 -5345 +-203 3882 +-6633 8311 +6621 7642 +887 7045 +-11279 0 +887 -7045 +6621 -7642 +-6633 -8311 +-203 -3882 +5419 5345 +-9191 1480 +-8798 1196 +-2529 10892 +-4075 1574 +-4353 -5868 +5021 -1019 +5935 -6667 +-9475 -4708 +-4129 -2837 +2665 -7099 +4512 4512 +8607 296 +-1623 -11597 +4235 1078 +1767 4225 +-9875 3420 +71 8302 +3850 -294 +7041 1869 +-2766 7664 +-8311 3983 +4318 6331 +1524 -2013 +6990 -5977 +2869 8024 +-370 8686 +-6767 -2256 +-3857 3548 +-3032 -8849 +-9124 -2684 +-1595 -2876 +11547 5739 +8091 9493 +-457 -3135 +0 -1595 +151 3587 +-2335 2487 +-1153 -3598 +1595 8751 +4293 8738 +3999 -9799 +-4637 3516 +-11279 0 +-4637 -3516 +3999 9799 +4293 -8738 +1595 -8751 +-1153 3598 +-2335 -2487 +151 -3587 +0 1595 +-457 3135 +8091 -9493 +11547 -5739 +-1595 2876 +-9124 2684 +-3032 8849 +-3857 -3548 +-6767 2256 +2492 13455 +5817 5086 +-3018 16384 +-1595 4745 +5920 -9569 +589 4103 +-5390 3542 +0 1595 +4199 -5136 +2678 -4294 +1855 9601 +1595 2141 +2441 2034 +2239 8678 +-5262 -1230 +-11279 0 +-5262 1230 +2239 -8678 +2441 -2034 +1595 -2141 +1855 -9601 +2678 4294 +4199 5136 +0 -1595 +-5390 -3542 +589 -4103 +5920 9569 +-1595 -4745 +-3018 -16384 +5817 -5086 +2492 -13455 +-6767 -2256 +-3857 3548 +-3032 -8849 +-9124 -2684 +-1595 -2876 +11547 5739 +8091 9493 +-457 -3135 +0 -1595 +151 3587 +-2335 2487 +-1153 -3598 +1595 8751 +4293 8738 +3999 -9799 +-4637 3516 +-2256 0 +2861 -4850 +-2800 2871 +-7014 8797 +489 -6181 +2416 -7165 +-5643 -1024 +-4521 -11345 +4785 -7702 +3307 6913 +-5896 -1978 +-6422 -743 +732 4940 +5177 -7683 +5377 -8607 +4731 -3672 +4512 0 +4731 3672 +5377 8607 +5177 7683 +732 -4940 +-6422 743 +-5896 1978 +3307 -6913 +4785 7702 +-4521 11345 +-5643 1024 +2416 7165 +489 6181 +-7014 -8797 +-2800 -2871 +2861 4850 +-2256 0 +-3084 6561 +5012 -8018 +4144 -14051 +-3679 8050 +-3315 5263 +-2463 7667 +-7650 3787 +-4785 -1321 +3981 15649 +1242 2241 +-4468 -875 +2458 5952 +9482 -4762 +5171 -2920 +376 -8064 +0 0 +376 8064 +5171 2920 +9482 4762 +2458 -5952 +-4468 875 +1242 -2241 +3981 -15649 +-4785 1321 +-7650 -3787 +-2463 -7667 +-3315 -5263 +-3679 -8050 +4144 14051 +5012 8018 +-3084 -6561 +-2256 0 +2861 -4850 +-2800 2871 +-7014 8797 +489 -6181 +2416 -7165 +-5643 -1024 +-4521 -11345 +4785 -7702 +3307 6913 +-5896 -1978 +-6422 -743 +732 4940 +5177 -7683 +5377 -8607 +4731 -3672 +-9023 -4512 +667 -5262 +-7947 -12701 +-4335 593 +2887 -3882 +3258 -777 +6793 5906 +3401 -7664 +-2529 -4512 +-4316 -948 +8238 -2837 +10744 -2599 +-12072 -4225 +-9933 -944 +7545 3169 +1592 7723 +-6767 0 +1592 -7723 +7545 -3169 +-9933 944 +-12072 4225 +10744 2599 +8238 2837 +-4316 948 +-2529 4512 +3401 7664 +6793 -5906 +3258 777 +2887 3882 +-4335 -593 +-7947 12701 +667 5262 +-9023 4512 +-3989 9651 +11743 488 +947 3725 +2172 2013 +-1114 3019 +-12344 -73 +3465 -9311 +7041 -4512 +2332 -7692 +6336 -2996 +-1098 1388 +-2010 -6667 +1532 1810 +-2319 9045 +-3154 5003 +-2256 0 +-3154 -5003 +-2319 -9045 +1532 -1810 +-2010 6667 +-1098 -1388 +6336 2996 +2332 7692 +7041 4512 +3465 9311 +-12344 73 +-1114 -3019 +2172 -2013 +947 -3725 +11743 -488 +-3989 -9651 +-9023 -4512 +667 -5262 +-7947 -12701 +-4335 593 +2887 -3882 +3258 -777 +6793 5906 +3401 -7664 +-2529 -4512 +-4316 -948 +8238 -2837 +10744 -2599 +-12072 -4225 +-9933 -944 +7545 3169 +1592 7723 +2256 0 +6763 -11760 +1468 -1293 +-11149 1113 +-12602 -2256 +-9242 -9320 +6118 -3106 +10712 3256 +-9297 -10892 +-5854 -2018 +1852 7466 +-2815 1905 +4084 2256 +1929 -1483 +1149 -988 +8524 1348 +9023 0 +8524 -1348 +1149 988 +1929 1483 +4084 -2256 +-2815 -1905 +1852 -7466 +-5854 2018 +-9297 10892 +10712 -3256 +6118 3106 +-9242 9320 +-12602 2256 +-11149 -1113 +1468 1293 +6763 11760 +2256 0 +-114 -88 +-1610 8509 +-3016 -9145 +-5992 -2256 +-2871 4955 +606 -2154 +1019 2839 +274 1869 +504 5186 +13208 2677 +8547 -4314 +-3537 2256 +5855 8168 +-4744 5562 +-8793 -3363 +4512 0 +-8793 3363 +-4744 -5562 +5855 -8168 +-3537 -2256 +8547 4314 +13208 -2677 +504 -5186 +274 -1869 +1019 -2839 +606 2154 +-2871 -4955 +-5992 2256 +-3016 9145 +-1610 -8509 +-114 88 +2256 0 +6763 -11760 +1468 -1293 +-11149 1113 +-12602 -2256 +-9242 -9320 +6118 -3106 +10712 3256 +-9297 -10892 +-5854 -2018 +1852 7466 +-2815 1905 +4084 2256 +1929 -1483 +1149 -988 +8524 1348 +0 -2256 +-7752 834 +-7085 1749 +12373 -3649 +-3851 -10578 +-8183 -2226 +5700 -2106 +-1982 -3516 +-4125 4785 +-9095 -2908 +-3781 1392 +12303 12070 +-661 10072 +-9008 3848 +-1091 -2055 +2485 4533 +4512 0 +2485 -4533 +-1091 2055 +-9008 -3848 +-661 -10072 +12303 -12070 +-3781 -1392 +-9095 2908 +-4125 -4785 +-1982 3516 +5700 2106 +-8183 2226 +-3851 10578 +12373 3649 +-7085 -1749 +-7752 -834 +0 2256 +-7881 -5126 +-536 -2384 +-2616 10727 +-3851 -2957 +4909 -4339 +910 4237 +5517 -3146 +8636 -4785 +-820 -2945 +-2829 3383 +-2649 5105 +-661 3462 +5631 -3837 +8712 -13983 +6767 -2730 +4512 0 +6767 2730 +8712 13983 +5631 3837 +-661 -3462 +-2649 -5105 +-2829 -3383 +-820 2945 +8636 4785 +5517 3146 +910 -4237 +4909 4339 +-3851 2957 +-2616 -10727 +-536 2384 +-7881 5126 +0 -2256 +-7752 834 +-7085 1749 +12373 -3649 +-3851 -10578 +-8183 -2226 +5700 -2106 +-1982 -3516 +-4125 4785 +-9095 -2908 +-3781 1392 +12303 12070 +-661 10072 +-9008 3848 +-1091 -2055 +2485 4533 +6767 -6767 +5497 8179 +2204 6815 +1589 1332 +5864 13667 +384 6906 +-2168 5254 +4082 7547 +-1321 274 +-391 4967 +398 -1714 +-1772 -1387 +7327 3767 +3179 -3722 +-4650 582 +-4856 928 +-6767 0 +-4856 -928 +-4650 -582 +3179 3722 +7327 -3767 +-1772 1387 +398 1714 +-391 -4967 +-1321 -274 +4082 -7547 +-2168 -5254 +384 -6906 +5864 -13667 +1589 -1332 +2204 -6815 +5497 -8179 +6767 6767 +-7941 1369 +-14822 -2242 +2189 9413 +-31 3605 +-3816 -6602 +8205 4889 +5329 -2079 +-7702 -9297 +-15401 3428 +-2697 -3546 +11585 -264 +4886 4482 +-577 -249 +-4516 6634 +920 -1213 +11279 0 +920 1213 +-4516 -6634 +-577 249 +4886 -4482 +11585 264 +-2697 3546 +-15401 -3428 +-7702 9297 +5329 2079 +8205 -4889 +-3816 6602 +-31 -3605 +2189 -9413 +-14822 2242 +-7941 -1369 +6767 -6767 +5497 8179 +2204 6815 +1589 1332 +5864 13667 +384 6906 +-2168 5254 +4082 7547 +-1321 274 +-391 4967 +398 -1714 +-1772 -1387 +7327 3767 +3179 -3722 +-4650 582 +-4856 928 +-4512 -4512 +-7236 6571 +2453 -4961 +6974 -5529 +4977 -449 +1252 -3264 +-2187 4039 +3275 7546 +-2529 3190 +3738 2003 +5990 4271 +1370 6667 +14271 3376 +192 5110 +-4432 4866 +2077 -5189 +-6767 0 +2077 5189 +-4432 -4866 +192 -5110 +14271 -3376 +1370 -6667 +5990 -4271 +3738 -2003 +-2529 -3190 +3275 -7546 +-2187 -4039 +1252 3264 +4977 449 +6974 5529 +2453 4961 +-7236 -6571 +-4512 4512 +-7360 3672 +-727 -13519 +4551 563 +-3656 11341 +-3581 5730 +-1981 6631 +10786 -2904 +7041 -3190 +-12390 9707 +-1822 3755 +2456 -3391 +-6569 -1507 +-454 -3981 +2706 -7942 +-5650 -8307 +-11279 0 +-5650 8307 +2706 7942 +-454 3981 +-6569 1507 +2456 3391 +-1822 -3755 +-12390 -9707 +7041 3190 +10786 2904 +-1981 -6631 +-3581 -5730 +-3656 -11341 +4551 -563 +-727 13519 +-7360 -3672 +-4512 -4512 +-7236 6571 +2453 -4961 +6974 -5529 +4977 -449 +1252 -3264 +-2187 4039 +3275 7546 +-2529 3190 +3738 2003 +5990 4271 +1370 6667 +14271 3376 +192 5110 +-4432 4866 +2077 -5189 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +7 0 +6 0 +5 0 +4 0 +3 0 +2 0 +1 0 diff --git a/testing_inputs/simulated/ag_6M_len1537_pre100_post200_openwifi.txt b/testing_inputs/simulated/ag_6M_len1537_pre100_post200_openwifi.txt new file mode 100644 index 0000000..8f6310f --- /dev/null +++ b/testing_inputs/simulated/ag_6M_len1537_pre100_post200_openwifi.txt @@ -0,0 +1,41820 @@ +1 0 +2 0 +3 0 +4 0 +5 0 +6 0 +7 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +2192 2192 +-6313 112 +-642 -3743 +6804 -603 +4385 0 +6804 -603 +-642 -3743 +-6313 112 +2192 2192 +112 -6313 +-3743 -642 +-603 6804 +0 4385 +-603 6804 +-3743 -642 +112 -6313 +2192 2192 +-6313 112 +-642 -3743 +6804 -603 +4385 0 +6804 -603 +-642 -3743 +-6313 112 +2192 2192 +112 -6313 +-3743 -642 +-603 6804 +0 4385 +-603 6804 +-3743 -642 +112 -6313 +2192 2192 +-6313 112 +-642 -3743 +6804 -603 +4385 0 +6804 -603 +-642 -3743 +-6313 112 +2192 2192 +112 -6313 +-3743 -642 +-603 6804 +0 4385 +-603 6804 +-3743 -642 +112 -6313 +2192 2192 +-6313 112 +-642 -3743 +6804 -603 +4385 0 +6804 -603 +-642 -3743 +-6313 112 +2192 2192 +112 -6313 +-3743 -642 +-603 6804 +0 4385 +-603 6804 +-3743 -642 +112 -6313 +2192 2192 +-6313 112 +-642 -3743 +6804 -603 +4385 0 +6804 -603 +-642 -3743 +-6313 112 +2192 2192 +112 -6313 +-3743 -642 +-603 6804 +0 4385 +-603 6804 +-3743 -642 +112 -6313 +2192 2192 +-6313 112 +-642 -3743 +6804 -603 +4385 0 +6804 -603 +-642 -3743 +-6313 112 +2192 2192 +112 -6313 +-3743 -642 +-603 6804 +0 4385 +-603 6804 +-3743 -642 +112 -6313 +2192 2192 +-6313 112 +-642 -3743 +6804 -603 +4385 0 +6804 -603 +-642 -3743 +-6313 112 +2192 2192 +112 -6313 +-3743 -642 +-603 6804 +0 4385 +-603 6804 +-3743 -642 +112 -6313 +2192 2192 +-6313 112 +-642 -3743 +6804 -603 +4385 0 +6804 -603 +-642 -3743 +-6313 112 +2192 2192 +112 -6313 +-3743 -642 +-603 6804 +0 4385 +-603 6804 +-3743 -642 +112 -6313 +2192 2192 +-6313 112 +-642 -3743 +6804 -603 +4385 0 +6804 -603 +-642 -3743 +-6313 112 +2192 2192 +112 -6313 +-3743 -642 +-603 6804 +0 4385 +-603 6804 +-3743 -642 +112 -6313 +2192 2192 +-6313 112 +-642 -3743 +6804 -603 +4385 0 +6804 -603 +-642 -3743 +-6313 112 +2192 2192 +112 -6313 +-3743 -642 +-603 6804 +0 4385 +-603 6804 +-3743 -642 +112 -6313 +-7447 0 +586 -4652 +4371 -5046 +-4380 -5487 +-134 -2563 +3578 3529 +-6069 977 +-5809 790 +-1670 7192 +-2691 1039 +-2875 -3874 +3315 -673 +3919 -4402 +-6256 -3109 +-2727 -1873 +1760 -4687 +2979 2979 +5683 195 +-1072 -7657 +2796 712 +1167 2790 +-6520 2258 +47 5481 +2542 -194 +4649 1234 +-1826 5060 +-5487 2630 +2851 4180 +1006 -1329 +4615 -3946 +1895 5298 +-244 5735 +7447 0 +-244 -5735 +1895 -5298 +4615 3946 +1006 1329 +2851 -4180 +-5487 -2630 +-1826 -5060 +4649 -1234 +2542 194 +47 -5481 +-6520 -2258 +1167 -2790 +2796 -712 +-1072 7657 +5683 -195 +2979 -2979 +1760 4687 +-2727 1873 +-6256 3109 +3919 4402 +3315 673 +-2875 3874 +-2691 -1039 +-1670 -7192 +-5809 -790 +-6069 -977 +3578 -3529 +-134 2563 +-4380 5487 +4371 5046 +586 4652 +-7447 0 +586 -4652 +4371 -5046 +-4380 -5487 +-134 -2563 +3578 3529 +-6069 977 +-5809 790 +-1670 7192 +-2691 1039 +-2875 -3874 +3315 -673 +3919 -4402 +-6256 -3109 +-2727 -1873 +1760 -4687 +2979 2979 +5683 195 +-1072 -7657 +2796 712 +1167 2790 +-6520 2258 +47 5481 +2542 -194 +4649 1234 +-1826 5060 +-5487 2630 +2851 4180 +1006 -1329 +4615 -3946 +1895 5298 +-244 5735 +7447 0 +-244 -5735 +1895 -5298 +4615 3946 +1006 1329 +2851 -4180 +-5487 -2630 +-1826 -5060 +4649 -1234 +2542 194 +47 -5481 +-6520 -2258 +1167 -2790 +2796 -712 +-1072 7657 +5683 -195 +2979 -2979 +1760 4687 +-2727 1873 +-6256 3109 +3919 4402 +3315 673 +-2875 3874 +-2691 -1039 +-1670 -7192 +-5809 -790 +-6069 -977 +3578 -3529 +-134 2563 +-4380 5487 +4371 5046 +586 4652 +-7447 0 +586 -4652 +4371 -5046 +-4380 -5487 +-134 -2563 +3578 3529 +-6069 977 +-5809 790 +-1670 7192 +-2691 1039 +-2875 -3874 +3315 -673 +3919 -4402 +-6256 -3109 +-2727 -1873 +1760 -4687 +2979 2979 +5683 195 +-1072 -7657 +2796 712 +1167 2790 +-6520 2258 +47 5481 +2542 -194 +4649 1234 +-1826 5060 +-5487 2630 +2851 4180 +1006 -1329 +4615 -3946 +1895 5298 +-244 5735 +-5958 -5958 +-1595 -6055 +2995 -2251 +-7276 -780 +-2162 -980 +4435 -339 +2878 -4744 +6052 -4723 +436 873 +-3571 5073 +-1909 6265 +-2901 -1255 +-710 5665 +-2106 8187 +-1242 -2358 +3680 6128 +4468 0 +3680 -6128 +-1242 2358 +-2106 -8187 +-710 -5665 +-2901 1255 +-1909 -6265 +-3571 -5073 +436 -873 +6052 4723 +2878 4744 +4435 339 +-2162 980 +-7276 780 +2995 2251 +-1595 6055 +-5958 5958 +4601 -3105 +4843 -4487 +-1578 3534 +-1690 5192 +2190 5158 +-866 -168 +-3876 2308 +2543 5085 +3327 -3916 +1642 3207 +-2433 5086 +-7354 -1452 +1243 2004 +3575 -1913 +-193 -3292 +1489 0 +-193 3292 +3575 1913 +1243 -2004 +-7354 1452 +-2433 -5086 +1642 -3207 +3327 3916 +2543 -5085 +-3876 -2308 +-866 168 +2190 -5158 +-1690 -5192 +-1578 -3534 +4843 4487 +4601 3105 +-5958 -5958 +-1595 -6055 +2995 -2251 +-7276 -780 +-2162 -980 +4435 -339 +2878 -4744 +6052 -4723 +436 873 +-3571 5073 +-1909 6265 +-2901 -1255 +-710 5665 +-2106 8187 +-1242 -2358 +3680 6128 +-1489 0 +1889 -3202 +-1849 1896 +-4631 5808 +323 -4081 +1595 -4731 +-3726 -676 +-2985 -7491 +3160 -5085 +2183 4565 +-3893 -1306 +-4241 -491 +483 3262 +3418 -5073 +3551 -5683 +3124 -2425 +2979 0 +3124 2425 +3551 5683 +3418 5073 +483 -3262 +-4241 491 +-3893 1306 +2183 -4565 +3160 5085 +-2985 7491 +-3726 676 +1595 4731 +323 4081 +-4631 -5808 +-1849 -1896 +1889 3202 +-1489 0 +-2036 4332 +3309 -5294 +2736 -9278 +-2429 5315 +-2189 3475 +-1627 5063 +-5051 2501 +-3160 -873 +2629 10333 +820 1479 +-2950 -578 +1623 3930 +6261 -3144 +3414 -1928 +248 -5325 +0 0 +248 5325 +3414 1928 +6261 3144 +1623 -3930 +-2950 578 +820 -1479 +2629 -10333 +-3160 873 +-5051 -2501 +-1627 -5063 +-2189 -3475 +-2429 -5315 +2736 9278 +3309 5294 +-2036 -4332 +-1489 0 +1889 -3202 +-1849 1896 +-4631 5808 +323 -4081 +1595 -4731 +-3726 -676 +-2985 -7491 +3160 -5085 +2183 4565 +-3893 -1306 +-4241 -491 +483 3262 +3418 -5073 +3551 -5683 +3124 -2425 +-5958 -2979 +440 -3474 +-5247 -8386 +-2862 392 +1906 -2563 +2151 -513 +4485 3900 +2246 -5061 +-1670 -2979 +-2850 -626 +5439 -1874 +7094 -1716 +-7971 -2790 +-6559 -624 +4982 2092 +1051 5099 +-4468 0 +1051 -5099 +4982 -2092 +-6559 624 +-7971 2790 +7094 1716 +5439 1874 +-2850 626 +-1670 2979 +2246 5061 +4485 -3900 +2151 513 +1906 2563 +-2862 -392 +-5247 8386 +440 3474 +-5958 2979 +-2634 6372 +7754 322 +625 2460 +1434 1329 +-736 1993 +-8151 -48 +2288 -6148 +4649 -2979 +1540 -5079 +4184 -1978 +-725 916 +-1327 -4402 +1011 1195 +-1531 5972 +-2082 3303 +-1489 0 +-2082 -3303 +-1531 -5972 +1011 -1195 +-1327 4402 +-725 -916 +4184 1978 +1540 5079 +4649 2979 +2288 6148 +-8151 48 +-736 -1993 +1434 -1329 +625 -2460 +7754 -322 +-2634 -6372 +-5958 -2979 +440 -3474 +-5247 -8386 +-2862 392 +1906 -2563 +2151 -513 +4485 3900 +2246 -5061 +-1670 -2979 +-2850 -626 +5439 -1874 +7094 -1716 +-7971 -2790 +-6559 -624 +4982 2092 +1051 5099 +1489 0 +4465 -7765 +969 -854 +-7361 735 +-8321 -1489 +-6102 -6154 +4039 -2051 +7073 2150 +-6139 -7192 +-3866 -1333 +1223 4930 +-1859 1258 +2697 1489 +1274 -979 +759 -653 +5628 890 +5958 0 +5628 -890 +759 653 +1274 979 +2697 -1489 +-1859 -1258 +1223 -4930 +-3866 1333 +-6139 7192 +7073 -2150 +4039 2051 +-6102 6154 +-8321 1489 +-7361 -735 +969 854 +4465 7765 +1489 0 +-75 -58 +-1063 5619 +-1991 -6038 +-3956 -1489 +-1895 3272 +400 -1422 +673 1875 +181 1234 +333 3424 +8721 1768 +5643 -2848 +-2335 1489 +3866 5393 +-3133 3672 +-5806 -2221 +2979 0 +-5806 2221 +-3133 -3672 +3866 -5393 +-2335 -1489 +5643 2848 +8721 -1768 +333 -3424 +181 -1234 +673 -1875 +400 1422 +-1895 -3272 +-3956 1489 +-1991 6038 +-1063 -5619 +-75 58 +1489 0 +4465 -7765 +969 -854 +-7361 735 +-8321 -1489 +-6102 -6154 +4039 -2051 +7073 2150 +-6139 -7192 +-3866 -1333 +1223 4930 +-1859 1258 +2697 1489 +1274 -979 +759 -653 +5628 890 +0 -1489 +-5119 550 +-4678 1155 +8170 -2409 +-2543 -6984 +-5403 -1470 +3763 -1390 +-1309 -2322 +-2723 3160 +-6005 -1920 +-2497 919 +8123 7969 +-436 6651 +-5948 2541 +-720 -1357 +1641 2993 +2979 0 +1641 -2993 +-720 1357 +-5948 -2541 +-436 -6651 +8123 -7969 +-2497 -919 +-6005 1920 +-2723 -3160 +-1309 2322 +3763 1390 +-5403 1470 +-2543 6984 +8170 2409 +-4678 -1155 +-5119 -550 +0 1489 +-5203 -3385 +-354 -1574 +-1727 7083 +-2543 -1952 +3241 -2865 +601 2798 +3643 -2078 +5702 -3160 +-541 -1944 +-1868 2234 +-1749 3371 +-436 2286 +3718 -2533 +5752 -9233 +4468 -1802 +2979 0 +4468 1802 +5752 9233 +3718 2533 +-436 -2286 +-1749 -3371 +-1868 -2234 +-541 1944 +5702 3160 +3643 2078 +601 -2798 +3241 2865 +-2543 1952 +-1727 -7083 +-354 1574 +-5203 3385 +0 -1489 +-5119 550 +-4678 1155 +8170 -2409 +-2543 -6984 +-5403 -1470 +3763 -1390 +-1309 -2322 +-2723 3160 +-6005 -1920 +-2497 919 +8123 7969 +-436 6651 +-5948 2541 +-720 -1357 +1641 2993 +-5958 -2979 +3802 -6427 +5880 1695 +861 -2768 +2059 2839 +2854 2062 +-4593 -4125 +-1768 3232 +5266 3596 +-2785 3052 +-1556 1330 +3824 -2651 +2866 -2665 +9435 -3093 +3264 -1865 +-3083 -2594 +1489 0 +-3083 2594 +3264 1865 +9435 3093 +2866 2665 +3824 2651 +-1556 -1330 +-2785 -3052 +5266 -3596 +-1768 -3232 +-4593 4125 +2854 -2062 +2059 -2839 +861 2768 +5880 -1695 +3802 6427 +-5958 2979 +-4423 -5335 +-1173 4036 +-3014 3332 +919 -4945 +-2961 -10329 +-5619 6 +-1195 9625 +-5266 -617 +-3969 -366 +-871 509 +-1783 -3871 +113 559 +-790 1912 +4667 1638 +4995 1003 +-1489 0 +4995 -1003 +4667 -1638 +-790 -1912 +113 -559 +-1783 3871 +-871 -509 +-3969 366 +-5266 617 +-1195 -9625 +-5619 -6 +-2961 10329 +919 4945 +-3014 -3332 +-1173 -4036 +-4423 5335 +-5958 -2979 +3802 -6427 +5880 1695 +861 -2768 +2059 2839 +2854 2062 +-4593 -4125 +-1768 3232 +5266 3596 +-2785 3052 +-1556 1330 +3824 -2651 +2866 -2665 +9435 -3093 +3264 -1865 +-3083 -2594 +0 -1489 +1816 2732 +-2640 5142 +-4411 -1539 +1120 -76 +-804 3053 +-459 -3806 +3394 -2426 +-7809 4032 +-2740 1141 +1637 1950 +-1504 4247 +5978 -410 +-6696 1564 +-5077 3977 +4051 -1407 +-2979 0 +4051 1407 +-5077 -3977 +-6696 -1564 +5978 410 +-1504 -4247 +1637 -1950 +-2740 -1141 +-7809 -4032 +3394 2426 +-459 3806 +-804 -3053 +1120 76 +-4411 1539 +-2640 -5142 +1816 -2732 +0 1489 +-7996 -2077 +-3906 -2163 +3982 1661 +2732 4289 +3204 4816 +4939 827 +7697 -650 +4830 1926 +1367 -5 +563 1029 +-2829 7835 +2086 4622 +633 -5655 +-6973 -6956 +838 -2150 +8937 0 +838 2150 +-6973 6956 +633 5655 +2086 -4622 +-2829 -7835 +563 -1029 +1367 5 +4830 -1926 +7697 650 +4939 -827 +3204 -4816 +2732 -4289 +3982 -1661 +-3906 2163 +-7996 2077 +0 -1489 +1816 2732 +-2640 5142 +-4411 -1539 +1120 -76 +-804 3053 +-459 -3806 +3394 -2426 +-7809 4032 +-2740 1141 +1637 1950 +-1504 4247 +5978 -410 +-6696 1564 +-5077 3977 +4051 -1407 +1489 5958 +-1619 -5052 +-3012 -1374 +-3931 4257 +-4812 530 +-596 884 +5161 2747 +114 6278 +2543 -1489 +4474 -4806 +-1828 8325 +-2458 9035 +-1726 7401 +2811 3929 +-635 -1858 +-2699 6055 +2979 0 +-2699 -6055 +-635 1858 +2811 -3929 +-1726 -7401 +-2458 -9035 +-1828 -8325 +4474 4806 +2543 1489 +114 -6278 +5161 -2747 +-596 -884 +-4812 -530 +-3931 -4257 +-3012 1374 +-1619 5052 +1489 -5958 +3430 -1414 +732 3387 +6359 -2141 +1833 2810 +-5564 1471 +343 -5080 +-5703 -3543 +436 -1489 +9888 -349 +-3676 -488 +-6604 569 +-1253 1897 +1556 1946 +2915 2126 +541 -70 +0 0 +541 70 +2915 -2126 +1556 -1946 +-1253 -1897 +-6604 -569 +-3676 488 +9888 349 +436 1489 +-5703 3543 +343 5080 +-5564 -1471 +1833 -2810 +6359 2141 +732 -3387 +3430 1414 +1489 5958 +-1619 -5052 +-3012 -1374 +-3931 4257 +-4812 530 +-596 884 +5161 2747 +114 6278 +2543 -1489 +4474 -4806 +-1828 8325 +-2458 9035 +-1726 7401 +2811 3929 +-635 -1858 +-2699 6055 +-2979 -5958 +465 -143 +2848 7100 +2691 726 +5145 1387 +-3636 138 +-8057 -1476 +-5077 626 +-3160 -2362 +526 857 +-7648 2844 +-3573 1112 +6424 -3645 +-4729 -3838 +1201 3362 +2422 -287 +-10426 0 +2422 287 +1201 -3362 +-4729 3838 +6424 3645 +-3573 -1112 +-7648 -2844 +526 -857 +-3160 2362 +-5077 -626 +-8057 1476 +-3636 -138 +5145 -1387 +2691 -726 +2848 -7100 +465 143 +-2979 5958 +4586 2192 +8975 2523 +3008 1883 +-2167 719 +3360 -3569 +4018 -1031 +-2863 -335 +3160 -6575 +6123 -2311 +-951 607 +-2643 5629 +-3445 5751 +-2903 -3724 +-386 304 +2244 4081 +4468 0 +2244 -4081 +-386 -304 +-2903 3724 +-3445 -5751 +-2643 -5629 +-951 -607 +6123 2311 +3160 6575 +-2863 335 +4018 1031 +3360 3569 +-2167 -719 +3008 -1883 +8975 -2523 +4586 -2192 +-2979 -5958 +465 -143 +2848 7100 +2691 726 +5145 1387 +-3636 138 +-8057 -1476 +-5077 626 +-3160 -2362 +526 857 +-7648 2844 +-3573 1112 +6424 -3645 +-4729 -3838 +1201 3362 +2422 -287 +-5958 -8937 +1539 3159 +4688 4205 +1166 -4129 +3133 5751 +-192 4995 +-3406 2364 +-5466 8249 +-1053 1489 +2236 -3386 +2343 -953 +3726 474 +-1414 1387 +-2794 -2054 +-6575 -3825 +-5696 -1013 +1489 0 +-5696 1013 +-6575 3825 +-2794 2054 +-1414 -1387 +3726 -474 +2343 953 +2236 3386 +-1053 -1489 +-5466 -8249 +-3406 -2364 +-192 -4995 +3133 -5751 +1166 4129 +4688 -4205 +1539 -3159 +-5958 8937 +4554 2944 +2677 1147 +-5081 -1771 +-1899 -3645 +-2899 6617 +-1226 4601 +1016 1615 +1053 1489 +-66 -2960 +4034 -508 +4869 -512 +-5778 719 +1204 1356 +9380 752 +1882 7759 +-1489 0 +1882 -7759 +9380 -752 +1204 -1356 +-5778 -719 +4869 512 +4034 508 +-66 2960 +1053 -1489 +1016 -1615 +-1226 -4601 +-2899 -6617 +-1899 3645 +-5081 1771 +2677 -1147 +4554 -2944 +-5958 -8937 +1539 3159 +4688 4205 +1166 -4129 +3133 5751 +-192 4995 +-3406 2364 +-5466 8249 +-1053 1489 +2236 -3386 +2343 -953 +3726 474 +-1414 1387 +-2794 -2054 +-6575 -3825 +-5696 -1013 +-4468 -1489 +4825 -3983 +1212 -1286 +-10337 3993 +-3282 -1203 +-2810 -2413 +-1259 -354 +2341 -685 +-6319 -1053 +-2431 -2409 +2074 3972 +3886 -2236 +8541 -8181 +-1096 4288 +-1583 5557 +-2028 515 +-10426 0 +-2028 -515 +-1583 -5557 +-1096 -4288 +8541 8181 +3886 2236 +2074 -3972 +-2431 2409 +-6319 1053 +2341 685 +-1259 354 +-2810 2413 +-3282 1203 +-10337 -3993 +1212 1286 +4825 3983 +-4468 1489 +706 -6261 +2034 -7518 +-1768 666 +-58 -3010 +-2435 -1145 +-3600 3012 +4265 -18 +6319 1053 +-2242 -680 +-1429 1154 +2651 3647 +757 3968 +3484 1850 +2549 22 +2991 7195 +7447 0 +2991 -7195 +2549 -22 +3484 -1850 +757 -3968 +2651 -3647 +-1429 -1154 +-2242 680 +6319 -1053 +4265 18 +-3600 -3012 +-2435 1145 +-58 3010 +-1768 -666 +2034 7518 +706 6261 +-4468 -1489 +4825 -3983 +1212 -1286 +-10337 3993 +-3282 -1203 +-2810 -2413 +-1259 -354 +2341 -685 +-6319 -1053 +-2431 -2409 +2074 3972 +3886 -2236 +8541 -8181 +-1096 4288 +-1583 5557 +-2028 515 +-1489 -1489 +-856 6007 +5047 1011 +1997 -2974 +-3328 2952 +-3192 67 +-1867 215 +-6395 6653 +-2723 4649 +3722 4353 +-3030 2023 +-8515 -6687 +-1716 -4725 +6271 163 +-754 897 +-2423 3651 +4468 0 +-2423 -3651 +-754 -897 +6271 -163 +-1716 4725 +-8515 6687 +-3030 -2023 +3722 -4353 +-2723 -4649 +-6395 -6653 +-1867 -215 +-3192 -67 +-3328 -2952 +1997 2974 +5047 -1011 +-856 -6007 +-1489 1489 +1634 1935 +-456 623 +1271 3145 +-5608 -2080 +-2757 392 +8738 8535 +4098 2614 +5702 -1670 +3135 2634 +2117 2515 +3456 1642 +-7221 -360 +1470 -5496 +2120 -3476 +-2915 2010 +4468 0 +-2915 -2010 +2120 3476 +1470 5496 +-7221 360 +3456 -1642 +2117 -2515 +3135 -2634 +5702 1670 +4098 -2614 +8738 -8535 +-2757 -392 +-5608 2080 +1271 -3145 +-456 -623 +1634 -1935 +-1489 -1489 +-856 6007 +5047 1011 +1997 -2974 +-3328 2952 +-3192 67 +-1867 215 +-6395 6653 +-2723 4649 +3722 4353 +-3030 2023 +-8515 -6687 +-1716 -4725 +6271 163 +-754 897 +-2423 3651 +-4468 5958 +-1343 3229 +-2594 -1001 +-4062 2382 +779 -4859 +-2096 -1054 +1379 1551 +4368 -1028 +-1053 -1234 +642 -4238 +64 3206 +-2377 701 +-200 -3246 +2584 -1459 +10229 -3922 +6338 5507 +-2979 0 +6338 -5507 +10229 3922 +2584 1459 +-200 3246 +-2377 -701 +64 -3206 +642 4238 +-1053 1234 +4368 1028 +1379 -1551 +-2096 1054 +779 4859 +-4062 -2382 +-2594 1001 +-1343 -3229 +-4468 -5958 +8697 2615 +9140 -4504 +-7332 -7336 +-5865 646 +-3749 4364 +-5859 729 +1466 -134 +1053 7192 +-3554 3075 +-2265 -926 +-2483 2609 +-672 -966 +2664 -3495 +1821 -1582 +239 336 +0 0 +239 -336 +1821 1582 +2664 3495 +-672 966 +-2483 -2609 +-2265 926 +-3554 -3075 +1053 -7192 +1466 134 +-5859 -729 +-3749 -4364 +-5865 -646 +-7332 7336 +9140 4504 +8697 -2615 +-4468 5958 +-1343 3229 +-2594 -1001 +-4062 2382 +779 -4859 +-2096 -1054 +1379 1551 +4368 -1028 +-1053 -1234 +642 -4238 +64 3206 +-2377 701 +-200 -3246 +2584 -1459 +10229 -3922 +6338 5507 +2979 7447 +2884 6274 +1748 -2362 +-221 -2933 +-314 3215 +1364 -3322 +1521 -3358 +798 -260 +-1489 -3160 +1677 4648 +3483 2841 +-3134 -1068 +-7924 343 +-3677 -4490 +6840 -643 +3232 3212 +-5958 0 +3232 -3212 +6840 643 +-3677 4490 +-7924 -343 +-3134 1068 +3483 -2841 +1677 -4648 +-1489 3160 +798 260 +1521 3358 +1364 3322 +-314 -3215 +-221 2933 +1748 2362 +2884 -6274 +2979 -7447 +-1657 -183 +585 -1152 +1812 -3773 +-3538 2743 +2079 -6611 +-1789 -9338 +-8578 2915 +-1489 3160 +-3614 2219 +-1471 -1154 +1624 -4654 +-140 -6301 +8578 -6429 +997 -403 +-3167 -1335 +5958 0 +-3167 1335 +997 403 +8578 6429 +-140 6301 +1624 4654 +-1471 1154 +-3614 -2219 +-1489 -3160 +-8578 -2915 +-1789 9338 +2079 6611 +-3538 -2743 +1812 3773 +585 1152 +-1657 183 +2979 7447 +2884 6274 +1748 -2362 +-221 -2933 +-314 3215 +1364 -3322 +1521 -3358 +798 -260 +-1489 -3160 +1677 4648 +3483 2841 +-3134 -1068 +-7924 343 +-3677 -4490 +6840 -643 +3232 3212 +-7447 -1489 +-1729 -3426 +2954 -1420 +-3119 2919 +-4119 7042 +1918 533 +-734 -989 +-3414 -213 +-2723 -2543 +-7312 1409 +-1315 1465 +259 763 +-5731 -5894 +4072 -4938 +4609 5342 +414 -2292 +4468 0 +414 2292 +4609 -5342 +4072 4938 +-5731 5894 +259 -763 +-1315 -1465 +-7312 -1409 +-2723 2543 +-3414 213 +-734 989 +1918 -533 +-4119 -7042 +-3119 -2919 +2954 1420 +-1729 3426 +-7447 1489 +1813 -3134 +2132 -2698 +-8212 2054 +-1839 5235 +3052 1938 +1607 1215 +5010 -2103 +5702 -436 +7649 3712 +2188 -7196 +-3938 -1864 +-227 6255 +-2458 -2087 +477 -3503 +5994 -5256 +4468 0 +5994 5256 +477 3503 +-2458 2087 +-227 -6255 +-3938 1864 +2188 7196 +7649 -3712 +5702 436 +5010 2103 +1607 -1215 +3052 -1938 +-1839 -5235 +-8212 -2054 +2132 2698 +1813 3134 +-7447 -1489 +-1729 -3426 +2954 -1420 +-3119 2919 +-4119 7042 +1918 533 +-734 -989 +-3414 -213 +-2723 -2543 +-7312 1409 +-1315 1465 +259 763 +-5731 -5894 +4072 -4938 +4609 5342 +414 -2292 +-2979 0 +-4704 -1919 +-3421 3192 +4054 589 +6497 3529 +-272 3310 +-2807 107 +1788 3174 +2543 -873 +1484 4779 +3977 850 +350 -8749 +-8884 7648 +-7053 5848 +1355 -7113 +-1703 2269 +-7447 0 +-1703 -2269 +1355 7113 +-7053 -5848 +-8884 -7648 +350 8749 +3977 -850 +1484 -4779 +2543 873 +1788 -3174 +-2807 -107 +-272 -3310 +6497 -3529 +4054 -589 +-3421 -3192 +-4704 1919 +-2979 0 +-2949 3098 +-944 5785 +2586 -2298 +2801 1917 +186 2471 +-2225 632 +-2479 -2222 +436 -5085 +2778 3422 +1055 1635 +726 2078 +5544 3756 +7850 333 +3010 5920 +-2641 2669 +-4468 0 +-2641 -2669 +3010 -5920 +7850 -333 +5544 -3756 +726 -2078 +1055 -1635 +2778 -3422 +436 5085 +-2479 2222 +-2225 -632 +186 -2471 +2801 -1917 +2586 2298 +-944 -5785 +-2949 -3098 +-2979 0 +-4704 -1919 +-3421 3192 +4054 589 +6497 3529 +-272 3310 +-2807 107 +1788 3174 +2543 -873 +1484 4779 +3977 850 +350 -8749 +-8884 7648 +-7053 5848 +1355 -7113 +-1703 2269 +1489 -8937 +2825 -10140 +3473 6577 +1656 1948 +-1812 -2592 +1674 3174 +203 -5694 +-2810 -2264 +-1053 10171 +-2890 4352 +-1824 -1819 +-1199 4442 +-1973 1773 +3949 -3599 +3621 -220 +-438 -1918 +0 0 +-438 1918 +3621 220 +3949 3599 +-1973 -1773 +-1199 -4442 +-1824 1819 +-2890 -4352 +-1053 -10171 +-2810 2264 +203 5694 +1674 -3174 +-1812 2592 +1656 -1948 +3473 -6577 +2825 10140 +1489 8937 +-4656 2689 +-2507 3519 +2172 4151 +940 6805 +2214 -4084 +443 -3457 +-393 3030 +1053 1745 +-3623 5678 +-3034 -1374 +-756 259 +-3113 2440 +-1284 -5033 +-375 4357 +3561 7221 +8937 0 +3561 -7221 +-375 -4357 +-1284 5033 +-3113 -2440 +-756 -259 +-3034 1374 +-3623 -5678 +1053 -1745 +-393 -3030 +443 3457 +2214 4084 +940 -6805 +2172 -4151 +-2507 -3519 +-4656 -2689 +1489 -8937 +2825 -10140 +3473 6577 +1656 1948 +-1812 -2592 +1674 3174 +203 -5694 +-2810 -2264 +-1053 10171 +-2890 4352 +-1824 -1819 +-1199 4442 +-1973 1773 +3949 -3599 +3621 -220 +-438 -1918 +-4468 -5958 +37 1758 +6279 1560 +5198 -874 +-693 -209 +-2104 4068 +1653 1948 +-1407 6597 +436 5702 +7382 -4337 +-1483 1909 +-4346 -3257 +4006 -1576 +-244 11183 +-3454 1384 +-3275 -1233 +-5958 0 +-3275 1233 +-3454 -1384 +-244 -11183 +4006 1576 +-4346 3257 +-1483 -1909 +7382 4337 +436 -5702 +-1407 -6597 +1653 -1948 +-2104 -4068 +-693 209 +5198 874 +6279 -1560 +37 -1758 +-4468 5958 +-1630 2255 +-775 -7786 +973 2969 +3672 5295 +1355 -616 +627 -3828 +111 -9090 +2543 -2723 +5911 -1915 +-797 -5533 +-2342 -1181 +-1027 704 +-6915 3363 +-2051 2562 +1297 -2003 +-2979 0 +1297 2003 +-2051 -2562 +-6915 -3363 +-1027 -704 +-2342 1181 +-797 5533 +5911 1915 +2543 2723 +111 9090 +627 3828 +1355 616 +3672 -5295 +973 -2969 +-775 7786 +-1630 -2255 +-4468 -5958 +37 1758 +6279 1560 +5198 -874 +-693 -209 +-2104 4068 +1653 1948 +-1407 6597 +436 5702 +7382 -4337 +-1483 1909 +-4346 -3257 +4006 -1576 +-244 11183 +-3454 1384 +-3275 -1233 +1489 5958 +-9001 434 +-3382 -2182 +683 3929 +4006 -6595 +3010 -10612 +-3151 -2176 +4227 -1867 +436 -617 +-4498 -1445 +-3816 -143 +-4618 5479 +3672 -864 +-2556 1369 +-3762 6083 +4506 -4974 +2979 0 +4506 4974 +-3762 -6083 +-2556 -1369 +3672 864 +-4618 -5479 +-3816 143 +-4498 1445 +436 617 +4227 1867 +-3151 2176 +3010 10612 +4006 6595 +683 -3929 +-3382 2182 +-9001 -434 +1489 -5958 +6388 5222 +5908 6720 +4612 -2271 +-1027 -2703 +2011 -288 +2452 542 +2586 2599 +2543 3596 +-2315 1874 +-1443 2722 +-403 2111 +-693 -2476 +-2738 1927 +-4721 2668 +-1892 -6525 +0 0 +-1892 6525 +-4721 -2668 +-2738 -1927 +-693 2476 +-403 -2111 +-1443 -2722 +-2315 -1874 +2543 -3596 +2586 -2599 +2452 -542 +2011 288 +-1027 2703 +4612 2271 +5908 -6720 +6388 -5222 +1489 5958 +-9001 434 +-3382 -2182 +683 3929 +4006 -6595 +3010 -10612 +-3151 -2176 +4227 -1867 +436 -617 +-4498 -1445 +-3816 -143 +-4618 5479 +3672 -864 +-2556 1369 +-3762 6083 +4506 -4974 +-4468 4468 +1706 -1077 +5355 -3486 +-1462 3326 +-7595 -3113 +-736 -3928 +3525 2662 +-2083 2763 +617 1309 +806 -3135 +-3258 619 +22 3989 +-1575 1812 +-6670 -898 +-5862 -467 +1664 7951 +7447 0 +1664 -7951 +-5862 467 +-6670 898 +-1575 -1812 +22 -3989 +-3258 -619 +806 3135 +617 -1309 +-2083 -2763 +3525 -2662 +-736 3928 +-7595 3113 +-1462 -3326 +5355 3486 +1706 1077 +-4468 -4468 +-629 -4567 +149 -5963 +-305 -3550 +6361 -1973 +2971 2781 +-1245 3243 +-3044 4104 +-3596 7628 +3974 2112 +978 3541 +4539 2112 +8766 -940 +-6784 4433 +358 1188 +6033 -1145 +-4468 0 +6033 1145 +358 -1188 +-6784 -4433 +8766 940 +4539 -2112 +978 -3541 +3974 -2112 +-3596 -7628 +-3044 -4104 +-1245 -3243 +2971 -2781 +6361 1973 +-305 3550 +149 5963 +-629 4567 +-4468 4468 +1706 -1077 +5355 -3486 +-1462 3326 +-7595 -3113 +-736 -3928 +3525 2662 +-2083 2763 +617 1309 +806 -3135 +-3258 619 +22 3989 +-1575 1812 +-6670 -898 +-5862 -467 +1664 7951 +4468 -1489 +825 132 +4875 -1848 +-347 -39 +-6965 4709 +-5928 -1210 +-4762 -1457 +1584 1241 +-2362 436 +-4652 1833 +3546 1797 +6539 865 +5353 -3881 +865 -2465 +7950 1056 +6667 -5475 +-4468 0 +6667 5475 +7950 -1056 +865 2465 +5353 3881 +6539 -865 +3546 -1797 +-4652 -1833 +-2362 -436 +1584 -1241 +-4762 1457 +-5928 1210 +-6965 -4709 +-347 39 +4875 1848 +825 -132 +4468 1489 +2810 -948 +-5294 3687 +-6946 4622 +-1461 -2603 +4054 -2552 +3354 -4274 +-2170 -2766 +-6575 2543 +-614 854 +-393 -1570 +-149 -413 +3073 5988 +-6514 2835 +2640 -5175 +3975 447 +-10426 0 +3975 -447 +2640 5175 +-6514 -2835 +3073 -5988 +-149 413 +-393 1570 +-614 -854 +-6575 -2543 +-2170 2766 +3354 4274 +4054 2552 +-1461 2603 +-6946 -4622 +-5294 -3687 +2810 948 +4468 -1489 +825 132 +4875 -1848 +-347 -39 +-6965 4709 +-5928 -1210 +-4762 -1457 +1584 1241 +-2362 436 +-4652 1833 +3546 1797 +6539 865 +5353 -3881 +865 -2465 +7950 1056 +6667 -5475 +2979 -4468 +-1688 -1224 +562 -3858 +-4649 -387 +-1917 256 +5654 -4093 +-2812 -1490 +-2881 140 +873 3415 +3799 6358 +963 1132 +-2180 3292 +3756 6548 +-4464 -2028 +-1749 -3776 +3966 2386 +-2979 0 +3966 -2386 +-1749 3776 +-4464 2028 +3756 -6548 +-2180 -3292 +963 -1132 +3799 -6358 +873 -3415 +-2881 -140 +-2812 1490 +5654 4093 +-1917 -256 +-4649 387 +562 3858 +-1688 1224 +2979 4468 +1754 7185 +2458 6610 +3164 5421 +-3529 -4108 +-1006 -6112 +-3886 350 +-3657 2417 +5085 5522 +460 1400 +-4436 -2272 +3036 2713 +7648 1516 +445 6421 +-3016 6528 +-1752 -8075 +-2979 0 +-1752 8075 +-3016 -6528 +445 -6421 +7648 -1516 +3036 -2713 +-4436 2272 +460 -1400 +5085 -5522 +-3657 -2417 +-3886 -350 +-1006 6112 +-3529 4108 +3164 -5421 +2458 -6610 +1754 -7185 +2979 -4468 +-1688 -1224 +562 -3858 +-4649 -387 +-1917 256 +5654 -4093 +-2812 -1490 +-2881 140 +873 3415 +3799 6358 +963 1132 +-2180 3292 +3756 6548 +-4464 -2028 +-1749 -3776 +3966 2386 +0 2979 +-8664 2606 +-1147 1748 +1491 -3055 +940 -951 +945 9951 +45 9164 +-1469 1553 +-2543 2979 +-2903 3094 +-506 -1005 +-1503 166 +-3113 1102 +3311 -1508 +-178 -1438 +1257 251 +10426 0 +1257 -251 +-178 1438 +3311 1508 +-3113 -1102 +-1503 -166 +-506 1005 +-2903 -3094 +-2543 -2979 +-1469 -1553 +45 -9164 +945 -9951 +940 951 +1491 3055 +-1147 -1748 +-8664 -2606 +0 -2979 +7961 -1223 +-314 -341 +1301 -3603 +-1812 -283 +135 4359 +5307 1425 +-7691 1877 +-436 2979 +7850 -200 +3578 1424 +4635 -1531 +-1973 -8294 +-1890 -483 +-6787 4590 +-4766 -2892 +7447 0 +-4766 2892 +-6787 -4590 +-1890 483 +-1973 8294 +4635 1531 +3578 -1424 +7850 200 +-436 -2979 +-7691 -1877 +5307 -1425 +135 -4359 +-1812 283 +1301 3603 +-314 341 +7961 1223 +0 2979 +-8664 2606 +-1147 1748 +1491 -3055 +940 -951 +945 9951 +45 9164 +-1469 1553 +-2543 2979 +-2903 3094 +-506 -1005 +-1503 166 +-3113 1102 +3311 -1508 +-178 -1438 +1257 251 +1489 0 +-5089 -2434 +3526 8187 +3097 1055 +-2162 -4402 +4508 7959 +-1879 4256 +-1503 1092 +-1053 5085 +-4444 -2054 +6473 -4387 +5626 -4143 +-710 -2563 +-1819 1624 +-5671 -2966 +-3522 -5216 +0 0 +-3522 5216 +-5671 2966 +-1819 -1624 +-710 2563 +5626 4143 +6473 4387 +-4444 2054 +-1053 -5085 +-1503 -1092 +-1879 -4256 +4508 -7959 +-2162 4402 +3097 -1055 +3526 -8187 +-5089 2434 +1489 0 +1772 -8508 +4485 -4846 +4990 1042 +-1690 -2790 +1594 -4070 +494 5042 +-844 4138 +1053 873 +2579 792 +870 -4911 +-7515 -1685 +-7354 1329 +-2054 -818 +3618 -374 +2626 -3792 +-2979 0 +2626 3792 +3618 374 +-2054 818 +-7354 -1329 +-7515 1685 +870 4911 +2579 -792 +1053 -873 +-844 -4138 +494 -5042 +1594 4070 +-1690 2790 +4990 -1042 +4485 4846 +1772 8508 +1489 0 +-5089 -2434 +3526 8187 +3097 1055 +-2162 -4402 +4508 7959 +-1879 4256 +-1503 1092 +-1053 5085 +-4444 -2054 +6473 -4387 +5626 -4143 +-710 -2563 +-1819 1624 +-5671 -2966 +-3522 -5216 +-1489 0 +3340 -2572 +2796 6059 +-3236 3447 +-3903 -4242 +-355 -60 +3189 -2074 +-1514 1698 +-1053 5958 +2263 4996 +1194 5773 +-2233 -3221 +-5827 349 +-486 8669 +-4184 -795 +-3444 -2939 +5958 0 +-3444 2939 +-4184 795 +-486 -8669 +-5827 -349 +-2233 3221 +1194 -5773 +2263 -4996 +-1053 -5958 +-1514 -1698 +3189 2074 +-355 60 +-3903 4242 +-3236 -3447 +2796 -6059 +3340 2572 +-1489 0 +-1697 5963 +-4257 7510 +2031 -3434 +1797 1263 +-4624 2721 +2163 -4058 +-826 1578 +1053 5958 +7861 -1266 +1879 -4202 +3987 2204 +7934 2629 +4915 -418 +-2781 -1765 +-5983 -5133 +-2979 0 +-5983 5133 +-2781 1765 +4915 418 +7934 -2629 +3987 -2204 +1879 4202 +7861 1266 +1053 -5958 +-826 -1578 +2163 4058 +-4624 -2721 +1797 -1263 +2031 3434 +-4257 -7510 +-1697 -5963 +-1489 0 +3340 -2572 +2796 6059 +-3236 3447 +-3903 -4242 +-355 -60 +3189 -2074 +-1514 1698 +-1053 5958 +2263 4996 +1194 5773 +-2233 -3221 +-5827 349 +-486 8669 +-4184 -795 +-3444 -2939 +-2979 5958 +-4812 -867 +666 1489 +1801 5118 +-6709 -913 +-7611 -161 +6513 -1489 +3998 -1584 +-436 0 +2088 1065 +-2091 1489 +-3638 -5134 +-1195 -1367 +3304 1636 +-2230 -1489 +-4509 6145 +1489 0 +-4509 -6145 +-2230 1489 +3304 -1636 +-1195 1367 +-3638 5134 +-2091 -1489 +2088 -1065 +-436 0 +3998 1584 +6513 1489 +-7611 161 +-6709 913 +1801 -5118 +666 -1489 +-4812 867 +-2979 -5958 +7811 2102 +2959 1489 +-4794 -2170 +-5569 6871 +-4746 316 +-288 -1489 +-4643 5388 +-2543 0 +5049 1751 +6036 1489 +6278 -6707 +1557 -4591 +981 -2259 +351 -1489 +3443 2527 +10426 0 +3443 -2527 +351 1489 +981 2259 +1557 4591 +6278 6707 +6036 -1489 +5049 -1751 +-2543 0 +-4643 -5388 +-288 1489 +-4746 -316 +-5569 -6871 +-4794 2170 +2959 -1489 +7811 -2102 +-2979 5958 +-4812 -867 +666 1489 +1801 5118 +-6709 -913 +-7611 -161 +6513 -1489 +3998 -1584 +-436 0 +2088 1065 +-2091 1489 +-3638 -5134 +-1195 -1367 +3304 1636 +-2230 -1489 +-4509 6145 +2979 0 +-1403 -653 +-3373 4803 +-1149 4391 +-200 -1423 +-121 6557 +2549 6624 +2913 -4988 +5522 2106 +3489 9649 +-2121 -121 +-1775 -5291 +-5865 -5542 +-4280 -5972 +2904 1696 +4255 7169 +4468 0 +4255 -7169 +2904 -1696 +-4280 5972 +-5865 5542 +-1775 5291 +-2121 121 +3489 -9649 +5522 -2106 +2913 4988 +2549 -6624 +-121 -6557 +-200 1423 +-1149 -4391 +-3373 -4803 +-1403 653 +2979 0 +-3755 1687 +-8530 1196 +3249 -1254 +-672 189 +-4592 -2791 +449 -2906 +-3049 1697 +3415 -2106 +-3353 -4210 +-6834 -373 +6488 -1008 +779 -1650 +2180 -955 +3041 89 +903 2593 +7447 0 +903 -2593 +3041 -89 +2180 955 +779 1650 +6488 1008 +-6834 373 +-3353 4210 +3415 2106 +-3049 -1697 +449 2906 +-4592 2791 +-672 -189 +3249 1254 +-8530 -1196 +-3755 -1687 +2979 0 +-1403 -653 +-3373 4803 +-1149 4391 +-200 -1423 +-121 6557 +2549 6624 +2913 -4988 +5522 2106 +3489 9649 +-2121 -121 +-1775 -5291 +-5865 -5542 +-4280 -5972 +2904 1696 +4255 7169 +4468 5958 +-3684 -4988 +-5735 -9055 +1883 5714 +-2286 -1349 +-1256 -4274 +2194 2522 +1723 348 +4649 4468 +-1898 4269 +-1040 -553 +1113 -1825 +-6984 1176 +-130 1415 +2967 -1186 +-121 4609 +2979 0 +-121 -4609 +2967 1186 +-130 -1415 +-6984 -1176 +1113 1825 +-1040 553 +-1898 -4269 +4649 -4468 +1723 -348 +2194 -2522 +-1256 4274 +-2286 1349 +1883 -5714 +-5735 9055 +-3684 4988 +4468 -5958 +4758 761 +4822 2905 +-3150 4031 +-6651 6435 +-640 7206 +2398 3004 +3675 445 +-1670 4468 +-3500 5253 +2407 1867 +783 -5307 +-1952 -2048 +1397 -1734 +3904 -9177 +-953 -109 +-5958 0 +-953 109 +3904 9177 +1397 1734 +-1952 2048 +783 5307 +2407 -1867 +-3500 -5253 +-1670 -4468 +3675 -445 +2398 -3004 +-640 -7206 +-6651 -6435 +-3150 -4031 +4822 -2905 +4758 -761 +4468 5958 +-3684 -4988 +-5735 -9055 +1883 5714 +-2286 -1349 +-1256 -4274 +2194 2522 +1723 348 +4649 4468 +-1898 4269 +-1040 -553 +1113 -1825 +-6984 1176 +-130 1415 +2967 -1186 +-121 4609 +2979 1489 +-1408 1791 +1262 -5174 +-3602 -1752 +-3683 -4006 +2195 -2563 +-1730 3574 +-752 4534 +-1489 7011 +-4212 2834 +-2377 -2286 +-6277 -1474 +-3188 3672 +3299 721 +-1102 -815 +425 11231 +5958 0 +425 -11231 +-1102 815 +3299 -721 +-3188 -3672 +-6277 1474 +-2377 2286 +-4212 -2834 +-1489 -7011 +-752 -4534 +-1730 -3574 +2195 2563 +-3683 4006 +-3602 1752 +1262 5174 +-1408 -1791 +2979 -1489 +-1279 440 +-2722 -5415 +7363 213 +-1403 1027 +-2566 -2773 +7083 -422 +1567 5062 +-1489 4905 +-816 -1129 +5450 3694 +10860 3387 +2316 -693 +-2848 1499 +-5863 396 +-1951 3451 +5958 0 +-1951 -3451 +-5863 -396 +-2848 -1499 +2316 693 +10860 -3387 +5450 -3694 +-816 1129 +-1489 -4905 +1567 -5062 +7083 422 +-2566 2773 +-1403 -1027 +7363 -213 +-2722 5415 +-1279 -440 +2979 1489 +-1408 1791 +1262 -5174 +-3602 -1752 +-3683 -4006 +2195 -2563 +-1730 3574 +-752 4534 +-1489 7011 +-4212 2834 +-2377 -2286 +-6277 -1474 +-3188 3672 +3299 721 +-1102 -815 +425 11231 +-5958 4468 +-2 729 +5579 -7938 +-3266 1354 +-3246 5704 +4074 -4233 +5963 -6027 +2874 -1578 +-5085 -6755 +-4891 -3395 +-5324 7233 +-7661 -3406 +-646 -3585 +1455 631 +1532 -5275 +644 5762 +-2979 0 +644 -5762 +1532 5275 +1455 -631 +-646 3585 +-7661 3406 +-5324 -7233 +-4891 3395 +-5085 6755 +2874 1578 +5963 6027 +4074 4233 +-3246 -5704 +-3266 -1354 +5579 7938 +-2 -729 +-5958 -4468 +-2926 -425 +625 -2047 +3032 -4365 +-966 -4832 +1535 -1231 +4800 -3743 +-849 -1199 +-873 3777 +-1347 -1315 +519 1593 +6264 -766 +4859 -1500 +2992 6076 +-1778 1971 +-1928 1035 +2979 0 +-1928 -1035 +-1778 -1971 +2992 -6076 +4859 1500 +6264 766 +519 -1593 +-1347 1315 +-873 -3777 +-849 1199 +4800 3743 +1535 1231 +-966 4832 +3032 4365 +625 2047 +-2926 425 +-5958 4468 +-2 729 +5579 -7938 +-3266 1354 +-3246 5704 +4074 -4233 +5963 -6027 +2874 -1578 +-5085 -6755 +-4891 -3395 +-5324 7233 +-7661 -3406 +-646 -3585 +1455 631 +1532 -5275 +644 5762 +4468 -5958 +3502 1251 +4542 5637 +8656 -5274 +2429 -7154 +764 -3975 +4496 -2605 +-683 4097 +-9117 3851 +-2915 -1456 +3737 -392 +-1551 -1896 +-1623 -3703 +-2341 412 +-2796 -208 +215 -3874 +0 0 +215 3874 +-2796 208 +-2341 -412 +-1623 3703 +-1551 1896 +3737 392 +-2915 1456 +-9117 -3851 +-683 -4097 +4496 2605 +764 3975 +2429 7154 +8656 5274 +4542 -5637 +3502 -1251 +4468 5958 +80 -969 +-423 1060 +-2157 5796 +-323 -38 +-4663 -1508 +-4269 -2160 +6335 -394 +-2798 8064 +-1749 3868 +1994 -2628 +-6547 2907 +-483 2469 +-587 -1823 +4635 -3266 +3640 -5561 +-8937 0 +3640 5561 +4635 3266 +-587 1823 +-483 -2469 +-6547 -2907 +1994 2628 +-1749 -3868 +-2798 -8064 +6335 394 +-4269 2160 +-4663 1508 +-323 38 +-2157 -5796 +-423 -1060 +80 969 +4468 -5958 +3502 1251 +4542 5637 +8656 -5274 +2429 -7154 +764 -3975 +4496 -2605 +-683 4097 +-9117 3851 +-2915 -1456 +3737 -392 +-1551 -1896 +-1623 -3703 +-2341 412 +-2796 -208 +215 -3874 +-2979 0 +-2210 2901 +-7794 -3696 +-7326 -4801 +-4725 -617 +-3042 2370 +2080 960 +4078 -3250 +5522 -5447 +4520 -4055 +-3905 4883 +-4046 3903 +2080 -3596 +1377 -2067 +2047 -1258 +3041 -62 +1489 0 +3041 62 +2047 1258 +1377 2067 +2080 3596 +-4046 -3903 +-3905 -4883 +4520 4055 +5522 5447 +4078 3250 +2080 -960 +-3042 -2370 +-4725 617 +-7326 4801 +-7794 3696 +-2210 -2901 +-2979 0 +-3319 5745 +2762 4568 +2015 -4038 +-360 -617 +1171 8388 +2284 -6045 +5471 -165 +3415 11405 +-2072 -3119 +-459 202 +-1521 -1035 +-2952 -3596 +2946 5679 +2985 386 +-1083 1458 +-1489 0 +-1083 -1458 +2985 -386 +2946 -5679 +-2952 3596 +-1521 1035 +-459 -202 +-2072 3119 +3415 -11405 +5471 165 +2284 6045 +1171 -8388 +-360 617 +2015 4038 +2762 -4568 +-3319 -5745 +-2979 0 +-2210 2901 +-7794 -3696 +-7326 -4801 +-4725 -617 +-3042 2370 +2080 960 +4078 -3250 +5522 -5447 +4520 -4055 +-3905 4883 +-4046 3903 +2080 -3596 +1377 -2067 +2047 -1258 +3041 -62 +4468 -5958 +4262 -5038 +1402 2115 +491 -1755 +8530 -4328 +-3150 -5352 +-9208 2646 +1724 3796 +-436 -5702 +2619 2092 +2315 1613 +-6909 -3172 +-4273 4155 +-5162 2861 +-2389 -1258 +5477 -2374 +5958 0 +5477 2374 +-2389 1258 +-5162 -2861 +-4273 -4155 +-6909 3172 +2315 -1613 +2619 -2092 +-436 5702 +1724 -3796 +-9208 -2646 +-3150 5352 +8530 4328 +491 1755 +1402 -2115 +4262 5038 +4468 5958 +6962 292 +1791 2744 +1084 2648 +-1338 3456 +-6221 321 +-888 -1679 +-197 2595 +-2543 2723 +1056 -1204 +1822 -4859 +70 421 +3039 930 +2946 311 +-6762 1904 +-5050 -7877 +2979 0 +-5050 7877 +-6762 -1904 +2946 -311 +3039 -930 +70 -421 +1822 4859 +1056 1204 +-2543 -2723 +-197 -2595 +-888 1679 +-6221 -321 +-1338 -3456 +1084 -2648 +1791 -2744 +6962 -292 +4468 -5958 +4262 -5038 +1402 2115 +491 -1755 +8530 -4328 +-3150 -5352 +-9208 2646 +1724 3796 +-436 -5702 +2619 2092 +2315 1613 +-6909 -3172 +-4273 4155 +-5162 2861 +-2389 -1258 +5477 -2374 +-1489 1489 +5782 1828 +4308 1408 +-4644 3041 +-1737 -1536 +2608 -3595 +4876 3326 +-336 -1262 +-5085 -4032 +-4727 4688 +-3108 1334 +3432 -6036 +-2382 -3482 +-7309 -1628 +4595 -2998 +6731 -2763 +1489 0 +6731 2763 +4595 2998 +-7309 1628 +-2382 3482 +3432 6036 +-3108 -1334 +-4727 -4688 +-5085 4032 +-336 1262 +4876 -3326 +2608 3595 +-1737 1536 +-4644 -3041 +4308 -1408 +5782 -1828 +-1489 -1489 +-509 -5250 +1250 -6912 +4276 -1509 +-3349 -2676 +-4223 -2409 +2640 -1046 +-5906 -4470 +-873 -1926 +905 -1994 +-2663 946 +6912 8458 +1510 -730 +-1051 -5265 +18 -2506 +-1939 -9085 +1489 0 +-1939 9085 +18 2506 +-1051 5265 +1510 730 +6912 -8458 +-2663 -946 +905 1994 +-873 1926 +-5906 4470 +2640 1046 +-4223 2409 +-3349 2676 +4276 1509 +1250 6912 +-509 5250 +-1489 1489 +5782 1828 +4308 1408 +-4644 3041 +-1737 -1536 +2608 -3595 +4876 3326 +-336 -1262 +-5085 -4032 +-4727 4688 +-3108 1334 +3432 -6036 +-2382 -3482 +-7309 -1628 +4595 -2998 +6731 -2763 +5958 -2979 +3994 -1318 +-2713 3324 +-1607 -1251 +-1659 1699 +1720 6286 +1294 -1312 +91 5566 +1926 7809 +2545 2020 +-3651 8778 +-1301 3477 +8864 87 +119 3084 +-2468 -6137 +-1050 -6451 +-7447 0 +-1050 6451 +-2468 6137 +119 -3084 +8864 -87 +-1301 -3477 +-3651 -8778 +2545 -2020 +1926 -7809 +91 -5566 +1294 1312 +1720 -6286 +-1659 -1699 +-1607 1251 +-2713 -3324 +3994 1318 +5958 2979 +-8641 2827 +-6264 2162 +-606 181 +425 -3805 +4819 -8326 +-554 1998 +1395 5918 +4032 -4830 +1474 -1545 +1166 3824 +-2957 -956 +-1672 -2193 +-185 406 +1275 -293 +194 -3049 +-4468 0 +194 3049 +1275 293 +-185 -406 +-1672 2193 +-2957 956 +1166 -3824 +1474 1545 +4032 4830 +1395 -5918 +-554 -1998 +4819 8326 +425 3805 +-606 -181 +-6264 -2162 +-8641 -2827 +5958 -2979 +3994 -1318 +-2713 3324 +-1607 -1251 +-1659 1699 +1720 6286 +1294 -1312 +91 5566 +1926 7809 +2545 2020 +-3651 8778 +-1301 3477 +8864 87 +119 3084 +-2468 -6137 +-1050 -6451 +-5958 0 +-1574 -9699 +-2631 -5659 +1891 1260 +5807 1403 +-1385 1012 +3304 -7497 +-2165 -5915 +-4032 1489 +5113 -1286 +-417 854 +-480 2932 +1429 2316 +-931 1057 +2042 -2979 +1094 -974 +-1489 0 +1094 974 +2042 2979 +-931 -1057 +1429 -2316 +-480 -2932 +-417 -854 +5113 1286 +-4032 -1489 +-2165 5915 +3304 7497 +-1385 -1012 +5807 -1403 +1891 -1260 +-2631 5659 +-1574 9699 +-5958 0 +4187 -2295 +2631 -1800 +-7185 282 +-4062 3683 +-3637 -2555 +-3304 -1574 +-4648 5026 +-1926 1489 +1700 -3281 +417 2713 +5501 6988 +8741 -3188 +6225 30 +-2042 8158 +-3707 -2782 +1489 0 +-3707 2782 +-2042 -8158 +6225 -30 +8741 3188 +5501 -6988 +417 -2713 +1700 3281 +-1926 -1489 +-4648 -5026 +-3304 1574 +-3637 2555 +-4062 -3683 +-7185 -282 +2631 1800 +4187 2295 +-5958 0 +-1574 -9699 +-2631 -5659 +1891 1260 +5807 1403 +-1385 1012 +3304 -7497 +-2165 -5915 +-4032 1489 +5113 -1286 +-417 854 +-480 2932 +1429 2316 +-931 1057 +2042 -2979 +1094 -974 +-1489 -2979 +-1036 -6394 +-1886 -5938 +-1124 922 +-3380 -1839 +-3745 -1397 +1727 558 +-2593 -5205 +1926 -5085 +5361 5104 +-2269 8926 +0 2301 +521 227 +1860 -2571 +3346 -4235 +102 3218 +0 0 +102 -3218 +3346 4235 +1860 2571 +521 -227 +0 -2301 +-2269 -8926 +5361 -5104 +1926 5085 +-2593 5205 +1727 -558 +-3745 1397 +-3380 1839 +-1124 -922 +-1886 5938 +-1036 6394 +-1489 2979 +854 7160 +-127 4326 +1503 -1379 +40 -4119 +-4610 149 +-4060 3334 +-5557 -5136 +4032 -873 +5069 765 +-5568 -5034 +2851 8101 +8777 5731 +3264 -3088 +-3079 2623 +-2200 -3094 +2979 0 +-2200 3094 +-3079 -2623 +3264 3088 +8777 -5731 +2851 -8101 +-5568 5034 +5069 -765 +4032 873 +-5557 5136 +-4060 -3334 +-4610 -149 +40 4119 +1503 1379 +-127 -4326 +854 -7160 +-1489 -2979 +-1036 -6394 +-1886 -5938 +-1124 922 +-3380 -1839 +-3745 -1397 +1727 558 +-2593 -5205 +1926 -5085 +5361 5104 +-2269 8926 +0 2301 +521 227 +1860 -2571 +3346 -4235 +102 3218 +0 -2979 +-1376 4591 +613 2906 +3224 294 +-4696 1786 +-6311 -5030 +2451 -5044 +1498 -410 +-4649 873 +3769 294 +1722 -4351 +-2130 -5034 +6642 -3719 +-2287 711 +-968 200 +5003 -9330 +-1489 0 +5003 9330 +-968 -200 +-2287 -711 +6642 3719 +-2130 5034 +1722 4351 +3769 -294 +-4649 -873 +1498 410 +2451 5044 +-6311 5030 +-4696 -1786 +3224 -294 +613 -2906 +-1376 -4591 +0 2979 +-2604 3102 +32 1307 +-3658 -4001 +-5836 -5999 +32 6118 +796 9256 +2452 5455 +1670 5085 +2987 2925 +-755 138 +-5520 -3060 +3890 -494 +-199 -1685 +-3890 -4413 +5124 3281 +7447 0 +5124 -3281 +-3890 4413 +-199 1685 +3890 494 +-5520 3060 +-755 -138 +2987 -2925 +1670 -5085 +2452 -5455 +796 -9256 +32 -6118 +-5836 5999 +-3658 4001 +32 -1307 +-2604 -3102 +0 -2979 +-1376 4591 +613 2906 +3224 294 +-4696 1786 +-6311 -5030 +2451 -5044 +1498 -410 +-4649 873 +3769 294 +1722 -4351 +-2130 -5034 +6642 -3719 +-2287 711 +-968 200 +5003 -9330 +1489 2979 +3504 763 +4529 2855 +-1495 -3365 +2080 3086 +6730 4123 +-1688 2947 +-240 5869 +4905 -2979 +2315 -2302 +1745 3125 +2473 -157 +-360 -3558 +-6929 1594 +-7684 5405 +-2296 -3580 +0 0 +-2296 3580 +-7684 -5405 +-6929 -1594 +-360 3558 +2473 157 +1745 -3125 +2315 2302 +4905 2979 +-240 -5869 +-1688 -2947 +6730 -4123 +2080 -3086 +-1495 3365 +4529 -2855 +3504 -763 +1489 -2979 +-3290 -6162 +-2970 6542 +1904 5260 +-2952 -3086 +-1489 -2857 +344 -3614 +1738 -6943 +7011 -2979 +-2869 1228 +-2146 -3792 +5574 1424 +-4725 3558 +-6769 302 +-4045 3991 +1138 -1819 +8937 0 +1138 1819 +-4045 -3991 +-6769 -302 +-4725 -3558 +5574 -1424 +-2146 3792 +-2869 -1228 +7011 2979 +1738 6943 +344 3614 +-1489 2857 +-2952 3086 +1904 -5260 +-2970 -6542 +-3290 6162 +1489 2979 +3504 763 +4529 2855 +-1495 -3365 +2080 3086 +6730 4123 +-1688 2947 +-240 5869 +4905 -2979 +2315 -2302 +1745 3125 +2473 -157 +-360 -3558 +-6929 1594 +-7684 5405 +-2296 -3580 +-5958 2979 +-576 -849 +-4755 -2738 +-569 -1455 +-590 -2912 +-9774 219 +-151 1791 +4359 4519 +2543 10171 +-3939 3316 +-5864 -3269 +5612 -1116 +1850 -4052 +-1198 -1042 +1953 6376 +3578 2951 +7447 0 +3578 -2951 +1953 -6376 +-1198 1042 +1850 4052 +5612 1116 +-5864 3269 +-3939 -3316 +2543 -10171 +4359 -4519 +-151 -1791 +-9774 -219 +-590 2912 +-569 1455 +-4755 2738 +-576 849 +-5958 -2979 +517 1622 +2743 -2842 +-188 -9942 +4442 -1300 +-2139 -931 +-2183 -6917 +-427 1095 +436 1745 +6500 -5139 +-1973 611 +-3417 3975 +6214 -160 +3247 1642 +-1686 2428 +-1586 -1191 +-1489 0 +-1586 1191 +-1686 -2428 +3247 -1642 +6214 160 +-3417 -3975 +-1973 -611 +6500 5139 +436 -1745 +-427 -1095 +-2183 6917 +-2139 931 +4442 1300 +-188 9942 +2743 2842 +517 -1622 +-5958 2979 +-576 -849 +-4755 -2738 +-569 -1455 +-590 -2912 +-9774 219 +-151 1791 +4359 4519 +2543 10171 +-3939 3316 +-5864 -3269 +5612 -1116 +1850 -4052 +-1198 -1042 +1953 6376 +3578 2951 +2979 1489 +898 -181 +-4931 -538 +-5140 -2000 +-370 -4433 +4686 -3735 +4606 3011 +226 -2328 +-3596 -5266 +617 -421 +-1622 -7821 +-653 -8045 +4489 -1574 +-6583 -2081 +1009 -1287 +5552 -1728 +-5958 0 +5552 1728 +1009 1287 +-6583 2081 +4489 1574 +-653 8045 +-1622 7821 +617 421 +-3596 5266 +226 2328 +4606 -3011 +4686 3735 +-370 4433 +-5140 2000 +-4931 538 +898 181 +2979 -1489 +-63 -6445 +2179 -334 +-623 4106 +1242 -1013 +3529 -2020 +-5746 2074 +-4143 10345 +617 5266 +2953 -4013 +2762 2736 +-767 -1469 +597 -9830 +-2876 -3062 +1743 2159 +2385 2993 +-5958 0 +2385 -2993 +1743 -2159 +-2876 3062 +597 9830 +-767 1469 +2762 -2736 +2953 4013 +617 -5266 +-4143 -10345 +-5746 -2074 +3529 2020 +1242 1013 +-623 -4106 +2179 334 +-63 6445 +2979 1489 +898 -181 +-4931 -538 +-5140 -2000 +-370 -4433 +4686 -3735 +4606 3011 +226 -2328 +-3596 -5266 +617 -421 +-1622 -7821 +-653 -8045 +4489 -1574 +-6583 -2081 +1009 -1287 +5552 -1728 +-1489 2979 +80 7101 +-3105 -4253 +-2341 -6391 +-1973 2752 +2216 -1730 +5222 599 +-677 3955 +3160 873 +764 3765 +-1598 235 +6438 -1817 +940 1140 +998 -946 +2888 405 +-1174 2335 +0 0 +-1174 -2335 +2888 -405 +998 946 +940 -1140 +6438 1817 +-1598 -235 +764 -3765 +3160 -873 +-677 -3955 +5222 -599 +2216 1730 +-1973 -2752 +-2341 6391 +-3105 4253 +80 -7101 +-1489 -2979 +-2956 -720 +4770 -1572 +8725 -3722 +-3113 -2752 +-3677 3044 +3907 -920 +-4051 1893 +-3160 5085 +-2182 -1141 +-5786 7870 +-2056 10914 +-1812 -1140 +6549 -1383 +5617 2196 +-6656 822 +-8937 0 +-6656 -822 +5617 -2196 +6549 1383 +-1812 1140 +-2056 -10914 +-5786 -7870 +-2182 1141 +-3160 -5085 +-4051 -1893 +3907 920 +-3677 -3044 +-3113 2752 +8725 3722 +4770 1572 +-2956 720 +-1489 2979 +80 7101 +-3105 -4253 +-2341 -6391 +-1973 2752 +2216 -1730 +5222 599 +-677 3955 +3160 873 +764 3765 +-1598 235 +6438 -1817 +940 1140 +998 -946 +2888 405 +-1174 2335 +-4468 0 +-5138 8811 +1182 6220 +4712 2282 +1623 7488 +-4450 -4191 +-3590 -2113 +550 4942 +-1053 2979 +-889 7844 +-324 -272 +-4249 -3017 +323 -995 +6684 1853 +810 7993 +-886 -4145 +2979 0 +-886 4145 +810 -7993 +6684 -1853 +323 995 +-4249 3017 +-324 272 +-889 -7844 +-1053 -2979 +550 -4942 +-3590 2113 +-4450 4191 +1623 -7488 +4712 -2282 +1182 -6220 +-5138 -8811 +-4468 0 +2617 -1998 +1570 1391 +-3203 3495 +483 -296 +4926 3029 +4730 1939 +2940 -3359 +1053 2979 +1959 5843 +-816 -4115 +-7235 -1182 +-2429 2229 +2816 -4048 +-3562 -4595 +-1153 -5706 +5958 0 +-1153 5706 +-3562 4595 +2816 4048 +-2429 -2229 +-7235 1182 +-816 4115 +1959 -5843 +1053 -2979 +2940 3359 +4730 -1939 +4926 -3029 +483 296 +-3203 -3495 +1570 -1391 +2617 1998 +-4468 0 +-5138 8811 +1182 6220 +4712 2282 +1623 7488 +-4450 -4191 +-3590 -2113 +550 4942 +-1053 2979 +-889 7844 +-324 -272 +-4249 -3017 +323 -995 +6684 1853 +810 7993 +-886 -4145 +-1489 5958 +-882 -2148 +-2574 -2571 +-7180 1394 +-4006 -597 +1925 -231 +343 -2966 +-2392 8361 +-436 12533 +1988 92 +2780 2376 +440 -3208 +-3672 -370 +-1072 6688 +4890 -150 +1825 9045 +-2979 0 +1825 -9045 +4890 150 +-1072 -6688 +-3672 370 +440 3208 +2780 -2376 +1988 -92 +-436 -12533 +-2392 -8361 +343 2966 +1925 231 +-4006 597 +-7180 -1394 +-2574 2571 +-882 2148 +-1489 -5958 +3162 -2624 +5553 -675 +1675 -6704 +1027 -4489 +3579 4169 +2636 -1892 +112 -2366 +-2543 8320 +-4268 -243 +199 -3022 +5065 4224 +693 1242 +-4433 1932 +-1911 1117 +455 -3111 +0 0 +455 3111 +-1911 -1117 +-4433 -1932 +693 -1242 +5065 -4224 +199 3022 +-4268 243 +-2543 -8320 +112 2366 +2636 1892 +3579 -4169 +1027 4489 +1675 6704 +5553 675 +3162 2624 +-1489 5958 +-882 -2148 +-2574 -2571 +-7180 1394 +-4006 -597 +1925 -231 +343 -2966 +-2392 8361 +-436 12533 +1988 92 +2780 2376 +440 -3208 +-3672 -370 +-1072 6688 +4890 -150 +1825 9045 +0 -5958 +-526 995 +5331 2080 +-2697 -3704 +-7267 -4155 +-4896 3388 +931 4725 +-466 427 +-3160 1489 +3662 -767 +5473 -360 +1326 3341 +1644 -3456 +1653 -1528 +-6112 2952 +-2214 -5560 +7447 0 +-2214 5560 +-6112 -2952 +1653 1528 +1644 3456 +1326 -3341 +5473 360 +3662 767 +-3160 -1489 +-466 -427 +931 -4725 +-4896 -3388 +-7267 4155 +-2697 3704 +5331 -2080 +-526 -995 +0 5958 +5930 4890 +-4953 2080 +-3537 3268 +-2903 -930 +-1607 419 +10153 4725 +2709 904 +3160 1489 +6091 806 +-2173 -360 +-2260 6959 +-3389 4328 +3592 -841 +3266 2952 +-6761 1728 +-7447 0 +-6761 -1728 +3266 -2952 +3592 841 +-3389 -4328 +-2260 -6959 +-2173 360 +6091 -806 +3160 -1489 +2709 -904 +10153 -4725 +-1607 -419 +-2903 930 +-3537 -3268 +-4953 -2080 +5930 -4890 +0 -5958 +-526 995 +5331 2080 +-2697 -3704 +-7267 -4155 +-4896 3388 +931 4725 +-466 427 +-3160 1489 +3662 -767 +5473 -360 +1326 3341 +1644 -3456 +1653 -1528 +-6112 2952 +-2214 -5560 +-4468 -4468 +-2188 -1173 +-3158 -1237 +5625 -1196 +5070 -1746 +-3175 -574 +1696 -4374 +171 -3662 +4468 1670 +3951 1312 +-7225 4882 +2763 2489 +6833 -5059 +-3625 -155 +-6560 3176 +-3926 -861 +-1489 0 +-3926 861 +-6560 -3176 +-3625 155 +6833 5059 +2763 -2489 +-7225 -4882 +3951 -1312 +4468 -1670 +171 3662 +1696 4374 +-3175 574 +5070 1746 +5625 1196 +-3158 1237 +-2188 1173 +-4468 4468 +-2674 5216 +3403 270 +5962 6746 +2122 2618 +-421 -6434 +3110 5020 +2271 2783 +4468 -4649 +1392 730 +-3538 -24 +-2391 1209 +-8067 -27 +-4738 -441 +357 70 +1004 -9025 +4468 0 +1004 9025 +357 -70 +-4738 441 +-8067 27 +-2391 -1209 +-3538 24 +1392 -730 +4468 4649 +2271 -2783 +3110 -5020 +-421 6434 +2122 -2618 +5962 -6746 +3403 -270 +-2674 -5216 +-4468 -4468 +-2188 -1173 +-3158 -1237 +5625 -1196 +5070 -1746 +-3175 -574 +1696 -4374 +171 -3662 +4468 1670 +3951 1312 +-7225 4882 +2763 2489 +6833 -5059 +-3625 -155 +-6560 3176 +-3926 -861 +-1489 -7447 +-3733 -5282 +3752 4418 +-1604 1200 +1510 1442 +5571 2263 +268 -962 +3321 -3416 +2106 -1926 +990 -256 +4674 -2933 +357 43 +-1737 -6461 +2989 -6359 +6281 6210 +-1798 -1255 +-10426 0 +-1798 1255 +6281 -6210 +2989 6359 +-1737 6461 +357 -43 +4674 2933 +990 256 +2106 1926 +3321 3416 +268 962 +5571 -2263 +1510 -1442 +-1604 -1200 +3752 -4418 +-3733 5282 +-1489 7447 +4632 -3965 +-3699 -4872 +-5143 11 +-2382 303 +3161 -162 +4969 4640 +-3251 286 +-2106 -4032 +-3340 350 +-8166 -5305 +-3585 -5727 +-3349 -3709 +-1745 -214 +3836 5252 +3178 -4767 +1489 0 +3178 4767 +3836 -5252 +-1745 214 +-3349 3709 +-3585 5727 +-8166 5305 +-3340 -350 +-2106 4032 +-3251 -286 +4969 -4640 +3161 162 +-2382 -303 +-5143 -11 +-3699 4872 +4632 3965 +-1489 -7447 +-3733 -5282 +3752 4418 +-1604 1200 +1510 1442 +5571 2263 +268 -962 +3321 -3416 +2106 -1926 +990 -256 +4674 -2933 +357 43 +-1737 -6461 +2989 -6359 +6281 6210 +-1798 -1255 +4468 1489 +4137 -3627 +-3667 -10535 +-5396 -800 +-4155 -410 +833 -3912 +4054 -3971 +3044 -4301 +-3851 1053 +-2747 3347 +198 -1665 +-987 -1540 +3456 4289 +-957 -1083 +-723 -8291 +2469 -2162 +-1489 0 +2469 2162 +-723 8291 +-957 1083 +3456 -4289 +-987 1540 +198 1665 +-2747 -3347 +-3851 -1053 +3044 4301 +4054 3971 +833 3912 +-4155 410 +-5396 800 +-3667 10535 +4137 3627 +4468 -1489 +-3862 5603 +5679 -1141 +7118 436 +-930 4622 +949 -3358 +-1721 -865 +-4141 -356 +-8064 -1053 +-1660 421 +7640 -3172 +-3074 2695 +-4328 -76 +1516 -7707 +455 -3385 +2760 -4287 +4468 0 +2760 4287 +455 3385 +1516 7707 +-4328 76 +-3074 -2695 +7640 3172 +-1660 -421 +-8064 1053 +-4141 356 +-1721 865 +949 3358 +-930 -4622 +7118 -436 +5679 1141 +-3862 -5603 +4468 1489 +4137 -3627 +-3667 -10535 +-5396 -800 +-4155 -410 +833 -3912 +4054 -3971 +3044 -4301 +-3851 1053 +-2747 3347 +198 -1665 +-987 -1540 +3456 4289 +-957 -1083 +-723 -8291 +2469 -2162 +4468 -4468 +692 2981 +2361 1130 +-1074 735 +-2209 1710 +8422 -3367 +1716 -2861 +-1321 -3965 +2106 -4032 +-1071 -326 +440 2314 +1583 6241 +4262 -4128 +2371 -10644 +-1972 1620 +126 3966 +1489 0 +126 -3966 +-1972 -1620 +2371 10644 +4262 4128 +1583 -6241 +440 -2314 +-1071 326 +2106 4032 +-1321 3965 +1716 2861 +8422 3367 +-2209 -1710 +-1074 -735 +2361 -1130 +692 -2981 +4468 4468 +-1065 3885 +-1394 -2043 +-2943 -1677 +-2877 -1710 +4473 -3807 +-1070 -1730 +-31 2409 +-2106 -1926 +-11854 -2976 +-5299 -948 +-1537 -3244 +-5134 4128 +-2870 -468 +5218 -8491 +6099 4646 +1489 0 +6099 -4646 +5218 8491 +-2870 468 +-5134 -4128 +-1537 3244 +-5299 948 +-11854 2976 +-2106 1926 +-31 -2409 +-1070 1730 +4473 3807 +-2877 1710 +-2943 1677 +-1394 2043 +-1065 -3885 +4468 -4468 +692 2981 +2361 1130 +-1074 735 +-2209 1710 +8422 -3367 +1716 -2861 +-1321 -3965 +2106 -4032 +-1071 -326 +440 2314 +1583 6241 +4262 -4128 +2371 -10644 +-1972 1620 +126 3966 +5958 -5958 +4574 1467 +-4674 4779 +-10599 6002 +-4302 370 +-2453 -1620 +-974 5673 +-211 -3624 +-1053 -3596 +-2075 6523 +-835 -994 +-3587 712 +-5901 4489 +4199 1216 +-371 2415 +-2202 -2298 +7447 0 +-2202 2298 +-371 -2415 +4199 -1216 +-5901 -4489 +-3587 -712 +-835 994 +-2075 -6523 +-1053 3596 +-211 3624 +-974 -5673 +-2453 1620 +-4302 -370 +-10599 -6002 +-4674 -4779 +4574 -1467 +5958 5958 +5512 2706 +-2197 -619 +4305 2018 +8515 -1242 +-2828 -223 +-393 3777 +1083 5295 +1053 617 +2192 -6142 +-3756 273 +-3129 3937 +1688 597 +5667 4871 +1284 3489 +-447 -3246 +4468 0 +-447 3246 +1284 -3489 +5667 -4871 +1688 -597 +-3129 -3937 +-3756 -273 +2192 6142 +1053 -617 +1083 -5295 +-393 -3777 +-2828 223 +8515 1242 +4305 -2018 +-2197 619 +5512 -2706 +5958 -5958 +4574 1467 +-4674 4779 +-10599 6002 +-4302 370 +-2453 -1620 +-974 5673 +-211 -3624 +-1053 -3596 +-2075 6523 +-835 -994 +-3587 712 +-5901 4489 +4199 1216 +-371 2415 +-2202 -2298 +4468 -2979 +-4690 3524 +-1889 3469 +4653 -797 +483 5382 +1407 4939 +-8285 -4940 +-4688 1071 +6139 6319 +-1873 -4747 +-1084 -3486 +-890 4545 +-2429 -3102 +4368 -7357 +322 -3272 +-31 -3330 +5958 0 +-31 3330 +322 3272 +4368 7357 +-2429 3102 +-890 -4545 +-1084 3486 +-1873 4747 +6139 -6319 +-4688 -1071 +-8285 4940 +1407 -4939 +483 -5382 +4653 797 +-1889 -3469 +-4690 -3524 +4468 2979 +-1789 2614 +-3842 -663 +-3039 -1918 +1623 -2403 +9020 -1551 +4167 -1437 +2970 -3345 +-181 -6319 +-622 -6603 +-755 -1146 +-5323 2111 +323 123 +-1769 -516 +-548 -4094 +2297 -8033 +-2979 0 +2297 8033 +-548 4094 +-1769 516 +323 -123 +-5323 -2111 +-755 1146 +-622 6603 +-181 6319 +2970 3345 +4167 1437 +9020 1551 +1623 2403 +-3039 1918 +-3842 663 +-1789 -2614 +4468 -2979 +-4690 3524 +-1889 3469 +4653 -797 +483 5382 +1407 4939 +-8285 -4940 +-4688 1071 +6139 6319 +-1873 -4747 +-1084 -3486 +-890 4545 +-2429 -3102 +4368 -7357 +322 -3272 +-31 -3330 +1489 -2979 +-5065 1355 +3254 -799 +2285 -8015 +-4041 -189 +-3552 611 +-2593 -1758 +1337 2901 +-5266 -4213 +-2036 -2266 +1286 8720 +-4868 4426 +5515 1650 +2234 -2763 +-5901 -6676 +-3820 2642 +-5958 0 +-3820 -2642 +-5901 6676 +2234 2763 +5515 -1650 +-4868 -4426 +1286 -8720 +-2036 2266 +-5266 4213 +1337 -2901 +-2593 1758 +-3552 -611 +-4041 189 +2285 8015 +3254 799 +-5065 -1355 +1489 2979 +2649 -4208 +3390 -4746 +7932 2844 +1935 1423 +148 -5268 +2120 -5638 +6101 834 +5266 4213 +-842 2323 +-814 12 +-2736 2379 +-3409 5542 +-1443 -2862 +-743 -6572 +1676 2743 +2979 0 +1676 -2743 +-743 6572 +-1443 2862 +-3409 -5542 +-2736 -2379 +-814 -12 +-842 -2323 +5266 -4213 +6101 -834 +2120 5638 +148 5268 +1935 -1423 +7932 -2844 +3390 4746 +2649 4208 +1489 -2979 +-5065 1355 +3254 -799 +2285 -8015 +-4041 -189 +-3552 611 +-2593 -1758 +1337 2901 +-5266 -4213 +-2036 -2266 +1286 8720 +-4868 4426 +5515 1650 +2234 -2763 +-5901 -6676 +-3820 2642 +1489 -1489 +-4159 3496 +-3764 7852 +-3472 5901 +-4468 -2080 +3781 -3271 +5782 1044 +6946 -3876 +-1489 436 +-4765 4142 +6364 -7364 +-289 -5133 +-4468 -360 +2932 -1637 +3692 2291 +5234 -13 +7447 0 +5234 13 +3692 -2291 +2932 1637 +-4468 360 +-289 5133 +6364 7364 +-4765 -4142 +-1489 -436 +6946 3876 +5782 -1044 +3781 3271 +-4468 2080 +-3472 -5901 +-3764 -7852 +-4159 -3496 +1489 1489 +-3223 121 +917 -2993 +2546 -2568 +-4468 2952 +-3966 3101 +-2482 -78 +4103 6671 +-1489 2543 +-6284 -3627 +4720 4118 +474 -541 +-4468 -4725 +-2006 -534 +-3314 -1645 +2149 1350 +7447 0 +2149 -1350 +-3314 1645 +-2006 534 +-4468 4725 +474 541 +4720 -4118 +-6284 3627 +-1489 -2543 +4103 -6671 +-2482 78 +-3966 -3101 +-4468 -2952 +2546 2568 +917 2993 +-3223 -121 +1489 -1489 +-4159 3496 +-3764 7852 +-3472 5901 +-4468 -2080 +3781 -3271 +5782 1044 +6946 -3876 +-1489 436 +-4765 4142 +6364 -7364 +-289 -5133 +-4468 -360 +2932 -1637 +3692 2291 +5234 -13 +-2979 -4468 +1486 696 +1076 -6344 +-796 -3267 +-3645 797 +-5827 -4489 +1481 745 +87 4557 +-2723 6139 +-2487 -17 +-956 -8779 +3528 -863 +-719 -5495 +-159 -8217 +54 5845 +-3947 2795 +-2979 0 +-3947 -2795 +54 -5845 +-159 8217 +-719 5495 +3528 863 +-956 8779 +-2487 17 +-2723 -6139 +87 -4557 +1481 -745 +-5827 4489 +-3645 -797 +-796 3267 +1076 6344 +1486 -696 +-2979 4468 +-1761 -957 +-4322 -9999 +-925 2832 +5751 5161 +4045 -7391 +3377 -6116 +1011 1287 +5702 -181 +6894 -97 +310 -82 +534 -5060 +-1387 -463 +-400 1823 +-1020 -1846 +-1282 2901 +2979 0 +-1282 -2901 +-1020 1846 +-400 -1823 +-1387 463 +534 5060 +310 82 +6894 97 +5702 181 +1011 -1287 +3377 6116 +4045 7391 +5751 -5161 +-925 -2832 +-4322 9999 +-1761 957 +-2979 -4468 +1486 696 +1076 -6344 +-796 -3267 +-3645 797 +-5827 -4489 +1481 745 +87 4557 +-2723 6139 +-2487 -17 +-956 -8779 +3528 -863 +-719 -5495 +-159 -8217 +54 5845 +-3947 2795 +-2979 -2979 +-513 1202 +5208 807 +2634 -521 +410 7014 +5236 4825 +-213 -1428 +-3722 -4061 +-3160 1489 +2513 1681 +6598 -4214 +1785 4891 +4289 4017 +3154 -4641 +281 -1452 +-801 -3129 +-4468 0 +-801 3129 +281 1452 +3154 4641 +4289 -4017 +1785 -4891 +6598 4214 +2513 -1681 +-3160 -1489 +-3722 4061 +-213 1428 +5236 -4825 +410 -7014 +2634 521 +5208 -807 +-513 -1202 +-2979 2979 +-4323 -6220 +51 -540 +-2225 4260 +-4622 -7887 +212 2529 +-2313 9265 +-336 -1186 +3160 1489 +5116 2790 +1885 1881 +-6244 4396 +-76 1069 +3874 1887 +418 3465 +-6359 -598 +-13405 0 +-6359 598 +418 -3465 +3874 -1887 +-76 -1069 +-6244 -4396 +1885 -1881 +5116 -2790 +3160 -1489 +-336 1186 +-2313 -9265 +212 -2529 +-4622 7887 +-2225 -4260 +51 540 +-4323 6220 +-2979 -2979 +-513 1202 +5208 807 +2634 -521 +410 7014 +5236 4825 +-213 -1428 +-3722 -4061 +-3160 1489 +2513 1681 +6598 -4214 +1785 4891 +4289 4017 +3154 -4641 +281 -1452 +-801 -3129 +4468 0 +-3660 -8449 +-3130 2118 +3757 6321 +-4898 3945 +-602 6141 +7268 4578 +-34 -2915 +-1053 -2979 +139 2993 +3569 196 +1648 1975 +-5531 1880 +-870 1100 +-4519 4412 +-3549 -3957 +5958 0 +-3549 3957 +-4519 -4412 +-870 -1100 +-5531 -1880 +1648 -1975 +3569 -196 +139 -2993 +-1053 2979 +-34 2915 +7268 -4578 +-602 -6141 +-4898 -3945 +3757 -6321 +-3130 -2118 +-3660 8449 +4468 0 +3336 -3087 +3776 407 +3579 -3723 +4026 6225 +1612 5370 +-4022 -3879 +-351 -1718 +1053 -2979 +-1687 -2656 +-2603 -5455 +-3949 -8419 +446 -3625 +3251 3884 +-339 4071 +-2619 -6100 +-2979 0 +-2619 6100 +-339 -4071 +3251 -3884 +446 3625 +-3949 8419 +-2603 5455 +-1687 2656 +1053 2979 +-351 1718 +-4022 3879 +1612 -5370 +4026 -6225 +3579 3723 +3776 -407 +3336 3087 +4468 0 +-3660 -8449 +-3130 2118 +3757 6321 +-4898 3945 +-602 6141 +7268 4578 +-34 -2915 +-1053 -2979 +139 2993 +3569 196 +1648 1975 +-5531 1880 +-870 1100 +-4519 4412 +-3549 -3957 +7447 0 +7909 -5148 +-1333 2480 +-10534 7815 +-1973 1140 +5630 -2048 +3257 -426 +1005 -4958 +-1926 -2979 +2066 -463 +916 -6327 +-4367 886 +940 -2752 +1216 -7991 +978 2982 +5378 -1903 +5958 0 +5378 1903 +978 -2982 +1216 7991 +940 2752 +-4367 -886 +916 6327 +2066 463 +-1926 2979 +1005 4958 +3257 426 +5630 2048 +-1973 -1140 +-10534 -7815 +-1333 -2480 +7909 5148 +7447 0 +-233 1217 +-1914 1658 +3336 -4966 +-3113 -1140 +-3342 -2593 +1602 -2767 +-5017 1254 +-4032 -2979 +654 -4986 +-1561 -2824 +-4414 4644 +-1812 2752 +4050 669 +-1944 7114 +-3337 -283 +2979 0 +-3337 283 +-1944 -7114 +4050 -669 +-1812 -2752 +-4414 -4644 +-1561 2824 +654 4986 +-4032 2979 +-5017 -1254 +1602 2767 +-3342 2593 +-3113 1140 +3336 4966 +-1914 -1658 +-233 -1217 +7447 0 +7909 -5148 +-1333 2480 +-10534 7815 +-1973 1140 +5630 -2048 +3257 -426 +1005 -4958 +-1926 -2979 +2066 -463 +916 -6327 +-4367 886 +940 -2752 +1216 -7991 +978 2982 +5378 -1903 +-7447 5958 +-1017 -4132 +2672 -4396 +-1304 299 +-2545 -4262 +-8156 1908 +1255 2781 +4609 -1558 +-4649 617 +-6359 -1135 +-2392 -2433 +-12 -3186 +-6744 -2877 +-6615 -1566 +1187 -1771 +6020 1328 +8937 0 +6020 -1328 +1187 1771 +-6615 1566 +-6744 2877 +-12 3186 +-2392 2433 +-6359 1135 +-4649 -617 +4609 1558 +1255 -2781 +-8156 -1908 +-2545 4262 +-1304 -299 +2672 4396 +-1017 4132 +-7447 -5958 +7959 798 +4520 1150 +-1211 -814 +7992 5134 +602 -3245 +-2489 -7639 +1555 -4116 +1670 -3596 +836 965 +1158 1787 +2365 -431 +-4660 -2209 +-2520 -1229 +6005 2738 +3247 843 +0 0 +3247 -843 +6005 -2738 +-2520 1229 +-4660 2209 +2365 431 +1158 -1787 +836 -965 +1670 3596 +1555 4116 +-2489 7639 +602 3245 +7992 -5134 +-1211 814 +4520 -1150 +7959 -798 +-7447 5958 +-1017 -4132 +2672 -4396 +-1304 299 +-2545 -4262 +-8156 1908 +1255 2781 +4609 -1558 +-4649 617 +-6359 -1135 +-2392 -2433 +-12 -3186 +-6744 -2877 +-6615 -1566 +1187 -1771 +6020 1328 +1489 2979 +3068 793 +3657 2631 +-807 5043 +-2516 -2826 +-345 -2437 +-3224 4332 +4135 5140 +4649 256 +-3620 -2326 +-4185 1508 +-3254 -1623 +-2182 -7858 +-6086 -8052 +-2987 -5011 +695 367 +-2979 0 +695 -367 +-2987 5011 +-6086 8052 +-2182 7858 +-3254 1623 +-4185 -1508 +-3620 2326 +4649 -256 +4135 -5140 +-3224 -4332 +-345 2437 +-2516 2826 +-807 -5043 +3657 -2631 +3068 -793 +1489 -2979 +-2645 2678 +2342 4334 +4423 839 +2516 -3494 +2720 -3724 +-495 -1259 +2804 -4 +-1670 8681 +-5599 2145 +3691 -6860 +6383 6622 +2182 1539 +-3034 -3674 +1201 3550 +1163 -7148 +-5958 0 +1163 7148 +1201 -3550 +-3034 3674 +2182 -1539 +6383 -6622 +3691 6860 +-5599 -2145 +-1670 -8681 +2804 4 +-495 1259 +2720 3724 +2516 3494 +4423 -839 +2342 -4334 +-2645 -2678 +1489 2979 +3068 793 +3657 2631 +-807 5043 +-2516 -2826 +-345 -2437 +-3224 4332 +4135 5140 +4649 256 +-3620 -2326 +-4185 1508 +-3254 -1623 +-2182 -7858 +-6086 -8052 +-2987 -5011 +695 367 +-4468 -1489 +2808 1235 +3101 1007 +-5531 -2621 +1823 -4898 +-2375 3938 +198 6058 +7104 489 +-1489 5522 +-7547 4192 +-8092 -5596 +-482 -1237 +6188 5531 +4001 1818 +4484 -2082 +5419 -2208 +4468 0 +5419 2208 +4484 2082 +4001 -1818 +6188 -5531 +-482 1237 +-8092 5596 +-7547 -4192 +-1489 -5522 +7104 -489 +198 -6058 +-2375 -3938 +1823 4898 +-5531 2621 +3101 -1007 +2808 -1235 +-4468 1489 +8396 4237 +2724 -4632 +-2957 -7348 +1156 4026 +-2355 3558 +-519 167 +-2669 6208 +-1489 3415 +-459 -1254 +-13 1651 +4224 842 +-3209 -446 +-2950 664 +-1884 202 +-4626 432 +-1489 0 +-4626 -432 +-1884 -202 +-2950 -664 +-3209 446 +4224 -842 +-13 -1651 +-459 1254 +-1489 -3415 +-2669 -6208 +-519 -167 +-2355 -3558 +1156 -4026 +-2957 7348 +2724 4632 +8396 -4237 +-4468 -1489 +2808 1235 +3101 1007 +-5531 -2621 +1823 -4898 +-2375 3938 +198 6058 +7104 489 +-1489 5522 +-7547 4192 +-8092 -5596 +-482 -1237 +6188 5531 +4001 1818 +4484 -2082 +5419 -2208 +1489 1489 +2605 -2732 +1431 -6239 +-2221 743 +-1576 -1376 +2969 1251 +-2189 -3159 +-2205 -4096 +3851 4032 +-1413 628 +-1823 5460 +595 3697 +-5295 -570 +-4098 4494 +-1037 354 +-3458 1662 +-4468 0 +-3458 -1662 +-1037 -354 +-4098 -4494 +-5295 570 +595 -3697 +-1823 -5460 +-1413 -628 +3851 -4032 +-2205 4096 +-2189 3159 +2969 -1251 +-1576 1376 +-2221 -743 +1431 6239 +2605 2732 +1489 -1489 +-439 2527 +2060 -1866 +871 4206 +704 1376 +6836 -9781 +2136 -2666 +380 1618 +8064 1926 +958 4678 +-8295 -2860 +-4896 -9002 +209 570 +-57 3679 +-4200 -34 +3572 5917 +13405 0 +3572 -5917 +-4200 34 +-57 -3679 +209 -570 +-4896 9002 +-8295 2860 +958 -4678 +8064 -1926 +380 -1618 +2136 2666 +6836 9781 +704 -1376 +871 -4206 +2060 1866 +-439 -2527 +1489 1489 +2605 -2732 +1431 -6239 +-2221 743 +-1576 -1376 +2969 1251 +-2189 -3159 +-2205 -4096 +3851 4032 +-1413 628 +-1823 5460 +595 3697 +-5295 -570 +-4098 4494 +-1037 354 +-3458 1662 +-1489 2979 +-2227 -474 +954 -3728 +-3126 -928 +-2676 87 +-5653 9140 +-12513 4531 +-3368 -5418 +1309 617 +85 -2029 +5032 -1394 +3117 989 +730 -3805 +1241 -1037 +-1626 437 +-1664 387 +0 0 +-1664 -387 +-1626 -437 +1241 1037 +730 3805 +3117 -989 +5032 1394 +85 2029 +1309 -617 +-3368 5418 +-12513 -4531 +-5653 -9140 +-2676 -87 +-3126 928 +954 3728 +-2227 474 +-1489 -2979 +772 -1145 +453 597 +349 2577 +-1536 -2193 +3489 2017 +1923 7693 +-280 1199 +7628 -3596 +1629 -3178 +-4614 -765 +-2244 -1829 +3482 1699 +11253 -885 +-1526 -6035 +-3374 5431 +8937 0 +-3374 -5431 +-1526 6035 +11253 885 +3482 -1699 +-2244 1829 +-4614 765 +1629 3178 +7628 3596 +-280 -1199 +1923 -7693 +3489 -2017 +-1536 2193 +349 -2577 +453 -597 +772 1145 +-1489 2979 +-2227 -474 +954 -3728 +-3126 -928 +-2676 87 +-5653 9140 +-12513 4531 +-3368 -5418 +1309 617 +85 -2029 +5032 -1394 +3117 989 +730 -3805 +1241 -1037 +-1626 437 +-1664 387 +0 0 +6900 -2818 +2239 -206 +-732 1129 +1223 966 +4289 2261 +8653 -2426 +812 -4917 +1670 -2979 +4013 -1506 +1111 1844 +-4222 4490 +-11407 4859 +2999 1674 +5679 -1449 +-2249 272 +1489 0 +-2249 -272 +5679 1449 +2999 -1674 +-11407 -4859 +-4222 -4490 +1111 -1844 +4013 1506 +1670 2979 +812 4917 +8653 2426 +4289 -2261 +1223 -966 +-732 -1129 +2239 206 +6900 2818 +0 0 +4562 1502 +1385 -1028 +-8462 -4787 +-861 3246 +625 -544 +-2428 -4766 +-4286 4504 +-4649 -2979 +1394 -6345 +2834 5347 +600 799 +-870 -646 +-3521 6665 +-7558 2683 +-2721 -600 +4468 0 +-2721 600 +-7558 -2683 +-3521 -6665 +-870 646 +600 -799 +2834 -5347 +1394 6345 +-4649 2979 +-4286 -4504 +-2428 4766 +625 544 +-861 -3246 +-8462 4787 +1385 1028 +4562 -1502 +0 0 +6900 -2818 +2239 -206 +-732 1129 +1223 966 +4289 2261 +8653 -2426 +812 -4917 +1670 -2979 +4013 -1506 +1111 1844 +-4222 4490 +-11407 4859 +2999 1674 +5679 -1449 +-2249 272 +-4468 2979 +-4248 -4922 +-4122 -9219 +-1335 652 +338 4859 +1842 508 +-2850 253 +-4903 -2121 +6139 -2106 +-3676 3891 +-5327 519 +4353 -2627 +-5478 3246 +2543 -1327 +2901 -8886 +-3886 -4110 +2979 0 +-3886 4110 +2901 8886 +2543 1327 +-5478 -3246 +4353 2627 +-5327 -519 +-3676 -3891 +6139 2106 +-4903 2121 +-2850 -253 +1842 -508 +338 -4859 +-1335 -652 +-4122 9219 +-4248 4922 +-4468 -2979 +1311 -3547 +2110 -4390 +-1917 -2709 +534 -646 +3023 -4356 +517 -3799 +-5608 1287 +-181 2106 +3481 3059 +-2510 4362 +4711 2003 +10563 966 +3631 2494 +-2634 3702 +677 3426 +5958 0 +677 -3426 +-2634 -3702 +3631 -2494 +10563 -966 +4711 -2003 +-2510 -4362 +3481 -3059 +-181 -2106 +-5608 -1287 +517 3799 +3023 4356 +534 646 +-1917 2709 +2110 4390 +1311 3547 +-4468 2979 +-4248 -4922 +-4122 -9219 +-1335 652 +338 4859 +1842 508 +-2850 253 +-4903 -2121 +6139 -2106 +-3676 3891 +-5327 519 +4353 -2627 +-5478 3246 +2543 -1327 +2901 -8886 +-3886 -4110 +4468 0 +2333 -3803 +296 -1283 +3071 -255 +2356 -3805 +-47 259 +-2199 -8305 +-1200 -3200 +5522 6936 +3462 -3531 +-6219 735 +-3026 -1537 +5095 -2193 +4180 10516 +2479 3694 +1549 10 +0 0 +1549 -10 +2479 -3694 +4180 -10516 +5095 2193 +-3026 1537 +-6219 -735 +3462 3531 +5522 -6936 +-1200 3200 +-2199 8305 +-47 -259 +2356 3805 +3071 255 +296 1283 +2333 3803 +4468 0 +-1367 194 +-3448 -1091 +-2425 3398 +-6569 1699 +-4812 -6669 +2618 -1639 +4446 205 +3415 -9915 +-215 -6901 +-4371 3705 +-1832 -1302 +-882 87 +-3534 4625 +-1072 -3600 +-583 -2630 +-2979 0 +-583 2630 +-1072 3600 +-3534 -4625 +-882 -87 +-1832 1302 +-4371 -3705 +-215 6901 +3415 9915 +4446 -205 +2618 1639 +-4812 6669 +-6569 -1699 +-2425 -3398 +-3448 1091 +-1367 -194 +4468 0 +2333 -3803 +296 -1283 +3071 -255 +2356 -3805 +-47 259 +-2199 -8305 +-1200 -3200 +5522 6936 +3462 -3531 +-6219 735 +-3026 -1537 +5095 -2193 +4180 10516 +2479 3694 +1549 10 +-1489 -4468 +5622 2097 +7352 8547 +5070 -6315 +6718 -4812 +-1752 3349 +-4550 445 +1263 2486 +0 -1053 +-281 -2405 +-3721 478 +-9732 1414 +-6246 1726 +-1913 -4344 +-484 -1469 +-370 7093 +-1489 0 +-370 -7093 +-484 1469 +-1913 4344 +-6246 -1726 +-9732 -1414 +-3721 -478 +-281 2405 +0 1053 +1263 -2486 +-4550 -445 +-1752 -3349 +6718 4812 +5070 6315 +7352 -8547 +5622 -2097 +-1489 4468 +2303 -1163 +-387 -936 +-5254 3140 +-399 1833 +-1195 -5381 +1477 -618 +6051 711 +0 1053 +-1832 5148 +-1632 -4864 +-3531 232 +-74 1253 +1456 -7069 +1945 4867 +4095 5304 +4468 0 +4095 -5304 +1945 -4867 +1456 7069 +-74 -1253 +-3531 -232 +-1632 4864 +-1832 -5148 +0 -1053 +6051 -711 +1477 618 +-1195 5381 +-399 -1833 +-5254 -3140 +-387 936 +2303 1163 +-1489 -4468 +5622 2097 +7352 8547 +5070 -6315 +6718 -4812 +-1752 3349 +-4550 445 +1263 2486 +0 -1053 +-281 -2405 +-3721 478 +-9732 1414 +-6246 1726 +-1913 -4344 +-484 -1469 +-370 7093 +4468 -2979 +7855 2882 +-1881 -6062 +-11156 -5262 +-4622 -247 +1465 -10983 +-408 -5042 +2727 506 +-436 -5702 +-341 538 +763 1333 +-2633 -952 +-76 893 +-4821 -3872 +185 -268 +7182 7115 +2979 0 +7182 -7115 +185 268 +-4821 3872 +-76 -893 +-2633 952 +763 -1333 +-341 -538 +-436 5702 +2727 -506 +-408 5042 +1465 10983 +-4622 247 +-11156 5262 +-1881 6062 +7855 -2882 +4468 2979 +-3324 1835 +-226 -4795 +1851 -4601 +410 -1859 +577 1442 +2514 357 +4478 4133 +-2543 2723 +-718 -5081 +1344 2407 +-2330 5154 +4289 -2999 +197 -4164 +-2292 -2164 +-1007 336 +-5958 0 +-1007 -336 +-2292 2164 +197 4164 +4289 2999 +-2330 -5154 +1344 -2407 +-718 5081 +-2543 -2723 +4478 -4133 +2514 -357 +577 -1442 +410 1859 +1851 4601 +-226 4795 +-3324 -1835 +4468 -2979 +7855 2882 +-1881 -6062 +-11156 -5262 +-4622 -247 +1465 -10983 +-408 -5042 +2727 506 +-436 -5702 +-341 538 +763 1333 +-2633 -952 +-76 893 +-4821 -3872 +185 -268 +7182 7115 +-5958 -7447 +200 -3315 +4559 5623 +-1487 -963 +-123 -5408 +-1960 3466 +5128 -1818 +4054 -7084 +-5085 1670 +357 -994 +2057 -2117 +3712 6356 +5382 1356 +-1392 -1698 +-3823 3402 +-5783 459 +-8937 0 +-5783 -459 +-3823 -3402 +-1392 1698 +5382 -1356 +3712 -6356 +2057 2117 +357 994 +-5085 -1670 +4054 7084 +5128 1818 +-1960 -3466 +-123 5408 +-1487 963 +4559 -5623 +200 3315 +-5958 7447 +4683 5415 +-462 5234 +-2334 826 +3102 -2656 +4892 1691 +7741 6503 +-2820 2371 +-873 -4649 +3913 -3719 +-4756 -1624 +-4364 -1198 +-2403 2496 +2933 1562 +1471 -971 +-4604 1641 +-2979 0 +-4604 -1641 +1471 971 +2933 -1562 +-2403 -2496 +-4364 1198 +-4756 1624 +3913 3719 +-873 4649 +-2820 -2371 +7741 -6503 +4892 -1691 +3102 2656 +-2334 -826 +-462 -5234 +4683 -5415 +-5958 -7447 +200 -3315 +4559 5623 +-1487 -963 +-123 -5408 +-1960 3466 +5128 -1818 +4054 -7084 +-5085 1670 +357 -994 +2057 -2117 +3712 6356 +5382 1356 +-1392 -1698 +-3823 3402 +-5783 459 +-5958 1489 +-4437 3072 +4540 495 +-3058 2853 +-2543 6112 +5692 2336 +-1879 1201 +1792 238 +-1489 -3160 +-3200 -100 +9435 -2342 +5921 -8035 +-436 -1565 +-4452 564 +-1116 -4185 +7888 -1341 +8937 0 +7888 1341 +-1116 4185 +-4452 -564 +-436 1565 +5921 8035 +9435 2342 +-3200 100 +-1489 3160 +1792 -238 +-1879 -1201 +5692 -2336 +-2543 -6112 +-3058 -2853 +4540 -495 +-4437 -3072 +-5958 -1489 +-3476 2644 +1859 3224 +1525 344 +-2543 1080 +2815 2652 +-3398 -2987 +-4289 -2156 +-1489 3160 +-7289 -4098 +1800 -3657 +5006 7519 +-436 2799 +3401 -2872 +675 3691 +-3841 4778 +-2979 0 +-3841 -4778 +675 -3691 +3401 2872 +-436 -2799 +5006 -7519 +1800 3657 +-7289 4098 +-1489 -3160 +-4289 2156 +-3398 2987 +2815 -2652 +-2543 -1080 +1525 -344 +1859 -3224 +-3476 -2644 +-5958 1489 +-4437 3072 +4540 495 +-3058 2853 +-2543 6112 +5692 2336 +-1879 1201 +1792 238 +-1489 -3160 +-3200 -100 +9435 -2342 +5921 -8035 +-436 -1565 +-4452 564 +-1116 -4185 +7888 -1341 +2979 -4468 +-1309 -1715 +3242 5808 +-1168 -591 +334 40 +8610 1787 +-1068 1394 +-2638 3078 +-2106 -2543 +-4936 -7173 +1199 -3538 +3699 -3248 +4698 -8777 +-2434 -3532 +-8463 4558 +-1421 314 +2979 0 +-1421 -314 +-8463 -4558 +-2434 3532 +4698 8777 +3699 3248 +1199 3538 +-4936 7173 +-2106 2543 +-2638 -3078 +-1068 -1394 +8610 -1787 +334 -40 +-1168 591 +3242 -5808 +-1309 1715 +2979 4468 +4034 -2681 +650 6834 +-1278 5031 +-334 -3380 +1471 2780 +-544 2797 +2594 2777 +2106 -436 +420 -3635 +413 3516 +-2771 -157 +-4698 -521 +-6128 4935 +4571 3872 +3257 7392 +-8937 0 +3257 -7392 +4571 -3872 +-6128 -4935 +-4698 521 +-2771 157 +413 -3516 +420 3635 +2106 436 +2594 -2777 +-544 -2797 +1471 -2780 +-334 3380 +-1278 -5031 +650 -6834 +4034 2681 +2979 -4468 +-1309 -1715 +3242 5808 +-1168 -591 +334 40 +8610 1787 +-1068 1394 +-2638 3078 +-2106 -2543 +-4936 -7173 +1199 -3538 +3699 -3248 +4698 -8777 +-2434 -3532 +-8463 4558 +-1421 314 +2979 5958 +-2867 2928 +-1570 310 +-1303 -6075 +-1376 -2877 +593 -4513 +7295 4322 +8923 11105 +-1053 -6575 +241 -5501 +2172 54 +-2855 -1076 +570 5134 +-910 -3042 +-3876 -3377 +-1606 9089 +-1489 0 +-1606 -9089 +-3876 3377 +-910 3042 +570 -5134 +-2855 1076 +2172 -54 +241 5501 +-1053 6575 +8923 -11105 +7295 -4322 +593 4513 +-1376 2877 +-1303 6075 +-1570 -310 +-2867 -2928 +2979 -5958 +48 -5860 +-6535 -956 +1649 -5693 +1376 -2209 +-4101 -299 +-1470 -1076 +367 1944 +1053 -2362 +-1747 -1337 +429 -1020 +3138 -3923 +-570 -4262 +3789 -3978 +3555 -1481 +-3360 -3142 +-4468 0 +-3360 3142 +3555 1481 +3789 3978 +-570 4262 +3138 3923 +429 1020 +-1747 1337 +1053 2362 +367 -1944 +-1470 1076 +-4101 299 +1376 2209 +1649 5693 +-6535 956 +48 5860 +2979 5958 +-2867 2928 +-1570 310 +-1303 -6075 +-1376 -2877 +593 -4513 +7295 4322 +8923 11105 +-1053 -6575 +241 -5501 +2172 54 +-2855 -1076 +570 5134 +-910 -3042 +-3876 -3377 +-1606 9089 +1489 2979 +-381 -5631 +602 1005 +-955 1252 +5429 -2839 +6540 3585 +-580 8560 +2515 411 +-2543 617 +-8478 9361 +621 -1188 +2974 -4491 +-1870 2665 +-4523 1671 +-4460 -391 +1504 -6089 +5958 0 +1504 6089 +-4460 391 +-4523 -1671 +-1870 -2665 +2974 4491 +621 1188 +-8478 -9361 +-2543 -617 +2515 -411 +-580 -8560 +6540 -3585 +5429 2839 +-955 -1252 +602 -1005 +-381 5631 +1489 -2979 +1885 -4633 +1183 456 +1971 -1809 +-1216 4945 +2661 1735 +1075 -3207 +-4810 -541 +-436 -3596 +68 -309 +3098 -1885 +1755 -3930 +-2343 -559 +6428 -4055 +-1538 -6574 +-9154 -6908 +-2979 0 +-9154 6908 +-1538 6574 +6428 4055 +-2343 559 +1755 3930 +3098 1885 +68 309 +-436 3596 +-4810 541 +1075 3207 +2661 -1735 +-1216 -4945 +1971 1809 +1183 -456 +1885 4633 +1489 2979 +-381 -5631 +602 1005 +-955 1252 +5429 -2839 +6540 3585 +-580 8560 +2515 411 +-2543 617 +-8478 9361 +621 -1188 +2974 -4491 +-1870 2665 +-4523 1671 +-4460 -391 +1504 -6089 +4468 -1489 +4970 1259 +5167 475 +3381 -1086 +-3086 6709 +-4535 1473 +2441 -2584 +-2605 -1108 +-8681 -436 +-682 4733 +-914 4567 +-5522 6929 +-3558 -1195 +260 -1889 +7731 9754 +920 -2315 +-10426 0 +920 2315 +7731 -9754 +260 1889 +-3558 1195 +-5522 -6929 +-914 -4567 +-682 -4733 +-8681 436 +-2605 1108 +2441 2584 +-4535 -1473 +-3086 -6709 +3381 1086 +5167 -475 +4970 -1259 +4468 1489 +-111 1428 +-7866 4936 +-4348 4848 +3086 5569 +1289 -1103 +1656 -4135 +1959 1923 +-256 -2543 +36 295 +-1438 3097 +2276 -2346 +3558 1557 +-1226 1438 +5139 -1876 +3939 790 +-4468 0 +3939 -790 +5139 1876 +-1226 -1438 +3558 -1557 +2276 2346 +-1438 -3097 +36 -295 +-256 2543 +1959 -1923 +1656 4135 +1289 1103 +3086 -5569 +-4348 -4848 +-7866 -4936 +-111 -1428 +4468 -1489 +4970 1259 +5167 475 +3381 -1086 +-3086 6709 +-4535 1473 +2441 -2584 +-2605 -1108 +-8681 -436 +-682 4733 +-914 4567 +-5522 6929 +-3558 -1195 +260 -1889 +7731 9754 +920 -2315 +4468 -7447 +-1628 -5218 +6578 -5761 +7878 -581 +-4525 4375 +-5432 2759 +-1145 -1325 +-1832 840 +-3596 2543 +-1654 -310 +-1516 4799 +293 2146 +7945 817 +5308 3921 +-2638 -4857 +-2837 -4779 +-1489 0 +-2837 4779 +-2638 4857 +5308 -3921 +7945 -817 +293 -2146 +-1516 -4799 +-1654 310 +-3596 -2543 +-1832 -840 +-1145 1325 +-5432 -2759 +-4525 -4375 +7878 581 +6578 5761 +-1628 5218 +4468 7447 +-1291 2112 +-4471 1397 +5319 6551 +312 -2269 +-502 2890 +-961 6357 +-6985 -3867 +617 436 +1101 3241 +-590 233 +778 -2455 +-3732 1289 +3208 8007 +4745 493 +-1725 -4284 +-1489 0 +-1725 4284 +4745 -493 +3208 -8007 +-3732 -1289 +778 2455 +-590 -233 +1101 -3241 +617 -436 +-6985 3867 +-961 -6357 +-502 -2890 +312 2269 +5319 -6551 +-4471 -1397 +-1291 -2112 +4468 -7447 +-1628 -5218 +6578 -5761 +7878 -581 +-4525 4375 +-5432 2759 +-1145 -1325 +-1832 840 +-3596 2543 +-1654 -310 +-1516 4799 +293 2146 +7945 817 +5308 3921 +-2638 -4857 +-2837 -4779 +1489 -4468 +2725 -1660 +4500 2112 +8962 -4188 +209 -2249 +-1028 -62 +2661 -2706 +-91 2901 +-2106 4032 +100 -1985 +2343 -4877 +-160 -2069 +-1576 4515 +-258 -688 +4088 -4846 +1611 4200 +-4468 0 +1611 -4200 +4088 4846 +-258 688 +-1576 -4515 +-160 2069 +2343 4877 +100 1985 +-2106 -4032 +-91 -2901 +2661 2706 +-1028 62 +209 2249 +8962 4188 +4500 -2112 +2725 1660 +1489 4468 +-1765 -5623 +3338 -9896 +-6073 -3978 +-5295 504 +3433 -840 +-649 -518 +5944 1551 +2106 1926 +-3673 5796 +-2611 1652 +-7750 -4034 +704 5655 +2874 4173 +-1755 -2939 +-4851 4727 +-10426 0 +-4851 -4727 +-1755 2939 +2874 -4173 +704 -5655 +-7750 4034 +-2611 -1652 +-3673 -5796 +2106 -1926 +5944 -1551 +-649 518 +3433 840 +-5295 -504 +-6073 3978 +3338 9896 +-1765 5623 +1489 -4468 +2725 -1660 +4500 2112 +8962 -4188 +209 -2249 +-1028 -62 +2661 -2706 +-91 2901 +-2106 4032 +100 -1985 +2343 -4877 +-160 -2069 +-1576 4515 +-258 -688 +4088 -4846 +1611 4200 +5958 0 +45 1578 +-6250 -2211 +-3276 -6607 +2240 349 +1636 -649 +128 864 +3438 1378 +6755 0 +6206 8963 +4360 1236 +1618 -2995 +-3273 1263 +-6456 -2128 +-3846 2074 +1753 1510 +4468 0 +1753 -1510 +-3846 -2074 +-6456 2128 +-3273 -1263 +1618 2995 +4360 -1236 +6206 -8963 +6755 0 +3438 -1378 +128 -864 +1636 649 +2240 -349 +-3276 6607 +-6250 2211 +45 -1578 +5958 0 +3371 3690 +-715 3083 +-245 -4299 +1100 2629 +1805 -2411 +2945 -5949 +862 8765 +-3777 0 +-3069 -2579 +993 3849 +-1487 -7956 +-6025 -4242 +-2019 3672 +2385 -2947 +-4181 -3491 +-10426 0 +-4181 3491 +2385 2947 +-2019 -3672 +-6025 4242 +-1487 7956 +993 -3849 +-3069 2579 +-3777 0 +862 -8765 +2945 5949 +1805 2411 +1100 -2629 +-245 4299 +-715 -3083 +3371 -3690 +5958 0 +45 1578 +-6250 -2211 +-3276 -6607 +2240 349 +1636 -649 +128 864 +3438 1378 +6755 0 +6206 8963 +4360 1236 +1618 -2995 +-3273 1263 +-6456 -2128 +-3846 2074 +1753 1510 +4468 0 +-137 3655 +-6340 -8978 +-297 -1349 +-2676 3538 +-7567 3060 +-115 6835 +3679 -2429 +3777 -1489 +4636 2559 +3261 -1359 +5126 -267 +730 -140 +-3135 1732 +7388 1556 +4564 -3067 +-5958 0 +4564 3067 +7388 -1556 +-3135 -1732 +730 140 +5126 267 +3261 1359 +4636 -2559 +3777 1489 +3679 2429 +-115 -6835 +-7567 -3060 +-2676 -3538 +-297 1349 +-6340 8978 +-137 -3655 +4468 0 +-1403 5316 +-1271 -1344 +-937 -154 +-1536 314 +2685 6689 +-59 4154 +-6610 -1371 +-6755 -1489 +-3984 -8186 +1125 433 +5261 834 +3482 -7924 +-1135 -502 +-3990 37 +-743 -1704 +2979 0 +-743 1704 +-3990 -37 +-1135 502 +3482 7924 +5261 -834 +1125 -433 +-3984 8186 +-6755 1489 +-6610 1371 +-59 -4154 +2685 -6689 +-1536 -314 +-937 154 +-1271 1344 +-1403 -5316 +4468 0 +-137 3655 +-6340 -8978 +-297 -1349 +-2676 3538 +-7567 3060 +-115 6835 +3679 -2429 +3777 -1489 +4636 2559 +3261 -1359 +5126 -267 +730 -140 +-3135 1732 +7388 1556 +4564 -3067 +1489 -7447 +-1284 -3773 +-1535 3346 +1629 627 +1597 5219 +5012 2826 +4819 -2849 +-3137 -768 +-4468 -436 +3522 2103 +3132 -146 +515 -1017 +2069 294 +-1546 361 +-595 -585 +-1983 -8033 +-7447 0 +-1983 8033 +-595 585 +-1546 -361 +2069 -294 +515 1017 +3132 146 +3522 -2103 +-4468 436 +-3137 768 +4819 2849 +5012 -2826 +1597 -5219 +1629 -627 +-1535 -3346 +-1284 3773 +1489 7447 +-5898 1362 +-1979 -100 +2777 277 +-4576 4079 +6495 4744 +7877 7707 +-7741 5652 +-4468 -2543 +-1070 500 +-1445 792 +-3596 3083 +-5048 3046 +5566 -4961 +1641 -381 +740 3342 +10426 0 +740 -3342 +1641 381 +5566 4961 +-5048 -3046 +-3596 -3083 +-1445 -792 +-1070 -500 +-4468 2543 +-7741 -5652 +7877 -7707 +6495 -4744 +-4576 -4079 +2777 -277 +-1979 100 +-5898 -1362 +1489 -7447 +-1284 -3773 +-1535 3346 +1629 627 +1597 5219 +5012 2826 +4819 -2849 +-3137 -768 +-4468 -436 +3522 2103 +3132 -146 +515 -1017 +2069 294 +-1546 361 +-595 -585 +-1983 -8033 +0 2979 +4812 6398 +6213 2447 +-1419 7657 +7252 893 +7028 -208 +-5656 -17 +-3096 -6366 +-1053 -1489 +-1525 -1984 +290 4246 +2397 9018 +4317 -1859 +-6172 1178 +-11136 4165 +474 -3184 +7447 0 +474 3184 +-11136 -4165 +-6172 -1178 +4317 1859 +2397 -9018 +290 -4246 +-1525 1984 +-1053 1489 +-3096 6366 +-5656 17 +7028 208 +7252 -893 +-1419 -7657 +6213 -2447 +4812 -6398 +0 -2979 +3723 4046 +699 -1307 +-2124 -78 +-60 -2999 +1468 -1187 +-2654 2769 +-4277 -3381 +1053 -1489 +-1167 662 +-2151 -1493 +-2165 -1988 +-5551 -247 +985 -2024 +2479 -3025 +1055 5203 +4468 0 +1055 -5203 +2479 3025 +985 2024 +-5551 247 +-2165 1988 +-2151 1493 +-1167 -662 +1053 1489 +-4277 3381 +-2654 -2769 +1468 1187 +-60 2999 +-2124 78 +699 1307 +3723 -4046 +0 2979 +4812 6398 +6213 2447 +-1419 7657 +7252 893 +7028 -208 +-5656 -17 +-3096 -6366 +-1053 -1489 +-1525 -1984 +290 4246 +2397 9018 +4317 -1859 +-6172 1178 +-11136 4165 +474 -3184 +0 0 +-1144 -4761 +-975 -2044 +49 -3006 +-919 -5912 +-3511 4209 +-2393 -3894 +1043 -5320 +1053 -1489 +1740 -9786 +6486 6882 +6256 7933 +-113 -4300 +-912 2406 +1363 -4451 +-4646 -8426 +-10426 0 +-4646 8426 +1363 4451 +-912 -2406 +-113 4300 +6256 -7933 +6486 -6882 +1740 9786 +1053 1489 +1043 5320 +-2393 3894 +-3511 -4209 +-919 5912 +49 3006 +-975 2044 +-1144 4761 +0 0 +1449 3464 +235 5250 +-3425 3307 +-2059 -407 +4206 -4196 +3586 -924 +-2867 2697 +-1053 -1489 +5588 -3846 +2491 216 +-4671 -3361 +-2866 -2020 +2008 2455 +1122 -4259 +-1164 -3880 +-1489 0 +-1164 3880 +1122 4259 +2008 -2455 +-2866 2020 +-4671 3361 +2491 -216 +5588 3846 +-1053 1489 +-2867 -2697 +3586 924 +4206 4196 +-2059 407 +-3425 -3307 +235 -5250 +1449 -3464 +0 0 +-1144 -4761 +-975 -2044 +49 -3006 +-919 -5912 +-3511 4209 +-2393 -3894 +1043 -5320 +1053 -1489 +1740 -9786 +6486 6882 +6256 7933 +-113 -4300 +-912 2406 +1363 -4451 +-4646 -8426 +-2979 -4468 +-4157 1989 +3771 3782 +-1045 -396 +-3037 986 +-5336 2591 +-8343 -5910 +-444 1120 +-1489 7011 +-581 -3362 +8516 4665 +5012 3689 +-2222 -7145 +-2784 -1282 +579 1237 +-1435 2319 +-5958 0 +-1435 -2319 +579 -1237 +-2784 1282 +-2222 7145 +5012 -3689 +8516 -4665 +-581 3362 +-1489 -7011 +-444 -1120 +-8343 5910 +-5336 -2591 +-3037 -986 +-1045 396 +3771 -3782 +-4157 -1989 +-2979 4468 +3131 3728 +348 1682 +-358 3593 +-6261 3738 +-1718 2397 +8570 -6046 +1129 -3038 +-1489 4905 +-104 -836 +-2785 -493 +2042 -4205 +5562 -6005 +4187 -1026 +1260 -3476 +2461 1117 +5958 0 +2461 -1117 +1260 3476 +4187 1026 +5562 6005 +2042 4205 +-2785 493 +-104 836 +-1489 -4905 +1129 3038 +8570 6046 +-1718 -2397 +-6261 -3738 +-358 -3593 +348 -1682 +3131 -3728 +-2979 -4468 +-4157 1989 +3771 3782 +-1045 -396 +-3037 986 +-5336 2591 +-8343 -5910 +-444 1120 +-1489 7011 +-581 -3362 +8516 4665 +5012 3689 +-2222 -7145 +-2784 -1282 +579 1237 +-1435 2319 +0 2979 +-4430 -1670 +-4418 826 +3564 3290 +4725 -4736 +682 -11038 +3905 -1752 +4763 1919 +-2543 873 +3817 3017 +1905 23 +-8322 823 +-2080 2135 +-2930 4507 +1352 5796 +3619 -2421 +-4468 0 +3619 2421 +1352 -5796 +-2930 -4507 +-2080 -2135 +-8322 -823 +1905 -23 +3817 -3017 +-2543 -873 +4763 -1919 +3905 1752 +682 11038 +4725 4736 +3564 -3290 +-4418 -826 +-4430 1670 +0 -2979 +-116 -2683 +1666 7831 +-659 3175 +360 -2456 +28 4022 +-5045 -109 +11 1316 +-436 5085 +181 754 +-765 8287 +-7609 7837 +2952 -3369 +6821 -2708 +1400 1115 +580 2093 +-1489 0 +580 -2093 +1400 -1115 +6821 2708 +2952 3369 +-7609 -7837 +-765 -8287 +181 -754 +-436 -5085 +11 -1316 +-5045 109 +28 -4022 +360 2456 +-659 -3175 +1666 -7831 +-116 2683 +0 2979 +-4430 -1670 +-4418 826 +3564 3290 +4725 -4736 +682 -11038 +3905 -1752 +4763 1919 +-2543 873 +3817 3017 +1905 23 +-8322 823 +-2080 2135 +-2930 4507 +1352 5796 +3619 -2421 +-1489 -1489 +3971 -1017 +1907 -745 +7402 -4018 +2193 -532 +-3222 6065 +1957 -630 +1517 -3302 +2106 3160 +-8771 1666 +-4298 4068 +11779 5657 +1699 -1093 +2465 7295 +2225 10384 +-1962 -4642 +4468 0 +-1962 4642 +2225 -10384 +2465 -7295 +1699 1093 +11779 -5657 +-4298 -4068 +-8771 -1666 +2106 -3160 +1517 3302 +1957 630 +-3222 -6065 +2193 532 +7402 4018 +1907 745 +3971 1017 +-1489 1489 +-6869 138 +-3047 -1682 +-461 1701 +-87 7724 +-441 3063 +795 -4077 +-1541 -3682 +-2106 -3160 +370 -413 +1546 3864 +309 3017 +-3805 2327 +-981 1850 +-1085 -173 +-3565 85 +-1489 0 +-3565 -85 +-1085 173 +-981 -1850 +-3805 -2327 +309 -3017 +1546 -3864 +370 413 +-2106 3160 +-1541 3682 +795 4077 +-441 -3063 +-87 -7724 +-461 -1701 +-3047 1682 +-6869 -138 +-1489 -1489 +3971 -1017 +1907 -745 +7402 -4018 +2193 -532 +-3222 6065 +1957 -630 +1517 -3302 +2106 3160 +-8771 1666 +-4298 4068 +11779 5657 +1699 -1093 +2465 7295 +2225 10384 +-1962 -4642 +2979 -7447 +-1275 314 +6176 1595 +1107 -1383 +-6624 797 +-1493 -3427 +-5900 -668 +-1774 4272 +-3596 1053 +-8557 -2993 +2714 -5558 +-1767 -5222 +-3698 -5495 +6135 2213 +1564 7883 +-2263 -1867 +0 0 +-2263 1867 +1564 -7883 +6135 -2213 +-3698 5495 +-1767 5222 +2714 5558 +-8557 2993 +-3596 -1053 +-1774 -4272 +-5900 668 +-1493 3427 +-6624 -797 +1107 1383 +6176 -1595 +-1275 -314 +2979 7447 +518 -1791 +-1563 -5563 +1454 3602 +2773 5161 +3485 -939 +128 -8350 +3969 -5328 +617 -1053 +-3356 -2276 +4803 -992 +1707 -3357 +-4366 -463 +-2203 4218 +3993 2533 +4312 4602 +0 0 +4312 -4602 +3993 -2533 +-2203 -4218 +-4366 463 +1707 3357 +4803 992 +-3356 2276 +617 1053 +3969 5328 +128 8350 +3485 939 +2773 -5161 +1454 -3602 +-1563 5563 +518 1791 +2979 -7447 +-1275 314 +6176 1595 +1107 -1383 +-6624 797 +-1493 -3427 +-5900 -668 +-1774 4272 +-3596 1053 +-8557 -2993 +2714 -5558 +-1767 -5222 +-3698 -5495 +6135 2213 +1564 7883 +-2263 -1867 +4468 0 +-1156 -6919 +-955 474 +2725 -11 +-4622 -7118 +-1414 -2104 +4096 2493 +1225 4022 +1670 4830 +-341 2758 +-3160 -2591 +-2072 690 +-76 5484 +2949 -120 +914 -3584 +-1282 -3215 +0 0 +-1282 3215 +914 3584 +2949 120 +-76 -5484 +-2072 -690 +-3160 2591 +-341 -2758 +1670 -4830 +1225 -4022 +4096 -2493 +-1414 2104 +-4622 7118 +2725 11 +-955 -474 +-1156 6919 +4468 0 +-4196 1775 +-3432 -1614 +4240 -9405 +410 -946 +2875 -5759 +3515 -5246 +-4298 -2194 +-4649 -7809 +-2732 1803 +-238 -162 +3533 -6726 +4289 -1633 +4016 4447 +-741 2444 +-4071 -11111 +-2979 0 +-4071 11111 +-741 -2444 +4016 -4447 +4289 1633 +3533 6726 +-238 162 +-2732 -1803 +-4649 7809 +-4298 2194 +3515 5246 +2875 5759 +410 946 +4240 9405 +-3432 1614 +-4196 -1775 +4468 0 +-1156 -6919 +-955 474 +2725 -11 +-4622 -7118 +-1414 -2104 +4096 2493 +1225 4022 +1670 4830 +-341 2758 +-3160 -2591 +-2072 690 +-76 5484 +2949 -120 +914 -3584 +-1282 -3215 +2979 0 +-1410 588 +496 1441 +635 -4156 +730 3522 +-6281 4531 +-2857 -3912 +-1101 -3269 +-6139 -6575 +618 -3832 +3650 2012 +-2033 -7551 +-1536 -6101 +1905 3649 +-3280 2207 +-3667 1900 +1489 0 +-3667 -1900 +-3280 -2207 +1905 -3649 +-1536 6101 +-2033 7551 +3650 -2012 +618 3832 +-6139 6575 +-1101 3269 +-2857 3912 +-6281 -4531 +730 -3522 +635 4156 +496 -1441 +-1410 -588 +2979 0 +9390 -6422 +-4389 -795 +-4823 1848 +3482 -2650 +3107 250 +4469 665 +-134 -3067 +181 -2362 +-2607 533 +-5262 -1046 +-2577 -4331 +-2676 1016 +10066 6146 +7172 2652 +-1089 238 +4468 0 +-1089 -238 +7172 -2652 +10066 -6146 +-2676 -1016 +-2577 4331 +-5262 1046 +-2607 -533 +181 2362 +-134 3067 +4469 -665 +3107 -250 +3482 2650 +-4823 -1848 +-4389 795 +9390 6422 +2979 0 +-1410 588 +496 1441 +635 -4156 +730 3522 +-6281 4531 +-2857 -3912 +-1101 -3269 +-6139 -6575 +618 -3832 +3650 2012 +-2033 -7551 +-1536 -6101 +1905 3649 +-3280 2207 +-3667 1900 +4468 4468 +-2676 -6142 +-1841 -7643 +-3066 -125 +4052 2095 +8134 -63 +290 -3723 +5098 -4270 +1489 -436 +-1878 3180 +840 2482 +-3256 3147 +-1300 6321 +-3196 907 +3633 977 +5246 9776 +-4468 0 +5246 -9776 +3633 -977 +-3196 -907 +-1300 -6321 +-3256 -3147 +840 -2482 +-1878 -3180 +1489 436 +5098 4270 +290 3723 +8134 63 +4052 -2095 +-3066 125 +-1841 7643 +-2676 6142 +4468 -4468 +3439 -2465 +55 678 +1031 -2140 +160 11 +2698 -3237 +-784 650 +130 9225 +1489 -2543 +-5630 -4825 +-4559 2871 +-2072 -5690 +-2912 -4215 +-274 2520 +2366 484 +-3729 3784 +-10426 0 +-3729 -3784 +2366 -484 +-274 -2520 +-2912 4215 +-2072 5690 +-4559 -2871 +-5630 4825 +1489 2543 +130 -9225 +-784 -650 +2698 3237 +160 -11 +1031 2140 +55 -678 +3439 2465 +4468 4468 +-2676 -6142 +-1841 -7643 +-3066 -125 +4052 2095 +8134 -63 +290 -3723 +5098 -4270 +1489 -436 +-1878 3180 +840 2482 +-3256 3147 +-1300 6321 +-3196 907 +3633 977 +5246 9776 +-5958 2979 +1662 6456 +3211 5647 +-4470 4257 +-817 5170 +405 531 +2103 -3764 +76 -3469 +-3415 2979 +-4567 784 +-2401 -7388 +2511 3249 +-2269 6978 +-1776 -3566 +3940 -3181 +5602 -1148 +7447 0 +5602 1148 +3940 3181 +-1776 3566 +-2269 -6978 +2511 -3249 +-2401 7388 +-4567 -784 +-3415 -2979 +76 3469 +2103 3764 +405 -531 +-817 -5170 +-4470 -4257 +3211 -5647 +1662 -6456 +-5958 -2979 +4450 227 +734 4448 +-3403 -1706 +-1289 -5170 +-5200 -402 +1522 -5387 +-2316 -1695 +-5522 2979 +956 -1735 +521 4195 +6800 1094 +4375 -6978 +-3291 1904 +2285 7319 +2562 3618 +-1489 0 +2562 -3618 +2285 -7319 +-3291 -1904 +4375 6978 +6800 -1094 +521 -4195 +956 1735 +-5522 -2979 +-2316 1695 +1522 5387 +-5200 402 +-1289 5170 +-3403 1706 +734 -4448 +4450 -227 +-5958 2979 +1662 6456 +3211 5647 +-4470 4257 +-817 5170 +405 531 +2103 -3764 +76 -3469 +-3415 2979 +-4567 784 +-2401 -7388 +2511 3249 +-2269 6978 +-1776 -3566 +3940 -3181 +5602 -1148 +0 -4468 +-4527 -7692 +-799 -2720 +-3427 3748 +-3785 -2886 +1861 3579 +1267 5527 +744 799 +-2106 7628 +-2946 798 +371 -5305 +-1219 -3936 +-1033 -2307 +1907 3482 +3018 -3469 +1730 -9714 +0 0 +1730 9714 +3018 3469 +1907 -3482 +-1033 2307 +-1219 3936 +371 5305 +-2946 -798 +-2106 -7628 +744 -799 +1267 -5527 +1861 -3579 +-3785 2886 +-3427 -3748 +-799 2720 +-4527 7692 +0 4468 +2420 2014 +3778 -2516 +5534 64 +-2173 3758 +245 4010 +1712 4590 +-2850 8725 +2106 1309 +839 -8775 +2608 5252 +3325 6367 +-4925 -2779 +199 3598 +-40 -23 +-3836 -5040 +0 0 +-3836 5040 +-40 23 +199 -3598 +-4925 2779 +3325 -6367 +2608 -5252 +839 8775 +2106 -1309 +-2850 -8725 +1712 -4590 +245 -4010 +-2173 -3758 +5534 -64 +3778 2516 +2420 -2014 +0 -4468 +-4527 -7692 +-799 -2720 +-3427 3748 +-3785 -2886 +1861 3579 +1267 5527 +744 799 +-2106 7628 +-2946 798 +371 -5305 +-1219 -3936 +-1033 -2307 +1907 3482 +3018 -3469 +1730 -9714 +-5958 2979 +1388 1280 +1327 5168 +2441 6784 +1812 -3436 +2320 -1781 +7813 4194 +-3571 -2474 +-1309 -4213 +3203 800 +-3552 2847 +3724 3914 +1973 2296 +-2222 1949 +637 3957 +2006 2140 +4468 0 +2006 -2140 +637 -3957 +-2222 -1949 +1973 -2296 +3724 -3914 +-3552 -2847 +3203 -800 +-1309 4213 +-3571 2474 +7813 -4194 +2320 1781 +1812 3436 +2441 -6784 +1327 -5168 +1388 -1280 +-5958 -2979 +2745 3264 +6337 4723 +-58 -289 +-940 457 +-6687 -878 +-2403 1136 +-1531 7907 +-7628 4213 +-5885 -871 +-4326 -1729 +3868 -4293 +3113 683 +-3386 6826 +6083 1721 +1645 -3101 +-10426 0 +1645 3101 +6083 -1721 +-3386 -6826 +3113 -683 +3868 4293 +-4326 1729 +-5885 871 +-7628 -4213 +-1531 -7907 +-2403 -1136 +-6687 878 +-940 -457 +-58 289 +6337 -4723 +2745 -3264 +-5958 2979 +1388 1280 +1327 5168 +2441 6784 +1812 -3436 +2320 -1781 +7813 4194 +-3571 -2474 +-1309 -4213 +3203 800 +-3552 2847 +3724 3914 +1973 2296 +-2222 1949 +637 3957 +2006 2140 +1489 -2979 +547 -3405 +-195 12011 +325 7312 +2924 457 +1663 4395 +1423 -2967 +679 3030 +3160 7192 +1589 -1977 +169 3435 +2691 4204 +163 683 +2049 881 +-3936 -2792 +-6701 482 +0 0 +-6701 -482 +-3936 2792 +2049 -881 +163 -683 +2691 -4204 +169 -3435 +1589 1977 +3160 -7192 +679 -3030 +1423 2967 +1663 -4395 +2924 -457 +325 -7312 +-195 -12011 +547 3405 +1489 2979 +318 -90 +-10662 5450 +-4798 1384 +3396 -3436 +-693 -3176 +3262 -1552 +-722 -2359 +-3160 -1234 +1679 2195 +3572 -252 +4122 693 +-6482 2296 +-5360 -424 +6368 4125 +2612 7485 +-2979 0 +2612 -7485 +6368 -4125 +-5360 424 +-6482 -2296 +4122 -693 +3572 252 +1679 -2195 +-3160 1234 +-722 2359 +3262 1552 +-693 3176 +3396 3436 +-4798 -1384 +-10662 -5450 +318 90 +1489 -2979 +547 -3405 +-195 12011 +325 7312 +2924 457 +1663 4395 +1423 -2967 +679 3030 +3160 7192 +1589 -1977 +169 3435 +2691 4204 +163 683 +2049 881 +-3936 -2792 +-6701 482 +4468 4468 +5020 2143 +-886 -6018 +-3433 -501 +1156 3852 +2209 163 +-4875 -199 +-2754 -4000 +617 -2904 +-3204 -1033 +2211 -3235 +3005 1647 +-3209 7165 +317 -1655 +70 -6195 +156 4494 +4468 0 +156 -4494 +70 6195 +317 1655 +-3209 -7165 +3005 -1647 +2211 3235 +-3204 1033 +617 2904 +-2754 4000 +-4875 199 +2209 -163 +1156 -3852 +-3433 501 +-886 6018 +5020 -2143 +4468 -4468 +-1208 -5136 +187 1480 +2531 5637 +1823 -512 +1276 6956 +-3608 1833 +-4529 38 +-3596 11841 +-5723 2575 +315 656 +5160 3193 +6188 2133 +5786 4511 +-5329 -2556 +-4609 -1982 +4468 0 +-4609 1982 +-5329 2556 +5786 -4511 +6188 -2133 +5160 -3193 +315 -656 +-5723 -2575 +-3596 -11841 +-4529 -38 +-3608 -1833 +1276 -6956 +1823 512 +2531 -5637 +187 -1480 +-1208 5136 +4468 4468 +5020 2143 +-886 -6018 +-3433 -501 +1156 3852 +2209 163 +-4875 -199 +-2754 -4000 +617 -2904 +-3204 -1033 +2211 -3235 +3005 1647 +-3209 7165 +317 -1655 +70 -6195 +156 4494 +-1489 2979 +1018 -3240 +-331 -5082 +1228 -1090 +5844 770 +-822 -945 +-5387 -4273 +-6662 1156 +1670 -1489 +7050 -7966 +1570 -1030 +4690 -5042 +3898 -7241 +-2001 3129 +-4690 -2797 +-3358 -10459 +0 0 +-3358 10459 +-4690 2797 +-2001 -3129 +3898 7241 +4690 5042 +1570 1030 +7050 7966 +1670 1489 +-6662 -1156 +-5387 4273 +-822 945 +5844 -770 +1228 1090 +-331 5082 +1018 3240 +-1489 -2979 +-986 -432 +-6860 1835 +-439 3249 +3092 102 +-2292 -5982 +6621 -586 +10 -163 +-4649 -1489 +2825 3454 +-337 384 +6208 395 +5038 2156 +-6572 1310 +-2502 3764 +102 1282 +-2979 0 +102 -1282 +-2502 -3764 +-6572 -1310 +5038 -2156 +6208 -395 +-337 -384 +2825 -3454 +-4649 1489 +10 163 +6621 586 +-2292 5982 +3092 -102 +-439 -3249 +-6860 -1835 +-986 432 +-1489 2979 +1018 -3240 +-331 -5082 +1228 -1090 +5844 770 +-822 -945 +-5387 -4273 +-6662 1156 +1670 -1489 +7050 -7966 +1570 -1030 +4690 -5042 +3898 -7241 +-2001 3129 +-4690 -2797 +-3358 -10459 +1489 10426 +1400 9512 +-1796 1665 +-6710 1706 +-3805 -759 +2155 1008 +2917 3267 +2055 -5190 +0 -7011 +-4409 901 +-3386 -2753 +2156 -2418 +2193 3026 +-31 627 +1192 -2433 +444 -5985 +-1489 0 +444 5985 +1192 2433 +-31 -627 +2193 -3026 +2156 2418 +-3386 2753 +-4409 -901 +0 7011 +2055 5190 +2917 -3267 +2155 -1008 +-3805 759 +-6710 -1706 +-1796 -1665 +1400 -9512 +1489 -10426 +4147 8171 +4869 2053 +2176 -7639 +1699 1993 +38 -5470 +-4377 -5053 +-3470 -1679 +0 -4905 +-1960 1110 +-3579 -3246 +-1125 2703 +-87 4166 +1341 -6749 +4161 1939 +1793 3780 +-1489 0 +1793 -3780 +4161 -1939 +1341 6749 +-87 -4166 +-1125 -2703 +-3579 3246 +-1960 -1110 +0 4905 +-3470 1679 +-4377 5053 +38 5470 +1699 -1993 +2176 7639 +4869 -2053 +4147 -8171 +1489 10426 +1400 9512 +-1796 1665 +-6710 1706 +-3805 -759 +2155 1008 +2917 3267 +2055 -5190 +0 -7011 +-4409 901 +-3386 -2753 +2156 -2418 +2193 3026 +-31 627 +1192 -2433 +444 -5985 +0 -1489 +-1058 3536 +-603 8731 +5608 -6793 +4611 -2409 +829 5838 +1780 546 +3056 5373 +3596 1053 +3516 679 +3951 4671 +2799 -1675 +-4139 1603 +-3280 734 +6503 -5353 +831 -560 +-8937 0 +831 560 +6503 5353 +-3280 -734 +-4139 -1603 +2799 1675 +3951 -4671 +3516 -679 +3596 -1053 +3056 -5373 +1780 -546 +829 -5838 +4611 2409 +5608 6793 +-603 -8731 +-1058 -3536 +0 1489 +-6407 3768 +-6535 9224 +-1591 651 +-2505 -3549 +175 -2230 +4691 934 +1796 -1880 +-617 -1053 +-2864 8772 +-6209 298 +-1522 -675 +2033 4355 +-3018 -917 +-3577 2967 +1130 1906 +2979 0 +1130 -1906 +-3577 -2967 +-3018 917 +2033 -4355 +-1522 675 +-6209 -298 +-2864 -8772 +-617 1053 +1796 1880 +4691 -934 +175 2230 +-2505 3549 +-1591 -651 +-6535 -9224 +-6407 -3768 +0 -1489 +-1058 3536 +-603 8731 +5608 -6793 +4611 -2409 +829 5838 +1780 546 +3056 5373 +3596 1053 +3516 679 +3951 4671 +2799 -1675 +-4139 1603 +-3280 734 +6503 -5353 +831 -560 +1489 1489 +-1823 -1819 +1485 1700 +-981 3997 +-3596 -27 +8267 3855 +6539 7424 +2300 -552 +-1489 5522 +-6602 10730 +-2214 -2786 +-719 -5335 +617 -1746 +-1819 3238 +-4648 6560 +2583 -3185 +7447 0 +2583 3185 +-4648 -6560 +-1819 -3238 +617 1746 +-719 5335 +-2214 2786 +-6602 -10730 +-1489 -5522 +2300 552 +6539 -7424 +8267 -3855 +-3596 27 +-981 -3997 +1485 -1700 +-1823 1819 +1489 -1489 +-1345 520 +4019 4072 +2638 -6287 +-3596 -5059 +1295 4847 +-4259 -1866 +-4988 -151 +-1489 3415 +-2707 -4184 +-66 -1827 +-1406 1587 +617 2618 +1151 2362 +-856 957 +4156 5646 +7447 0 +4156 -5646 +-856 -957 +1151 -2362 +617 -2618 +-1406 -1587 +-66 1827 +-2707 4184 +-1489 -3415 +-4988 151 +-4259 1866 +1295 -4847 +-3596 5059 +2638 6287 +4019 -4072 +-1345 -520 +1489 1489 +-1823 -1819 +1485 1700 +-981 3997 +-3596 -27 +8267 3855 +6539 7424 +2300 -552 +-1489 5522 +-6602 10730 +-2214 -2786 +-719 -5335 +617 -1746 +-1819 3238 +-4648 6560 +2583 -3185 +2979 4468 +6777 -348 +2357 1331 +-3944 -350 +1844 -381 +625 6310 +-7038 2162 +-4441 -1877 +2362 3160 +-2217 2282 +-2631 5124 +6713 7673 +2962 -274 +-2243 4048 +-1704 7666 +71 -3552 +2979 0 +71 3552 +-1704 -7666 +-2243 -4048 +2962 274 +6713 -7673 +-2631 -5124 +-2217 -2282 +2362 -3160 +-4441 1877 +-7038 -2162 +625 -6310 +1844 381 +-3944 350 +2357 -1331 +6777 348 +2979 -4468 +414 -4300 +17 2734 +4091 2564 +-2716 -853 +-5437 229 +-2906 2848 +-5238 392 +6575 -3160 +4112 1737 +-1809 4098 +1324 -3414 +-8047 -6918 +-1129 -4114 +1798 612 +523 4409 +8937 0 +523 -4409 +1798 -612 +-1129 4114 +-8047 6918 +1324 3414 +-1809 -4098 +4112 -1737 +6575 3160 +-5238 -392 +-2906 -2848 +-5437 -229 +-2716 853 +4091 -2564 +17 -2734 +414 4300 +2979 4468 +6777 -348 +2357 1331 +-3944 -350 +1844 -381 +625 6310 +-7038 2162 +-4441 -1877 +2362 3160 +-2217 2282 +-2631 5124 +6713 7673 +2962 -274 +-2243 4048 +-1704 7666 +71 -3552 +1489 -5958 +1821 3684 +-1442 -352 +2572 2198 +-3462 10734 +-2372 5497 +-1106 -5266 +-1798 -1234 +7011 2979 +-886 -2611 +-5091 1554 +3986 -66 +-550 -1641 +-2979 2884 +-3813 -3404 +-4039 -3293 +0 0 +-4039 3293 +-3813 3404 +-2979 -2884 +-550 1641 +3986 66 +-5091 -1554 +-886 2611 +7011 -2979 +-1798 1234 +-1106 5266 +-2372 -5497 +-3462 -10734 +2572 -2198 +-1442 352 +1821 -3684 +1489 5958 +-7368 3461 +302 -5646 +1962 600 +-4602 670 +178 2903 +3859 1547 +3213 -2680 +4905 2979 +7255 -849 +2339 -1059 +-5017 4788 +-3302 7088 +1669 8152 +4952 1618 +1802 -1024 +-2979 0 +1802 1024 +4952 -1618 +1669 -8152 +-3302 -7088 +-5017 -4788 +2339 1059 +7255 849 +4905 -2979 +3213 2680 +3859 -1547 +178 -2903 +-4602 -670 +1962 -600 +302 5646 +-7368 -3461 +1489 -5958 +1821 3684 +-1442 -352 +2572 2198 +-3462 10734 +-2372 5497 +-1106 -5266 +-1798 -1234 +7011 2979 +-886 -2611 +-5091 1554 +3986 -66 +-550 -1641 +-2979 2884 +-3813 -3404 +-4039 -3293 +5958 -2979 +1615 582 +2162 -253 +1498 1464 +-5938 8730 +46 4026 +1224 -3111 +3164 9301 +1053 8681 +-8062 -1342 +-2765 7236 +6354 6784 +6046 -1592 +-1992 -5085 +-2783 -6421 +203 404 +-1489 0 +203 -404 +-2783 6421 +-1992 5085 +6046 1592 +6354 -6784 +-2765 -7236 +-8062 1342 +1053 -8681 +3164 -9301 +1224 3111 +46 -4026 +-5938 -8730 +1498 -1464 +2162 253 +1615 -582 +5958 2979 +-5639 4286 +-2656 480 +92 1490 +-5466 -666 +5257 -3948 +4775 1272 +-1834 281 +-1053 256 +239 1394 +979 -3117 +-1940 240 +-599 -2260 +-890 -5356 +-935 689 +1889 -1576 +1489 0 +1889 1576 +-935 -689 +-890 5356 +-599 2260 +-1940 -240 +979 3117 +239 -1394 +-1053 -256 +-1834 -281 +4775 -1272 +5257 3948 +-5466 666 +92 -1490 +-2656 -480 +-5639 -4286 +5958 -2979 +1615 582 +2162 -253 +1498 1464 +-5938 8730 +46 4026 +1224 -3111 +3164 9301 +1053 8681 +-8062 -1342 +-2765 7236 +6354 6784 +6046 -1592 +-1992 -5085 +-2783 -6421 +203 404 +-4468 0 +3235 -3083 +6206 2399 +1316 943 +-310 2403 +-1141 -874 +4034 -2439 +1684 2054 +-4032 2979 +3162 6991 +-2095 4768 +141 1306 +10040 -123 +-5924 1643 +-6382 7861 +1833 2521 +-2979 0 +1833 -2521 +-6382 -7861 +-5924 -1643 +10040 123 +141 -1306 +-2095 -4768 +3162 -6991 +-4032 -2979 +1684 -2054 +4034 2439 +-1141 874 +-310 -2403 +1316 -943 +6206 -2399 +3235 3083 +-4468 0 +-2783 -5920 +1137 -3592 +-501 -7129 +-6010 -5382 +-1547 901 +3977 4923 +-2055 2939 +-1926 2979 +1421 7078 +42 -4029 +-1667 -4547 +-3721 3102 +896 -2672 +4996 1116 +1929 5978 +0 0 +1929 -5978 +4996 -1116 +896 2672 +-3721 -3102 +-1667 4547 +42 4029 +1421 -7078 +-1926 -2979 +-2055 -2939 +3977 -4923 +-1547 -901 +-6010 5382 +-501 7129 +1137 3592 +-2783 5920 +-4468 0 +3235 -3083 +6206 2399 +1316 943 +-310 2403 +-1141 -874 +4034 -2439 +1684 2054 +-4032 2979 +3162 6991 +-2095 4768 +141 1306 +10040 -123 +-5924 1643 +-6382 7861 +1833 2521 +-2979 2979 +4304 -1014 +5050 -759 +83 4616 +-1253 -3471 +-466 2322 +-1784 -1017 +-2078 -6023 +-1053 4468 +1106 -1279 +5459 2664 +3874 3861 +-4812 -6891 +-5002 2485 +1884 2614 +-1544 -6981 +-7447 0 +-1544 6981 +1884 -2614 +-5002 -2485 +-4812 6891 +3874 -3861 +5459 -2664 +1106 1279 +-1053 -4468 +-2078 6023 +-1784 1017 +-466 -2322 +-1253 3471 +83 -4616 +5050 759 +4304 1014 +-2979 -2979 +-7457 1483 +-7402 -381 +-5209 4414 +-1726 1365 +-525 -4687 +-915 -1735 +758 -1741 +1053 4468 +1158 1941 +7411 -5416 +10404 2200 +1833 4785 +-3160 -1880 +2213 -3754 +3753 -976 +1489 0 +3753 976 +2213 3754 +-3160 1880 +1833 -4785 +10404 -2200 +7411 5416 +1158 -1941 +1053 -4468 +758 1741 +-915 1735 +-525 4687 +-1726 -1365 +-5209 -4414 +-7402 381 +-7457 -1483 +-2979 2979 +4304 -1014 +5050 -759 +83 4616 +-1253 -3471 +-466 2322 +-1784 -1017 +-2078 -6023 +-1053 4468 +1106 -1279 +5459 2664 +3874 3861 +-4812 -6891 +-5002 2485 +1884 2614 +-1544 -6981 +-2979 1489 +-4762 -2335 +-2364 -5167 +1945 4709 +2543 5844 +-4585 1223 +2757 2336 +4097 1145 +-3596 -181 +-4698 -2248 +-7915 -555 +-3355 3789 +436 -3898 +-2464 -6687 +264 -1000 +-1 -3190 +-2979 0 +-1 3190 +264 1000 +-2464 6687 +436 3898 +-3355 -3789 +-7915 555 +-4698 2248 +-3596 181 +4097 -1145 +2757 -2336 +-4585 -1223 +2543 -5844 +1945 -4709 +-2364 5167 +-4762 2335 +-2979 -1489 +6651 3047 +4449 1694 +1372 71 +2543 3092 +6451 1020 +7779 -5355 +-224 -1368 +617 6139 +-3388 -2001 +-2621 -4210 +5702 3120 +436 -5038 +3360 -4208 +-2349 7697 +-6101 3367 +2979 0 +-6101 -3367 +-2349 -7697 +3360 4208 +436 5038 +5702 -3120 +-2621 4210 +-3388 2001 +617 -6139 +-224 1368 +7779 5355 +6451 -1020 +2543 -3092 +1372 -71 +4449 -1694 +6651 -3047 +-2979 1489 +-4762 -2335 +-2364 -5167 +1945 4709 +2543 5844 +-4585 1223 +2757 2336 +4097 1145 +-3596 -181 +-4698 -2248 +-7915 -555 +-3355 3789 +436 -3898 +-2464 -6687 +264 -1000 +-1 -3190 +-5958 -5958 +4012 -5184 +3595 -3201 +489 -3121 +657 -2752 +-5417 -1102 +-1167 -3733 +-896 -6426 +-1309 -2979 +5247 -3563 +-138 422 +-222 7078 +5181 -1140 +1861 -755 +-1311 6468 +-3134 -592 +-4468 0 +-3134 592 +-1311 -6468 +1861 755 +5181 1140 +-222 -7078 +-138 -422 +5247 3563 +-1309 2979 +-896 6426 +-1167 3733 +-5417 1102 +657 2752 +489 3121 +3595 3201 +4012 5184 +-5958 5958 +-4781 -481 +1642 -2379 +3788 3719 +-2763 2752 +1850 1331 +11285 -1393 +-1725 -8282 +-7628 -2979 +-4558 853 +191 -3081 +2498 588 +-3075 1140 +3578 365 +-2181 2336 +-2590 -1502 +10426 0 +-2590 1502 +-2181 -2336 +3578 -365 +-3075 -1140 +2498 -588 +191 3081 +-4558 -853 +-7628 2979 +-1725 8282 +11285 1393 +1850 -1331 +-2763 -2752 +3788 -3719 +1642 2379 +-4781 481 +-5958 -5958 +4012 -5184 +3595 -3201 +489 -3121 +657 -2752 +-5417 -1102 +-1167 -3733 +-896 -6426 +-1309 -2979 +5247 -3563 +-138 422 +-222 7078 +5181 -1140 +1861 -755 +-1311 6468 +-3134 -592 +7447 -4468 +2285 -7819 +-1904 -2295 +-3200 -5392 +-6435 -1550 +917 720 +1613 7991 +-4491 2356 +0 -6139 +2300 5784 +1679 -557 +1502 -1928 +-2048 7041 +-287 28 +2115 1613 +-254 3646 +-1489 0 +-254 -3646 +2115 -1613 +-287 -28 +-2048 -7041 +1502 1928 +1679 557 +2300 -5784 +0 6139 +-4491 -2356 +1613 -7991 +917 -720 +-6435 1550 +-3200 5392 +-1904 2295 +2285 7819 +7447 4468 +-236 -9243 +1258 1596 +7132 9174 +1349 5762 +-977 3850 +-4859 492 +-7421 1550 +0 181 +-105 -1041 +-2646 3082 +490 3683 +1176 -2828 +2848 -2552 +2744 3645 +-503 471 +-1489 0 +-503 -471 +2744 -3645 +2848 2552 +1176 2828 +490 -3683 +-2646 -3082 +-105 1041 +0 -181 +-7421 -1550 +-4859 -492 +-977 -3850 +1349 -5762 +7132 -9174 +1258 -1596 +-236 9243 +7447 -4468 +2285 -7819 +-1904 -2295 +-3200 -5392 +-6435 -1550 +917 720 +1613 7991 +-4491 2356 +0 -6139 +2300 5784 +1679 -557 +1502 -1928 +-2048 7041 +-287 28 +2115 1613 +-254 3646 +-4468 -1489 +656 -6011 +-930 -1705 +-3098 1367 +-1387 -180 +-2951 -2565 +-4322 3519 +-1070 1711 +3340 -1926 +1395 8796 +1247 2081 +1505 -1726 +-3645 9091 +-2876 4908 +-2008 652 +-2954 1290 +-1489 0 +-2954 -1290 +-2008 -652 +-2876 -4908 +-3645 -9091 +1505 1726 +1247 -2081 +1395 -8796 +3340 1926 +-1070 -1711 +-4322 -3519 +-2951 2565 +-1387 180 +-3098 -1367 +-930 1705 +656 6011 +-4468 1489 +1691 1246 +8941 3112 +4987 -4434 +-719 -4544 +-5135 -762 +2936 7070 +1966 6890 +-9298 -4032 +1922 -2127 +6096 -1662 +2369 -309 +5751 4059 +-3226 1742 +-45 2500 +4820 438 +-1489 0 +4820 -438 +-45 -2500 +-3226 -1742 +5751 -4059 +2369 309 +6096 1662 +1922 2127 +-9298 4032 +1966 -6890 +2936 -7070 +-5135 762 +-719 4544 +4987 4434 +8941 -3112 +1691 -1246 +-4468 -1489 +656 -6011 +-930 -1705 +-3098 1367 +-1387 -180 +-2951 -2565 +-4322 3519 +-1070 1711 +3340 -1926 +1395 8796 +1247 2081 +1505 -1726 +-3645 9091 +-2876 4908 +-2008 652 +-2954 1290 +-5958 -5958 +2383 -2585 +5080 -1301 +57 -4337 +6321 -4052 +6505 -4750 +-2231 -6027 +49 -5277 +-4649 2106 +-3295 2035 +4855 -3146 +1440 2637 +-11 -1300 +-3776 -4838 +-406 885 +1645 -3781 +-4468 0 +1645 3781 +-406 -885 +-3776 4838 +-11 1300 +1440 -2637 +4855 3146 +-3295 -2035 +-4649 -2106 +49 5277 +-2231 6027 +6505 4750 +6321 4052 +57 4337 +5080 1301 +2383 2585 +-5958 5958 +-2775 -1437 +3425 -3825 +-1024 255 +-4215 -160 +-7892 -2027 +-5153 -2777 +8416 -1166 +1670 -2106 +-3237 3519 +4274 8725 +1238 -1977 +-2095 -2912 +-4974 -233 +2071 -3544 +5241 3331 +-1489 0 +5241 -3331 +2071 3544 +-4974 233 +-2095 2912 +1238 1977 +4274 -8725 +-3237 -3519 +1670 2106 +8416 1166 +-5153 2777 +-7892 2027 +-4215 160 +-1024 -255 +3425 3825 +-2775 1437 +-5958 -5958 +2383 -2585 +5080 -1301 +57 -4337 +6321 -4052 +6505 -4750 +-2231 -6027 +49 -5277 +-4649 2106 +-3295 2035 +4855 -3146 +1440 2637 +-11 -1300 +-3776 -4838 +-406 885 +1645 -3781 +4468 -2979 +-4581 1795 +-4588 -739 +4277 1944 +5181 -3436 +2461 -5075 +-896 5201 +6191 -2122 +8245 -4213 +2520 2071 +983 -310 +94 5701 +-2763 2296 +-9299 -1401 +-1531 3346 +4902 -5169 +0 0 +4902 5169 +-1531 -3346 +-9299 1401 +-2763 -2296 +94 -5701 +983 310 +2520 -2071 +8245 4213 +6191 2122 +-896 -5201 +2461 5075 +5181 3436 +4277 -1944 +-4588 739 +-4581 -1795 +4468 2979 +183 4676 +4361 -4346 +6409 -4870 +-3075 457 +-3185 -2064 +-943 -4329 +696 4380 +-2287 4213 +-5194 -4329 +-5101 -562 +-3583 1438 +657 683 +-5599 4327 +-4200 1739 +3709 -1301 +2979 0 +3709 1301 +-4200 -1739 +-5599 -4327 +657 -683 +-3583 -1438 +-5101 562 +-5194 4329 +-2287 -4213 +696 -4380 +-943 4329 +-3185 2064 +-3075 -457 +6409 4870 +4361 4346 +183 -4676 +4468 -2979 +-4581 1795 +-4588 -739 +4277 1944 +5181 -3436 +2461 -5075 +-896 5201 +6191 -2122 +8245 -4213 +2520 2071 +983 -310 +94 5701 +-2763 2296 +-9299 -1401 +-1531 3346 +4902 -5169 +-4468 1489 +3924 6151 +4246 -1518 +2591 -5019 +2354 -919 +-1 3493 +2447 2467 +-816 -2421 +873 -5266 +4358 -1279 +3025 1941 +3637 -2282 +-1214 113 +-194 1579 +17 -3680 +-3201 -1101 +-1489 0 +-3201 1101 +17 3680 +-194 -1579 +-1214 -113 +3637 2282 +3025 -1941 +4358 1279 +873 5266 +-816 2421 +2447 -2467 +-1 -3493 +2354 919 +2591 5019 +4246 1518 +3924 -6151 +-4468 -1489 +-4073 741 +-1493 -7838 +3839 -4114 +3966 -2059 +-3459 433 +-1307 7877 +1157 -341 +5085 5266 +3085 9980 +-4165 -7726 +-3401 -2029 +-5106 2866 +-3011 -7034 +-2769 2027 +-4435 7539 +-1489 0 +-4435 -7539 +-2769 -2027 +-3011 7034 +-5106 -2866 +-3401 2029 +-4165 7726 +3085 -9980 +5085 -5266 +1157 341 +-1307 -7877 +-3459 -433 +3966 2059 +3839 4114 +-1493 7838 +-4073 -741 +-4468 1489 +3924 6151 +4246 -1518 +2591 -5019 +2354 -919 +-1 3493 +2447 2467 +-816 -2421 +873 -5266 +4358 -1279 +3025 1941 +3637 -2282 +-1214 113 +-194 1579 +17 -3680 +-3201 -1101 +0 4468 +-689 841 +-3842 -173 +5516 -347 +123 1463 +-5948 -1522 +2105 -1485 +-4998 1586 +-3851 -3777 +-1365 1204 +-2806 5958 +2824 -4350 +-5382 -3235 +-6553 -2056 +-1710 -6227 +5313 1205 +14895 0 +5313 -1205 +-1710 6227 +-6553 2056 +-5382 3235 +2824 4350 +-2806 -5958 +-1365 -1204 +-3851 3777 +-4998 -1586 +2105 1485 +-5948 1522 +123 -1463 +5516 347 +-3842 173 +-689 -841 +0 -4468 +-1476 -2496 +823 3378 +1720 -2574 +-3102 -3569 +-5885 941 +4593 -3333 +899 891 +-8064 6755 +-41 1273 +6279 1140 +6729 3768 +2403 1129 +1598 -866 +6474 -2483 +2356 -2860 +-2979 0 +2356 2860 +6474 2483 +1598 866 +2403 -1129 +6729 -3768 +6279 -1140 +-41 -1273 +-8064 -6755 +899 -891 +4593 3333 +-5885 -941 +-3102 3569 +1720 2574 +823 -3378 +-1476 2496 +0 4468 +-689 841 +-3842 -173 +5516 -347 +123 1463 +-5948 -1522 +2105 -1485 +-4998 1586 +-3851 -3777 +-1365 1204 +-2806 5958 +2824 -4350 +-5382 -3235 +-6553 -2056 +-1710 -6227 +5313 1205 +-2979 1489 +3861 -93 +3874 3321 +2326 5468 +683 -1623 +-7702 50 +-2112 4098 +-260 -3893 +-2979 -3777 +640 1522 +-4257 3122 +-2484 6070 +3436 323 +815 -2712 +-50 3104 +476 1633 +0 0 +476 -1633 +-50 -3104 +815 2712 +3436 -323 +-2484 -6070 +-4257 -3122 +640 -1522 +-2979 3777 +-260 3893 +-2112 -4098 +-7702 -50 +683 1623 +2326 -5468 +3874 -3321 +3861 93 +-2979 -1489 +725 -3256 +7629 -3548 +10661 -964 +2296 -483 +-1605 -2214 +673 -2259 +-7525 3111 +-2979 6755 +1987 -4050 +1482 -7241 +2715 1937 +-457 -2429 +3699 -2955 +-7240 2627 +-8331 -3238 +5958 0 +-8331 3238 +-7240 -2627 +3699 2955 +-457 2429 +2715 -1937 +1482 7241 +1987 4050 +-2979 -6755 +-7525 -3111 +673 2259 +-1605 2214 +2296 483 +10661 964 +7629 3548 +725 3256 +-2979 1489 +3861 -93 +3874 3321 +2326 5468 +683 -1623 +-7702 50 +-2112 4098 +-260 -3893 +-2979 -3777 +640 1522 +-4257 3122 +-2484 6070 +3436 323 +815 -2712 +-50 3104 +476 1633 +1489 4468 +6182 2248 +2977 -4491 +-1395 -987 +-1120 2059 +1183 8370 +-1110 6006 +-66 2968 +1234 4032 +-40 1695 +3329 4455 +-1870 -2970 +-5978 -2866 +2838 872 +898 -1083 +-2321 11899 +1489 0 +-2321 -11899 +898 1083 +2838 -872 +-5978 2866 +-1870 2970 +3329 -4455 +-40 -1695 +1234 -4032 +-66 -2968 +-1110 -6006 +1183 -8370 +-1120 -2059 +-1395 987 +2977 4491 +6182 -2248 +1489 -4468 +-4200 973 +3422 -2207 +6812 -357 +-2732 919 +-5890 1244 +-4168 -1241 +-5307 -4331 +-7192 1926 +-2024 -1766 +7906 -1436 +3006 6092 +-2086 -113 +3741 -282 +-1339 4556 +-650 1039 +7447 0 +-650 -1039 +-1339 -4556 +3741 282 +-2086 113 +3006 -6092 +7906 1436 +-2024 1766 +-7192 -1926 +-5307 4331 +-4168 1241 +-5890 -1244 +-2732 -919 +6812 357 +3422 2207 +-4200 -973 +1489 4468 +6182 2248 +2977 -4491 +-1395 -987 +-1120 2059 +1183 8370 +-1110 6006 +-66 2968 +1234 4032 +-40 1695 +3329 4455 +-1870 -2970 +-5978 -2866 +2838 872 +898 -1083 +-2321 11899 +0 4468 +-292 7193 +1759 -1123 +-758 -912 +-3139 7005 +5480 -2220 +2802 -12869 +1516 -2556 +-2106 -436 +-7404 -2179 +491 5816 +1717 324 +-66 -3425 +2402 -1044 +3383 -52 +-923 7345 +-5958 0 +-923 -7345 +3383 52 +2402 1044 +-66 3425 +1717 -324 +491 -5816 +-7404 2179 +-2106 436 +1516 2556 +2802 12869 +5480 2220 +-3139 -7005 +-758 912 +1759 1123 +-292 -7193 +0 -4468 +-5694 -1409 +2832 -7250 +2760 -3284 +-7031 -1919 +574 -6382 +4069 -794 +5087 -2539 +2106 -2543 +-3411 3578 +-1404 -882 +-3558 791 +-1679 2552 +-191 -1861 +-2016 -1640 +2697 -3494 +5958 0 +2697 3494 +-2016 1640 +-191 1861 +-1679 -2552 +-3558 -791 +-1404 882 +-3411 -3578 +2106 2543 +5087 2539 +4069 794 +574 6382 +-7031 1919 +2760 3284 +2832 7250 +-5694 1409 +0 4468 +-292 7193 +1759 -1123 +-758 -912 +-3139 7005 +5480 -2220 +2802 -12869 +1516 -2556 +-2106 -436 +-7404 -2179 +491 5816 +1717 324 +-66 -3425 +2402 -1044 +3383 -52 +-923 7345 +1489 0 +-8685 -503 +-3842 3033 +4156 -3689 +-3832 -3843 +-3955 -3502 +-700 -3289 +-5005 -1380 +-6755 -617 +900 3878 +273 4460 +-2884 1337 +3939 276 +1974 765 +4310 1344 +1455 -598 +-8937 0 +1455 598 +4310 -1344 +1974 -765 +3939 -276 +-2884 -1337 +273 -4460 +900 -3878 +-6755 617 +-5005 1380 +-700 3289 +-3955 3502 +-3832 3843 +4156 3689 +-3842 -3033 +-8685 503 +1489 0 +2212 -8241 +1316 1959 +-4785 3353 +-3360 -5455 +7117 -2128 +1399 -2023 +680 -551 +3777 3596 +3424 7479 +4986 6356 +-279 -6023 +-2705 -3616 +-1345 -156 +4173 -4055 +5017 5142 +0 0 +5017 -5142 +4173 4055 +-1345 156 +-2705 3616 +-279 6023 +4986 -6356 +3424 -7479 +3777 -3596 +680 551 +1399 2023 +7117 2128 +-3360 5455 +-4785 -3353 +1316 -1959 +2212 8241 +1489 0 +-8685 -503 +-3842 3033 +4156 -3689 +-3832 -3843 +-3955 -3502 +-700 -3289 +-5005 -1380 +-6755 -617 +900 3878 +273 4460 +-2884 1337 +3939 276 +1974 765 +4310 1344 +1455 -598 +0 4468 +-4827 391 +-4616 4826 +4810 3816 +6435 4972 +2025 -2049 +2319 -3299 +4714 10829 +2723 1926 +-5091 -4715 +-7340 -806 +48 -4318 +2048 1187 +1707 -484 +7229 -5069 +3750 2921 +-2979 0 +3750 -2921 +7229 5069 +1707 484 +2048 -1187 +48 4318 +-7340 806 +-5091 4715 +2723 -1926 +4714 -10829 +2319 3299 +2025 2049 +6435 -4972 +4810 -3816 +-4616 -4826 +-4827 -391 +0 -4468 +-1993 -351 +-416 5764 +2454 -680 +-1349 2220 +-55 -1033 +2046 147 +-4721 8535 +-5702 4032 +884 620 +2976 -602 +2195 2037 +-1176 47 +-4758 930 +-2197 5488 +-1143 -5999 +-2979 0 +-1143 5999 +-2197 -5488 +-4758 -930 +-1176 -47 +2195 -2037 +2976 602 +884 -620 +-5702 -4032 +-4721 -8535 +2046 -147 +-55 1033 +-1349 -2220 +2454 680 +-416 -5764 +-1993 351 +0 4468 +-4827 391 +-4616 4826 +4810 3816 +6435 4972 +2025 -2049 +2319 -3299 +4714 10829 +2723 1926 +-5091 -4715 +-7340 -806 +48 -4318 +2048 1187 +1707 -484 +7229 -5069 +3750 2921 +4468 -1489 +926 -4116 +1334 -2917 +1108 -3856 +-8977 496 +-2928 -3611 +-237 -2923 +-3999 2915 +1489 -2543 +-4853 1294 +-3055 5697 +4076 -1668 +-2485 -8094 +55 -951 +-1545 3677 +-2319 -7616 +4468 0 +-2319 7616 +-1545 -3677 +55 951 +-2485 8094 +4076 1668 +-3055 -5697 +-4853 -1294 +1489 2543 +-3999 -2915 +-237 2923 +-2928 3611 +-8977 -496 +1108 3856 +1334 2917 +926 4116 +4468 1489 +-2022 -1587 +-1828 1456 +717 -1497 +-1193 -6816 +2622 -11476 +6235 -2430 +3304 5944 +1489 -436 +3268 -1617 +1269 -2624 +1735 323 +740 1775 +-7384 -2576 +-2174 3288 +5695 4647 +4468 0 +5695 -4647 +-2174 -3288 +-7384 2576 +740 -1775 +1735 -323 +1269 2624 +3268 1617 +1489 436 +3304 -5944 +6235 2430 +2622 11476 +-1193 6816 +717 1497 +-1828 -1456 +-2022 1587 +4468 -1489 +926 -4116 +1334 -2917 +1108 -3856 +-8977 496 +-2928 -3611 +-237 -2923 +-3999 2915 +1489 -2543 +-4853 1294 +-3055 5697 +4076 -1668 +-2485 -8094 +55 -951 +-1545 3677 +-2319 -7616 +-8937 -2979 +-3370 -2644 +4001 -1966 +-4995 -11615 +-4289 -1576 +521 -1427 +-4372 -3376 +-2311 4419 +-1926 617 +-4991 -2444 +3882 -3941 +8199 3124 +4622 5295 +3383 -2960 +-2088 -1127 +-1118 -2355 +4468 0 +-1118 2355 +-2088 1127 +3383 2960 +4622 -5295 +8199 -3124 +3882 3941 +-4991 2444 +-1926 -617 +-2311 -4419 +-4372 3376 +521 1427 +-4289 1576 +-4995 11615 +4001 1966 +-3370 2644 +-8937 2979 +2277 -6389 +2870 86 +-3801 332 +76 704 +6016 2540 +5739 -570 +-179 -154 +-4032 -3596 +-1291 4777 +709 10166 +485 -719 +-410 -209 +-1382 1394 +1174 -2498 +2559 -186 +1489 0 +2559 186 +1174 2498 +-1382 -1394 +-410 209 +485 719 +709 -10166 +-1291 -4777 +-4032 3596 +-179 154 +5739 570 +6016 -2540 +76 -704 +-3801 -332 +2870 -86 +2277 6389 +-8937 -2979 +-3370 -2644 +4001 -1966 +-4995 -11615 +-4289 -1576 +521 -1427 +-4372 -3376 +-2311 4419 +-1926 617 +-4991 -2444 +3882 -3941 +8199 3124 +4622 5295 +3383 -2960 +-2088 -1127 +-1118 -2355 +0 -4468 +7903 -879 +4163 4005 +368 -2024 +-123 1784 +-864 -2789 +1279 -3863 +-2925 2591 +-2979 -4649 +2768 -2664 +-956 4685 +-4192 6234 +5382 2590 +9363 -2994 +-4145 97 +-6879 537 +0 0 +-6879 -537 +-4145 -97 +9363 2994 +5382 -2590 +-4192 -6234 +-956 -4685 +2768 2664 +-2979 4649 +-2925 -2591 +1279 3863 +-864 2789 +-123 -1784 +368 2024 +4163 -4005 +7903 879 +0 4468 +-30 -3544 +224 114 +-2643 5262 +3102 4536 +-5274 2521 +-8889 3636 +-463 2025 +-2979 1670 +3887 5535 +4354 1046 +-7172 3669 +-2403 3730 +1988 -3939 +3971 -1936 +4163 -3215 +0 0 +4163 3215 +3971 1936 +1988 3939 +-2403 -3730 +-7172 -3669 +4354 -1046 +3887 -5535 +-2979 -1670 +-463 -2025 +-8889 -3636 +-5274 -2521 +3102 -4536 +-2643 -5262 +224 -114 +-30 3544 +0 -4468 +7903 -879 +4163 4005 +368 -2024 +-123 1784 +-864 -2789 +1279 -3863 +-2925 2591 +-2979 -4649 +2768 -2664 +-956 4685 +-4192 6234 +5382 2590 +9363 -2994 +-4145 97 +-6879 537 +2979 5958 +-1850 5796 +5733 -627 +1579 1626 +-6918 -559 +518 1030 +-833 4080 +-1894 3014 +181 1489 +1697 -2638 +2561 -2700 +-1604 -1514 +381 -2839 +-2368 -1464 +-4876 2990 +175 6053 +1489 0 +175 -6053 +-4876 -2990 +-2368 1464 +381 2839 +-1604 1514 +2561 2700 +1697 2638 +181 -1489 +-1894 -3014 +-833 -4080 +518 -1030 +-6918 559 +1579 -1626 +5733 627 +-1850 -5796 +2979 -5958 +3633 2630 +7136 -6471 +9536 -6383 +-274 2665 +-3642 -1655 +-1519 -874 +-2309 1909 +-6139 1489 +-2998 -3447 +1535 -6010 +2448 5448 +853 4945 +-6467 1267 +2177 1828 +3546 -8636 +-7447 0 +3546 8636 +2177 -1828 +-6467 -1267 +853 -4945 +2448 -5448 +1535 6010 +-2998 3447 +-6139 -1489 +-2309 -1909 +-1519 874 +-3642 1655 +-274 -2665 +9536 6383 +7136 6471 +3633 -2630 +2979 5958 +-1850 5796 +5733 -627 +1579 1626 +-6918 -559 +518 1030 +-833 4080 +-1894 3014 +181 1489 +1697 -2638 +2561 -2700 +-1604 -1514 +381 -2839 +-2368 -1464 +-4876 2990 +175 6053 +5958 5958 +-1125 1996 +1019 -1651 +-997 -5459 +-3643 -1576 +2173 4361 +1665 4854 +-226 -374 +-181 -1489 +-3834 2801 +-7154 -1998 +-1737 965 +5589 5295 +1106 2800 +-2691 3193 +344 -3076 +1489 0 +344 3076 +-2691 -3193 +1106 -2800 +5589 -5295 +-1737 -965 +-7154 1998 +-3834 -2801 +-181 1489 +-226 374 +1665 -4854 +2173 -4361 +-3643 1576 +-997 5459 +1019 1651 +-1125 -1996 +5958 -5958 +4417 -1393 +3119 -682 +4999 -4602 +-4783 704 +-1333 -7868 +1528 -5121 +-2955 9322 +6139 -1489 +2801 -6795 +-1997 -14 +5110 1380 +2837 -209 +-895 1417 +-7404 4644 +-7849 -837 +-1489 0 +-7849 837 +-7404 -4644 +-895 -1417 +2837 209 +5110 -1380 +-1997 14 +2801 6795 +6139 1489 +-2955 -9322 +1528 5121 +-1333 7868 +-4783 -704 +4999 4602 +3119 682 +4417 1393 +5958 5958 +-1125 1996 +1019 -1651 +-997 -5459 +-3643 -1576 +2173 4361 +1665 4854 +-226 -374 +-181 -1489 +-3834 2801 +-7154 -1998 +-1737 965 +5589 5295 +1106 2800 +-2691 3193 +344 -3076 +4468 0 +-2986 -798 +-6508 3360 +702 -5281 +-1690 -10080 +-885 -4104 +-1762 -1886 +-5322 -5071 +1053 -5085 +818 -2256 +3230 -2514 +2123 4199 +-7354 2768 +1958 -1448 +5902 2755 +-597 -3535 +0 0 +-597 3535 +5902 -2755 +1958 1448 +-7354 -2768 +2123 -4199 +3230 2514 +818 2256 +1053 5085 +-5322 5071 +-1762 1886 +-885 4104 +-1690 10080 +702 5281 +-6508 -3360 +-2986 798 +4468 0 +3356 79 +5122 -876 +823 -3384 +-2162 7101 +69 -249 +-291 -7092 +1560 3574 +-1053 -873 +-1270 -3757 +4781 3707 +2905 5725 +-710 211 +730 -1366 +1441 -2015 +-3987 -10125 +-8937 0 +-3987 10125 +1441 2015 +730 1366 +-710 -211 +2905 -5725 +4781 -3707 +-1270 3757 +-1053 873 +1560 -3574 +-291 7092 +69 249 +-2162 -7101 +823 3384 +5122 876 +3356 -79 +4468 0 +-2986 -798 +-6508 3360 +702 -5281 +-1690 -10080 +-885 -4104 +-1762 -1886 +-5322 -5071 +1053 -5085 +818 -2256 +3230 -2514 +2123 4199 +-7354 2768 +1958 -1448 +5902 2755 +-597 -3535 +5958 -4468 +-2197 -7705 +-2194 -8273 +1223 -6769 +4698 360 +1988 5683 +-13964 -1575 +-4452 1060 +4213 4649 +-1887 -1663 +3288 1199 +-685 929 +-334 -2952 +5455 -1810 +-2021 -1703 +885 1040 +8937 0 +885 -1040 +-2021 1703 +5455 1810 +-334 2952 +-685 -929 +3288 -1199 +-1887 1663 +4213 -4649 +-4452 -1060 +-13964 1575 +1988 -5683 +4698 -360 +1223 6769 +-2194 8273 +-2197 7705 +5958 4468 +-1460 1636 +-4010 -3456 +-1696 -177 +-4698 4725 +550 1559 +3201 1976 +1363 -1465 +-4213 -1670 +-2460 2550 +1517 -2544 +-5425 -180 +334 2080 +7016 -3203 +2267 144 +1783 2609 +2979 0 +1783 -2609 +2267 -144 +7016 3203 +334 -2080 +-5425 180 +1517 2544 +-2460 -2550 +-4213 1670 +1363 1465 +3201 -1976 +550 -1559 +-4698 -4725 +-1696 177 +-4010 3456 +-1460 -1636 +5958 -4468 +-2197 -7705 +-2194 -8273 +1223 -6769 +4698 360 +1988 5683 +-13964 -1575 +-4452 1060 +4213 4649 +-1887 -1663 +3288 1199 +-685 929 +-334 -2952 +5455 -1810 +-2021 -1703 +885 1040 +2979 -1489 +3286 -2087 +1959 -926 +7784 2007 +6085 1644 +-6517 1157 +-647 -52 +3787 -4293 +1489 -1053 +1738 2619 +-2145 4936 +3362 9824 +3311 2903 +-725 -3754 +7201 -201 +118 -658 +-11916 0 +118 658 +7201 201 +-725 3754 +3311 -2903 +3362 -9824 +-2145 -4936 +1738 -2619 +1489 1053 +3787 4293 +-647 52 +-6517 -1157 +6085 -1644 +7784 -2007 +1959 926 +3286 2087 +2979 1489 +-1127 -2467 +-6497 -686 +-3272 4647 +-3979 -3389 +-2660 -4562 +-987 3944 +-8434 434 +1489 1053 +5189 3774 +-434 -1044 +311 4379 +-5418 7267 +1717 -752 +1550 -1411 +-4557 1421 +0 0 +-4557 -1421 +1550 1411 +1717 752 +-5418 -7267 +311 -4379 +-434 1044 +5189 -3774 +1489 -1053 +-8434 -434 +-987 -3944 +-2660 4562 +-3979 3389 +-3272 -4647 +-6497 686 +-1127 2467 +2979 -1489 +3286 -2087 +1959 -926 +7784 2007 +6085 1644 +-6517 1157 +-647 -52 +3787 -4293 +1489 -1053 +1738 2619 +-2145 4936 +3362 9824 +3311 2903 +-725 -3754 +7201 -201 +118 -658 +2979 0 +6840 3713 +4602 -610 +1681 -1519 +532 3872 +971 -4808 +-5581 -1747 +-6141 7007 +1926 -3596 +8692 -6365 +-173 336 +-9843 -1941 +-1093 -4838 +-155 -1565 +-1011 229 +-1678 -2214 +-7447 0 +-1678 2214 +-1011 -229 +-155 1565 +-1093 4838 +-9843 1941 +-173 -336 +8692 6365 +1926 3596 +-6141 -7007 +-5581 1747 +971 4808 +532 -3872 +1681 1519 +4602 610 +6840 -3713 +2979 0 +-4711 -534 +-216 2448 +-4345 3511 +-7724 -20 +-876 -2772 +-2030 -3984 +3544 2359 +4032 617 +397 -2224 +3571 -109 +31 -7119 +2327 -3226 +4111 -1412 +837 -4348 +1482 7780 +1489 0 +1482 -7780 +837 4348 +4111 1412 +2327 3226 +31 7119 +3571 109 +397 2224 +4032 -617 +3544 -2359 +-2030 3984 +-876 2772 +-7724 20 +-4345 -3511 +-216 -2448 +-4711 534 +2979 0 +6840 3713 +4602 -610 +1681 -1519 +532 3872 +971 -4808 +-5581 -1747 +-6141 7007 +1926 -3596 +8692 -6365 +-173 336 +-9843 -1941 +-1093 -4838 +-155 -1565 +-1011 229 +-1678 -2214 +-1489 -4468 +4003 -6135 +3182 -34 +-3129 -122 +-3349 -2676 +3717 2196 +-625 -4468 +-3268 -3388 +1745 -1053 +-2613 -11302 +-3063 -2009 +1831 899 +1510 -730 +3450 4073 +2160 -3205 +-1529 -656 +-1489 0 +-1529 656 +2160 3205 +3450 -4073 +1510 730 +1831 -899 +-3063 2009 +-2613 11302 +1745 1053 +-3268 3388 +-625 4468 +3717 -2196 +-3349 2676 +-3129 122 +3182 34 +4003 6135 +-1489 4468 +-7206 -4541 +-2216 -1333 +1173 9556 +-1737 -1536 +110 -4772 +1271 3555 +6390 105 +10171 1053 +782 -2151 +-1796 -4862 +835 -1729 +-2382 -3482 +438 3616 +1086 7796 +-4985 150 +-7447 0 +-4985 -150 +1086 -7796 +438 -3616 +-2382 3482 +835 1729 +-1796 4862 +782 2151 +10171 -1053 +6390 -105 +1271 -3555 +110 4772 +-1737 1536 +1173 -9556 +-2216 1333 +-7206 4541 +-1489 -4468 +4003 -6135 +3182 -34 +-3129 -122 +-3349 -2676 +3717 2196 +-625 -4468 +-3268 -3388 +1745 -1053 +-2613 -11302 +-3063 -2009 +1831 899 +1510 -730 +3450 4073 +2160 -3205 +-1529 -656 +4468 0 +1732 7247 +3071 1076 +-846 900 +5408 -189 +3095 -4097 +-4228 3580 +-2968 7498 +-1053 2106 +-1280 -2968 +1961 -2642 +5509 2440 +1356 1650 +186 314 +-1117 1771 +-6999 -1115 +-8937 0 +-6999 1115 +-1117 -1771 +186 -314 +1356 -1650 +5509 -2440 +1961 2642 +-1280 2968 +-1053 -2106 +-2968 -7498 +-4228 -3580 +3095 4097 +5408 189 +-846 -900 +3071 -1076 +1732 -7247 +4468 0 +10166 3010 +-2977 -5841 +-1922 -1829 +2656 1423 +-506 -416 +-212 -107 +-6204 -5778 +1053 -2106 +-1545 3396 +-11905 -4056 +-660 -460 +2496 5542 +3570 -3176 +3491 -4790 +-1327 1655 +0 0 +-1327 -1655 +3491 4790 +3570 3176 +2496 -5542 +-660 460 +-11905 4056 +-1545 -3396 +1053 2106 +-6204 5778 +-212 107 +-506 416 +2656 -1423 +-1922 1829 +-2977 5841 +10166 -3010 +4468 0 +1732 7247 +3071 1076 +-846 900 +5408 -189 +3095 -4097 +-4228 3580 +-2968 7498 +-1053 2106 +-1280 -2968 +1961 -2642 +5509 2440 +1356 1650 +186 314 +-1117 1771 +-6999 -1115 +2979 -4468 +6313 664 +2167 -2535 +3390 -4129 +2469 -483 +289 -3623 +-158 -5555 +1470 -609 +5085 1670 +3885 1268 +-4661 991 +-1962 -675 +7154 -2429 +-1757 -2724 +-3546 434 +3613 3563 +2979 0 +3613 -3563 +-3546 -434 +-1757 2724 +7154 2429 +-1962 675 +-4661 -991 +3885 -1268 +5085 -1670 +1470 609 +-158 5555 +289 3623 +2469 483 +3390 4129 +2167 2535 +6313 -664 +2979 4468 +-1782 1528 +-3039 -8322 +-12695 -6432 +-3703 -1623 +1753 -659 +-4927 870 +5735 -3236 +873 -4649 +-4944 2672 +-425 2750 +-3002 -383 +38 323 +-2867 -4613 +2674 -2865 +2562 6413 +-8937 0 +2562 -6413 +2674 2865 +-2867 4613 +38 -323 +-3002 383 +-425 -2750 +-4944 -2672 +873 4649 +5735 3236 +-4927 -870 +1753 659 +-3703 1623 +-12695 6432 +-3039 8322 +-1782 -1528 +2979 -4468 +6313 664 +2167 -2535 +3390 -4129 +2469 -483 +289 -3623 +-158 -5555 +1470 -609 +5085 1670 +3885 1268 +-4661 991 +-1962 -675 +7154 -2429 +-1757 -2724 +-3546 434 +3613 3563 +5958 1489 +-545 -3161 +-1231 -1706 +3148 1276 +4678 -2988 +3115 -2491 +-1458 -3841 +-2919 -2322 +-3596 -4905 +1936 -10457 +504 16 +-3802 862 +2892 -4462 +815 6381 +3861 5742 +251 -4937 +-11916 0 +251 4937 +3861 -5742 +815 -6381 +2892 4462 +-3802 -862 +504 -16 +1936 10457 +-3596 4905 +-2919 2322 +-1458 3841 +3115 2491 +4678 2988 +3148 -1276 +-1231 1706 +-545 3161 +5958 -1489 +1853 2234 +-2393 -1273 +-2267 -2500 +-826 2988 +-1544 1688 +-4768 6820 +-1470 3461 +617 -7011 +1161 -3626 +-4450 -2995 +-4261 -1317 +5172 4462 +-3629 1168 +-1982 -2763 +8159 -2786 +5958 0 +8159 2786 +-1982 2763 +-3629 -1168 +5172 -4462 +-4261 1317 +-4450 2995 +1161 3626 +617 7011 +-1470 -3461 +-4768 -6820 +-1544 -1688 +-826 -2988 +-2267 2500 +-2393 1273 +1853 -2234 +5958 1489 +-545 -3161 +-1231 -1706 +3148 1276 +4678 -2988 +3115 -2491 +-1458 -3841 +-2919 -2322 +-3596 -4905 +1936 -10457 +504 16 +-3802 862 +2892 -4462 +815 6381 +3861 5742 +251 -4937 +1489 -1489 +6516 -6245 +4312 5045 +-2537 -237 +3086 -3409 +3263 -421 +34 1400 +1790 1101 +617 -3415 +3956 398 +22 -1666 +-4283 -1229 +3558 4041 +105 -3046 +-3787 1905 +717 11706 +1489 0 +717 -11706 +-3787 -1905 +105 3046 +3558 -4041 +-4283 1229 +22 1666 +3956 -398 +617 3415 +1790 -1101 +34 -1400 +3263 421 +3086 3409 +-2537 237 +4312 -5045 +6516 6245 +1489 1489 +3871 -7661 +5579 -3905 +996 -4696 +-3086 5515 +-2612 7930 +-5365 1352 +-6061 827 +-3596 -5522 +-5189 -1844 +1096 4418 +1352 1710 +-3558 -1935 +3716 -3980 +-1891 -765 +-5600 -220 +1489 0 +-5600 220 +-1891 765 +3716 3980 +-3558 1935 +1352 -1710 +1096 -4418 +-5189 1844 +-3596 5522 +-6061 -827 +-5365 -1352 +-2612 -7930 +-3086 -5515 +996 4696 +5579 3905 +3871 7661 +1489 -1489 +6516 -6245 +4312 5045 +-2537 -237 +3086 -3409 +3263 -421 +34 1400 +1790 1101 +617 -3415 +3956 398 +22 -1666 +-4283 -1229 +3558 4041 +105 -3046 +-3787 1905 +717 11706 +2979 -4468 +-7786 -5005 +-3101 -2775 +2943 2739 +-1329 1129 +-1282 -8492 +-690 -440 +7395 2437 +2979 -2543 +-5789 6333 +-257 4943 +-3638 -2127 +-4402 1463 +-3096 4182 +-8142 3504 +-1561 901 +5958 0 +-1561 -901 +-8142 -3504 +-3096 -4182 +-4402 -1463 +-3638 2127 +-257 -4943 +-5789 -6333 +2979 2543 +7395 -2437 +-690 440 +-1282 8492 +-1329 -1129 +2943 -2739 +-3101 2775 +-7786 5005 +2979 4468 +4032 -1809 +5800 -298 +5103 -1874 +2563 -3235 +3551 5224 +-3407 -1021 +558 -5748 +2979 -436 +116 -1860 +2609 2022 +-4136 2084 +-2790 -3569 +554 -93 +-4728 1849 +3034 70 +11916 0 +3034 -70 +-4728 -1849 +554 93 +-2790 3569 +-4136 -2084 +2609 -2022 +116 1860 +2979 436 +558 5748 +-3407 1021 +3551 -5224 +2563 3235 +5103 1874 +5800 298 +4032 1809 +2979 -4468 +-7786 -5005 +-3101 -2775 +2943 2739 +-1329 1129 +-1282 -8492 +-690 -440 +7395 2437 +2979 -2543 +-5789 6333 +-257 4943 +-3638 -2127 +-4402 1463 +-3096 4182 +-8142 3504 +-1561 901 +-1489 1489 +1190 870 +8296 -1090 +683 2219 +-3509 -1149 +6080 -1739 +4382 7977 +3393 5070 +0 -3160 +-653 2045 +2471 1006 +2022 -7384 +4422 -4689 +-3897 -1200 +-3451 -846 +740 2307 +-4468 0 +740 -2307 +-3451 846 +-3897 1200 +4422 4689 +2022 7384 +2471 -1006 +-653 -2045 +0 3160 +3393 -5070 +4382 -7977 +6080 1739 +-3509 1149 +683 -2219 +8296 1090 +1190 -870 +-1489 -1489 +-1341 2472 +7326 1090 +3255 2835 +-5789 7107 +2540 -212 +-5594 -7977 +-8165 -3372 +0 3160 +-5281 2877 +486 -1006 +3288 -2352 +-1082 -1269 +2881 -1530 +-2000 846 +-6734 4259 +-4468 0 +-6734 -4259 +-2000 -846 +2881 1530 +-1082 1269 +3288 2352 +486 1006 +-5281 -2877 +0 -3160 +-8165 3372 +-5594 7977 +2540 212 +-5789 -7107 +3255 -2835 +7326 -1090 +-1341 -2472 +-1489 1489 +1190 870 +8296 -1090 +683 2219 +-3509 -1149 +6080 -1739 +4382 7977 +3393 5070 +0 -3160 +-653 2045 +2471 1006 +2022 -7384 +4422 -4689 +-3897 -1200 +-3451 -846 +740 2307 +1489 -5958 +-3967 4359 +-2790 2724 +3663 76 +3730 -4509 +4216 342 +3510 2418 +-1937 -2657 +-5266 0 +-3099 -305 +-3544 -2029 +-2920 -4926 +-1784 -1984 +-3053 2177 +4678 -3514 +4512 -1524 +-2979 0 +4512 1524 +4678 3514 +-3053 -2177 +-1784 1984 +-2920 4926 +-3544 2029 +-3099 305 +-5266 0 +-1937 2657 +3510 -2418 +4216 -342 +3730 4509 +3663 -76 +-2790 -2724 +-3967 -4359 +1489 5958 +-3692 9840 +1650 -2230 +11106 -2138 +2590 3275 +934 5345 +-758 3580 +-2072 3265 +5266 0 +-1318 -6419 +792 3815 +6196 3711 +-4536 -5208 +-3291 775 +-3538 -204 +-5279 -3522 +0 0 +-5279 3522 +-3538 204 +-3291 -775 +-4536 5208 +6196 -3711 +792 -3815 +-1318 6419 +5266 0 +-2072 -3265 +-758 -3580 +934 -5345 +2590 -3275 +11106 2138 +1650 2230 +-3692 -9840 +1489 -5958 +-3967 4359 +-2790 2724 +3663 76 +3730 -4509 +4216 342 +3510 2418 +-1937 -2657 +-5266 0 +-3099 -305 +-3544 -2029 +-2920 -4926 +-1784 -1984 +-3053 2177 +4678 -3514 +4512 -1524 +-2979 0 +-2943 8495 +4890 515 +-761 -220 +-2095 2979 +-929 4257 +-6613 -5674 +-2127 -12250 +436 0 +-4804 -280 +-5435 -2171 +1948 1229 +6321 -2979 +-1496 -1969 +-3221 1337 +-133 2275 +-1489 0 +-133 -2275 +-3221 -1337 +-1496 1969 +6321 2979 +1948 -1229 +-5435 2171 +-4804 280 +436 0 +-2127 12250 +-6613 5674 +-929 -4257 +-2095 -2979 +-761 220 +4890 -515 +-2943 -8495 +-2979 0 +192 2735 +-32 -2795 +5507 7058 +-11 2979 +42 -1259 +5646 170 +-1045 -5010 +2543 0 +5696 627 +2189 -3333 +4443 -3547 +-4215 -2979 +-8754 -1446 +2575 -3617 +5164 -2204 +1489 0 +5164 2204 +2575 3617 +-8754 1446 +-4215 2979 +4443 3547 +2189 3333 +5696 -627 +2543 0 +-1045 5010 +5646 -170 +42 1259 +-11 -2979 +5507 -7058 +-32 2795 +192 -2735 +-2979 0 +-2943 8495 +4890 515 +-761 -220 +-2095 2979 +-929 4257 +-6613 -5674 +-2127 -12250 +436 0 +-4804 -280 +-5435 -2171 +1948 1229 +6321 -2979 +-1496 -1969 +-3221 1337 +-133 2275 +-1489 -2979 +-2276 1561 +982 -4381 +96 -2484 +-1536 1053 +-2153 6718 +-5230 3388 +-4769 -4528 +2543 6575 +7521 469 +6708 -7993 +5103 2781 +3482 1053 +1641 3695 +1084 3344 +592 -11027 +0 0 +592 11027 +1084 -3344 +1641 -3695 +3482 -1053 +5103 -2781 +6708 7993 +7521 -469 +2543 -6575 +-4769 4528 +-5230 -3388 +-2153 -6718 +-1536 -1053 +96 2484 +982 4381 +-2276 -1561 +-1489 2979 +2972 2011 +-488 -2396 +-5907 2877 +-2676 1053 +329 4298 +-769 2418 +1393 -345 +436 2362 +-6424 -7169 +-4922 -6542 +2226 -947 +730 1053 +-1335 -569 +2635 -6631 +993 857 +-2979 0 +993 -857 +2635 6631 +-1335 569 +730 -1053 +2226 947 +-4922 6542 +-6424 7169 +436 -2362 +1393 345 +-769 -2418 +329 -4298 +-2676 -1053 +-5907 -2877 +-488 2396 +2972 -2011 +-1489 -2979 +-2276 1561 +982 -4381 +96 -2484 +-1536 1053 +-2153 6718 +-5230 3388 +-4769 -4528 +2543 6575 +7521 469 +6708 -7993 +5103 2781 +3482 1053 +1641 3695 +1084 3344 +592 -11027 +-4468 -5958 +-2127 -1735 +2064 -1670 +-486 752 +2866 8648 +752 1086 +-4624 -846 +2892 1002 +436 -3596 +16 -858 +4528 -1293 +-213 -4794 +919 -521 +-408 5578 +1269 203 +-780 -9987 +-8937 0 +-780 9987 +1269 -203 +-408 -5578 +919 521 +-213 4794 +4528 1293 +16 858 +436 3596 +2892 -1002 +-4624 846 +752 -1086 +2866 -8648 +-486 -752 +2064 1670 +-2127 1735 +-4468 5958 +1799 -724 +216 1937 +-7853 1972 +113 -5308 +4536 5529 +-880 8684 +4958 829 +2543 617 +-429 -1069 +977 -1041 +-1504 3519 +2059 9819 +-3250 9597 +-3549 1810 +2096 279 +0 0 +2096 -279 +-3549 -1810 +-3250 -9597 +2059 -9819 +-1504 -3519 +977 1041 +-429 1069 +2543 -617 +4958 -829 +-880 -8684 +4536 -5529 +113 5308 +-7853 -1972 +216 -1937 +1799 724 +-4468 -5958 +-2127 -1735 +2064 -1670 +-486 752 +2866 8648 +752 1086 +-4624 -846 +2892 1002 +436 -3596 +16 -858 +4528 -1293 +-213 -4794 +919 -521 +-408 5578 +1269 203 +-780 -9987 +-4468 -2979 +181 6460 +1585 4058 +-271 -5245 +1973 -819 +-2312 -4294 +-2841 2655 +3263 3068 +4032 -6319 +-174 1736 +-6047 -5084 +-4034 -3343 +-940 8577 +-4817 -1592 +585 -1970 +6990 3644 +5958 0 +6990 -3644 +585 1970 +-4817 1592 +-940 -8577 +-4034 3343 +-6047 5084 +-174 -1736 +4032 6319 +3263 -3068 +-2841 -2655 +-2312 4294 +1973 819 +-271 5245 +1585 -4058 +181 -6460 +-4468 2979 +2071 -2135 +4507 -4058 +2356 2083 +3113 9245 +-3177 4924 +-5318 -2655 +298 3404 +1926 6319 +-466 4737 +-4392 5084 +-1183 3972 +1812 -152 +-3413 -1570 +4 1970 +4688 681 +2979 0 +4688 -681 +4 -1970 +-3413 1570 +1812 152 +-1183 -3972 +-4392 -5084 +-466 -4737 +1926 -6319 +298 -3404 +-5318 2655 +-3177 -4924 +3113 -9245 +2356 -2083 +4507 4058 +2071 2135 +-4468 -2979 +181 6460 +1585 4058 +-271 -5245 +1973 -819 +-2312 -4294 +-2841 2655 +3263 3068 +4032 -6319 +-174 1736 +-6047 -5084 +-4034 -3343 +-940 8577 +-4817 -1592 +585 -1970 +6990 3644 +2979 2979 +3332 936 +696 3222 +5408 2152 +2939 -1489 +-4155 -2745 +533 -1795 +-2535 -1059 +-436 -2106 +1592 299 +711 7731 +3423 8354 +-5798 1489 +-2490 1378 +3396 6618 +-2975 5960 +-4468 0 +-2975 -5960 +3396 -6618 +-2490 -1378 +-5798 -1489 +3423 -8354 +711 -7731 +1592 -299 +-436 2106 +-2535 1059 +533 1795 +-4155 2745 +2939 1489 +5408 -2152 +696 -3222 +3332 -936 +2979 -2979 +2517 -4321 +-5728 3155 +-2697 5964 +6359 -1489 +201 -5153 +3832 -5570 +1091 -5535 +-2543 2106 +4065 4651 +-5076 -4925 +-3682 -5137 +2458 1489 +-4434 -2488 +1636 -1986 +1338 5689 +-7447 0 +1338 -5689 +1636 1986 +-4434 2488 +2458 -1489 +-3682 5137 +-5076 4925 +4065 -4651 +-2543 -2106 +1091 5535 +3832 5570 +201 5153 +6359 1489 +-2697 -5964 +-5728 -3155 +2517 4321 +2979 2979 +3332 936 +696 3222 +5408 2152 +2939 -1489 +-4155 -2745 +533 -1795 +-2535 -1059 +-436 -2106 +1592 299 +711 7731 +3423 8354 +-5798 1489 +-2490 1378 +3396 6618 +-2975 5960 +-8937 4468 +120 5759 +6635 -2197 +3242 2601 +-3596 6321 +-3489 5115 +2336 2785 +2499 -3560 +2979 436 +5377 163 +3114 3059 +2838 8971 +617 11 +-4018 1898 +-1105 3686 +-3231 -7546 +-8937 0 +-3231 7546 +-1105 -3686 +-4018 -1898 +617 -11 +2838 -8971 +3114 -3059 +5377 -163 +2979 -436 +2499 3560 +2336 -2785 +-3489 -5115 +-3596 -6321 +3242 -2601 +6635 2197 +120 -5759 +-8937 -4468 +-2872 -2609 +3954 3337 +-4382 7948 +-3596 -4215 +4629 155 +817 -33 +253 -5995 +2979 2543 +-2625 -1293 +-4521 -307 +-1698 4724 +617 2095 +2878 226 +686 -2546 +478 2271 +2979 0 +478 -2271 +686 2546 +2878 -226 +617 -2095 +-1698 -4724 +-4521 307 +-2625 1293 +2979 -2543 +253 5995 +817 33 +4629 -155 +-3596 4215 +-4382 -7948 +3954 -3337 +-2872 2609 +-8937 4468 +120 5759 +6635 -2197 +3242 2601 +-3596 6321 +-3489 5115 +2336 2785 +2499 -3560 +2979 436 +5377 163 +3114 3059 +2838 8971 +617 11 +-4018 1898 +-1105 3686 +-3231 -7546 +2979 -4468 +-1965 126 +-4165 7793 +2930 -6561 +2382 -7884 +2829 -1091 +-1493 -1672 +-4777 2805 +-2362 -4032 +-929 -10632 +17 -1389 +-1343 -1195 +3349 -5239 +5239 -3388 +-1307 -1013 +-2090 2956 +0 0 +-2090 -2956 +-1307 1013 +5239 3388 +3349 5239 +-1343 1195 +17 1389 +-929 10632 +-2362 4032 +-4777 -2805 +-1493 1672 +2829 1091 +2382 7884 +2930 6561 +-4165 -7793 +-1965 -126 +2979 4468 +-1828 -5517 +3025 1184 +1309 4057 +-1510 -3520 +1810 -477 +4246 2412 +6845 456 +-6575 -1926 +-8577 -1782 +-2769 3873 +-6868 3653 +1737 -207 +2519 1419 +2447 -180 +4895 -3681 +0 0 +4895 3681 +2447 180 +2519 -1419 +1737 207 +-6868 -3653 +-2769 -3873 +-8577 1782 +-6575 1926 +6845 -456 +4246 -2412 +1810 477 +-1510 3520 +1309 -4057 +3025 -1184 +-1828 5517 +2979 -4468 +-1965 126 +-4165 7793 +2930 -6561 +2382 -7884 +2829 -1091 +-1493 -1672 +-4777 2805 +-2362 -4032 +-929 -10632 +17 -1389 +-1343 -1195 +3349 -5239 +5239 -3388 +-1307 -1013 +-2090 2956 +-1489 -1489 +-1533 1467 +6860 -1554 +4532 -9052 +-617 5059 +-5022 5963 +-7689 -9969 +2828 -5050 +-3596 -2543 +-8774 -4508 +-1453 4317 +21 1507 +3596 -2618 +-2247 2636 +-147 2511 +2700 918 +-4468 0 +2700 -918 +-147 -2511 +-2247 -2636 +3596 2618 +21 -1507 +-1453 -4317 +-8774 4508 +-3596 2543 +2828 5050 +-7689 9969 +-5022 -5963 +-617 -5059 +4532 9052 +6860 1554 +-1533 -1467 +-1489 1489 +-3632 -2692 +-2968 -1314 +-189 -1158 +-617 27 +7573 1973 +6077 -5973 +-358 -6252 +617 -436 +2091 -2769 +3065 -1663 +1640 1763 +3596 1746 +2117 2829 +-3745 1301 +-1748 -1608 +1489 0 +-1748 1608 +-3745 -1301 +2117 -2829 +3596 -1746 +1640 -1763 +3065 1663 +2091 2769 +617 436 +-358 6252 +6077 5973 +7573 -1973 +-617 -27 +-189 1158 +-2968 1314 +-3632 2692 +-1489 -1489 +-1533 1467 +6860 -1554 +4532 -9052 +-617 5059 +-5022 5963 +-7689 -9969 +2828 -5050 +-3596 -2543 +-8774 -4508 +-1453 4317 +21 1507 +3596 -2618 +-2247 2636 +-147 2511 +2700 918 +-1489 -1489 +2952 128 +4787 -1626 +-291 2098 +4562 -6025 +200 -8891 +-4237 1971 +5291 -882 +2723 -3777 +-4495 239 +-580 2069 +-710 237 +-5476 -2240 +-5378 -2133 +-1236 -3224 +2932 -2076 +4468 0 +2932 2076 +-1236 3224 +-5378 2133 +-5476 2240 +-710 -237 +-580 -2069 +-4495 -239 +2723 3777 +5291 882 +-4237 -1971 +200 8891 +4562 6025 +-291 -2098 +4787 1626 +2952 -128 +-1489 1489 +3775 781 +-2507 -1866 +-3187 -2242 +6842 -3273 +2376 2709 +-1267 -2024 +-1962 33 +-5702 6755 +818 -553 +6085 8049 +4929 9255 +29 -1100 +-6365 -2677 +-1044 -2013 +-886 7010 +-7447 0 +-886 -7010 +-1044 2013 +-6365 2677 +29 1100 +4929 -9255 +6085 -8049 +818 553 +-5702 -6755 +-1962 -33 +-1267 2024 +2376 -2709 +6842 3273 +-3187 2242 +-2507 1866 +3775 -781 +-1489 -1489 +2952 128 +4787 -1626 +-291 2098 +4562 -6025 +200 -8891 +-4237 1971 +5291 -882 +2723 -3777 +-4495 239 +-580 2069 +-710 237 +-5476 -2240 +-5378 -2133 +-1236 -3224 +2932 -2076 +-2979 0 +-3366 -1936 +-1047 -5401 +-2488 4618 +2249 6595 +3694 -2955 +981 -717 +2072 3814 +1053 617 +12704 -418 +6137 -2930 +-6903 -4415 +4515 864 +-3501 1413 +-3053 444 +6722 3690 +1489 0 +6722 -3690 +-3053 -444 +-3501 -1413 +4515 -864 +-6903 4415 +6137 2930 +12704 418 +1053 -617 +2072 -3814 +981 717 +3694 2955 +2249 -6595 +-2488 -4618 +-1047 5401 +-3366 1936 +-2979 0 +-2612 3792 +-3865 -824 +-4139 -1884 +-504 2703 +1254 -74 +-5251 -1162 +-2679 803 +-1053 -3596 +-4660 -4681 +602 -694 +5526 -547 +5655 2476 +-1869 7813 +-6419 3502 +245 -3125 +4468 0 +245 3125 +-6419 -3502 +-1869 -7813 +5655 -2476 +5526 547 +602 694 +-4660 4681 +-1053 3596 +-2679 -803 +-5251 1162 +1254 74 +-504 -2703 +-4139 1884 +-3865 824 +-2612 -3792 +-2979 0 +-3366 -1936 +-1047 -5401 +-2488 4618 +2249 6595 +3694 -2955 +981 -717 +2072 3814 +1053 617 +12704 -418 +6137 -2930 +-6903 -4415 +4515 864 +-3501 1413 +-3053 444 +6722 3690 +-2979 -2979 +-2898 -4783 +-811 6448 +5236 5592 +1006 -768 +-8973 1665 +-5626 -2100 +3375 -3762 +1670 -873 +-2041 -5807 +2157 -6023 +5934 1437 +3919 -4415 +1048 -3102 +-255 4010 +-1093 -6303 +-1489 0 +-1093 6303 +-255 -4010 +1048 3102 +3919 4415 +5934 -1437 +2157 6023 +-2041 5807 +1670 873 +3375 3762 +-5626 2100 +-8973 -1665 +1006 768 +5236 -5592 +-811 -6448 +-2898 4783 +-2979 2979 +-5572 96 +-1941 -1816 +3208 1083 +-134 7960 +-1033 1845 +4486 4906 +2060 2464 +-4649 -5085 +-3047 484 +-1017 -1342 +-2723 6739 +1167 5649 +5730 -5898 +3007 2367 +790 1082 +1489 0 +790 -1082 +3007 -2367 +5730 5898 +1167 -5649 +-2723 -6739 +-1017 1342 +-3047 -484 +-4649 5085 +2060 -2464 +4486 -4906 +-1033 -1845 +-134 -7960 +3208 -1083 +-1941 1816 +-5572 -96 +-2979 -2979 +-2898 -4783 +-811 6448 +5236 5592 +1006 -768 +-8973 1665 +-5626 -2100 +3375 -3762 +1670 -873 +-2041 -5807 +2157 -6023 +5934 1437 +3919 -4415 +1048 -3102 +-255 4010 +-1093 -6303 +-2979 1489 +-1122 6067 +851 -2466 +-6632 -3133 +-4139 1042 +783 8251 +1190 3680 +4189 -7352 +1489 -1053 +1869 1286 +3467 548 +-78 4513 +-2505 5268 +-3319 3085 +3781 2636 +3770 7206 +-2979 0 +3770 -7206 +3781 -2636 +-3319 -3085 +-2505 -5268 +-78 -4513 +3467 -548 +1869 -1286 +1489 1053 +4189 7352 +1190 -3680 +783 -8251 +-4139 -1042 +-6632 3133 +851 2466 +-1122 -6067 +-2979 -1489 +-2272 1111 +-5710 -3492 +-2490 -118 +2033 -1042 +4207 5044 +-224 2277 +-4298 -5053 +1489 1053 +-815 -7733 +-220 -6506 +8378 2824 +4611 -5268 +-848 -379 +-3136 3322 +-1321 -5986 +2979 0 +-1321 5986 +-3136 -3322 +-848 379 +4611 5268 +8378 -2824 +-220 6506 +-815 7733 +1489 -1053 +-4298 5053 +-224 -2277 +4207 -5044 +2033 1042 +-2490 118 +-5710 3492 +-2272 -1111 +-2979 1489 +-1122 6067 +851 -2466 +-6632 -3133 +-4139 1042 +783 8251 +1190 3680 +4189 -7352 +1489 -1053 +1869 1286 +3467 548 +-78 4513 +-2505 5268 +-3319 3085 +3781 2636 +3770 7206 +-5958 0 +-3481 -315 +2319 1480 +4047 -144 +134 1263 +-1560 -5345 +-119 -3123 +-1717 3763 +1309 0 +-2723 -690 +-954 3810 +6824 5324 +-1167 2629 +1383 5352 +4912 4351 +1842 -6227 +4468 0 +1842 6227 +4912 -4351 +1383 -5352 +-1167 -2629 +6824 -5324 +-954 -3810 +-2723 690 +1309 0 +-1717 -3763 +-119 3123 +-1560 5345 +134 -1263 +4047 144 +2319 -1480 +-3481 315 +-5958 0 +794 -8991 +2366 1673 +-285 5447 +-1006 -4242 +1190 4173 +2551 3542 +2533 -3837 +7628 0 +-2305 1150 +-9903 6780 +-2240 9179 +-3919 349 +-932 -4716 +-1172 -2943 +-3367 946 +1489 0 +-3367 -946 +-1172 2943 +-932 4716 +-3919 -349 +-2240 -9179 +-9903 -6780 +-2305 -1150 +7628 0 +2533 3837 +2551 -3542 +1190 -4173 +-1006 4242 +-285 -5447 +2366 -1673 +794 8991 +-5958 0 +-3481 -315 +2319 1480 +4047 -144 +134 1263 +-1560 -5345 +-119 -3123 +-1717 3763 +1309 0 +-2723 -690 +-954 3810 +6824 5324 +-1167 2629 +1383 5352 +4912 4351 +1842 -6227 +2979 1489 +3544 3594 +-576 -3337 +-4306 2499 +-3625 2307 +2588 1166 +-262 -3692 +-5133 1295 +-4213 6755 +-3622 -5047 +2660 1094 +3091 3951 +-3945 -3758 +161 -3342 +1900 -6431 +-5553 -1639 +-8937 0 +-5553 1639 +1900 6431 +161 3342 +-3945 3758 +3091 -3951 +2660 -1094 +-3622 5047 +-4213 -6755 +-5133 -1295 +-262 3692 +2588 -1166 +-3625 -2307 +-4306 -2499 +-576 3337 +3544 -3594 +2979 -1489 +-2148 8078 +3555 -3726 +7234 -2397 +1880 2779 +3650 -1145 +3241 4628 +686 2662 +4213 -3777 +3856 -72 +319 1586 +-5117 -662 +-6225 2886 +1123 -1714 +1079 -10803 +-57 -4190 +2979 0 +-57 4190 +1079 10803 +1123 1714 +-6225 -2886 +-5117 662 +319 -1586 +3856 72 +4213 3777 +686 -2662 +3241 -4628 +3650 1145 +1880 -2779 +7234 2397 +3555 3726 +-2148 -8078 +2979 1489 +3544 3594 +-576 -3337 +-4306 2499 +-3625 2307 +2588 1166 +-262 -3692 +-5133 1295 +-4213 6755 +-3622 -5047 +2660 1094 +3091 3951 +-3945 -3758 +161 -3342 +1900 -6431 +-5553 -1639 +2979 1489 +1157 1267 +-4420 -1930 +-6969 -1005 +-1917 -6388 +907 -3659 +-1829 -2650 +579 121 +0 436 +-5381 -4637 +-3306 1112 +372 323 +3756 7020 +6268 4285 +1052 -11357 +1256 2094 +5958 0 +1256 -2094 +1052 11357 +6268 -4285 +3756 -7020 +372 -323 +-3306 -1112 +-5381 4637 +0 -436 +579 -121 +-1829 2650 +907 3659 +-1917 6388 +-6969 1005 +-4420 1930 +1157 -1267 +2979 -1489 +-1667 7399 +-665 1244 +5396 -1861 +-3529 2536 +-10131 -918 +956 -3780 +3723 88 +0 2543 +3359 1622 +2433 4374 +3348 2884 +7648 1044 +809 633 +-6138 -1245 +-3025 3347 +0 0 +-3025 -3347 +-6138 1245 +809 -633 +7648 -1044 +3348 -2884 +2433 -4374 +3359 -1622 +0 -2543 +3723 -88 +956 3780 +-10131 918 +-3529 -2536 +5396 1861 +-665 -1244 +-1667 -7399 +2979 1489 +1157 1267 +-4420 -1930 +-6969 -1005 +-1917 -6388 +907 -3659 +-1829 -2650 +579 121 +0 436 +-5381 -4637 +-3306 1112 +372 323 +3756 7020 +6268 4285 +1052 -11357 +1256 2094 +1489 1489 +3265 7301 +-3778 9816 +-6426 3639 +16 -3758 +2764 -4421 +-1712 667 +1229 -4720 +3596 -5522 +-5479 902 +-2608 -8718 +-682 -4578 +-5961 2779 +2091 -3676 +40 357 +-3796 1095 +1489 0 +-3796 -1095 +40 -357 +2091 3676 +-5961 -2779 +-682 4578 +-2608 8718 +-5479 -902 +3596 5522 +1229 4720 +-1712 -667 +2764 4421 +16 3758 +-6426 -3639 +-3778 -9816 +3265 -7301 +1489 -1489 +45 3314 +799 867 +583 -3283 +2963 2886 +-1602 3794 +-1267 620 +3725 -2437 +-617 -3415 +525 -1914 +-371 -2634 +-480 6872 +8940 2307 +3752 -9898 +-3018 -2312 +486 -1186 +1489 0 +486 1186 +-3018 2312 +3752 9898 +8940 -2307 +-480 -6872 +-371 2634 +525 1914 +-617 3415 +3725 2437 +-1267 -620 +-1602 -3794 +2963 -2886 +583 3283 +799 -867 +45 -3314 +1489 1489 +3265 7301 +-3778 9816 +-6426 3639 +16 -3758 +2764 -4421 +-1712 667 +1229 -4720 +3596 -5522 +-5479 902 +-2608 -8718 +-682 -4578 +-5961 2779 +2091 -3676 +40 357 +-3796 1095 +1489 -2979 +-1658 -5821 +-2191 426 +4207 -834 +2233 -1403 +506 -94 +-1147 -7128 +129 1474 +3415 6575 +3963 263 +-976 3196 +2757 -1024 +10476 -2316 +-2765 -1196 +-5016 -7009 +2530 -1719 +0 0 +2530 1719 +-5016 7009 +-2765 1196 +10476 2316 +2757 1024 +-976 -3196 +3963 -263 +3415 -6575 +129 -1474 +-1147 7128 +506 94 +2233 1403 +4207 834 +-2191 -426 +-1658 5821 +1489 2979 +-6366 3310 +-788 4218 +908 -2022 +-3467 -3683 +222 -4746 +-1832 -4980 +2942 -3016 +5522 2362 +751 3699 +-2002 -7601 +-6710 -6096 +-3285 3188 +874 -3939 +2037 -4475 +-2290 4712 +-8937 0 +-2290 -4712 +2037 4475 +874 3939 +-3285 -3188 +-6710 6096 +-2002 7601 +751 -3699 +5522 -2362 +2942 3016 +-1832 4980 +222 4746 +-3467 3683 +908 2022 +-788 -4218 +-6366 -3310 +1489 -2979 +-1658 -5821 +-2191 426 +4207 -834 +2233 -1403 +506 -94 +-1147 -7128 +129 1474 +3415 6575 +3963 263 +-976 3196 +2757 -1024 +10476 -2316 +-2765 -1196 +-5016 -7009 +2530 -1719 +-4468 1489 +176 -6387 +544 -4637 +417 311 +-3959 -163 +-6507 340 +5597 -385 +6020 2313 +6575 436 +9229 935 +1570 3320 +-2978 -3219 +-8644 3396 +-2795 2394 +6463 -8608 +-2535 1168 +-10426 0 +-2535 -1168 +6463 8608 +-2795 -2394 +-8644 -3396 +-2978 3219 +1570 -3320 +9229 -935 +6575 -436 +6020 -2313 +5597 385 +-6507 -340 +-3959 163 +417 -311 +544 4637 +176 6387 +-4468 -1489 +1074 285 +649 -641 +-2590 -1207 +2214 6482 +142 2007 +-3112 826 +105 5773 +2362 2543 +-5637 2939 +-2310 3079 +7410 1353 +-1527 2924 +-1525 923 +2515 -2628 +-6 -3057 +1489 0 +-6 3057 +2515 2628 +-1525 -923 +-1527 -2924 +7410 -1353 +-2310 -3079 +-5637 -2939 +2362 -2543 +105 -5773 +-3112 -826 +142 -2007 +2214 -6482 +-2590 1207 +649 641 +1074 -285 +-4468 1489 +176 -6387 +544 -4637 +417 311 +-3959 -163 +-6507 340 +5597 -385 +6020 2313 +6575 436 +9229 935 +1570 3320 +-2978 -3219 +-8644 3396 +-2795 2394 +6463 -8608 +-2535 1168 +1489 1489 +1644 4922 +-1341 6090 +-2565 4243 +-485 -2269 +-1728 144 +-2976 8756 +-565 315 +6575 -3777 +4237 883 +4630 -2105 +5958 1861 +-3879 1289 +-478 -4199 +-668 -2827 +-695 -5237 +7447 0 +-695 5237 +-668 2827 +-478 4199 +-3879 -1289 +5958 -1861 +4630 2105 +4237 -883 +6575 3777 +-565 -315 +-2976 -8756 +-1728 -144 +-485 2269 +-2565 -4243 +-1341 -6090 +1644 -4922 +1489 -1489 +2343 1658 +-2756 2242 +757 1130 +8911 4375 +743 1838 +-9893 -4770 +-5992 4392 +2362 6755 +-4173 253 +-1931 3623 +4744 1110 +-4547 817 +995 2135 +3019 -3224 +-5225 -180 +-4468 0 +-5225 180 +3019 3224 +995 -2135 +-4547 -817 +4744 -1110 +-1931 -3623 +-4173 -253 +2362 -6755 +-5992 -4392 +-9893 4770 +743 -1838 +8911 -4375 +757 -1130 +-2756 -2242 +2343 -1658 +1489 1489 +1644 4922 +-1341 6090 +-2565 4243 +-485 -2269 +-1728 144 +-2976 8756 +-565 315 +6575 -3777 +4237 883 +4630 -2105 +5958 1861 +-3879 1289 +-478 -4199 +-668 -2827 +-695 -5237 +-2979 -1489 +863 2337 +5620 -8512 +-2823 881 +-6225 5059 +-1209 -3907 +-1278 -949 +1247 290 +2979 3415 +671 2612 +3378 -965 +736 460 +-3625 -2618 +5552 -1555 +11078 801 +2816 -2122 +-2979 0 +2816 2122 +11078 -801 +5552 1555 +-3625 2618 +736 -460 +3378 965 +671 -2612 +2979 -3415 +1247 -290 +-1278 949 +-1209 3907 +-6225 -5059 +-2823 -881 +5620 8512 +863 -2337 +-2979 1489 +-1635 -506 +-6533 -7109 +-8574 2947 +-3945 27 +1921 19 +5869 -263 +4367 -3494 +2979 5522 +1152 3902 +-2012 -1991 +2123 -2415 +1880 1746 +-6152 -1110 +-4207 -6252 +-1055 5245 +-2979 0 +-1055 -5245 +-4207 6252 +-6152 1110 +1880 -1746 +2123 2415 +-2012 1991 +1152 -3902 +2979 -5522 +4367 3494 +5869 263 +1921 -19 +-3945 -27 +-8574 -2947 +-6533 7109 +-1635 506 +-2979 -1489 +863 2337 +5620 -8512 +-2823 881 +-6225 5059 +-1209 -3907 +-1278 -949 +1247 290 +2979 3415 +671 2612 +3378 -965 +736 460 +-3625 -2618 +5552 -1555 +11078 801 +2816 -2122 +1489 2979 +-2534 2756 +-6668 4318 +-1004 -2835 +-693 4155 +-3260 2744 +4405 -1866 +6375 433 +436 -617 +415 2518 +5115 -1301 +9398 761 +4006 3456 +-5778 -2338 +-3008 -1565 +1263 -4586 +0 0 +1263 4586 +-3008 1565 +-5778 2338 +4006 -3456 +9398 -761 +5115 1301 +415 -2518 +436 617 +6375 -433 +4405 1866 +-3260 -2744 +-693 -4155 +-1004 2835 +-6668 -4318 +-2534 -2756 +1489 -2979 +582 -3554 +1756 6177 +2762 -153 +3672 930 +-1304 934 +-8676 -5726 +-3051 6062 +2543 3596 +-514 -1526 +1623 9837 +2950 5196 +-1027 -4328 +-3765 1631 +-6463 4358 +-2534 -1717 +2979 0 +-2534 1717 +-6463 -4358 +-3765 -1631 +-1027 4328 +2950 -5196 +1623 -9837 +-514 1526 +2543 -3596 +-3051 -6062 +-8676 5726 +-1304 -934 +3672 -930 +2762 153 +1756 -6177 +582 3554 +1489 2979 +-2534 2756 +-6668 4318 +-1004 -2835 +-693 4155 +-3260 2744 +4405 -1866 +6375 433 +436 -617 +415 2518 +5115 -1301 +9398 761 +4006 3456 +-5778 -2338 +-3008 -1565 +1263 -4586 +0 7447 +-1944 5215 +-1583 -2728 +-2508 5995 +-2354 570 +6540 820 +9216 1780 +2093 -2120 +-2362 3160 +-1815 612 +349 -29 +941 -1629 +1214 -1376 +815 3918 +-2264 2317 +-587 5485 +2979 0 +-587 -5485 +-2264 -2317 +815 -3918 +1214 1376 +941 1629 +349 29 +-1815 -612 +-2362 -3160 +2093 2120 +9216 -1780 +6540 -820 +-2354 -570 +-2508 -5995 +-1583 2728 +-1944 -5215 +0 -7447 +-5836 6045 +-6789 -251 +997 -2999 +-3966 -570 +-4949 1546 +4446 1199 +-2028 -6103 +-6575 -3160 +3042 -4621 +4585 -2950 +3961 8207 +5106 1376 +2628 -5135 +3956 662 +-1350 1562 +-8937 0 +-1350 -1562 +3956 -662 +2628 5135 +5106 -1376 +3961 -8207 +4585 2950 +3042 4621 +-6575 3160 +-2028 6103 +4446 -1199 +-4949 -1546 +-3966 570 +997 2999 +-6789 251 +-5836 -6045 +0 7447 +-1944 5215 +-1583 -2728 +-2508 5995 +-2354 570 +6540 820 +9216 1780 +2093 -2120 +-2362 3160 +-1815 612 +349 -29 +941 -1629 +1214 -1376 +815 3918 +-2264 2317 +-587 5485 +1489 -2979 +-1123 2246 +-3844 903 +397 4644 +2618 0 +2707 -10725 +596 1387 +3298 5161 +8245 -5085 +1030 235 +1864 -461 +6350 -854 +27 0 +-1190 -4540 +-4888 -2841 +-2365 -5408 +5958 0 +-2365 5408 +-4888 2841 +-1190 4540 +27 0 +6350 854 +1864 461 +1030 -235 +8245 5085 +3298 -5161 +596 -1387 +2707 10725 +2618 0 +397 -4644 +-3844 -903 +-1123 -2246 +1489 2979 +-314 10189 +-101 710 +1192 -6126 +-1746 0 +-9442 -4669 +-4221 -5279 +3642 -1004 +-2287 -873 +-2467 1339 +16 -3431 +2665 -1555 +5059 0 +-2678 -808 +-1337 4453 +-1702 -1591 +-8937 0 +-1702 1591 +-1337 -4453 +-2678 808 +5059 0 +2665 1555 +16 3431 +-2467 -1339 +-2287 873 +3642 1004 +-4221 5279 +-9442 4669 +-1746 0 +1192 6126 +-101 -710 +-314 -10189 +1489 -2979 +-1123 2246 +-3844 903 +397 4644 +2618 0 +2707 -10725 +596 1387 +3298 5161 +8245 -5085 +1030 235 +1864 -461 +6350 -854 +27 0 +-1190 -4540 +-4888 -2841 +-2365 -5408 +-4468 2979 +-439 -4506 +-161 747 +2389 -7876 +1886 -7575 +576 2752 +-2814 -2912 +-3898 -10878 +4649 -6575 +3128 2798 +-988 -63 +-327 -956 +-4745 4801 +-894 6499 +3203 4451 +429 -3133 +0 0 +429 3133 +3203 -4451 +-894 -6499 +-4745 -4801 +-327 956 +-988 63 +3128 -2798 +4649 6575 +-3898 10878 +-2814 2912 +576 -2752 +1886 7575 +2389 7876 +-161 -747 +-439 4506 +-4468 -2979 +-2741 -1741 +2761 8382 +984 280 +5306 2489 +3426 -1629 +-5291 -5593 +-3606 4870 +-1670 -2362 +164 -4781 +667 1728 +538 -2587 +3511 -3928 +1733 1579 +2622 2933 +-1461 -2579 +-8937 0 +-1461 2579 +2622 -2933 +1733 -1579 +3511 3928 +538 2587 +667 -1728 +164 4781 +-1670 2362 +-3606 -4870 +-5291 5593 +3426 1629 +5306 -2489 +984 -280 +2761 -8382 +-2741 1741 +-4468 2979 +-439 -4506 +-161 747 +2389 -7876 +1886 -7575 +576 2752 +-2814 -2912 +-3898 -10878 +4649 -6575 +3128 2798 +-988 -63 +-327 -956 +-4745 4801 +-894 6499 +3203 4451 +429 -3133 +-5958 10426 +-3976 1014 +-1744 -5561 +-3041 2401 +-1946 -3380 +-1684 -2467 +3624 2097 +23 -716 +-5085 -436 +-4726 -3969 +-3641 -3918 +-1954 398 +-806 -521 +5872 -245 +1042 -2759 +-4371 -6459 +0 0 +-4371 6459 +1042 2759 +5872 245 +-806 521 +-1954 -398 +-3641 3918 +-4726 3969 +-5085 436 +23 716 +3624 -2097 +-1684 2467 +-1946 3380 +-3041 -2401 +-1744 5561 +-3976 -1014 +-5958 -10426 +7075 2579 +6335 1937 +378 -1922 +1946 40 +-446 -3880 +3247 4129 +4091 5391 +-873 -2543 +-3601 -432 +2727 -27 +8296 -3477 +806 -8777 +1004 -4433 +325 880 +-2941 -7450 +0 0 +-2941 7450 +325 -880 +1004 4433 +806 8777 +8296 3477 +2727 27 +-3601 432 +-873 2543 +4091 -5391 +3247 -4129 +-446 3880 +1946 -40 +378 1922 +6335 -1937 +7075 -2579 +-5958 10426 +-3976 1014 +-1744 -5561 +-3041 2401 +-1946 -3380 +-1684 -2467 +3624 2097 +23 -716 +-5085 -436 +-4726 -3969 +-3641 -3918 +-1954 398 +-806 -521 +5872 -245 +1042 -2759 +-4371 -6459 +0 -1489 +2052 -373 +-4465 2832 +-4157 -2876 +-20 -1216 +-1129 4906 +677 -1466 +-1884 -8512 +-617 -9117 +3593 -3176 +-4626 -2774 +-1800 -2070 +3226 2343 +-4529 -2443 +3414 -1494 +5826 4845 +-2979 0 +5826 -4845 +3414 1494 +-4529 2443 +3226 -2343 +-1800 2070 +-4626 2774 +3593 3176 +-617 9117 +-1884 8512 +677 1466 +-1129 -4906 +-20 1216 +-4157 2876 +-4465 -2832 +2052 373 +0 1489 +-461 4944 +2452 14 +2220 -4808 +3872 5429 +1194 2567 +-3010 4766 +373 8772 +3596 -2798 +-149 -8561 +-3212 -8309 +3027 2107 +4838 1870 +-3252 -4253 +-3147 1872 +-925 -3845 +-2979 0 +-925 3845 +-3147 -1872 +-3252 4253 +4838 -1870 +3027 -2107 +-3212 8309 +-149 8561 +3596 2798 +373 -8772 +-3010 -4766 +1194 -2567 +3872 -5429 +2220 4808 +2452 -14 +-461 -4944 +0 -1489 +2052 -373 +-4465 2832 +-4157 -2876 +-20 -1216 +-1129 4906 +677 -1466 +-1884 -8512 +-617 -9117 +3593 -3176 +-4626 -2774 +-1800 -2070 +3226 2343 +-4529 -2443 +3414 -1494 +5826 4845 +0 -4468 +1402 -3691 +3296 1295 +585 -836 +1329 -1623 +-2879 2242 +-6341 -2196 +-1899 1563 +-5085 4649 +-1525 -2461 +2758 708 +1708 2748 +4402 323 +-6391 818 +-6662 1182 +-1160 4119 +-5958 0 +-1160 -4119 +-6662 -1182 +-6391 -818 +4402 -323 +1708 -2748 +2758 -708 +-1525 2461 +-5085 -4649 +-1899 -1563 +-6341 2196 +-2879 -2242 +1329 1623 +585 836 +3296 -1295 +1402 3691 +0 4468 +10313 1083 +10486 -1522 +-7500 2290 +-2563 -483 +7374 -6036 +-602 4035 +2032 5178 +-873 -1670 +-4458 -969 +-28 -4827 +-1687 -4797 +2790 -2429 +365 -1110 +-2908 4549 +3722 3443 +5958 0 +3722 -3443 +-2908 -4549 +365 1110 +2790 2429 +-1687 4797 +-28 4827 +-4458 969 +-873 1670 +2032 -5178 +-602 -4035 +7374 6036 +-2563 483 +-7500 -2290 +10486 1522 +10313 -1083 +0 -4468 +1402 -3691 +3296 1295 +585 -836 +1329 -1623 +-2879 2242 +-6341 -2196 +-1899 1563 +-5085 4649 +-1525 -2461 +2758 708 +1708 2748 +4402 323 +-6391 818 +-6662 1182 +-1160 4119 +2979 -1489 +-2040 4180 +-9089 4714 +3282 6939 +4300 3482 +2107 -5384 +8035 -603 +1868 -977 +617 -1053 +3070 8931 +-1968 -877 +-2643 -3863 +-407 2676 +549 -1870 +-838 -1170 +3171 -2038 +8937 0 +3171 2038 +-838 1170 +549 1870 +-407 -2676 +-2643 3863 +-1968 877 +3070 -8931 +617 1053 +1868 977 +8035 603 +2107 5384 +4300 -3482 +3282 -6939 +-9089 -4714 +-2040 -4180 +2979 1489 +-3189 6892 +-3875 -821 +-3841 -5810 +2020 730 +1955 203 +-1244 2215 +2539 2494 +-3596 1053 +-1973 6969 +7815 2489 +862 1149 +-5912 1536 +-2270 531 +1163 5062 +-3446 -1274 +-8937 0 +-3446 1274 +1163 -5062 +-2270 -531 +-5912 -1536 +862 -1149 +7815 -2489 +-1973 -6969 +-3596 -1053 +2539 -2494 +-1244 -2215 +1955 -203 +2020 -730 +-3841 5810 +-3875 821 +-3189 -6892 +2979 -1489 +-2040 4180 +-9089 4714 +3282 6939 +4300 3482 +2107 -5384 +8035 -603 +1868 -977 +617 -1053 +3070 8931 +-1968 -877 +-2643 -3863 +-407 2676 +549 -1870 +-838 -1170 +3171 -2038 +2979 2979 +1337 620 +-4710 -3794 +-3228 -389 +-2639 -893 +-7852 -1631 +3786 -1194 +6643 -1399 +3160 1489 +-340 475 +-3111 -799 +2559 3048 +3199 1859 +4412 -140 +-1724 1759 +-3251 817 +4468 0 +-3251 -817 +-1724 -1759 +4412 140 +3199 -1859 +2559 -3048 +-3111 799 +-340 -475 +3160 -1489 +6643 1399 +3786 1194 +-7852 1631 +-2639 893 +-3228 389 +-4710 3794 +1337 -620 +2979 -2979 +14620 3914 +5129 -1558 +-6610 -5980 +5618 2999 +888 -606 +-2378 -5771 +1576 2430 +-3160 1489 +-1734 -2668 +-42 2259 +1483 2500 +-221 247 +-8504 1556 +-8866 1314 +-2000 493 +1489 0 +-2000 -493 +-8866 -1314 +-8504 -1556 +-221 -247 +1483 -2500 +-42 -2259 +-1734 2668 +-3160 -1489 +1576 -2430 +-2378 5771 +888 606 +5618 -2999 +-6610 5980 +5129 1558 +14620 -3914 +2979 2979 +1337 620 +-4710 -3794 +-3228 -389 +-2639 -893 +-7852 -1631 +3786 -1194 +6643 -1399 +3160 1489 +-340 475 +-3111 -799 +2559 3048 +3199 1859 +4412 -140 +-1724 1759 +-3251 817 +0 1489 +-1436 3491 +-2297 -868 +-1658 -1623 +2999 -3816 +485 -1980 +317 -2397 +4947 -5184 +1489 -4032 +-1307 -1852 +-4837 646 +-4123 -2975 +-247 2022 +-3344 3380 +2377 1279 +-879 14271 +-11916 0 +-879 -14271 +2377 -1279 +-3344 -3380 +-247 -2022 +-4123 2975 +-4837 -646 +-1307 1852 +1489 4032 +4947 5184 +317 2397 +485 1980 +2999 3816 +-1658 1623 +-2297 868 +-1436 -3491 +0 -1489 +1995 1987 +1651 -3345 +561 -4307 +-893 -396 +-210 2057 +-3563 -1816 +-3166 -2621 +1489 -1926 +-2755 -637 +3871 3567 +9352 -8108 +-1859 -6235 +-1063 8298 +2481 2934 +2600 1460 +5958 0 +2600 -1460 +2481 -2934 +-1063 -8298 +-1859 6235 +9352 8108 +3871 -3567 +-2755 637 +1489 1926 +-3166 2621 +-3563 1816 +-210 -2057 +-893 396 +561 4307 +1651 3345 +1995 -1987 +0 1489 +-1436 3491 +-2297 -868 +-1658 -1623 +2999 -3816 +485 -1980 +317 -2397 +4947 -5184 +1489 -4032 +-1307 -1852 +-4837 646 +-4123 -2975 +-247 2022 +-3344 3380 +2377 1279 +-879 14271 +1489 0 +-1383 811 +-3918 3047 +2055 -3955 +1282 -2999 +4725 -1177 +-2206 -4388 +-11225 319 +436 -617 +5658 -2714 +-4438 4144 +-1205 2853 +9374 -247 +2879 2499 +-3209 3522 +-4997 3795 +-8937 0 +-4997 -3795 +-3209 -3522 +2879 -2499 +9374 247 +-1205 -2853 +-4438 -4144 +5658 2714 +436 617 +-11225 -319 +-2206 4388 +4725 1177 +1282 2999 +2055 3955 +-3918 -3047 +-1383 -811 +1489 0 +-2998 -4333 +1433 -1529 +-5566 817 +-3750 893 +2851 -1250 +-6771 -3943 +-922 -450 +2543 3596 +-3 6155 +3245 1908 +3346 -6269 +5010 -1859 +-659 1801 +3949 464 +7445 4680 +0 0 +7445 -4680 +3949 -464 +-659 -1801 +5010 1859 +3346 6269 +3245 -1908 +-3 -6155 +2543 -3596 +-922 450 +-6771 3943 +2851 1250 +-3750 -893 +-5566 -817 +1433 1529 +-2998 4333 +1489 0 +-1383 811 +-3918 3047 +2055 -3955 +1282 -2999 +4725 -1177 +-2206 -4388 +-11225 319 +436 -617 +5658 -2714 +-4438 4144 +-1205 2853 +9374 -247 +2879 2499 +-3209 3522 +-4997 3795 +5958 5958 +-623 2927 +-4387 -6308 +4011 1049 +6569 -209 +4042 -1660 +2322 2603 +5041 -416 +4032 -2723 +1131 -580 +-7619 -1167 +-11516 -3552 +882 -1576 +-794 -2205 +-3950 -2707 +1678 3113 +1489 0 +1678 -3113 +-3950 2707 +-794 2205 +882 1576 +-11516 3552 +-7619 1167 +1131 580 +4032 2723 +5041 416 +2322 -2603 +4042 1660 +6569 209 +4011 -1049 +-4387 6308 +-623 -2927 +5958 -5958 +-1002 8195 +1408 4296 +1371 2495 +-2356 5295 +-1677 -1883 +-5301 -270 +314 2179 +1926 5702 +2286 4275 +4640 -6670 +-6070 -1283 +-5095 704 +2208 -3968 +971 2440 +-401 1516 +-1489 0 +-401 -1516 +971 -2440 +2208 3968 +-5095 -704 +-6070 1283 +4640 6670 +2286 -4275 +1926 -5702 +314 -2179 +-5301 270 +-1677 1883 +-2356 -5295 +1371 -2495 +1408 -4296 +-1002 -8195 +5958 5958 +-623 2927 +-4387 -6308 +4011 1049 +6569 -209 +4042 -1660 +2322 2603 +5041 -416 +4032 -2723 +1131 -580 +-7619 -1167 +-11516 -3552 +882 -1576 +-794 -2205 +-3950 -2707 +1678 3113 +-1489 1489 +3834 -2517 +919 -4026 +-4626 332 +-5818 -4099 +2061 -3844 +2866 6599 +-7075 -454 +0 -6139 +2614 674 +113 -333 +4214 -1787 +-5644 -6272 +-5687 -7703 +2059 -3605 +4165 -7111 +7447 0 +4165 7111 +2059 3605 +-5687 7703 +-5644 6272 +4214 1787 +113 333 +2614 -674 +0 6139 +-7075 454 +2866 -6599 +2061 3844 +-5818 4099 +-4626 -332 +919 4026 +3834 2517 +-1489 -1489 +-4494 3527 +919 7499 +6491 -51 +1966 -1347 +1465 1431 +2866 -3580 +-3920 -957 +0 181 +-391 -153 +113 5098 +7481 -1917 +-2420 -5132 +-2973 -1732 +2059 -3092 +-3159 1628 +-4468 0 +-3159 -1628 +2059 3092 +-2973 1732 +-2420 5132 +7481 1917 +113 -5098 +-391 153 +0 -181 +-3920 957 +2866 3580 +1465 -1431 +1966 1347 +6491 51 +919 -7499 +-4494 -3527 +-1489 1489 +3834 -2517 +919 -4026 +-4626 332 +-5818 -4099 +2061 -3844 +2866 6599 +-7075 -454 +0 -6139 +2614 674 +113 -333 +4214 -1787 +-5644 -6272 +-5687 -7703 +2059 -3605 +4165 -7111 +1489 0 +5779 431 +1549 -5355 +5981 -3161 +-3113 4859 +-11030 -2399 +-4032 -4892 +-3765 1632 +-1053 -2979 +-5830 -4378 +-1407 1385 +8335 2718 +-1812 3246 +3419 -1981 +4205 -6574 +994 -1186 +8937 0 +994 1186 +4205 6574 +3419 1981 +-1812 -3246 +8335 -2718 +-1407 -1385 +-5830 4378 +-1053 2979 +-3765 -1632 +-4032 4892 +-11030 2399 +-3113 -4859 +5981 3161 +1549 5355 +5779 -431 +1489 0 +-6544 -1303 +-2195 2829 +2440 8246 +-1973 -646 +-5960 -2687 +786 4193 +3139 -759 +1053 -2979 +1299 3505 +441 3873 +-420 2367 +940 966 +5661 -3104 +654 -1909 +-3498 2058 +0 0 +-3498 -2058 +654 1909 +5661 3104 +940 -966 +-420 -2367 +441 -3873 +1299 -3505 +1053 2979 +3139 759 +786 -4193 +-5960 2687 +-1973 646 +2440 -8246 +-2195 -2829 +-6544 1303 +1489 0 +5779 431 +1549 -5355 +5981 -3161 +-3113 4859 +-11030 -2399 +-4032 -4892 +-3765 1632 +-1053 -2979 +-5830 -4378 +-1407 1385 +8335 2718 +-1812 3246 +3419 -1981 +4205 -6574 +994 -1186 +-2979 -1489 +-162 3105 +-697 -805 +5945 -5521 +7299 5464 +2579 -1254 +667 -6207 +976 1244 +0 2543 +-104 309 +3860 -5740 +2801 5232 +-655 11057 +1046 2453 +-1353 -160 +-2567 -8707 +0 0 +-2567 8707 +-1353 160 +1046 -2453 +-655 -11057 +2801 -5232 +3860 5740 +-104 -309 +0 -2543 +976 -1244 +667 6207 +2579 1254 +7299 -5464 +5945 5521 +-697 805 +-162 -3105 +-2979 1489 +-7498 971 +377 3383 +2938 -1520 +1127 -2124 +4598 2512 +1934 1669 +939 1006 +0 436 +-2452 2395 +1965 -3011 +-4777 -7652 +-7771 -1759 +1721 -1256 +-6752 -1474 +-5983 1321 +5958 0 +-5983 -1321 +-6752 1474 +1721 1256 +-7771 1759 +-4777 7652 +1965 3011 +-2452 -2395 +0 -436 +939 -1006 +1934 -1669 +4598 -2512 +1127 2124 +2938 1520 +377 -3383 +-7498 -971 +-2979 -1489 +-162 3105 +-697 -805 +5945 -5521 +7299 5464 +2579 -1254 +667 -6207 +976 1244 +0 2543 +-104 309 +3860 -5740 +2801 5232 +-655 11057 +1046 2453 +-1353 -160 +-2567 -8707 +0 -2979 +639 -9118 +691 2207 +-3208 1183 +1550 -2382 +1970 -3789 +-18 -1046 +775 2756 +-1053 -1489 +1639 222 +-5172 -3912 +-8346 -4628 +7041 3349 +7000 -1193 +-1077 -795 +551 5200 +1489 0 +551 -5200 +-1077 795 +7000 1193 +7041 -3349 +-8346 4628 +-5172 3912 +1639 -222 +-1053 1489 +775 -2756 +-18 1046 +1970 3789 +1550 2382 +-3208 -1183 +691 -2207 +639 9118 +0 2979 +5000 -2481 +1910 2652 +-5111 -4198 +-5762 1510 +-4322 5582 +-8087 2012 +-5513 4161 +1053 -1489 +-126 -2185 +4851 665 +2915 1673 +-2828 1737 +9104 -1634 +6902 1441 +-2966 3089 +-1489 0 +-2966 -3089 +6902 -1441 +9104 1634 +-2828 -1737 +2915 -1673 +4851 -665 +-126 2185 +1053 1489 +-5513 -4161 +-8087 -2012 +-4322 -5582 +-5762 -1510 +-5111 4198 +1910 -2652 +5000 2481 +0 -2979 +639 -9118 +691 2207 +-3208 1183 +1550 -2382 +1970 -3789 +-18 -1046 +775 2756 +-1053 -1489 +1639 222 +-5172 -3912 +-8346 -4628 +7041 3349 +7000 -1193 +-1077 -795 +551 5200 +-2979 -1489 +-3770 -4213 +2934 -2004 +2514 1804 +-791 -7515 +1406 -815 +5219 3703 +2991 2229 +2106 4649 +8219 -3486 +6177 -4345 +-1642 -2973 +-4015 -751 +-3615 -3256 +562 -7466 +2108 6618 +0 0 +2108 -6618 +562 7466 +-3615 3256 +-4015 751 +-1642 2973 +6177 4345 +8219 3486 +2106 -4649 +2991 -2229 +5219 -3703 +1406 815 +-791 7515 +2514 -1804 +2934 2004 +-3770 4213 +-2979 1489 +-186 4390 +-5041 -3407 +83 -7540 +3769 -4762 +-3535 776 +-3113 3017 +-3086 -1228 +-2106 -1670 +1593 -5683 +-4071 -3319 +1838 4679 +6994 389 +-5475 -4225 +-2668 -412 +556 3729 +-5958 0 +556 -3729 +-2668 412 +-5475 4225 +6994 -389 +1838 -4679 +-4071 3319 +1593 5683 +-2106 1670 +-3086 1228 +-3113 -3017 +-3535 -776 +3769 4762 +83 7540 +-5041 3407 +-186 -4390 +-2979 -1489 +-3770 -4213 +2934 -2004 +2514 1804 +-791 -7515 +1406 -815 +5219 3703 +2991 2229 +2106 4649 +8219 -3486 +6177 -4345 +-1642 -2973 +-4015 -751 +-3615 -3256 +562 -7466 +2108 6618 +-4468 -1489 +-3091 6560 +-485 -2563 +1236 -750 +-597 -570 +-3556 -2492 +5207 5336 +4641 3034 +-2979 -181 +-527 -516 +-4853 -3913 +-5641 -2804 +370 1376 +-2242 -1315 +2471 -5006 +5732 43 +1489 0 +5732 -43 +2471 5006 +-2242 1315 +370 -1376 +-5641 2804 +-4853 3913 +-527 516 +-2979 181 +4641 -3034 +5207 -5336 +-3556 2492 +-597 570 +1236 750 +-485 2563 +-3091 -6560 +-4468 1489 +7579 6134 +9935 951 +-3728 4209 +-4489 570 +1125 -2573 +698 -1444 +-1525 6225 +-2979 6139 +333 -1233 +693 7805 +-2634 2298 +-1242 -1376 +-1412 9334 +-1750 3394 +3710 1643 +7447 0 +3710 -1643 +-1750 -3394 +-1412 -9334 +-1242 1376 +-2634 -2298 +693 -7805 +333 1233 +-2979 -6139 +-1525 -6225 +698 1444 +1125 2573 +-4489 -570 +-3728 -4209 +9935 -951 +7579 -6134 +-4468 -1489 +-3091 6560 +-485 -2563 +1236 -750 +-597 -570 +-3556 -2492 +5207 5336 +4641 3034 +-2979 -181 +-527 -516 +-4853 -3913 +-5641 -2804 +370 1376 +-2242 -1315 +2471 -5006 +5732 43 +2979 2979 +5053 2786 +-2086 3014 +-4524 -3275 +-1022 -1300 +3790 4191 +3399 -7657 +-6624 -3942 +-798 7192 +3944 -1148 +2355 -2436 +8252 320 +2042 -160 +-1886 3486 +-1505 2360 +-1864 269 +1489 0 +-1864 -269 +-1505 -2360 +-1886 -3486 +2042 160 +8252 -320 +2355 2436 +3944 1148 +-798 -7192 +-6624 3942 +3399 7657 +3790 -4191 +-1022 1300 +-4524 3275 +-2086 -3014 +5053 -2786 +2979 -2979 +3513 193 +2732 2490 +-4116 296 +-2830 -2912 +3620 -1212 +-152 5377 +-1476 963 +9734 -1234 +5100 4127 +-1389 156 +-2373 -3299 +-10106 -4052 +-2763 -508 +-3353 3145 +-7646 -3248 +-1489 0 +-7646 3248 +-3353 -3145 +-2763 508 +-10106 4052 +-2373 3299 +-1389 -156 +5100 -4127 +9734 1234 +-1476 -963 +-152 -5377 +3620 1212 +-2830 2912 +-4116 -296 +2732 -2490 +3513 -193 +2979 2979 +5053 2786 +-2086 3014 +-4524 -3275 +-1022 -1300 +3790 4191 +3399 -7657 +-6624 -3942 +-798 7192 +3944 -1148 +2355 -2436 +8252 320 +2042 -160 +-1886 3486 +-1505 2360 +-1864 269 +1489 0 +-86 4260 +-827 8215 +-3993 106 +-6758 -276 +-1041 4900 +-1461 3815 +-2094 -4005 +4649 -9554 +3788 2658 +-291 1623 +-2929 -5066 +-2532 5455 +2974 -1355 +1238 -8507 +-128 3003 +2979 0 +-128 -3003 +1238 8507 +2974 1355 +-2532 -5455 +-2929 5066 +-291 -1623 +3788 -2658 +4649 9554 +-2094 4005 +-1461 -3815 +-1041 -4900 +-6758 276 +-3993 -106 +-827 -8215 +-86 -4260 +1489 0 +-858 1384 +827 -57 +2789 119 +3779 3616 +8102 -3752 +1461 -3227 +-5855 -2862 +-1670 -5341 +-51 -1634 +291 -7715 +82 -1036 +-447 3843 +2443 -2180 +-1238 -1931 +-3140 -9810 +0 0 +-3140 9810 +-1238 1931 +2443 2180 +-447 -3843 +82 1036 +291 7715 +-51 1634 +-1670 5341 +-5855 2862 +1461 3227 +8102 3752 +3779 -3616 +2789 -119 +827 57 +-858 -1384 +1489 0 +-86 4260 +-827 8215 +-3993 106 +-6758 -276 +-1041 4900 +-1461 3815 +-2094 -4005 +4649 -9554 +3788 2658 +-291 1623 +-2929 -5066 +-2532 5455 +2974 -1355 +1238 -8507 +-128 3003 +8937 -2979 +-863 -307 +-6414 1550 +5573 -2504 +-3425 -3529 +-8582 322 +2468 -3438 +-1161 -3857 +436 4213 +-3304 2539 +-1800 -1065 +9824 -1161 +1919 -7648 +2874 -5453 +1951 4163 +-244 3231 +7447 0 +-244 -3231 +1951 -4163 +2874 5453 +1919 7648 +9824 1161 +-1800 1065 +-3304 -2539 +436 -4213 +-1161 3857 +2468 3438 +-8582 -322 +-3425 3529 +5573 2504 +-6414 -1550 +-863 307 +8937 2979 +2716 718 +-1597 -3656 +2314 -2828 +2552 -1917 +-1290 -3507 +-1083 1332 +-1661 1734 +2543 -4213 +2902 842 +-5543 3171 +-7737 -4304 +-7005 -3756 +-2978 -2159 +103 -2057 +1615 2683 +4468 0 +1615 -2683 +103 2057 +-2978 2159 +-7005 3756 +-7737 4304 +-5543 -3171 +2902 -842 +2543 4213 +-1661 -1734 +-1083 -1332 +-1290 3507 +2552 1917 +2314 2828 +-1597 3656 +2716 -718 +8937 -2979 +-863 -307 +-6414 1550 +5573 -2504 +-3425 -3529 +-8582 322 +2468 -3438 +-1161 -3857 +436 4213 +-3304 2539 +-1800 -1065 +9824 -1161 +1919 -7648 +2874 -5453 +1951 4163 +-244 3231 +2979 0 +-393 -2604 +4604 -1559 +1711 -1998 +-8321 3246 +-8969 2575 +-1492 -3379 +7156 464 +436 0 +-2350 1004 +-523 9075 +771 3916 +2697 -646 +-7956 5445 +1071 6284 +2127 3236 +-13405 0 +2127 -3236 +1071 -6284 +-7956 -5445 +2697 646 +771 -3916 +-523 -9075 +-2350 -1004 +436 0 +7156 -464 +-1492 3379 +-8969 -2575 +-8321 -3246 +1711 1998 +4604 1559 +-393 2604 +2979 0 +0 -1158 +-658 4217 +-2678 2856 +-3956 966 +7583 977 +5117 -2201 +1309 -1805 +2543 0 +-4182 1227 +-1356 -271 +1907 -1352 +-2335 4859 +-794 2850 +5155 -1158 +4759 4999 +1489 0 +4759 -4999 +5155 1158 +-794 -2850 +-2335 -4859 +1907 1352 +-1356 271 +-4182 -1227 +2543 0 +1309 1805 +5117 2201 +7583 -977 +-3956 -966 +-2678 -2856 +-658 -4217 +0 1158 +2979 0 +-393 -2604 +4604 -1559 +1711 -1998 +-8321 3246 +-8969 2575 +-1492 -3379 +7156 464 +436 0 +-2350 1004 +-523 9075 +771 3916 +2697 -646 +-7956 5445 +1071 6284 +2127 3236 +0 -2979 +680 5172 +4064 5702 +4052 1013 +-236 1737 +-2972 -1359 +1146 865 +2854 -1034 +-3160 -256 +-3853 2897 +-1291 -3588 +-2357 3940 +3322 -2382 +6090 -6581 +-3401 9397 +-2487 -405 +4468 0 +-2487 405 +-3401 -9397 +6090 6581 +3322 2382 +-2357 -3940 +-1291 3588 +-3853 -2897 +-3160 256 +2854 1034 +1146 -865 +-2972 1359 +-236 -1737 +4052 -1013 +4064 -5702 +680 -5172 +0 2979 +350 2464 +-4064 769 +-4391 2322 +236 3349 +-1370 -2248 +-1146 -3791 +4380 -3144 +3160 -8681 +1179 -6887 +1291 -3551 +-4309 1333 +-3322 1510 +5258 -9972 +3401 -7139 +-3103 3293 +-4468 0 +-3103 -3293 +3401 7139 +5258 9972 +-3322 -1510 +-4309 -1333 +1291 3551 +1179 6887 +3160 8681 +4380 3144 +-1146 3791 +-1370 2248 +236 -3349 +-4391 -2322 +-4064 -769 +350 -2464 +0 -2979 +680 5172 +4064 5702 +4052 1013 +-236 1737 +-2972 -1359 +1146 865 +2854 -1034 +-3160 -256 +-3853 2897 +-1291 -3588 +-2357 3940 +3322 -2382 +6090 -6581 +-3401 9397 +-2487 -405 +-1489 0 +2766 -2013 +2341 847 +3636 -3044 +7395 -1757 +1227 2191 +3257 -1372 +1754 1094 +-1053 2979 +3457 109 +-1603 791 +-4375 -318 +-4290 -844 +-3415 -1219 +4262 -2502 +4220 350 +0 0 +4220 -350 +4262 2502 +-3415 1219 +-4290 844 +-4375 318 +-1603 -791 +3457 -109 +-1053 -2979 +1754 -1094 +3257 1372 +1227 -2191 +7395 1757 +3636 3044 +2341 -847 +2766 2013 +-1489 0 +3534 -1473 +7603 25 +6277 -3706 +-7034 523 +-14027 1116 +-3351 -3713 +1033 4586 +1053 2979 +-5255 -4146 +-770 4294 +5178 1692 +-7987 -6348 +-2927 963 +178 1630 +-3082 -5128 +2979 0 +-3082 5128 +178 -1630 +-2927 -963 +-7987 6348 +5178 -1692 +-770 -4294 +-5255 4146 +1053 -2979 +1033 -4586 +-3351 3713 +-14027 -1116 +-7034 -523 +6277 3706 +7603 -25 +3534 1473 +-1489 0 +2766 -2013 +2341 847 +3636 -3044 +7395 -1757 +1227 2191 +3257 -1372 +1754 1094 +-1053 2979 +3457 109 +-1603 791 +-4375 -318 +-4290 -844 +-3415 -1219 +4262 -2502 +4220 350 +0 4468 +-1238 1680 +205 -2841 +5253 -2760 +5562 -6811 +2807 -5092 +-3806 -2601 +-2986 -254 +1489 -4032 +-3011 -8780 +-1592 1160 +1103 -1023 +-3037 -960 +-606 52 +1691 -7337 +1463 4270 +2979 0 +1463 -4270 +1691 7337 +-606 -52 +-3037 960 +1103 1023 +-1592 -1160 +-3011 8780 +1489 4032 +-2986 254 +-3806 2601 +2807 5092 +5562 6811 +5253 2760 +205 2841 +-1238 -1680 +0 -4468 +-4419 -3064 +-2957 2422 +-1299 9418 +-2222 -6339 +4117 -9218 +2666 4008 +-2864 -3176 +1489 -1926 +4648 5885 +2732 1993 +-3814 2388 +-6261 5684 +865 1940 +1062 -3253 +-18 -1629 +2979 0 +-18 1629 +1062 3253 +865 -1940 +-6261 -5684 +-3814 -2388 +2732 -1993 +4648 -5885 +1489 1926 +-2864 3176 +2666 -4008 +4117 9218 +-2222 6339 +-1299 -9418 +-2957 -2422 +-4419 3064 +0 4468 +-1238 1680 +205 -2841 +5253 -2760 +5562 -6811 +2807 -5092 +-3806 -2601 +-2986 -254 +1489 -4032 +-3011 -8780 +-1592 1160 +1103 -1023 +-3037 -960 +-606 52 +1691 -7337 +1463 4270 +-1489 -1489 +1426 -7074 +-2168 89 +4287 3947 +1650 -360 +-7968 -6112 +2335 2166 +3336 12472 +617 8500 +2132 2909 +-3094 2441 +-2235 4788 +-1423 2952 +1421 -774 +3064 1042 +-802 1474 +-1489 0 +-802 -1474 +3064 -1042 +1421 774 +-1423 -2952 +-2235 -4788 +-3094 -2441 +2132 -2909 +617 -8500 +3336 -12472 +2335 -2166 +-7968 6112 +1650 360 +4287 -3947 +-2168 -89 +1426 7074 +-1489 1489 +-3917 -3146 +-1724 3536 +4398 -4617 +5542 -4725 +-829 2728 +-723 -8391 +-1896 -2547 +-3596 6394 +-3225 -874 +1482 1504 +4235 -923 +189 -2080 +5115 3864 +828 838 +-5480 756 +-1489 0 +-5480 -756 +828 -838 +5115 -3864 +189 2080 +4235 923 +1482 -1504 +-3225 874 +-3596 -6394 +-1896 2547 +-723 8391 +-829 -2728 +5542 4725 +4398 4617 +-1724 -3536 +-3917 3146 +-1489 -1489 +1426 -7074 +-2168 89 +4287 3947 +1650 -360 +-7968 -6112 +2335 2166 +3336 12472 +617 8500 +2132 2909 +-3094 2441 +-2235 4788 +-1423 2952 +1421 -774 +3064 1042 +-802 1474 +2979 -1489 +4999 5853 +143 4929 +-2004 7382 +-1472 1376 +-5897 -1223 +-6235 5651 +-5993 3794 +-1489 3160 +9980 893 +4541 456 +-3457 3053 +4206 570 +3666 1466 +-2888 -1585 +-1740 -6793 +0 0 +-1740 6793 +-2888 1585 +3666 -1466 +4206 -570 +-3457 -3053 +4541 -456 +9980 -893 +-1489 -3160 +-5993 -3794 +-6235 -5651 +-5897 1223 +-1472 -1376 +-2004 -7382 +143 -4929 +4999 -5853 +2979 1489 +-2630 1936 +-5700 -962 +3335 3971 +9536 -1376 +13 -790 +-1282 3367 +2185 672 +-1489 -3160 +319 2 +1231 6095 +-375 -4077 +-354 -570 +-3706 2451 +-1725 -8831 +1303 2586 +0 0 +1303 -2586 +-1725 8831 +-3706 -2451 +-354 570 +-375 4077 +1231 -6095 +319 -2 +-1489 3160 +2185 -672 +-1282 -3367 +13 790 +9536 1376 +3335 -3971 +-5700 962 +-2630 -1936 +2979 -1489 +4999 5853 +143 4929 +-2004 7382 +-1472 1376 +-5897 -1223 +-6235 5651 +-5993 3794 +-1489 3160 +9980 893 +4541 456 +-3457 3053 +4206 570 +3666 1466 +-2888 -1585 +-1740 -6793 +-1489 -2979 +-2097 2361 +-4097 2103 +-5649 -505 +323 7060 +-661 3790 +-7554 -4252 +-8035 1471 +-181 0 +2475 -5729 +839 1170 +2330 1154 +483 -6241 +1733 -1380 +560 5267 +-1936 2940 +0 0 +-1936 -2940 +560 -5267 +1733 1380 +483 6241 +2330 -1154 +839 -1170 +2475 5729 +-181 0 +-8035 -1471 +-7554 4252 +-661 -3790 +323 -7060 +-5649 505 +-4097 -2103 +-2097 -2361 +-1489 2979 +127 1249 +-22 2208 +3042 1595 +-2429 -2336 +668 3305 +7327 4457 +771 -1283 +6139 0 +577 -576 +-6570 -2710 +1877 -3776 +1623 -6909 +5086 1179 +-2399 9215 +-307 2603 +14895 0 +-307 -2603 +-2399 -9215 +5086 -1179 +1623 6909 +1877 3776 +-6570 2710 +577 576 +6139 0 +771 1283 +7327 -4457 +668 -3305 +-2429 2336 +3042 -1595 +-22 -2208 +127 -1249 +-1489 -2979 +-2097 2361 +-4097 2103 +-5649 -505 +323 7060 +-661 3790 +-7554 -4252 +-8035 1471 +-181 0 +2475 -5729 +839 1170 +2330 1154 +483 -6241 +1733 -1380 +560 5267 +-1936 2940 +1489 5958 +300 6195 +630 -4119 +-1691 -3996 +76 -1227 +2159 -2930 +-455 -4835 +8276 -2212 +7628 -1489 +1116 -5399 +-87 -4046 +-5675 2000 +-410 6558 +3488 2118 +830 15 +987 6996 +0 0 +987 -6996 +830 -15 +3488 -2118 +-410 -6558 +-5675 -2000 +-87 4046 +1116 5399 +7628 1489 +8276 2212 +-455 4835 +2159 2930 +76 1227 +-1691 3996 +630 4119 +300 -6195 +1489 -5958 +919 1519 +2389 -2600 +-1270 1000 +-4289 3333 +-5410 -3309 +-6242 -3043 +4501 -4262 +1309 -1489 +-7400 -87 +-3386 -1365 +-791 3757 +4622 -4451 +764 -1542 +-5595 7649 +-273 -6719 +2979 0 +-273 6719 +-5595 -7649 +764 1542 +4622 4451 +-791 -3757 +-3386 1365 +-7400 87 +1309 1489 +4501 4262 +-6242 3043 +-5410 3309 +-4289 -3333 +-1270 -1000 +2389 2600 +919 -1519 +1489 5958 +300 6195 +630 -4119 +-1691 -3996 +76 -1227 +2159 -2930 +-455 -4835 +8276 -2212 +7628 -1489 +1116 -5399 +-87 -4046 +-5675 2000 +-410 6558 +3488 2118 +830 15 +987 6996 +4468 -1489 +-5311 -1805 +-2423 741 +1537 -1651 +-3616 570 +3483 -2632 +-271 -6444 +-8174 1596 +-5085 -1926 +-1621 -7821 +4403 159 +5736 121 +3843 -1376 +345 2936 +-5977 -1746 +-6374 -5900 +-4468 0 +-6374 5900 +-5977 1746 +345 -2936 +3843 1376 +5736 -121 +4403 -159 +-1621 7821 +-5085 1926 +-8174 -1596 +-271 6444 +3483 2632 +-3616 -570 +1537 1651 +-2423 -741 +-5311 1805 +4468 1489 +2689 -4611 +1457 -5867 +739 -4609 +276 -570 +794 960 +-375 -2360 +2451 -4271 +-873 -4032 +852 3667 +455 5421 +-296 -4179 +5455 1376 +-3913 8759 +2731 -913 +7063 -5486 +-4468 0 +7063 5486 +2731 913 +-3913 -8759 +5455 -1376 +-296 4179 +455 -5421 +852 -3667 +-873 4032 +2451 4271 +-375 2360 +794 -960 +276 570 +739 4609 +1457 5867 +2689 4611 +4468 -1489 +-5311 -1805 +-2423 741 +1537 -1651 +-3616 570 +3483 -2632 +-271 -6444 +-8174 1596 +-5085 -1926 +-1621 -7821 +4403 159 +5736 121 +3843 -1376 +345 2936 +-5977 -1746 +-6374 -5900 +1489 1489 +-2207 2379 +-3133 -3210 +-4712 -990 +-102 3549 +-336 2520 +-5549 3880 +-203 5083 +-1234 3160 +6057 519 +7834 4389 +-3555 6207 +2156 -4355 +-110 -3425 +-1524 4974 +981 106 +-4468 0 +981 -106 +-1524 -4974 +-110 3425 +2156 4355 +-3555 -6207 +7834 -4389 +6057 -519 +-1234 -3160 +-203 -5083 +-5549 -3880 +-336 -2520 +-102 -3549 +-4712 990 +-3133 3210 +-2207 -2379 +1489 -1489 +158 -3880 +554 -7206 +780 1751 +-770 2409 +396 -1133 +1011 2670 +12116 -1049 +7192 -3160 +-8252 1771 +917 4629 +1563 5351 +-7241 -1603 +-2451 -5985 +-110 -1006 +-223 138 +1489 0 +-223 -138 +-110 1006 +-2451 5985 +-7241 1603 +1563 -5351 +917 -4629 +-8252 -1771 +7192 3160 +12116 1049 +1011 -2670 +396 1133 +-770 -2409 +780 -1751 +554 7206 +158 3880 +1489 1489 +-2207 2379 +-3133 -3210 +-4712 -990 +-102 3549 +-336 2520 +-5549 3880 +-203 5083 +-1234 3160 +6057 519 +7834 4389 +-3555 6207 +2156 -4355 +-110 -3425 +-1524 4974 +981 106 +1489 7447 +-2251 2108 +-4162 1791 +1823 4023 +-4925 -8321 +-2799 -4510 +7138 5755 +3313 4978 +4830 436 +5492 -3703 +-917 2850 +-3562 809 +-3785 -2697 +2484 2375 +4857 1904 +1583 5024 +1489 0 +1583 -5024 +4857 -1904 +2484 -2375 +-3785 2697 +-3562 -809 +-917 -2850 +5492 3703 +4830 -436 +3313 -4978 +7138 -5755 +-2799 4510 +-4925 8321 +1823 -4023 +-4162 -1791 +-2251 -2108 +1489 -7447 +-915 2111 +2755 4608 +2325 -4864 +-1033 -3956 +281 2861 +3451 -477 +-888 840 +-7809 2543 +-1424 2084 +498 8385 +-3637 1114 +-2173 2335 +-5342 8781 +-1704 -1463 +3515 183 +1489 0 +3515 -183 +-1704 1463 +-5342 -8781 +-2173 -2335 +-3637 -1114 +498 -8385 +-1424 -2084 +-7809 -2543 +-888 -840 +3451 477 +281 -2861 +-1033 3956 +2325 4864 +2755 -4608 +-915 -2111 +1489 7447 +-2251 2108 +-4162 1791 +1823 4023 +-4925 -8321 +-2799 -4510 +7138 5755 +3313 4978 +4830 436 +5492 -3703 +-917 2850 +-3562 809 +-3785 -2697 +2484 2375 +4857 1904 +1583 5024 +4468 7447 +4578 -1470 +-4361 -9144 +-759 -6468 +-3436 -4253 +-5032 -7364 +3230 -980 +2243 1372 +1489 -5522 +589 -5698 +-246 -2096 +2696 7433 +-2296 4564 +-4055 -286 +439 4924 +1483 -3165 +1489 0 +1483 3165 +439 -4924 +-4055 286 +-2296 -4564 +2696 -7433 +-246 2096 +589 5698 +1489 5522 +2243 -1372 +3230 980 +-5032 7364 +-3436 4253 +-759 6468 +-4361 9144 +4578 1470 +4468 -7447 +-576 693 +2749 6811 +-274 -1695 +457 -833 +-2473 1687 +-7122 712 +1130 -471 +1489 -3415 +251 -3875 +4138 84 +596 7125 +-683 -3692 +875 -7983 +1173 2913 +-1272 -4595 +-4468 0 +-1272 4595 +1173 -2913 +875 7983 +-683 3692 +596 -7125 +4138 -84 +251 3875 +1489 3415 +1130 471 +-7122 -712 +-2473 -1687 +457 833 +-274 1695 +2749 -6811 +-576 -693 +4468 7447 +4578 -1470 +-4361 -9144 +-759 -6468 +-3436 -4253 +-5032 -7364 +3230 -980 +2243 1372 +1489 -5522 +589 -5698 +-246 -2096 +2696 7433 +-2296 4564 +-4055 -286 +439 4924 +1483 -3165 +-1489 7447 +-6605 -3995 +-4394 -5011 +-6332 1544 +-1489 -5379 +8033 1321 +-1602 2387 +-5632 -2744 +617 -436 +-2651 -1209 +1764 5978 +4356 718 +-1489 -3207 +2180 3938 +1141 -3001 +-1469 -2701 +1489 0 +-1469 2701 +1141 3001 +2180 -3938 +-1489 3207 +4356 -718 +1764 -5978 +-2651 1209 +617 436 +-5632 2744 +-1602 -2387 +8033 -1321 +-1489 5379 +-6332 -1544 +-4394 5011 +-6605 3995 +-1489 -7447 +4672 -2680 +7373 -1460 +5040 -7113 +-1489 -8132 +1684 -707 +4581 539 +-861 -4628 +-3596 -2543 +-3842 -3883 +1215 1161 +5361 5400 +-1489 -4347 +-3471 -4003 +1838 743 +-463 -1694 +-4468 0 +-463 1694 +1838 -743 +-3471 4003 +-1489 4347 +5361 -5400 +1215 -1161 +-3842 3883 +-3596 2543 +-861 4628 +4581 -539 +1684 707 +-1489 8132 +5040 7113 +7373 1460 +4672 2680 +-1489 7447 +-6605 -3995 +-4394 -5011 +-6332 1544 +-1489 -5379 +8033 1321 +-1602 2387 +-5632 -2744 +617 -436 +-2651 -1209 +1764 5978 +4356 718 +-1489 -3207 +2180 3938 +1141 -3001 +-1469 -2701 +2979 -5958 +280 761 +-5544 2696 +-1864 4413 +-1442 -704 +-1433 -40 +3465 4640 +4830 -3075 +1926 -2723 +1820 361 +3636 -2019 +-2418 -479 +-6461 209 +-144 -2915 +742 -2629 +-533 2651 +1489 0 +-533 -2651 +742 2629 +-144 2915 +-6461 -209 +-2418 479 +3636 2019 +1820 -361 +1926 2723 +4830 3075 +3465 -4640 +-1433 40 +-1442 704 +-1864 -4413 +-5544 -2696 +280 -761 +2979 5958 +-3098 2797 +-281 230 +2210 5308 +-303 1576 +-2075 574 +-3144 -2382 +4460 2344 +4032 5702 +-3326 -1546 +4469 8490 +2702 4691 +-3709 -5295 +3023 4398 +-3342 9767 +-4433 12369 +4468 0 +-4433 -12369 +-3342 -9767 +3023 -4398 +-3709 5295 +2702 -4691 +4469 -8490 +-3326 1546 +4032 -5702 +4460 -2344 +-3144 2382 +-2075 -574 +-303 -1576 +2210 -5308 +-281 -230 +-3098 -2797 +2979 -5958 +280 761 +-5544 2696 +-1864 4413 +-1442 -704 +-1433 -40 +3465 4640 +4830 -3075 +1926 -2723 +1820 361 +3636 -2019 +-2418 -479 +-6461 209 +-144 -2915 +742 -2629 +-533 2651 +-1489 4468 +-3458 -998 +2858 -1731 +-2370 8814 +-4402 779 +5240 -4164 +3908 -1703 +562 -8988 +-3596 -3415 +1919 2933 +4180 -1817 +-1232 1818 +2563 200 +-4370 -4033 +-275 569 +8753 167 +4468 0 +8753 -167 +-275 -569 +-4370 4033 +2563 -200 +-1232 -1818 +4180 1817 +1919 -2933 +-3596 3415 +562 8988 +3908 1703 +5240 4164 +-4402 -779 +-2370 -8814 +2858 1731 +-3458 998 +-1489 -4468 +-3360 6454 +-200 6589 +3247 -4672 +-2790 -5865 +2889 851 +1672 2670 +-5170 -3957 +617 -5522 +5914 -1948 +4624 -1429 +888 1014 +-1329 672 +-4291 -2530 +-4851 77 +-5160 2368 +-7447 0 +-5160 -2368 +-4851 -77 +-4291 2530 +-1329 -672 +888 -1014 +4624 1429 +5914 1948 +617 5522 +-5170 3957 +1672 -2670 +2889 -851 +-2790 5865 +3247 4672 +-200 -6589 +-3360 -6454 +-1489 4468 +-3458 -998 +2858 -1731 +-2370 8814 +-4402 779 +5240 -4164 +3908 -1703 +562 -8988 +-3596 -3415 +1919 2933 +4180 -1817 +-1232 1818 +2563 200 +-4370 -4033 +-275 569 +8753 167 +-4468 -1489 +501 -416 +3952 -2179 +-2535 364 +160 2763 +-4714 3856 +-4932 1602 +4698 -3441 +1489 2543 +-4661 7316 +-2055 900 +-2150 -3095 +-2912 -3075 +4766 1341 +3150 -194 +-2658 -8799 +-1489 0 +-2658 8799 +3150 194 +4766 -1341 +-2912 3075 +-2150 3095 +-2055 -900 +-4661 -7316 +1489 -2543 +4698 3441 +-4932 -1602 +-4714 -3856 +160 -2763 +-2535 -364 +3952 2179 +501 416 +-4468 1489 +-1834 3074 +-9630 5252 +-1378 7239 +4052 -657 +-1007 -2853 +6050 -141 +3737 -4781 +1489 436 +-1493 2069 +-3276 -7865 +2367 -1218 +-1300 5181 +4652 -3990 +6741 -5159 +1711 297 +4468 0 +1711 -297 +6741 5159 +4652 3990 +-1300 -5181 +2367 1218 +-3276 7865 +-1493 -2069 +1489 -436 +3737 4781 +6050 141 +-1007 2853 +4052 657 +-1378 -7239 +-9630 -5252 +-1834 -3074 +-4468 -1489 +501 -416 +3952 -2179 +-2535 364 +160 2763 +-4714 3856 +-4932 1602 +4698 -3441 +1489 2543 +-4661 7316 +-2055 900 +-2150 -3095 +-2912 -3075 +4766 1341 +3150 -194 +-2658 -8799 +-1489 2979 +-975 -1489 +3732 -5195 +15 -9246 +-4536 -1156 +13 4761 +1890 -3353 +-1980 -2232 +-4905 2979 +-1349 -1324 +3754 -3089 +2069 1710 +3730 -3209 +5211 -5108 +-3618 68 +-1859 -4936 +5958 0 +-1859 4936 +-3618 -68 +5211 5108 +3730 3209 +2069 -1710 +3754 3089 +-1349 1324 +-4905 -2979 +-1980 2232 +1890 3353 +13 -4761 +-4536 1156 +15 9246 +3732 5195 +-975 1489 +-1489 -2979 +-2979 -410 +-6106 1722 +-1652 -301 +-1784 -1823 +-1457 -6205 +8054 334 +4691 1704 +-7011 2979 +-5574 7288 +685 -1675 +3588 6563 +2590 6188 +639 -3148 +3524 6629 +1600 1104 +-2979 0 +1600 -1104 +3524 -6629 +639 3148 +2590 -6188 +3588 -6563 +685 1675 +-5574 -7288 +-7011 -2979 +4691 -1704 +8054 -334 +-1457 6205 +-1784 1823 +-1652 301 +-6106 -1722 +-2979 410 +-1489 2979 +-975 -1489 +3732 -5195 +15 -9246 +-4536 -1156 +13 4761 +1890 -3353 +-1980 -2232 +-4905 2979 +-1349 -1324 +3754 -3089 +2069 1710 +3730 -3209 +5211 -5108 +-3618 68 +-1859 -4936 +-1489 4468 +-1368 -4688 +515 2508 +-525 -2273 +-942 -8038 +404 4557 +5674 3930 +4184 895 +617 1670 +-3148 440 +-2171 3810 +678 2110 +-3196 5597 +935 5424 +-1337 -2093 +-4033 3120 +1489 0 +-4033 -3120 +-1337 2093 +935 -5424 +-3196 -5597 +678 -2110 +-2171 -3810 +-3148 -440 +617 -1670 +4184 -895 +5674 -3930 +404 -4557 +-942 8038 +-525 2273 +515 -2508 +-1368 4688 +-1489 -4468 +1602 -3569 +-2795 3718 +6763 -3246 +12347 -3006 +881 -683 +-170 -2050 +-2788 -841 +-3596 -4649 +-2461 -8276 +-3333 -185 +2251 9013 +-2251 1233 +-2960 -7184 +3617 -1852 +-414 1073 +-4468 0 +-414 -1073 +3617 1852 +-2960 7184 +-2251 -1233 +2251 -9013 +-3333 185 +-2461 8276 +-3596 4649 +-2788 841 +-170 2050 +881 683 +12347 3006 +6763 3246 +-2795 -3718 +1602 3569 +-1489 4468 +-1368 -4688 +515 2508 +-525 -2273 +-942 -8038 +404 4557 +5674 3930 +4184 895 +617 1670 +-3148 440 +-2171 3810 +678 2110 +-3196 5597 +935 5424 +-1337 -2093 +-4033 3120 +-1489 -1489 +-2407 -2829 +1608 4230 +-2586 1881 +-3106 -3587 +-600 20 +-2017 4782 +3120 -1143 +-2106 1926 +-3349 7373 +3170 -133 +-350 3252 +-332 6824 +-3227 1406 +-1084 2278 +5474 1191 +4468 0 +5474 -1191 +-1084 -2278 +-3227 -1406 +-332 -6824 +-350 -3252 +3170 133 +-3349 -7373 +-2106 -1926 +3120 1143 +-2017 -4782 +-600 -20 +-3106 3587 +-2586 -1881 +1608 -4230 +-2407 2829 +-1489 1489 +-200 -6890 +445 -2823 +5638 -6111 +6958 -9563 +-1643 2497 +-5327 5808 +1086 6434 +2106 4032 +3355 -4014 +-1784 552 +-1620 556 +8396 -2100 +-4037 4082 +-6927 875 +1346 -4417 +-1489 0 +1346 4417 +-6927 -875 +-4037 -4082 +8396 2100 +-1620 -556 +-1784 -552 +3355 4014 +2106 -4032 +1086 -6434 +-5327 -5808 +-1643 -2497 +6958 9563 +5638 6111 +445 2823 +-200 6890 +-1489 -1489 +-2407 -2829 +1608 4230 +-2586 1881 +-3106 -3587 +-600 20 +-2017 4782 +3120 -1143 +-2106 1926 +-3349 7373 +3170 -133 +-350 3252 +-332 6824 +-3227 1406 +-1084 2278 +5474 1191 +5958 -2979 +-1457 63 +-685 -3197 +3132 -4597 +-3482 7241 +-5050 -1531 +-5919 -8879 +-4158 -1195 +-1053 -5702 +3271 -6834 +2262 265 +-2102 1457 +2676 -102 +-62 -2056 +-616 -1415 +3425 766 +1489 0 +3425 -766 +-616 1415 +-62 2056 +2676 102 +-2102 -1457 +2262 -265 +3271 6834 +-1053 5702 +-4158 1195 +-5919 8879 +-5050 1531 +-3482 -7241 +3132 4597 +-685 3197 +-1457 -63 +5958 2979 +-1192 -926 +1599 4542 +6733 2420 +-730 -2156 +-1666 4536 +1328 -2851 +4276 -5431 +1053 2723 +-2400 1500 +-3629 -1824 +-3179 -4944 +1536 -770 +-6232 1812 +-6255 1014 +6661 8088 +10426 0 +6661 -8088 +-6255 -1014 +-6232 -1812 +1536 770 +-3179 4944 +-3629 1824 +-2400 -1500 +1053 -2723 +4276 5431 +1328 2851 +-1666 -4536 +-730 2156 +6733 -2420 +1599 -4542 +-1192 926 +5958 -2979 +-1457 63 +-685 -3197 +3132 -4597 +-3482 7241 +-5050 -1531 +-5919 -8879 +-4158 -1195 +-1053 -5702 +3271 -6834 +2262 265 +-2102 1457 +2676 -102 +-62 -2056 +-616 -1415 +3425 766 +0 5958 +4283 -3115 +4578 4665 +2846 2705 +-1536 477 +2226 692 +2332 -4093 +-6146 589 +-5266 -1489 +-170 -6752 +2656 1435 +5458 8973 +3482 3909 +-1654 -1115 +-5303 -122 +-4024 -2292 +-1489 0 +-4024 2292 +-5303 122 +-1654 1115 +3482 -3909 +5458 -8973 +2656 -1435 +-170 6752 +-5266 1489 +-6146 -589 +2332 4093 +2226 -692 +-1536 -477 +2846 -2705 +4578 -4665 +4283 3115 +0 -5958 +-3097 -7612 +4819 193 +3263 -6562 +-2676 -7307 +720 -1161 +-1664 5060 +860 416 +5266 -1489 +-2969 4080 +-3324 -4681 +22 2020 +730 7134 +3971 -3196 +-4093 768 +-5588 -198 +1489 0 +-5588 198 +-4093 -768 +3971 3196 +730 -7134 +22 -2020 +-3324 4681 +-2969 -4080 +5266 1489 +860 -416 +-1664 -5060 +720 1161 +-2676 7307 +3263 6562 +4819 -193 +-3097 7612 +0 5958 +4283 -3115 +4578 4665 +2846 2705 +-1536 477 +2226 692 +2332 -4093 +-6146 589 +-5266 -1489 +-170 -6752 +2656 1435 +5458 8973 +3482 3909 +-1654 -1115 +-5303 -122 +-4024 -2292 +-1489 5958 +-4603 924 +-380 -406 +7812 3419 +-2990 -4736 +2432 -2828 +9246 1856 +-5393 -1128 +-1053 2979 +5256 534 +-2193 -5706 +-5900 -5789 +-7194 2135 +637 5116 +5087 1048 +885 6057 +0 0 +885 -6057 +5087 -1048 +637 -5116 +-7194 -2135 +-5900 5789 +-2193 5706 +5256 -534 +-1053 -2979 +-5393 1128 +9246 -1856 +2432 2828 +-2990 4736 +7812 -3419 +-380 406 +-4603 -924 +-1489 -5958 +558 -5153 +8044 -2746 +34 -61 +-5074 -2456 +599 -398 +-3835 -2275 +-2069 -2680 +1053 2979 +-5231 -3050 +-5685 -4884 +-704 -3930 +3343 -3369 +3513 175 +1632 -2455 +2171 -569 +2979 0 +2171 569 +1632 2455 +3513 -175 +3343 3369 +-704 3930 +-5685 4884 +-5231 3050 +1053 -2979 +-2069 2680 +-3835 2275 +599 398 +-5074 2456 +34 61 +8044 2746 +558 5153 +-1489 5958 +-4603 924 +-380 -406 +7812 3419 +-2990 -4736 +2432 -2828 +9246 1856 +-5393 -1128 +-1053 2979 +5256 534 +-2193 -5706 +-5900 -5789 +-7194 2135 +637 5116 +5087 1048 +885 6057 +0 -1489 +694 545 +3363 -8435 +-5651 -3514 +-4068 -323 +876 -2832 +-5871 -1566 +149 -584 +0 4649 +-1767 3154 +1288 -2868 +1961 391 +7261 483 +-1494 1506 +-1838 1113 +4280 -7182 +0 0 +4280 7182 +-1838 -1113 +-1494 -1506 +7261 -483 +1961 -391 +1288 2868 +-1767 -3154 +0 -4649 +149 584 +-5871 1566 +876 2832 +-4068 323 +-5651 3514 +3363 8435 +694 -545 +0 1489 +-1190 8284 +1023 -1188 +1861 -2176 +-3124 2429 +-409 1857 +-1739 4073 +-1556 -950 +0 -1670 +-2678 5482 +2110 -584 +2088 -3111 +-6027 1623 +-7658 -5450 +1665 -4778 +10493 5841 +11916 0 +10493 -5841 +1665 4778 +-7658 5450 +-6027 -1623 +2088 3111 +2110 584 +-2678 -5482 +0 1670 +-1556 950 +-1739 -4073 +-409 -1857 +-3124 -2429 +1861 2176 +1023 1188 +-1190 -8284 +0 -1489 +694 545 +3363 -8435 +-5651 -3514 +-4068 -323 +876 -2832 +-5871 -1566 +149 -584 +0 4649 +-1767 3154 +1288 -2868 +1961 391 +7261 483 +-1494 1506 +-1838 1113 +4280 -7182 +-2979 5958 +-1962 -6327 +-431 -10489 +4642 3092 +3462 913 +1446 -566 +1137 1709 +9016 -7259 +6755 -2979 +-4877 2861 +-2753 -1610 +-836 2836 +550 1367 +3617 -4398 +625 -1860 +-38 -2327 +1489 0 +-38 2327 +625 1860 +3617 4398 +550 -1367 +-836 -2836 +-2753 1610 +-4877 -2861 +6755 2979 +9016 7259 +1137 -1709 +1446 566 +3462 -913 +4642 -3092 +-431 10489 +-1962 6327 +-2979 -5958 +-5746 1484 +699 3618 +1767 -4834 +4602 -6871 +-621 -2708 +-8975 -343 +1648 -3316 +-3777 -2979 +-7719 -1439 +420 -2981 +-1281 1327 +3302 4591 +-309 1667 +-2637 946 +1252 1055 +-1489 0 +1252 -1055 +-2637 -946 +-309 -1667 +3302 -4591 +-1281 -1327 +420 2981 +-7719 1439 +-3777 2979 +1648 3316 +-8975 343 +-621 2708 +4602 6871 +1767 4834 +699 -3618 +-5746 -1484 +-2979 5958 +-1962 -6327 +-431 -10489 +4642 3092 +3462 913 +1446 -566 +1137 1709 +9016 -7259 +6755 -2979 +-4877 2861 +-2753 -1610 +-836 2836 +550 1367 +3617 -4398 +625 -1860 +-38 -2327 +-4468 -4468 +3768 2216 +1794 -1196 +1298 -5974 +2144 -3532 +-2779 4456 +-2449 619 +-2739 -6991 +617 1670 +-5474 1291 +-5581 -4974 +4646 1245 +363 -1340 +3723 -1762 +1078 3672 +-4143 -2425 +1489 0 +-4143 2425 +1078 -3672 +3723 1762 +363 1340 +4646 -1245 +-5581 4974 +-5474 -1291 +617 -1670 +-2739 6991 +-2449 -619 +-2779 -4456 +2144 3532 +1298 5974 +1794 1196 +3768 -2216 +-4468 4468 +2595 3484 +4031 -6642 +-1248 706 +9261 8617 +8734 3789 +2128 1393 +-201 -3663 +-3596 -4649 +-3582 3729 +-2523 5242 +-3164 2974 +-5809 468 +-2784 -4040 +1523 -1339 +1352 3459 +1489 0 +1352 -3459 +1523 1339 +-2784 4040 +-5809 -468 +-3164 -2974 +-2523 -5242 +-3582 -3729 +-3596 4649 +-201 3663 +2128 -1393 +8734 -3789 +9261 -8617 +-1248 -706 +4031 6642 +2595 -3484 +-4468 -4468 +3768 2216 +1794 -1196 +1298 -5974 +2144 -3532 +-2779 4456 +-2449 619 +-2739 -6991 +617 1670 +-5474 1291 +-5581 -4974 +4646 1245 +363 -1340 +3723 -1762 +1078 3672 +-4143 -2425 +1489 -4468 +511 -3218 +-4173 1303 +-4502 -3919 +-1563 1042 +3901 -34 +4107 -542 +-1934 -745 +4213 -4032 +8078 3854 +-2017 161 +280 1012 +5228 5268 +-816 -5580 +1365 -5888 +1090 -2059 +-4468 0 +1090 2059 +1365 5888 +-816 5580 +5228 -5268 +280 -1012 +-2017 -161 +8078 -3854 +4213 4032 +-1934 745 +4107 542 +3901 34 +-1563 -1042 +-4502 3919 +-4173 -1303 +511 3218 +1489 4468 +2656 982 +3906 6267 +-6034 -658 +-7735 -1042 +4775 -3024 +3730 -9307 +-5092 300 +-4213 -1926 +-108 -4299 +4351 1905 +4332 -4070 +-1888 -5268 +-1938 1003 +647 1542 +-5202 -177 +-10426 0 +-5202 177 +647 -1542 +-1938 -1003 +-1888 5268 +4332 4070 +4351 -1905 +-108 4299 +-4213 1926 +-5092 -300 +3730 9307 +4775 3024 +-7735 1042 +-6034 658 +3906 -6267 +2656 -982 +1489 -4468 +511 -3218 +-4173 1303 +-4502 -3919 +-1563 1042 +3901 -34 +4107 -542 +-1934 -745 +4213 -4032 +8078 3854 +-2017 161 +280 1012 +5228 5268 +-816 -5580 +1365 -5888 +1090 -2059 +-1489 0 +-3261 1 +-6592 -2696 +4569 1394 +3730 -617 +-932 -2480 +7516 1106 +-2365 603 +-4032 0 +1696 -3695 +2175 -4788 +6787 798 +-1784 -3596 +-4602 -5705 +-3551 -224 +-4263 -2117 +0 0 +-4263 2117 +-3551 224 +-4602 5705 +-1784 3596 +6787 -798 +2175 4788 +1696 3695 +-4032 0 +-2365 -603 +7516 -1106 +-932 2480 +3730 617 +4569 -1394 +-6592 2696 +-3261 -1 +-1489 0 +5182 5303 +6498 1503 +-463 -3485 +2590 -617 +-316 3811 +-3077 1379 +-413 -2193 +-1926 0 +94 813 +7769 5528 +6458 7025 +-4536 -3596 +-3075 1680 +1178 9202 +-5095 -2297 +-8937 0 +-5095 2297 +1178 -9202 +-3075 -1680 +-4536 3596 +6458 -7025 +7769 -5528 +94 -813 +-1926 0 +-413 2193 +-3077 -1379 +-316 -3811 +2590 617 +-463 3485 +6498 -1503 +5182 -5303 +-1489 0 +-3261 1 +-6592 -2696 +4569 1394 +3730 -617 +-932 -2480 +7516 1106 +-2365 603 +-4032 0 +1696 -3695 +2175 -4788 +6787 798 +-1784 -3596 +-4602 -5705 +-3551 -224 +-4263 -2117 +-4468 -1489 +-3465 4526 +-2406 5684 +1110 -2248 +87 1993 +-4747 7526 +1309 -1958 +-5679 -2802 +-5085 181 +6561 1624 +508 7429 +-675 3761 +3805 4166 +3840 5582 +2075 -1516 +-2503 247 +-4468 0 +-2503 -247 +2075 1516 +3840 -5582 +3805 -4166 +-675 -3761 +508 -7429 +6561 -1624 +-5085 -181 +-5679 2802 +1309 1958 +-4747 -7526 +87 -1993 +1110 2248 +-2406 -5684 +-3465 -4526 +-4468 1489 +4695 -879 +5857 -2532 +2973 3121 +-2193 -759 +-3889 -4750 +8314 2377 +3011 -2236 +-873 -6139 +4879 -169 +-4173 3161 +-5910 8732 +-1699 3026 +-1128 -3418 +431 2924 +926 1468 +1489 0 +926 -1468 +431 -2924 +-1128 3418 +-1699 -3026 +-5910 -8732 +-4173 -3161 +4879 169 +-873 6139 +3011 2236 +8314 -2377 +-3889 4750 +-2193 759 +2973 -3121 +5857 2532 +4695 879 +-4468 -1489 +-3465 4526 +-2406 5684 +1110 -2248 +87 1993 +-4747 7526 +1309 -1958 +-5679 -2802 +-5085 181 +6561 1624 +508 7429 +-675 3761 +3805 4166 +3840 5582 +2075 -1516 +-2503 247 +1489 -2979 +2269 3086 +-2659 -252 +1739 -1515 +5923 1321 +-8473 -612 +-3488 -964 +994 492 +-436 617 +11597 4647 +6257 4879 +-1613 -766 +-85 3386 +-2097 10961 +2990 4702 +2752 -3897 +-2979 0 +2752 3897 +2990 -4702 +-2097 -10961 +-85 -3386 +-1613 766 +6257 -4879 +11597 -4647 +-436 -617 +994 -492 +-3488 964 +-8473 612 +5923 -1321 +1739 1515 +-2659 252 +2269 -3086 +1489 2979 +1626 6218 +-4952 1392 +-859 -198 +2503 -959 +-1994 -164 +3314 3717 +227 241 +-2543 -3596 +-2113 -1181 +-1871 -2127 +-1849 1816 +-8341 8891 +-1704 1068 +408 -3562 +-501 4018 +5958 0 +-501 -4018 +408 3562 +-1704 -1068 +-8341 -8891 +-1849 -1816 +-1871 2127 +-2113 1181 +-2543 3596 +227 -241 +3314 -3717 +-1994 164 +2503 959 +-859 198 +-4952 -1392 +1626 -6218 +1489 -2979 +2269 3086 +-2659 -252 +1739 -1515 +5923 1321 +-8473 -612 +-3488 -964 +994 492 +-436 617 +11597 4647 +6257 4879 +-1613 -766 +-85 3386 +-2097 10961 +2990 4702 +2752 -3897 +2979 1489 +4421 7544 +3424 -55 +-1577 4743 +2382 11950 +4303 2835 +2313 -5339 +-4991 1464 +-11660 1926 +-966 -4203 +740 5572 +-2286 3751 +3349 -3983 +600 936 +5713 1436 +2823 3226 +-8937 0 +2823 -3226 +5713 -1436 +600 -936 +3349 3983 +-2286 -3751 +740 -5572 +-966 4203 +-11660 -1926 +-4991 -1464 +2313 5339 +4303 -2835 +2382 -11950 +-1577 -4743 +3424 55 +4421 -7544 +2979 -1489 +52 2021 +-5477 -2051 +-1691 -862 +-1510 -4758 +-214 1320 +5030 3233 +-1823 -1325 +-3234 4032 +-5 -1163 +-2125 -3466 +1421 2684 +1737 5217 +-557 5225 +2298 671 +488 834 +-2979 0 +488 -834 +2298 -671 +-557 -5225 +1737 -5217 +1421 -2684 +-2125 3466 +-5 1163 +-3234 -4032 +-1823 1325 +5030 -3233 +-214 -1320 +-1510 4758 +-1691 862 +-5477 2051 +52 -2021 +2979 1489 +4421 7544 +3424 -55 +-1577 4743 +2382 11950 +4303 2835 +2313 -5339 +-4991 1464 +-11660 1926 +-966 -4203 +740 5572 +-2286 3751 +3349 -3983 +600 936 +5713 1436 +2823 3226 +0 5958 +-7170 -2928 +-2832 -5411 +2821 3319 +-3113 -2629 +-1937 -1074 +2061 5800 +106 -958 +-1309 0 +469 -1682 +4879 -3255 +1247 2873 +-1812 4242 +6587 7595 +6489 2217 +1194 -8540 +1489 0 +1194 8540 +6489 -2217 +6587 -7595 +-1812 -4242 +1247 -2873 +4879 3255 +469 1682 +-1309 0 +106 958 +2061 -5800 +-1937 1074 +-3113 2629 +2821 -3319 +-2832 5411 +-7170 2928 +0 -5958 +1647 -1807 +3059 7518 +2807 5175 +-1973 -349 +603 3629 +-223 -3694 +-6512 -3391 +-7628 0 +-5713 -8172 +-760 1149 +728 1963 +940 -1263 +3995 3179 +-758 -4323 +-873 -1699 +4468 0 +-873 1699 +-758 4323 +3995 -3179 +940 1263 +728 -1963 +-760 -1149 +-5713 8172 +-7628 0 +-6512 3391 +-223 3694 +603 -3629 +-1973 349 +2807 -5175 +3059 -7518 +1647 1807 +0 5958 +-7170 -2928 +-2832 -5411 +2821 3319 +-3113 -2629 +-1937 -1074 +2061 5800 +106 -958 +-1309 0 +469 -1682 +4879 -3255 +1247 2873 +-1812 4242 +6587 7595 +6489 2217 +1194 -8540 +1489 -4468 +-2781 1056 +-3350 -3907 +-674 145 +3538 -5885 +5217 -1746 +-605 2530 +-983 97 +2979 4032 +-87 -122 +-478 221 +2336 -1100 +140 -2554 +-826 1566 +-703 -1395 +-4621 1493 +-7447 0 +-4621 -1493 +-703 1395 +-826 -1566 +140 2554 +2336 1100 +-478 -221 +-87 122 +2979 -4032 +-983 -97 +-605 -2530 +5217 1746 +3538 5885 +-674 -145 +-3350 3907 +-2781 -1056 +1489 4468 +526 13778 +3123 -1178 +9210 -629 +314 4651 +-6571 -531 +-1234 -1658 +-782 -1436 +2979 1926 +5423 -2508 +-3641 -1094 +7 5316 +7924 -4638 +-273 -3983 +-5028 6480 +-5121 3623 +-7447 0 +-5121 -3623 +-5028 -6480 +-273 3983 +7924 4638 +7 -5316 +-3641 1094 +5423 2508 +2979 -1926 +-782 1436 +-1234 1658 +-6571 531 +314 -4651 +9210 629 +3123 1178 +526 -13778 +1489 -4468 +-2781 1056 +-3350 -3907 +-674 145 +3538 -5885 +5217 -1746 +-605 2530 +-983 97 +2979 4032 +-87 -122 +-478 221 +2336 -1100 +140 -2554 +-826 1566 +-703 -1395 +-4621 1493 +10426 0 +6619 -1341 +3434 -4417 +1986 -2889 +40 4859 +-1042 2218 +1913 -6924 +1606 -3509 +-5266 2979 +2938 393 +-3245 -235 +-8055 3221 +8777 3246 +-5074 1821 +-10019 -785 +-720 -3315 +-5958 0 +-720 3315 +-10019 785 +-5074 -1821 +8777 -3246 +-8055 -3221 +-3245 235 +2938 -393 +-5266 -2979 +1606 3509 +1913 6924 +-1042 -2218 +40 -4859 +1986 2889 +3434 4417 +6619 1341 +10426 0 +-625 -2551 +-5767 -2076 +-970 1277 +-3380 -646 +-4268 -3830 +-1646 -2793 +4328 -384 +5266 2979 +1833 3499 +1232 -1057 +-565 -1608 +521 966 +1137 -209 +2181 2718 +871 7207 +-2979 0 +871 -7207 +2181 -2718 +1137 209 +521 -966 +-565 1608 +1232 1057 +1833 -3499 +5266 -2979 +4328 384 +-1646 2793 +-4268 3830 +-3380 646 +-970 -1277 +-5767 2076 +-625 2551 +10426 0 +6619 -1341 +3434 -4417 +1986 -2889 +40 4859 +-1042 2218 +1913 -6924 +1606 -3509 +-5266 2979 +2938 393 +-3245 -235 +-8055 3221 +8777 3246 +-5074 1821 +-10019 -785 +-720 -3315 +4468 -4468 +-1173 2222 +-1057 -3745 +6126 -4613 +9964 -3026 +2898 1359 +-5432 5978 +-2743 3899 +-873 6139 +-730 -2598 +4343 -5048 +172 4155 +-5600 -1993 +915 -417 +3905 5675 +1499 -1689 +1489 0 +1499 1689 +3905 -5675 +915 417 +-5600 1993 +172 -4155 +4343 5048 +-730 2598 +-873 -6139 +-2743 -3899 +-5432 -5978 +2898 -1359 +9964 3026 +6126 4613 +-1057 3745 +-1173 -2222 +4468 4468 +1575 2687 +-1695 -106 +3746 2835 +568 -4166 +-2795 3983 +4292 2087 +890 -8257 +-5085 -181 +-641 -1307 +-3203 -3016 +-8060 -2491 +-4932 759 +-3003 6877 +-1153 -1823 +1323 -4864 +1489 0 +1323 4864 +-1153 1823 +-3003 -6877 +-4932 -759 +-8060 2491 +-3203 3016 +-641 1307 +-5085 181 +890 8257 +4292 -2087 +-2795 -3983 +568 4166 +3746 -2835 +-1695 106 +1575 -2687 +4468 -4468 +-1173 2222 +-1057 -3745 +6126 -4613 +9964 -3026 +2898 1359 +-5432 5978 +-2743 3899 +-873 6139 +-730 -2598 +4343 -5048 +172 4155 +-5600 -1993 +915 -417 +3905 5675 +1499 -1689 +0 -7447 +1068 -487 +8844 3620 +5825 2274 +913 1167 +271 -1245 +2913 -452 +2741 -2674 +-2106 -1309 +5719 3345 +8170 -573 +-1455 3724 +-1367 -1006 +-1634 -6861 +-2580 4225 +-1539 1374 +-2979 0 +-1539 -1374 +-2580 -4225 +-1634 6861 +-1367 1006 +-1455 -3724 +8170 573 +5719 -3345 +-2106 1309 +2741 2674 +2913 452 +271 1245 +913 -1167 +5825 -2274 +8844 -3620 +1068 487 +0 7447 +1471 3585 +-2294 -7565 +-3157 -3845 +-6871 3919 +-3360 -1570 +1054 4077 +-3214 1366 +2106 -7628 +6752 5064 +2246 2453 +-2892 -4605 +-4591 134 +-2022 -1202 +-6439 2000 +-4572 3015 +2979 0 +-4572 -3015 +-6439 -2000 +-2022 1202 +-4591 -134 +-2892 4605 +2246 -2453 +6752 -5064 +2106 7628 +-3214 -1366 +1054 -4077 +-3360 1570 +-6871 -3919 +-3157 3845 +-2294 7565 +1471 -3585 +0 -7447 +1068 -487 +8844 3620 +5825 2274 +913 1167 +271 -1245 +2913 -452 +2741 -2674 +-2106 -1309 +5719 3345 +8170 -573 +-1455 3724 +-1367 -1006 +-1634 -6861 +-2580 4225 +-1539 1374 +-1489 -1489 +-4837 -3068 +-1363 6728 +7071 1406 +-4315 570 +-7169 4227 +-10 -1963 +-1313 -435 +-2106 1053 +1637 -403 +-241 4924 +-2717 1807 +6368 -1376 +9268 3899 +2686 -1159 +-2040 -8048 +-4468 0 +-2040 8048 +2686 1159 +9268 -3899 +6368 1376 +-2717 -1807 +-241 -4924 +1637 403 +-2106 -1053 +-1313 435 +-10 1963 +-7169 -4227 +-4315 -570 +7071 -1406 +-1363 -6728 +-4837 3068 +-1489 1489 +-3117 -7729 +1763 -770 +-5183 2385 +-4983 -570 +1149 -1694 +-1549 -3995 +5764 -1713 +2106 -1053 +3976 -1745 +11970 1033 +7 727 +-3028 1376 +-2427 -108 +-1341 -4799 +-71 -2749 +-4468 0 +-71 2749 +-1341 4799 +-2427 108 +-3028 -1376 +7 -727 +11970 -1033 +3976 1745 +2106 1053 +5764 1713 +-1549 3995 +1149 1694 +-4983 570 +-5183 -2385 +1763 770 +-3117 7729 +-1489 -1489 +-4837 -3068 +-1363 6728 +7071 1406 +-4315 570 +-7169 4227 +-10 -1963 +-1313 -435 +-2106 1053 +1637 -403 +-241 4924 +-2717 1807 +6368 -1376 +9268 3899 +2686 -1159 +-2040 -8048 +10426 1489 +143 2162 +-1411 95 +-843 -466 +87 853 +3526 1097 +-2649 5711 +5067 2311 +2106 -181 +-10203 193 +-1404 -7284 +848 -976 +3805 6918 +7438 279 +-2835 -2235 +878 -1445 +10426 0 +878 1445 +-2835 2235 +7438 -279 +3805 -6918 +848 976 +-1404 7284 +-10203 -193 +2106 181 +5067 -2311 +-2649 -5711 +3526 -1097 +87 -853 +-843 466 +-1411 -95 +143 -2162 +10426 -1489 +2091 -3856 +-2040 -968 +2653 1351 +-2193 381 +-6626 691 +-6974 -625 +-911 6050 +-2106 6139 +-1391 -1549 +5069 2199 +-1319 832 +-1699 274 +2748 7099 +328 3107 +-4101 -1541 +-7447 0 +-4101 1541 +328 -3107 +2748 -7099 +-1699 -274 +-1319 -832 +5069 -2199 +-1391 1549 +-2106 -6139 +-911 -6050 +-6974 625 +-6626 -691 +-2193 -381 +2653 -1351 +-2040 968 +2091 3856 +10426 1489 +143 2162 +-1411 95 +-843 -466 +87 853 +3526 1097 +-2649 5711 +5067 2311 +2106 -181 +-10203 193 +-1404 -7284 +848 -976 +3805 6918 +7438 279 +-2835 -2235 +878 -1445 +-5958 0 +-1458 821 +6062 -5866 +3881 -8569 +1356 1140 +28 -5752 +823 -4768 +-1060 -687 +-5522 -2979 +1332 7497 +6660 4078 +2086 -2530 +2656 -2752 +3356 859 +-574 9280 +-356 1090 +1489 0 +-356 -1090 +-574 -9280 +3356 -859 +2656 2752 +2086 2530 +6660 -4078 +1332 -7497 +-5522 2979 +-1060 687 +823 4768 +28 5752 +1356 -1140 +3881 8569 +6062 5866 +-1458 -821 +-5958 0 +-1337 -3148 +-1524 -2238 +1793 -2940 +2496 -1140 +-3362 558 +811 -1057 +-277 -2497 +-3415 -2979 +2285 3062 +-4081 -1477 +-4257 -5398 +5408 2752 +-3526 -3185 +-8177 -8959 +871 -1591 +4468 0 +871 1591 +-8177 8959 +-3526 3185 +5408 -2752 +-4257 5398 +-4081 1477 +2285 -3062 +-3415 2979 +-277 2497 +811 1057 +-3362 -558 +2496 1140 +1793 2940 +-1524 2238 +-1337 3148 +-5958 0 +-1458 821 +6062 -5866 +3881 -8569 +1356 1140 +28 -5752 +823 -4768 +-1060 -687 +-5522 -2979 +1332 7497 +6660 4078 +2086 -2530 +2656 -2752 +3356 859 +-574 9280 +-356 1090 +0 -4468 +2885 -2055 +-14 443 +-1060 -1591 +-2033 4462 +-3706 2095 +-1941 -959 +-4499 3189 +-617 3160 +2361 4415 +1063 5096 +851 893 +-4611 -2988 +191 -2417 +1830 -2182 +-3707 -4890 +-2979 0 +-3707 4890 +1830 2182 +191 2417 +-4611 2988 +851 -893 +1063 -5096 +2361 -4415 +-617 -3160 +-4499 -3189 +-1941 959 +-3706 -2095 +-2033 -4462 +-1060 1591 +-14 -443 +2885 2055 +0 4468 +-6514 -1439 +-7124 2291 +6110 -759 +4139 -4462 +-1684 4047 +8412 2785 +2477 -1843 +3596 -3160 +9725 2889 +-3321 8646 +-4189 -709 +2505 2988 +3488 6025 +1096 -7000 +-2728 -4561 +-2979 0 +-2728 4561 +1096 7000 +3488 -6025 +2505 -2988 +-4189 709 +-3321 -8646 +9725 -2889 +3596 3160 +2477 1843 +8412 -2785 +-1684 -4047 +4139 4462 +6110 759 +-7124 -2291 +-6514 1439 +0 -4468 +2885 -2055 +-14 443 +-1060 -1591 +-2033 4462 +-3706 2095 +-1941 -959 +-4499 3189 +-617 3160 +2361 4415 +1063 5096 +851 893 +-4611 -2988 +191 -2417 +1830 -2182 +-3707 -4890 +-1489 -7447 +-5929 134 +-4354 3896 +-1217 4895 +-1917 7704 +-332 -2168 +-1974 3439 +-6829 4339 +-1489 -1670 +3954 6337 +52 -1273 +577 -2228 +3756 -899 +1861 -7305 +1940 831 +2553 54 +1489 0 +2553 -54 +1940 -831 +1861 7305 +3756 899 +577 2228 +52 1273 +3954 -6337 +-1489 1670 +-6829 -4339 +-1974 -3439 +-332 2168 +-1917 -7704 +-1217 -4895 +-4354 -3896 +-5929 -134 +-1489 7447 +2304 -1966 +4354 -4617 +1532 3079 +-3529 3339 +-243 -5323 +1974 -7599 +-2912 2386 +-1489 4649 +1574 -3637 +-52 -4631 +4210 -597 +7648 -5931 +2037 -396 +-1940 8618 +-3140 -2422 +-4468 0 +-3140 2422 +-1940 -8618 +2037 396 +7648 5931 +4210 597 +-52 4631 +1574 3637 +-1489 -4649 +-2912 -2386 +1974 7599 +-243 5323 +-3529 -3339 +1532 -3079 +4354 4617 +2304 1966 +-1489 -7447 +-5929 134 +-4354 3896 +-1217 4895 +-1917 7704 +-332 -2168 +-1974 3439 +-6829 4339 +-1489 -1670 +3954 6337 +52 -1273 +577 -2228 +3756 -899 +1861 -7305 +1940 831 +2553 54 +1489 -2979 +-4143 -2356 +-3910 159 +4924 1231 +3360 2382 +-1730 4617 +-4126 -3963 +-4110 -1712 +-5522 5702 +-590 -6364 +-466 -5676 +-4716 4875 +2705 -3349 +948 -9370 +-918 -3367 +709 484 +-2979 0 +709 -484 +-918 3367 +948 9370 +2705 3349 +-4716 -4875 +-466 5676 +-590 6364 +-5522 -5702 +-4110 1712 +-4126 3963 +-1730 -4617 +3360 -2382 +4924 -1231 +-3910 -159 +-4143 2356 +1489 2979 +-3991 2487 +-1915 -559 +-389 1894 +3832 -1510 +11576 -4851 +4446 2405 +-85 -1433 +-3415 -2723 +572 -807 +8571 -6053 +-917 -443 +-3939 -1737 +-1271 -3180 +-1683 4712 +3213 -887 +5958 0 +3213 887 +-1683 -4712 +-1271 3180 +-3939 1737 +-917 443 +8571 6053 +572 807 +-3415 2723 +-85 1433 +4446 -2405 +11576 4851 +3832 1510 +-389 -1894 +-1915 559 +-3991 -2487 +1489 -2979 +-4143 -2356 +-3910 159 +4924 1231 +3360 2382 +-1730 4617 +-4126 -3963 +-4110 -1712 +-5522 5702 +-590 -6364 +-466 -5676 +-4716 4875 +2705 -3349 +948 -9370 +-918 -3367 +709 484 +2979 0 +-2065 2849 +4088 4335 +830 744 +-4413 -683 +7747 -2078 +6637 2298 +-691 2987 +-436 -873 +4484 2478 +1015 1147 +-9359 -39 +-1652 3436 +2251 736 +-3997 -72 +-2894 2397 +-1489 0 +-2894 -2397 +-3997 72 +2251 -736 +-1652 -3436 +-9359 39 +1015 -1147 +4484 -2478 +-436 873 +-691 -2987 +6637 -2298 +7747 2078 +-4413 683 +830 -744 +4088 -4335 +-2065 -2849 +2979 0 +811 588 +3255 3409 +6078 -3051 +-4885 -2296 +-6198 1562 +1374 -8751 +2286 -7185 +-2543 -5085 +-1519 -4396 +-3069 8530 +-3198 5027 +4992 -457 +1850 2461 +2612 112 +-412 3320 +-10426 0 +-412 -3320 +2612 -112 +1850 -2461 +4992 457 +-3198 -5027 +-3069 -8530 +-1519 4396 +-2543 5085 +2286 7185 +1374 8751 +-6198 -1562 +-4885 2296 +6078 3051 +3255 -3409 +811 -588 +2979 0 +-2065 2849 +4088 4335 +830 744 +-4413 -683 +7747 -2078 +6637 2298 +-691 2987 +-436 -873 +4484 2478 +1015 1147 +-9359 -39 +-1652 3436 +2251 736 +-3997 -72 +-2894 2397 +2979 -2979 +3061 -4823 +299 -3246 +-5887 -162 +-11407 806 +5485 -3078 +8705 -2884 +1335 -738 +1670 -4213 +-453 -1907 +3085 2660 +1740 -550 +-861 1946 +3717 7956 +1325 2994 +-610 -3077 +1489 0 +-610 3077 +1325 -2994 +3717 -7956 +-861 -1946 +1740 550 +3085 -2660 +-453 1907 +1670 4213 +1335 738 +8705 2884 +5485 3078 +-11407 -806 +-5887 162 +299 3246 +3061 4823 +2979 2979 +-4269 4 +3325 -721 +1246 -2674 +-870 -806 +5354 664 +-2480 -6135 +-3371 -2015 +-4649 4213 +-4004 -8284 +860 -9211 +-2861 1707 +1223 -1946 +-368 1205 +-3204 7423 +-116 -754 +-1489 0 +-116 754 +-3204 -7423 +-368 -1205 +1223 1946 +-2861 -1707 +860 9211 +-4004 8284 +-4649 -4213 +-3371 2015 +-2480 6135 +5354 -664 +-870 806 +1246 2674 +3325 721 +-4269 -4 +2979 -2979 +3061 -4823 +299 -3246 +-5887 -162 +-11407 806 +5485 -3078 +8705 -2884 +1335 -738 +1670 -4213 +-453 -1907 +3085 2660 +1740 -550 +-861 1946 +3717 7956 +1325 2994 +-610 -3077 +4468 2979 +2666 2105 +3000 2429 +3960 1133 +-11037 -704 +-1595 1055 +12422 483 +5680 1121 +-436 5341 +2097 2832 +5873 -1623 +-864 193 +-5350 209 +-1783 -1912 +-487 323 +2774 2370 +5958 0 +2774 -2370 +-487 -323 +-1783 1912 +-5350 -209 +-864 -193 +5873 1623 +2097 -2832 +-436 -5341 +5680 -1121 +12422 -483 +-1595 -1055 +-11037 704 +3960 -1133 +3000 -2429 +2666 -2105 +4468 -2979 +-5724 882 +-342 2429 +-1724 -2124 +-2113 1576 +2040 5248 +-6843 483 +-1103 4836 +-2543 9554 +-6674 846 +2931 -1623 +420 606 +626 -5295 +-454 -4584 +-4639 323 +284 -1662 +2979 0 +284 1662 +-4639 -323 +-454 4584 +626 5295 +420 -606 +2931 1623 +-6674 -846 +-2543 -9554 +-1103 -4836 +-6843 -483 +2040 -5248 +-2113 -1576 +-1724 2124 +-342 -2429 +-5724 -882 +4468 2979 +2666 2105 +3000 2429 +3960 1133 +-11037 -704 +-1595 1055 +12422 483 +5680 1121 +-436 5341 +2097 2832 +5873 -1623 +-864 193 +-5350 209 +-1783 -1912 +-487 323 +2774 2370 +2979 1489 +2910 900 +4867 -5781 +1710 842 +-6189 -4006 +18 -4759 +2219 4522 +2753 1665 +6575 -3160 +-2595 -1020 +1260 3537 +1111 1278 +-12812 3672 +125 4174 +7483 -3326 +-1887 1391 +-2979 0 +-1887 -1391 +7483 3326 +125 -4174 +-12812 -3672 +1111 -1278 +1260 -3537 +-2595 1020 +6575 3160 +2753 -1665 +2219 -4522 +18 4759 +-6189 4006 +1710 -842 +4867 5781 +2910 -900 +2979 -1489 +641 5594 +-427 450 +-3558 -913 +-4854 1027 +-4836 3798 +-4593 1156 +991 -1286 +2362 3160 +-1149 3678 +-1354 6354 +3706 3266 +5981 -693 +1723 1259 +2461 2208 +-1664 7384 +-8937 0 +-1664 -7384 +2461 -2208 +1723 -1259 +5981 693 +3706 -3266 +-1354 -6354 +-1149 -3678 +2362 -3160 +991 1286 +-4593 -1156 +-4836 -3798 +-4854 -1027 +-3558 913 +-427 -450 +641 -5594 +2979 1489 +2910 900 +4867 -5781 +1710 842 +-6189 -4006 +18 -4759 +2219 4522 +2753 1665 +6575 -3160 +-2595 -1020 +1260 3537 +1111 1278 +-12812 3672 +125 4174 +7483 -3326 +-1887 1391 +0 0 +7634 -399 +4835 -1841 +2529 -3612 +6482 4119 +-3130 1382 +-4079 1460 +6999 4781 +4649 2979 +1563 2374 +3216 -3253 +281 -1452 +-2924 -5731 +-2618 -4988 +1363 3317 +-178 -9299 +-4468 0 +-178 9299 +1363 -3317 +-2618 4988 +-2924 5731 +281 1452 +3216 3253 +1563 -2374 +4649 -2979 +6999 -4781 +-4079 -1460 +-3130 -1382 +6482 -4119 +2529 3612 +4835 1841 +7634 399 +0 0 +-566 -2442 +-1589 3453 +-2780 5418 +-163 1839 +-4076 -8488 +-780 -5352 +4334 9280 +-1670 2979 +-2190 -2055 +-2571 -639 +-7005 -2920 +-3396 -227 +-53 -2388 +-396 -1705 +-744 4631 +-1489 0 +-744 -4631 +-396 1705 +-53 2388 +-3396 227 +-7005 2920 +-2571 639 +-2190 2055 +-1670 -2979 +4334 -9280 +-780 5352 +-4076 8488 +-163 -1839 +-2780 -5418 +-1589 -3453 +-566 2442 +0 0 +7634 -399 +4835 -1841 +2529 -3612 +6482 4119 +-3130 1382 +-4079 1460 +6999 4781 +4649 2979 +1563 2374 +3216 -3253 +281 -1452 +-2924 -5731 +-2618 -4988 +1363 3317 +-178 -9299 +-1489 -1489 +-6347 2549 +-3839 7134 +-2181 -4313 +-683 -5865 +5832 -9550 +3700 -1177 +-2821 3923 +4468 -8862 +8397 -3389 +-2119 -3952 +-6199 -6793 +-3436 672 +-2977 -2021 +603 563 +2721 4082 +1489 0 +2721 -4082 +603 -563 +-2977 2021 +-3436 -672 +-6199 6793 +-2119 3952 +8397 3389 +4468 8862 +-2821 -3923 +3700 1177 +5832 9550 +-683 5865 +-2181 4313 +-3839 -7134 +-6347 -2549 +-1489 1489 +3871 -4642 +1559 2316 +-3625 50 +-2296 779 +3248 1558 +1804 -4728 +-126 5124 +4468 5883 +1986 -3238 +-3385 -208 +691 2826 +457 200 +-3214 -1707 +1677 -1284 +743 -1508 +-4468 0 +743 1508 +1677 1284 +-3214 1707 +457 -200 +691 -2826 +-3385 208 +1986 3238 +4468 -5883 +-126 -5124 +1804 4728 +3248 -1558 +-2296 -779 +-3625 -50 +1559 -2316 +3871 4642 +-1489 -1489 +-6347 2549 +-3839 7134 +-2181 -4313 +-683 -5865 +5832 -9550 +3700 -1177 +-2821 3923 +4468 -8862 +8397 -3389 +-2119 -3952 +-6199 -6793 +-3436 672 +-2977 -2021 +603 563 +2721 4082 +4468 0 +4138 -1990 +2127 -1749 +-1398 -1362 +-483 1757 +-4190 7416 +-5947 -3981 +-927 -2880 +-1926 5958 +1959 -7969 +3688 -4685 +-981 4556 +2429 844 +4005 1606 +2787 -3169 +-2594 -4830 +-8937 0 +-2594 4830 +2787 3169 +4005 -1606 +2429 -844 +-981 -4556 +3688 4685 +1959 7969 +-1926 -5958 +-927 2880 +-5947 3981 +-4190 -7416 +-483 -1757 +-1398 1362 +2127 1749 +4138 1990 +4468 0 +-49 791 +-987 -37 +4709 -3556 +-1623 -523 +-2624 7537 +3195 4475 +-2340 4001 +-4032 5958 +-1916 1118 +-936 966 +10 -1706 +-323 6348 +468 10140 +-3927 -2829 +1729 594 +11916 0 +1729 -594 +-3927 2829 +468 -10140 +-323 -6348 +10 1706 +-936 -966 +-1916 -1118 +-4032 -5958 +-2340 -4001 +3195 -4475 +-2624 -7537 +-1623 523 +4709 3556 +-987 37 +-49 -791 +4468 0 +4138 -1990 +2127 -1749 +-1398 -1362 +-483 1757 +-4190 7416 +-5947 -3981 +-927 -2880 +-1926 5958 +1959 -7969 +3688 -4685 +-981 4556 +2429 844 +4005 1606 +2787 -3169 +-2594 -4830 +-1489 1489 +-7809 -3774 +-389 -8767 +-4368 2017 +-5891 4108 +5973 712 +5482 -2188 +3130 -2358 +2362 1670 +-1318 -2456 +-140 -1827 +1301 52 +1074 -1516 +-1362 -3720 +-2032 -5101 +5145 2825 +10426 0 +5145 -2825 +-2032 5101 +-1362 3720 +1074 1516 +1301 -52 +-140 1827 +-1318 2456 +2362 -1670 +3130 2358 +5482 2188 +5973 -712 +-5891 -4108 +-4368 -2017 +-389 8767 +-7809 3774 +-1489 -1489 +925 -608 +1507 -2090 +6731 1647 +-4279 -256 +-2492 -2665 +4408 -2497 +-1200 -1717 +6575 -4649 +4892 -7576 +-5538 5567 +-2501 3953 +-2819 -6548 +-3281 1426 +-3299 2670 +-3765 -1249 +-1489 0 +-3765 1249 +-3299 -2670 +-3281 -1426 +-2819 6548 +-2501 -3953 +-5538 -5567 +4892 7576 +6575 4649 +-1200 1717 +4408 2497 +-2492 2665 +-4279 256 +6731 -1647 +1507 2090 +925 608 +-1489 1489 +-7809 -3774 +-389 -8767 +-4368 2017 +-5891 4108 +5973 712 +5482 -2188 +3130 -2358 +2362 1670 +-1318 -2456 +-140 -1827 +1301 52 +1074 -1516 +-1362 -3720 +-2032 -5101 +5145 2825 +1489 2979 +3090 4672 +-5690 2080 +-1919 -3319 +1253 5455 +3704 1681 +4555 -458 +-4378 7315 +-1670 -1489 +2122 209 +-747 5329 +3802 -561 +4812 3616 +-38 11239 +-4253 7559 +-3258 -2239 +0 0 +-3258 2239 +-4253 -7559 +-38 -11239 +4812 -3616 +3802 561 +-747 -5329 +2122 -209 +-1670 1489 +-4378 -7315 +4555 458 +3704 -1681 +1253 -5455 +-1919 3319 +-5690 -2080 +3090 -4672 +1489 -2979 +-3540 -597 +-1502 2457 +-5283 784 +1726 3843 +5142 -1975 +-3321 -1176 +147 5882 +4649 -1489 +5333 -943 +1981 -2750 +-4864 -5879 +-1833 -276 +-544 -3068 +-2939 1191 +483 9234 +2979 0 +483 -9234 +-2939 -1191 +-544 3068 +-1833 276 +-4864 5879 +1981 2750 +5333 943 +4649 1489 +147 -5882 +-3321 1176 +5142 1975 +1726 -3843 +-5283 -784 +-1502 -2457 +-3540 597 +1489 2979 +3090 4672 +-5690 2080 +-1919 -3319 +1253 5455 +3704 1681 +4555 -458 +-4378 7315 +-1670 -1489 +2122 209 +-747 5329 +3802 -561 +4812 3616 +-38 11239 +-4253 7559 +-3258 -2239 +-7447 2979 +-1923 -1104 +-4309 -2272 +-2799 1360 +1269 -3979 +638 -1789 +4114 4387 +-3783 -3231 +-2543 -3596 +-1386 5077 +-9200 1329 +-4581 -2066 +-1149 5418 +5893 7614 +7117 -36 +-1428 -4672 +-2979 0 +-1428 4672 +7117 36 +5893 -7614 +-1149 -5418 +-4581 2066 +-9200 -1329 +-1386 -5077 +-2543 3596 +-3783 3231 +4114 -4387 +638 1789 +1269 3979 +-2799 -1360 +-4309 2272 +-1923 1104 +-7447 -2979 +3408 717 +4456 -480 +-1459 1196 +4689 6085 +-2852 -1464 +-3317 -3247 +2926 3070 +-436 617 +-3262 720 +-4235 -189 +4515 -7144 +7107 -3311 +646 900 +5374 -2717 +5447 -1674 +0 0 +5447 1674 +5374 2717 +646 -900 +7107 3311 +4515 7144 +-4235 189 +-3262 -720 +-436 -617 +2926 -3070 +-3317 3247 +-2852 1464 +4689 -6085 +-1459 -1196 +4456 480 +3408 -717 +-7447 2979 +-1923 -1104 +-4309 -2272 +-2799 1360 +1269 -3979 +638 -1789 +4114 4387 +-3783 -3231 +-2543 -3596 +-1386 5077 +-9200 1329 +-4581 -2066 +-1149 5418 +5893 7614 +7117 -36 +-1428 -4672 +7447 -4468 +5732 -2775 +476 2409 +-883 -3996 +-8381 3590 +-4469 2447 +-2890 -4667 +-7000 3488 +1745 6139 +1902 2662 +-855 -438 +-896 2986 +-2855 2097 +3998 -3562 +-589 2239 +-4487 3563 +1489 0 +-4487 -3563 +-589 -2239 +3998 3562 +-2855 -2097 +-896 -2986 +-855 438 +1902 -2662 +1745 -6139 +-7000 -3488 +-2890 4667 +-4469 -2447 +-8381 -3590 +-883 3996 +476 -2409 +5732 2775 +7447 4468 +-2159 -1746 +-4101 3095 +-1523 -1941 +3296 -5335 +1853 2413 +-3335 2387 +6040 -4217 +10171 -181 +1338 4392 +-3091 -1841 +-1993 5099 +1982 8074 +3912 849 +2469 3265 +-1366 -300 +-4468 0 +-1366 300 +2469 -3265 +3912 -849 +1982 -8074 +-1993 -5099 +-3091 1841 +1338 -4392 +10171 181 +6040 4217 +-3335 -2387 +1853 -2413 +3296 5335 +-1523 1941 +-4101 -3095 +-2159 1746 +7447 -4468 +5732 -2775 +476 2409 +-883 -3996 +-8381 3590 +-4469 2447 +-2890 -4667 +-7000 3488 +1745 6139 +1902 2662 +-855 -438 +-896 2986 +-2855 2097 +3998 -3562 +-589 2239 +-4487 3563 +-5958 0 +4148 8025 +1210 2313 +3979 -1457 +1973 4242 +-4773 2705 +3693 1248 +-3385 7002 +-1309 7192 +8486 2405 +1352 -277 +250 -1524 +-940 -349 +1833 770 +3520 -1448 +-5960 -2607 +-10426 0 +-5960 2607 +3520 1448 +1833 -770 +-940 349 +250 1524 +1352 277 +8486 -2405 +-1309 -7192 +-3385 -7002 +3693 -1248 +-4773 -2705 +1973 -4242 +3979 1457 +1210 -2313 +4148 -8025 +-5958 0 +-509 2499 +629 -1819 +-7870 1825 +3113 -1263 +6805 -6181 +2038 4751 +-4113 8351 +-7628 -1234 +-987 -341 +-1125 2063 +-2282 -2896 +1812 -2629 +2058 -1347 +599 -2270 +2321 -158 +4468 0 +2321 158 +599 2270 +2058 1347 +1812 2629 +-2282 2896 +-1125 -2063 +-987 341 +-7628 1234 +-4113 -8351 +2038 -4751 +6805 6181 +3113 1263 +-7870 -1825 +629 1819 +-509 -2499 +-5958 0 +4148 8025 +1210 2313 +3979 -1457 +1973 4242 +-4773 2705 +3693 1248 +-3385 7002 +-1309 7192 +8486 2405 +1352 -277 +250 -1524 +-940 -349 +1833 770 +3520 -1448 +-5960 -2607 +1489 2979 +-2518 2833 +893 -205 +6717 -768 +-2031 1699 +450 -4829 +-3867 -3806 +-6393 5497 +436 -617 +-4840 -5944 +-2041 1592 +4770 3019 +1697 87 +2730 797 +4256 1691 +-4594 2011 +-11916 0 +-4594 -2011 +4256 -1691 +2730 -797 +1697 -87 +4770 -3019 +-2041 -1592 +-4840 5944 +436 617 +-6393 -5497 +-3867 3806 +450 4829 +-2031 -1699 +6717 768 +893 205 +-2518 -2833 +1489 -2979 +-4682 -3335 +3814 2957 +2390 4328 +-6395 -3805 +-4567 -1072 +-6344 2666 +2214 -863 +2543 3596 +-4910 4621 +-386 -2732 +5492 -2961 +6729 -2193 +-1132 -3195 +3675 1062 +8873 3445 +2979 0 +8873 -3445 +3675 -1062 +-1132 3195 +6729 2193 +5492 2961 +-386 2732 +-4910 -4621 +2543 -3596 +2214 863 +-6344 -2666 +-4567 1072 +-6395 3805 +2390 -4328 +3814 -2957 +-4682 3335 +1489 2979 +-2518 2833 +893 -205 +6717 -768 +-2031 1699 +450 -4829 +-3867 -3806 +-6393 5497 +436 -617 +-4840 -5944 +-2041 1592 +4770 3019 +1697 87 +2730 797 +4256 1691 +-4594 2011 +-4468 0 +1091 10857 +5033 3239 +970 976 +2763 3102 +-692 5027 +-904 -3256 +5129 -9159 +-181 1234 +-1914 -2082 +-662 -4200 +-679 3184 +3075 2403 +1566 820 +2586 -2177 +-2809 -3066 +-11916 0 +-2809 3066 +2586 2177 +1566 -820 +3075 -2403 +-679 -3184 +-662 4200 +-1914 2082 +-181 -1234 +5129 9159 +-904 3256 +-692 -5027 +2763 -3102 +970 -976 +5033 -3239 +1091 -10857 +-4468 0 +564 3570 +320 -5025 +-3892 1663 +-657 -123 +1273 1408 +-6061 3750 +-2652 -7586 +6139 -7192 +-563 -2559 +-799 481 +98 215 +-5181 -5382 +1356 -6152 +487 -3821 +1154 830 +8937 0 +1154 -830 +487 3821 +1356 6152 +-5181 5382 +98 -215 +-799 -481 +-563 2559 +6139 7192 +-2652 7586 +-6061 -3750 +1273 -1408 +-657 123 +-3892 -1663 +320 5025 +564 -3570 +-4468 0 +1091 10857 +5033 3239 +970 976 +2763 3102 +-692 5027 +-904 -3256 +5129 -9159 +-181 1234 +-1914 -2082 +-662 -4200 +-679 3184 +3075 2403 +1566 820 +2586 -2177 +-2809 -3066 +2979 -1489 +2848 -390 +2905 -2262 +3139 559 +806 4672 +1528 -1319 +-3373 -6951 +-6593 -3410 +-3340 1309 +-4518 -3797 +-2478 -1850 +-207 3435 +-1946 -1412 +221 -5831 +-912 -7518 +-579 -5078 +2979 0 +-579 5078 +-912 7518 +221 5831 +-1946 1412 +-207 -3435 +-2478 1850 +-4518 3797 +-3340 -1309 +-6593 3410 +-3373 6951 +1528 1319 +806 -4672 +3139 -559 +2905 2262 +2848 390 +2979 1489 +-3342 -5791 +-1671 -1577 +2860 -130 +-806 -9757 +-3314 3719 +-3818 102 +2875 -7680 +9298 7628 +800 2424 +-4714 -3254 +-1578 897 +1946 2285 +5778 -232 +2146 -6492 +84 189 +2979 0 +84 -189 +2146 6492 +5778 232 +1946 -2285 +-1578 -897 +-4714 3254 +800 -2424 +9298 -7628 +2875 7680 +-3818 -102 +-3314 -3719 +-806 9757 +2860 130 +-1671 1577 +-3342 5791 +2979 -1489 +2848 -390 +2905 -2262 +3139 559 +806 4672 +1528 -1319 +-3373 -6951 +-6593 -3410 +-3340 1309 +-4518 -3797 +-2478 -1850 +-207 3435 +-1946 -1412 +221 -5831 +-912 -7518 +-579 -5078 +1489 4468 +1179 227 +1815 13 +-495 1112 +-87 4006 +-1952 2518 +-6395 -3141 +3310 446 +1234 -4032 +-4881 -3481 +1682 3509 +-79 -7746 +-3805 -3672 +-8333 5067 +-6973 -213 +1893 5806 +4468 0 +1893 -5806 +-6973 213 +-8333 -5067 +-3805 3672 +-79 7746 +1682 -3509 +-4881 3481 +1234 4032 +3310 -446 +-6395 3141 +-1952 -2518 +-87 -4006 +-495 -1112 +1815 -13 +1179 -227 +1489 -4468 +2577 25 +7109 1773 +3596 -4046 +2193 -1027 +5664 2460 +418 2646 +-2044 1644 +-7192 -1926 +-4169 -2400 +4295 210 +-408 620 +1699 693 +2008 8663 +-1951 6212 +2135 -8591 +4468 0 +2135 8591 +-1951 -6212 +2008 -8663 +1699 -693 +-408 -620 +4295 -210 +-4169 2400 +-7192 1926 +-2044 -1644 +418 -2646 +5664 -2460 +2193 1027 +3596 4046 +7109 -1773 +2577 -25 +1489 4468 +1179 227 +1815 13 +-495 1112 +-87 4006 +-1952 2518 +-6395 -3141 +3310 446 +1234 -4032 +-4881 -3481 +1682 3509 +-79 -7746 +-3805 -3672 +-8333 5067 +-6973 -213 +1893 5806 +-4468 -1489 +3629 -1776 +3711 -6930 +1461 -627 +3863 4832 +-1602 -7621 +548 -4155 +5631 2188 +2362 -2543 +-7840 -3807 +-7730 -1694 +381 4640 +-2950 1500 +-730 -1992 +309 1802 +-3298 -3034 +-1489 0 +-3298 3034 +309 -1802 +-730 1992 +-2950 -1500 +381 -4640 +-7730 1694 +-7840 3807 +2362 2543 +5631 -2188 +548 4155 +-1602 7621 +3863 -4832 +1461 627 +3711 6930 +3629 1776 +-4468 1489 +-449 -6216 +-3390 -3187 +-4834 3201 +1583 -5704 +-2400 -1427 +-3148 663 +1874 -6451 +6575 -436 +4548 79 +1905 -3542 +-592 1988 +-8454 3585 +-110 -100 +7796 -1749 +4330 -933 +4468 0 +4330 933 +7796 1749 +-110 100 +-8454 -3585 +-592 -1988 +1905 3542 +4548 -79 +6575 436 +1874 6451 +-3148 -663 +-2400 1427 +1583 5704 +-4834 -3201 +-3390 3187 +-449 6216 +-4468 -1489 +3629 -1776 +3711 -6930 +1461 -627 +3863 4832 +-1602 -7621 +548 -4155 +5631 2188 +2362 -2543 +-7840 -3807 +-7730 -1694 +381 4640 +-2950 1500 +-730 -1992 +309 1802 +-3298 -3034 +-1489 10426 +-1936 2191 +-2660 -7619 +-1318 4235 +2456 2779 +368 -3822 +576 2678 +1479 5407 +1489 436 +-2729 -742 +-1079 2184 +2966 1617 +-3369 2886 +-468 3575 +262 430 +-714 1264 +4468 0 +-714 -1264 +262 -430 +-468 -3575 +-3369 -2886 +2966 -1617 +-1079 -2184 +-2729 742 +1489 -436 +1479 -5407 +576 -2678 +368 3822 +2456 -2779 +-1318 -4235 +-2660 7619 +-1936 -2191 +-1489 -10426 +1595 -1928 +-319 -9022 +6968 -7672 +4736 2307 +-8825 44 +-3555 1993 +233 507 +1489 2543 +1018 8936 +-1900 3210 +5491 109 +2135 -3758 +-5183 -1508 +-3241 7483 +1055 1279 +4468 0 +1055 -1279 +-3241 -7483 +-5183 1508 +2135 3758 +5491 -109 +-1900 -3210 +1018 -8936 +1489 -2543 +233 -507 +-3555 -1993 +-8825 -44 +4736 -2307 +6968 7672 +-319 9022 +1595 1928 +-1489 10426 +-1936 2191 +-2660 -7619 +-1318 4235 +2456 2779 +368 -3822 +576 2678 +1479 5407 +1489 436 +-2729 -742 +-1079 2184 +2966 1617 +-3369 2886 +-468 3575 +262 430 +-714 1264 +-1489 10426 +7060 3995 +1783 -6482 +-6836 900 +0 4536 +-1577 -451 +1332 4444 +-3197 7748 +-8681 3415 +784 1710 +-904 -1763 +-940 -2146 +0 3730 +-3849 2171 +6360 1558 +6933 6600 +-1489 0 +6933 -6600 +6360 -1558 +-3849 -2171 +0 -3730 +-940 2146 +-904 1763 +784 -1710 +-8681 -3415 +-3197 -7748 +1332 -4444 +-1577 451 +0 -4536 +-6836 -900 +1783 6482 +7060 -3995 +-1489 -10426 +5033 -567 +3302 2363 +-797 -189 +0 1784 +-2008 -809 +-459 -4217 +-3039 590 +-256 5522 +-400 2415 +1777 -3968 +9041 -3327 +0 2590 +-1460 2753 +-1275 281 +-4748 1041 +-1489 0 +-4748 -1041 +-1275 -281 +-1460 -2753 +0 -2590 +9041 3327 +1777 3968 +-400 -2415 +-256 -5522 +-3039 -590 +-459 4217 +-2008 809 +0 -1784 +-797 189 +3302 -2363 +5033 567 +-1489 10426 +7060 3995 +1783 -6482 +-6836 900 +0 4536 +-1577 -451 +1332 4444 +-3197 7748 +-8681 3415 +784 1710 +-904 -1763 +-940 -2146 +0 3730 +-3849 2171 +6360 1558 +6933 6600 +0 1489 +539 452 +5475 925 +1837 -9476 +826 -3482 +6706 2107 +990 4019 +34 -125 +617 -9117 +-6430 -5327 +3192 -581 +6984 4054 +-5172 -2676 +-507 -3024 +5453 8714 +1240 -1353 +0 0 +1240 1353 +5453 -8714 +-507 3024 +-5172 2676 +6984 -4054 +3192 581 +-6430 5327 +617 9117 +34 125 +990 -4019 +6706 -2107 +826 3482 +1837 9476 +5475 -925 +539 -452 +0 -1489 +-91 -9749 +-1530 -12 +-3514 1631 +-4678 -730 +2686 2785 +2634 572 +-5517 -1523 +-3596 -2798 +-2363 -535 +-5072 -785 +-3435 -3375 +-2892 -1536 +-2332 -609 +772 -1843 +4162 -3731 +5958 0 +4162 3731 +772 1843 +-2332 609 +-2892 1536 +-3435 3375 +-5072 785 +-2363 535 +-3596 2798 +-5517 1523 +2634 -572 +2686 -2785 +-4678 730 +-3514 -1631 +-1530 12 +-91 9749 +0 1489 +539 452 +5475 925 +1837 -9476 +826 -3482 +6706 2107 +990 4019 +34 -125 +617 -9117 +-6430 -5327 +3192 -581 +6984 4054 +-5172 -2676 +-507 -3024 +5453 8714 +1240 -1353 +5958 2979 +2193 1045 +2033 1629 +-874 8626 +-3409 0 +1090 1832 +-3011 2814 +-2109 -2905 +4649 2106 +2339 6878 +3940 7448 +1649 -1224 +-4041 0 +-1303 601 +-5034 -4272 +-1677 9234 +7447 0 +-1677 -9234 +-5034 4272 +-1303 -601 +-4041 0 +1649 1224 +3940 -7448 +2339 -6878 +4649 -2106 +-2109 2905 +-3011 -2814 +1090 -1832 +-3409 0 +-874 -8626 +2033 -1629 +2193 -1045 +5958 -2979 +-1307 3192 +-3377 -1874 +8116 -1558 +5515 0 +-6104 133 +-8718 1992 +-3960 -3187 +-1670 -2106 +-5987 2251 +-2381 3316 +5297 2842 +1935 0 +554 -2307 +4633 -1931 +2082 1798 +-1489 0 +2082 -1798 +4633 1931 +554 2307 +1935 0 +5297 -2842 +-2381 -3316 +-5987 -2251 +-1670 2106 +-3960 3187 +-8718 -1992 +-6104 -133 +5515 0 +8116 1558 +-3377 1874 +-1307 -3192 +5958 2979 +2193 1045 +2033 1629 +-874 8626 +-3409 0 +1090 1832 +-3011 2814 +-2109 -2905 +4649 2106 +2339 6878 +3940 7448 +1649 -1224 +-4041 0 +-1303 601 +-5034 -4272 +-1677 9234 +-4468 -1489 +-247 2209 +4024 9140 +-3078 2655 +-2180 919 +3523 796 +490 -9131 +-934 -2964 +-5085 1053 +-2713 -6739 +1512 78 +2945 3618 +8824 -113 +2508 -811 +2853 -611 +4959 3929 +-1489 0 +4959 -3929 +2853 611 +2508 811 +8824 113 +2945 -3618 +1512 -78 +-2713 6739 +-5085 -1053 +-934 2964 +490 9131 +3523 -796 +-2180 -919 +-3078 -2655 +4024 -9140 +-247 -2209 +-4468 1489 +2502 -3676 +5920 -2763 +-5458 2932 +-8352 2059 +-2170 1740 +-584 1766 +2699 -6026 +-873 -1053 +-2624 7466 +-3886 2727 +-5287 851 +1708 -2866 +-1409 -95 +1586 5243 +4783 -4105 +-1489 0 +4783 4105 +1586 -5243 +-1409 95 +1708 2866 +-5287 -851 +-3886 -2727 +-2624 -7466 +-873 1053 +2699 6026 +-584 -1766 +-2170 -1740 +-8352 -2059 +-5458 -2932 +5920 2763 +2502 3676 +-4468 -1489 +-247 2209 +4024 9140 +-3078 2655 +-2180 919 +3523 796 +490 -9131 +-934 -2964 +-5085 1053 +-2713 -6739 +1512 78 +2945 3618 +8824 -113 +2508 -811 +2853 -611 +4959 3929 +2979 4468 +2686 3043 +-163 -2120 +-1229 5794 +-1670 1726 +-2454 -2883 +5255 1034 +10129 -1614 +6575 1926 +467 1242 +-6831 -1402 +-1233 2386 +4649 1833 +-3439 3022 +-3501 2319 +-3594 -1944 +-8937 0 +-3594 1944 +-3501 -2319 +-3439 -3022 +4649 -1833 +-1233 -2386 +-6831 1402 +467 -1242 +6575 -1926 +10129 1614 +5255 -1034 +-2454 2883 +-1670 -1726 +-1229 -5794 +-163 2120 +2686 -3043 +2979 -4468 +3614 -1557 +1548 -3879 +-1130 -1536 +-1670 1253 +-2161 669 +-3202 -4753 +-6019 2471 +2362 4032 +11634 -5890 +-1180 1896 +-5803 -2320 +4649 -4812 +598 3516 +-3842 -4105 +-2065 -2075 +-2979 0 +-2065 2075 +-3842 4105 +598 -3516 +4649 4812 +-5803 2320 +-1180 -1896 +11634 5890 +2362 -4032 +-6019 -2471 +-3202 4753 +-2161 -669 +-1670 -1253 +-1130 1536 +1548 3879 +3614 1557 +2979 4468 +2686 3043 +-163 -2120 +-1229 5794 +-1670 1726 +-2454 -2883 +5255 1034 +10129 -1614 +6575 1926 +467 1242 +-6831 -1402 +-1233 2386 +4649 1833 +-3439 3022 +-3501 2319 +-3594 -1944 +4468 5958 +-5657 2721 +-6842 1740 +-2177 4975 +-2307 1452 +-1660 -2487 +-4905 -86 +2157 5418 +1926 873 +-1992 -1278 +4177 3070 +-2342 -1327 +-3758 980 +2474 625 +1094 -5661 +4583 1628 +8937 0 +4583 -1628 +1094 5661 +2474 -625 +-3758 -980 +-2342 1327 +4177 -3070 +-1992 1278 +1926 -873 +2157 -5418 +-4905 86 +-1660 2487 +-2307 -1452 +-2177 -4975 +-6842 -1740 +-5657 -2721 +4468 -5958 +-389 1993 +1285 2473 +3422 -5704 +-2779 -5665 +-4198 596 +-2612 4298 +8377 2606 +4032 5085 +-6262 1518 +1595 -7283 +2696 -3788 +2886 -5192 +1786 -4578 +-5707 1449 +-818 -4699 +5958 0 +-818 4699 +-5707 -1449 +1786 4578 +2886 5192 +2696 3788 +1595 7283 +-6262 -1518 +4032 -5085 +8377 -2606 +-2612 -4298 +-4198 -596 +-2779 5665 +3422 5704 +1285 -2473 +-389 -1993 +4468 5958 +-5657 2721 +-6842 1740 +-2177 4975 +-2307 1452 +-1660 -2487 +-4905 -86 +2157 5418 +1926 873 +-1992 -1278 +4177 3070 +-2342 -1327 +-3758 980 +2474 625 +1094 -5661 +4583 1628 +0 2979 +2397 -5468 +-500 -2616 +3245 8618 +-759 -741 +-443 -5445 +-272 -1181 +-2904 1349 +6139 4468 +2471 1536 +-3918 5856 +-528 6824 +-3026 2679 +2584 2908 +7126 -2940 +2585 -3526 +1489 0 +2585 3526 +7126 2940 +2584 -2908 +-3026 -2679 +-528 -6824 +-3918 -5856 +2471 -1536 +6139 -4468 +-2904 -1349 +-272 1181 +-443 5445 +-759 741 +3245 -8618 +-500 2616 +2397 5468 +0 -2979 +-2825 -221 +5207 5123 +1268 18 +1993 -5578 +3306 4104 +-9939 4846 +-7353 1371 +-181 4468 +-1931 -561 +1491 3767 +-401 2005 +-4166 -8998 +-604 -4443 +806 -511 +-865 -419 +-1489 0 +-865 419 +806 511 +-604 4443 +-4166 8998 +-401 -2005 +1491 -3767 +-1931 561 +-181 -4468 +-7353 -1371 +-9939 -4846 +3306 -4104 +1993 5578 +1268 -18 +5207 -5123 +-2825 221 +0 2979 +2397 -5468 +-500 -2616 +3245 8618 +-759 -741 +-443 -5445 +-272 -1181 +-2904 1349 +6139 4468 +2471 1536 +-3918 5856 +-528 6824 +-3026 2679 +2584 2908 +7126 -2940 +2585 -3526 +0 -2979 +977 381 +-361 1200 +-950 -5132 +2487 -474 +-4653 -165 +1768 -4941 +2315 4869 +-8245 8681 +-2411 -3080 +-911 -6145 +-3807 1051 +-2380 5012 +-1963 2696 +1063 -2753 +-636 -4445 +-4468 0 +-636 4445 +1063 2753 +-1963 -2696 +-2380 -5012 +-3807 -1051 +-911 6145 +-2411 3080 +-8245 -8681 +2315 -4869 +1768 4941 +-4653 165 +2487 474 +-950 5132 +-361 -1200 +977 -381 +0 2979 +4436 -1690 +-1046 3164 +3621 3717 +2959 -7590 +-3134 -5382 +8822 -91 +6540 -2676 +2287 256 +4261 2049 +492 1113 +-2336 1186 +-9024 -1160 +-3629 3673 +2089 7118 +1368 -89 +4468 0 +1368 89 +2089 -7118 +-3629 -3673 +-9024 1160 +-2336 -1186 +492 -1113 +4261 -2049 +2287 -256 +6540 2676 +8822 91 +-3134 5382 +2959 7590 +3621 -3717 +-1046 -3164 +4436 1690 +0 -2979 +977 381 +-361 1200 +-950 -5132 +2487 -474 +-4653 -165 +1768 -4941 +2315 4869 +-8245 8681 +-2411 -3080 +-911 -6145 +-3807 1051 +-2380 5012 +-1963 2696 +1063 -2753 +-636 -4445 +-2979 -5958 +33 -725 +5431 3113 +2106 -1731 +-1414 -2665 +-2120 6860 +-7501 449 +-4387 1724 +3160 5702 +7 -200 +-575 10161 +1973 3923 +-1899 -4945 +1050 -1352 +8200 -5630 +2513 2504 +-4468 0 +2513 -2504 +8200 5630 +1050 1352 +-1899 4945 +1973 -3923 +-575 -10161 +7 200 +3160 -5702 +-4387 -1724 +-7501 -449 +-2120 -6860 +-1414 2665 +2106 1731 +5431 -3113 +33 725 +-2979 5958 +-1857 4091 +-25 -5411 +-521 -3804 +-5778 559 +-1256 -628 +5773 -2986 +-1423 -4541 +-3160 -2723 +299 -2617 +-4378 -783 +-877 2309 +3133 2839 +-355 -4182 +4990 -8584 +4816 862 +-1489 0 +4816 -862 +4990 8584 +-355 4182 +3133 -2839 +-877 -2309 +-4378 783 +299 2617 +-3160 2723 +-1423 4541 +5773 2986 +-1256 628 +-5778 -559 +-521 3804 +-25 5411 +-1857 -4091 +-2979 -5958 +33 -725 +5431 3113 +2106 -1731 +-1414 -2665 +-2120 6860 +-7501 449 +-4387 1724 +3160 5702 +7 -200 +-575 10161 +1973 3923 +-1899 -4945 +1050 -1352 +8200 -5630 +2513 2504 +4468 5958 +-474 -330 +-2671 -3565 +-2043 1750 +-1623 -1908 +-22 -3007 +2101 1607 +4043 -2651 +7011 -2979 +6211 6490 +-1166 2001 +-4981 -4691 +-323 -1663 +1836 4390 +-2300 6919 +-3956 -600 +-2979 0 +-3956 600 +-2300 -6919 +1836 -4390 +-323 1663 +-4981 4691 +-1166 -2001 +6211 -6490 +7011 2979 +4043 2651 +2101 -1607 +-22 3007 +-1623 1908 +-2043 -1750 +-2671 3565 +-474 330 +4468 -5958 +3402 3670 +-3060 760 +-3609 1008 +-483 9100 +-3560 -2921 +-6220 4770 +-144 10931 +4905 -2979 +1887 499 +-673 2630 +1126 5256 +2429 2897 +2827 -3565 +2073 446 +-2543 -5778 +-5958 0 +-2543 5778 +2073 -446 +2827 3565 +2429 -2897 +1126 -5256 +-673 -2630 +1887 -499 +4905 2979 +-144 -10931 +-6220 -4770 +-3560 2921 +-483 -9100 +-3609 -1008 +-3060 -760 +3402 -3670 +4468 5958 +-474 -330 +-2671 -3565 +-2043 1750 +-1623 -1908 +-22 -3007 +2101 1607 +4043 -2651 +7011 -2979 +6211 6490 +-1166 2001 +-4981 -4691 +-323 -1663 +1836 4390 +-2300 6919 +-3956 -600 +0 -5958 +5742 -365 +-1905 3148 +-6708 3521 +-3322 2810 +-8808 -1772 +1063 -2479 +4464 -1022 +-6139 1489 +-5150 -365 +2158 653 +5087 9819 +-236 1897 +-2381 -3207 +-2792 6658 +-2008 2873 +1489 0 +-2008 -2873 +-2792 -6658 +-2381 3207 +-236 -1897 +5087 -9819 +2158 -653 +-5150 365 +-6139 -1489 +4464 1022 +1063 2479 +-8808 1772 +-3322 -2810 +-6708 -3521 +-1905 -3148 +5742 365 +0 5958 +3676 1404 +-695 -2502 +-2274 -1194 +3322 530 +359 754 +7042 -767 +5969 2725 +181 1489 +3142 -1611 +-1837 313 +-5064 625 +236 7401 +2938 5080 +-3033 -1799 +1015 6404 +10426 0 +1015 -6404 +-3033 1799 +2938 -5080 +236 -7401 +-5064 -625 +-1837 -313 +3142 1611 +181 -1489 +5969 -2725 +7042 767 +359 -754 +3322 -530 +-2274 1194 +-695 2502 +3676 -1404 +0 -5958 +5742 -365 +-1905 3148 +-6708 3521 +-3322 2810 +-8808 -1772 +1063 -2479 +4464 -1022 +-6139 1489 +-5150 -365 +2158 653 +5087 9819 +-236 1897 +-2381 -3207 +-2792 6658 +-2008 2873 +5958 -2979 +555 8105 +2712 2373 +580 -2701 +-6301 -1403 +-1025 1769 +-2350 1008 +-4035 -4299 +1926 -617 +644 -598 +3458 -4044 +4613 -3982 +-3215 -2316 +-3832 3044 +-3333 1453 +-538 -3039 +4468 0 +-538 3039 +-3333 -1453 +-3832 -3044 +-3215 2316 +4613 3982 +3458 4044 +644 598 +1926 617 +-4035 4299 +-2350 -1008 +-1025 -1769 +-6301 1403 +580 2701 +2712 -2373 +555 -8105 +5958 2979 +711 7644 +-1100 6698 +4818 3945 +343 -3683 +2099 5513 +6243 4171 +2139 -2001 +4032 3596 +1252 -2024 +-7350 -3415 +-5687 -199 +-2743 3188 +-1567 -1347 +1721 -5020 +-728 10550 +-4468 0 +-728 -10550 +1721 5020 +-1567 1347 +-2743 -3188 +-5687 199 +-7350 3415 +1252 2024 +4032 -3596 +2139 2001 +6243 -4171 +2099 -5513 +343 3683 +4818 -3945 +-1100 -6698 +711 -7644 +5958 -2979 +555 8105 +2712 2373 +580 -2701 +-6301 -1403 +-1025 1769 +-2350 1008 +-4035 -4299 +1926 -617 +644 -598 +3458 -4044 +4613 -3982 +-3215 -2316 +-3832 3044 +-3333 1453 +-538 -3039 +0 2979 +-3276 1995 +1835 3570 +981 -6278 +4783 -3928 +3704 -1083 +-1125 -3923 +-1340 -561 +-5266 -3596 +2777 -1685 +9503 3512 +45 -957 +-2837 -7575 +-3120 -1949 +-4433 4043 +-2142 -4264 +-1489 0 +-2142 4264 +-4433 -4043 +-3120 1949 +-2837 7575 +45 957 +9503 -3512 +2777 1685 +-5266 3596 +-1340 561 +-1125 3923 +3704 1083 +4783 3928 +981 6278 +1835 -3570 +-3276 -1995 +0 -2979 +5167 -2495 +-3768 1422 +-4051 -1207 +3643 4801 +4320 105 +-167 -1389 +612 5869 +5266 617 +1175 7446 +214 7304 +-285 -3700 +-5589 2489 +-1594 2702 +-2060 -6754 +-2973 -7699 +1489 0 +-2973 7699 +-2060 6754 +-1594 -2702 +-5589 -2489 +-285 3700 +214 -7304 +1175 -7446 +5266 -617 +612 -5869 +-167 1389 +4320 -105 +3643 -4801 +-4051 1207 +-3768 -1422 +5167 2495 +0 2979 +-3276 1995 +1835 3570 +981 -6278 +4783 -3928 +3704 -1083 +-1125 -3923 +-1340 -561 +-5266 -3596 +2777 -1685 +9503 3512 +45 -957 +-2837 -7575 +-3120 -1949 +-4433 4043 +-2142 -4264 +-1489 0 +-3286 -501 +-1940 2155 +-792 1263 +3549 -1966 +-1242 3660 +-334 9661 +2774 5137 +436 -1489 +-3224 1583 +-7843 2917 +-2496 -3110 +4355 -2420 +4120 -2382 +719 -5882 +-2509 -2225 +-2979 0 +-2509 2225 +719 5882 +4120 2382 +4355 2420 +-2496 3110 +-7843 -2917 +-3224 -1583 +436 1489 +2774 -5137 +-334 -9661 +-1242 -3660 +3549 1966 +-792 -1263 +-1940 -2155 +-3286 501 +-1489 0 +6512 187 +4292 -3541 +6280 -1620 +2409 5818 +-2566 -5099 +3033 -7608 +584 5575 +2543 -1489 +-2067 -2868 +-5026 5094 +5013 -5767 +1603 -5644 +109 3012 +-4816 -1461 +-7210 -1661 +0 0 +-7210 1661 +-4816 1461 +109 -3012 +1603 5644 +5013 5767 +-5026 -5094 +-2067 2868 +2543 1489 +584 -5575 +3033 7608 +-2566 5099 +2409 -5818 +6280 1620 +4292 3541 +6512 -187 +-1489 0 +-3286 -501 +-1940 2155 +-792 1263 +3549 -1966 +-1242 3660 +-334 9661 +2774 5137 +436 -1489 +-3224 1583 +-7843 2917 +-2496 -3110 +4355 -2420 +4120 -2382 +719 -5882 +-2509 -2225 +7447 1489 +-1412 864 +298 75 +-1136 -1241 +-5468 -636 +2353 -6001 +4844 872 +3524 -136 +2106 -3160 +-577 7550 +5971 -4058 +2107 -3696 +-6907 8407 +801 -1670 +1543 -6107 +-1593 -5277 +1489 0 +-1593 5277 +1543 6107 +801 1670 +-6907 -8407 +2107 3696 +5971 4058 +-577 -7550 +2106 3160 +3524 136 +4844 -872 +2353 6001 +-5468 636 +-1136 1241 +298 -75 +-1412 -864 +7447 -1489 +4441 -3425 +-3545 -988 +1701 -4029 +4596 -1109 +2265 -478 +15 -5464 +-791 -1651 +-2106 3160 +-8649 8617 +-6617 5425 +2992 -1304 +1822 1763 +-2657 1370 +-2509 -764 +-3369 329 +-4468 0 +-3369 -329 +-2509 764 +-2657 -1370 +1822 -1763 +2992 1304 +-6617 -5425 +-8649 -8617 +-2106 -3160 +-791 1651 +15 5464 +2265 478 +4596 1109 +1701 4029 +-3545 988 +4441 3425 +7447 1489 +-1412 864 +298 75 +-1136 -1241 +-5468 -636 +2353 -6001 +4844 872 +3524 -136 +2106 -3160 +-577 7550 +5971 -4058 +2107 -3696 +-6907 8407 +801 -1670 +1543 -6107 +-1593 -5277 +-1489 1489 +2010 820 +-3383 521 +188 3812 +-1757 -1207 +-1307 524 +3379 -734 +2386 -2916 +2723 1309 +-5010 827 +-6108 3940 +4506 -1422 +844 -5446 +-3582 -36 +-805 -1522 +-1075 1427 +-1489 0 +-1075 -1427 +-805 1522 +-3582 36 +844 5446 +4506 1422 +-6108 -3940 +-5010 -827 +2723 -1309 +2386 2916 +3379 734 +-1307 -524 +-1757 1207 +188 -3812 +-3383 -521 +2010 -820 +-1489 -1489 +-9856 3669 +-509 -2401 +5673 -5564 +523 3825 +-3776 4392 +-1767 -3211 +-1707 4839 +-5702 7628 +118 560 +4496 2285 +4790 -9337 +6348 -9810 +1934 2950 +4698 -2103 +4707 -963 +-1489 0 +4707 963 +4698 2103 +1934 -2950 +6348 9810 +4790 9337 +4496 -2285 +118 -560 +-5702 -7628 +-1707 -4839 +-1767 3211 +-3776 -4392 +523 -3825 +5673 5564 +-509 2401 +-9856 -3669 +-1489 1489 +2010 820 +-3383 521 +188 3812 +-1757 -1207 +-1307 524 +3379 -734 +2386 -2916 +2723 1309 +-5010 827 +-6108 3940 +4506 -1422 +844 -5446 +-3582 -36 +-805 -1522 +-1075 1427 +0 0 +-4078 4566 +-1111 -801 +693 -3390 +657 1786 +2750 -5698 +-3455 -786 +3690 4996 +5522 -7192 +-3920 -6699 +-2486 3950 +-2254 2995 +5181 -3719 +12083 959 +4467 7213 +-1089 949 +-1489 0 +-1089 -949 +4467 -7213 +12083 -959 +5181 3719 +-2254 -2995 +-2486 -3950 +-3920 6699 +5522 7192 +3690 -4996 +-3455 786 +2750 5698 +657 -1786 +693 3390 +-1111 801 +-4078 -4566 +0 0 +-3734 -5060 +-2514 -4111 +-2992 -2608 +-2763 -5999 +1955 3912 +-2770 5057 +3026 -1277 +3415 1234 +-4729 2981 +-1460 2788 +-3742 -1209 +-3075 -494 +-66 5040 +-2587 2259 +2408 -455 +7447 0 +2408 455 +-2587 -2259 +-66 -5040 +-3075 494 +-3742 1209 +-1460 -2788 +-4729 -2981 +3415 -1234 +3026 1277 +-2770 -5057 +1955 -3912 +-2763 5999 +-2992 2608 +-2514 4111 +-3734 5060 +0 0 +-4078 4566 +-1111 -801 +693 -3390 +657 1786 +2750 -5698 +-3455 -786 +3690 4996 +5522 -7192 +-3920 -6699 +-2486 3950 +-2254 2995 +5181 -3719 +12083 959 +4467 7213 +-1089 949 +0 -5958 +3615 -2411 +6543 3629 +2459 2874 +3569 -66 +510 -2874 +-5511 -4216 +-2598 1949 +-436 2106 +2992 -3575 +1858 -2627 +-1560 -4409 +1129 7031 +-2717 10311 +-3088 -2894 +1577 4083 +1489 0 +1577 -4083 +-3088 2894 +-2717 -10311 +1129 -7031 +-1560 4409 +1858 2627 +2992 3575 +-436 -2106 +-2598 -1949 +-5511 4216 +510 2874 +3569 66 +2459 -2874 +6543 -3629 +3615 2411 +0 5958 +-2517 -4256 +-3296 -1028 +1603 8925 +-1463 -1679 +-2231 1516 +653 12321 +-2631 6028 +-2543 -2106 +-3267 -2831 +-1212 2306 +1001 583 +-3235 3139 +935 3956 +4054 -2931 +2830 3633 +4468 0 +2830 -3633 +4054 2931 +935 -3956 +-3235 -3139 +1001 -583 +-1212 -2306 +-3267 2831 +-2543 2106 +-2631 -6028 +653 -12321 +-2231 -1516 +-1463 1679 +1603 -8925 +-3296 1028 +-2517 4256 +0 -5958 +3615 -2411 +6543 3629 +2459 2874 +3569 -66 +510 -2874 +-5511 -4216 +-2598 1949 +-436 2106 +2992 -3575 +1858 -2627 +-1560 -4409 +1129 7031 +-2717 10311 +-3088 -2894 +1577 4083 +-4468 -8937 +1829 -2706 +2346 6371 +-457 2746 +-47 -5295 +3464 -1432 +7123 -4808 +1223 -3239 +-2543 2362 +1407 -2331 +-2159 2433 +-23 138 +4972 -704 +-2451 -40 +-2079 -6794 +1950 3328 +0 0 +1950 -3328 +-2079 6794 +-2451 40 +4972 704 +-23 -138 +-2159 -2433 +1407 2331 +-2543 -2362 +1223 3239 +7123 4808 +3464 1432 +-47 5295 +-457 -2746 +2346 -6371 +1829 2706 +-4468 8937 +-7807 292 +-8839 -2426 +-6170 9459 +-1187 209 +1485 -6509 +2594 1183 +-1829 1118 +-436 6575 +6637 3970 +867 -4312 +-1354 -188 +2220 1576 +-2919 -205 +146 568 +5016 1507 +2979 0 +5016 -1507 +146 -568 +-2919 205 +2220 -1576 +-1354 188 +867 4312 +6637 -3970 +-436 -6575 +-1829 -1118 +2594 -1183 +1485 6509 +-1187 -209 +-6170 -9459 +-8839 2426 +-7807 -292 +-4468 -8937 +1829 -2706 +2346 6371 +-457 2746 +-47 -5295 +3464 -1432 +7123 -4808 +1223 -3239 +-2543 2362 +1407 -2331 +-2159 2433 +-23 138 +4972 -704 +-2451 -40 +-2079 -6794 +1950 3328 +4468 -4468 +-2184 -4875 +-3155 3581 +4545 -1448 +6595 -76 +-567 -2706 +-5692 -4149 +-5971 6202 +-6319 -1053 +-4406 963 +921 7692 +2220 -2330 +-864 -410 +301 -750 +4445 -5468 +3694 1895 +1489 0 +3694 -1895 +4445 5468 +301 750 +-864 410 +2220 2330 +921 -7692 +-4406 -963 +-6319 1053 +-5971 -6202 +-5692 4149 +-567 2706 +6595 76 +4545 1448 +-3155 -3581 +-2184 4875 +4468 4468 +3281 -881 +-2081 764 +-483 120 +2703 4289 +-1154 3379 +-4425 2083 +742 -2176 +6319 1053 +4131 14071 +-974 2158 +-2779 -1557 +-2476 4622 +-2083 -5137 +-953 -2102 +713 3358 +1489 0 +713 -3358 +-953 2102 +-2083 5137 +-2476 -4622 +-2779 1557 +-974 -2158 +4131 -14071 +6319 -1053 +742 2176 +-4425 -2083 +-1154 -3379 +2703 -4289 +-483 -120 +-2081 -764 +3281 881 +4468 -4468 +-2184 -4875 +-3155 3581 +4545 -1448 +6595 -76 +-567 -2706 +-5692 -4149 +-5971 6202 +-6319 -1053 +-4406 963 +921 7692 +2220 -2330 +-864 -410 +301 -750 +4445 -5468 +3694 1895 +1489 -2979 +-795 -2549 +-4009 5121 +-2467 5031 +2973 -6261 +3548 4657 +-2613 4644 +243 -616 +2543 7809 +-2091 2182 +4760 -682 +7655 -5820 +1499 -5562 +2412 6894 +-1955 1998 +-5698 -2125 +-2979 0 +-5698 2125 +-1955 -1998 +2412 -6894 +1499 5562 +7655 5820 +4760 682 +-2091 -2182 +2543 -7809 +243 616 +-2613 -4644 +3548 -4657 +2973 6261 +-2467 -5031 +-4009 -5121 +-795 2549 +1489 2979 +-1133 1879 +-3428 -4854 +-6953 -2997 +-5952 -3037 +932 -2428 +-958 3193 +-3418 -3250 +436 -4830 +4278 1202 +7237 -1651 +-138 -4401 +-4478 2222 +3437 3031 +967 14 +188 5215 +5958 0 +188 -5215 +967 -14 +3437 -3031 +-4478 -2222 +-138 4401 +7237 1651 +4278 -1202 +436 4830 +-3418 3250 +-958 -3193 +932 2428 +-5952 3037 +-6953 2997 +-3428 4854 +-1133 -1879 +1489 -2979 +-795 -2549 +-4009 5121 +-2467 5031 +2973 -6261 +3548 4657 +-2613 4644 +243 -616 +2543 7809 +-2091 2182 +4760 -682 +7655 -5820 +1499 -5562 +2412 6894 +-1955 1998 +-5698 -2125 +-2979 -4468 +3520 5530 +7004 5202 +4655 -2602 +-4649 4478 +-8702 -1920 +358 -4415 +-92 4836 +617 -1926 +594 -4576 +-1123 2528 +6147 3128 +1670 2973 +-2025 2191 +792 -3211 +-1834 -6103 +-2979 0 +-1834 6103 +792 3211 +-2025 -2191 +1670 -2973 +6147 -3128 +-1123 -2528 +594 4576 +617 1926 +-92 -4836 +358 4415 +-8702 1920 +-4649 -4478 +4655 2602 +7004 -5202 +3520 -5530 +-2979 4468 +-221 -1943 +339 -1030 +-2896 838 +-4649 -1499 +2278 2837 +7653 -1049 +-5695 -2769 +-3596 -4032 +5193 -6645 +-930 -289 +278 -3155 +1670 -5952 +266 -4900 +-2177 -8746 +-1465 -3599 +2979 0 +-1465 3599 +-2177 8746 +266 4900 +1670 5952 +278 3155 +-930 289 +5193 6645 +-3596 4032 +-5695 2769 +7653 1049 +2278 -2837 +-4649 1499 +-2896 -838 +339 1030 +-221 1943 +-2979 -4468 +3520 5530 +7004 5202 +4655 -2602 +-4649 4478 +-8702 -1920 +358 -4415 +-92 4836 +617 -1926 +594 -4576 +-1123 2528 +6147 3128 +1670 2973 +-2025 2191 +792 -3211 +-1834 -6103 +1489 -5958 +5117 1351 +2631 -1190 +-973 -5126 +-221 704 +1400 3832 +-3304 -1843 +-1743 -5723 +-3415 -256 +-6618 5925 +417 1952 +1333 -2679 +-2639 -209 +-4764 -918 +-2042 -3799 +2556 -1770 +2979 0 +2556 1770 +-2042 3799 +-4764 918 +-2639 209 +1333 2679 +417 -1952 +-6618 -5925 +-3415 256 +-1743 5723 +-3304 1843 +1400 -3832 +-221 -704 +-973 5126 +2631 1190 +5117 -1351 +1489 5958 +-4072 6245 +-2631 -369 +-1583 -1215 +3199 -1576 +3949 -987 +3304 498 +3267 -6198 +-5522 -8681 +1523 -2172 +-417 -1551 +-7670 1500 +5618 5295 +-117 -5958 +2042 -7931 +8396 4699 +0 0 +8396 -4699 +2042 7931 +-117 5958 +5618 -5295 +-7670 -1500 +-417 1551 +1523 2172 +-5522 8681 +3267 6198 +3304 -498 +3949 987 +3199 1576 +-1583 1215 +-2631 369 +-4072 -6245 +1489 -5958 +5117 1351 +2631 -1190 +-973 -5126 +-221 704 +1400 3832 +-3304 -1843 +-1743 -5723 +-3415 -256 +-6618 5925 +417 1952 +1333 -2679 +-2639 -209 +-4764 -918 +-2042 -3799 +2556 -1770 +1489 2979 +2399 -3979 +-3030 -8233 +494 -435 +4006 -9347 +209 -2721 +-4691 6838 +-5726 402 +1670 -1489 +2081 -4346 +-4583 -750 +2287 -539 +3672 -2004 +-4724 207 +1810 -3291 +3547 1242 +-2979 0 +3547 -1242 +1810 3291 +-4724 -207 +3672 2004 +2287 539 +-4583 750 +2081 4346 +1670 1489 +-5726 -402 +-4691 -6838 +209 2721 +4006 9347 +494 435 +-3030 8233 +2399 3979 +1489 -2979 +-865 4011 +5631 4608 +3607 8123 +-1027 49 +1903 -7055 +-3414 -613 +-9803 -1595 +-4649 -1489 +1451 -4096 +4262 -3195 +3038 3214 +-693 -1336 +1611 -408 +4015 1411 +-1510 -4970 +-5958 0 +-1510 4970 +4015 -1411 +1611 408 +-693 1336 +3038 -3214 +4262 3195 +1451 4096 +-4649 1489 +-9803 1595 +-3414 613 +1903 7055 +-1027 -49 +3607 -8123 +5631 -4608 +-865 -4011 +1489 2979 +2399 -3979 +-3030 -8233 +494 -435 +4006 -9347 +209 -2721 +-4691 6838 +-5726 402 +1670 -1489 +2081 -4346 +-4583 -750 +2287 -539 +3672 -2004 +-4724 207 +1810 -3291 +3547 1242 +4468 1489 +3160 1590 +1834 1635 +273 4332 +-523 -3866 +-607 2892 +-2820 2820 +2379 -339 +4468 5522 +-4900 -3006 +-7293 -2149 +-7679 2556 +-6348 3358 +2414 3075 +1877 -4723 +3764 -609 +10426 0 +3764 609 +1877 4723 +2414 -3075 +-6348 -3358 +-7679 -2556 +-7293 2149 +-4900 3006 +4468 -5522 +2379 339 +-2820 -2820 +-607 -2892 +-523 3866 +273 -4332 +1834 -1635 +3160 -1590 +4468 -1489 +2207 2818 +1012 -8774 +834 -2550 +1757 8951 +2454 -3451 +-480 -9291 +3199 615 +4468 3415 +-3902 -2223 +-3791 -109 +-1952 -835 +-844 -4231 +4264 987 +-2255 1797 +-5906 -488 +-1489 0 +-5906 488 +-2255 -1797 +4264 -987 +-844 4231 +-1952 835 +-3791 109 +-3902 2223 +4468 -3415 +3199 -615 +-480 9291 +2454 3451 +1757 -8951 +834 2550 +1012 8774 +2207 -2818 +4468 1489 +3160 1590 +1834 1635 +273 4332 +-523 -3866 +-607 2892 +-2820 2820 +2379 -339 +4468 5522 +-4900 -3006 +-7293 -2149 +-7679 2556 +-6348 3358 +2414 3075 +1877 -4723 +3764 -609 +-1489 1489 +-4886 383 +-2341 -1272 +-8287 -3945 +370 1536 +8303 1493 +4650 -1597 +2632 -2825 +0 -4032 +3906 -1453 +3090 -4867 +-2856 949 +-4489 3482 +-7240 -8328 +1244 -3475 +1699 4499 +-7447 0 +1699 -4499 +1244 3475 +-7240 8328 +-4489 -3482 +-2856 -949 +3090 4867 +3906 1453 +0 4032 +2632 2825 +4650 1597 +8303 -1493 +370 -1536 +-8287 3945 +-2341 1272 +-4886 -383 +-1489 -1489 +7416 1328 +3686 1272 +3409 -4511 +-1242 2676 +-1876 4158 +7079 1597 +1812 2484 +0 -1926 +1019 1112 +-4649 4867 +1292 4701 +-597 730 +-9597 -128 +-844 3475 +3252 -2787 +-1489 0 +3252 2787 +-844 -3475 +-9597 128 +-597 -730 +1292 -4701 +-4649 -4867 +1019 -1112 +0 1926 +1812 -2484 +7079 -1597 +-1876 -4158 +-1242 -2676 +3409 4511 +3686 -1272 +7416 -1328 +-1489 1489 +-4886 383 +-2341 -1272 +-8287 -3945 +370 1536 +8303 1493 +4650 -1597 +2632 -2825 +0 -4032 +3906 -1453 +3090 -4867 +-2856 949 +-4489 3482 +-7240 -8328 +1244 -3475 +1699 4499 +-2979 1489 +-2209 4213 +1772 647 +4562 -2220 +-5106 -7535 +-358 -4595 +8229 3978 +4445 3647 +1489 1926 +1693 -3373 +-1347 -8548 +-2886 -4770 +2354 -3977 +1598 -735 +-165 2643 +2615 -3270 +2979 0 +2615 3270 +-165 -2643 +1598 735 +2354 3977 +-2886 4770 +-1347 8548 +1693 3373 +1489 -1926 +4445 -3647 +8229 -3978 +-358 4595 +-5106 7535 +4562 2220 +1772 -647 +-2209 -4213 +-2979 -1489 +-3020 2034 +2766 -4992 +-5120 -7615 +-1214 -891 +4420 2236 +-6595 -1913 +-38 -1566 +1489 4032 +-596 403 +3926 -1302 +1104 1013 +3966 -4449 +-3320 1417 +-8585 4927 +-2890 -7449 +-2979 0 +-2890 7449 +-8585 -4927 +-3320 -1417 +3966 4449 +1104 -1013 +3926 1302 +-596 -403 +1489 -4032 +-38 1566 +-6595 1913 +4420 -2236 +-1214 891 +-5120 7615 +2766 4992 +-3020 -2034 +-2979 1489 +-2209 4213 +1772 647 +4562 -2220 +-5106 -7535 +-358 -4595 +8229 3978 +4445 3647 +1489 1926 +1693 -3373 +-1347 -8548 +-2886 -4770 +2354 -3977 +1598 -735 +-165 2643 +2615 -3270 +-5958 0 +-2209 -2821 +6646 -276 +-3342 -3013 +-1623 913 +-2828 233 +-5631 -1916 +976 215 +-4649 0 +-2360 4703 +1768 4006 +-5764 2148 +-323 1367 +5624 1677 +2772 5421 +-2751 -261 +-7447 0 +-2751 261 +2772 -5421 +5624 -1677 +-323 -1367 +-5764 -2148 +1768 -4006 +-2360 -4703 +-4649 0 +976 -215 +-5631 1916 +-2828 -233 +-1623 -913 +-3342 3013 +6646 276 +-2209 2821 +-5958 0 +7066 -2985 +1191 -423 +-3511 -7370 +-483 -6871 +1609 3231 +7643 10399 +4302 5293 +1670 0 +3574 4377 +-2035 -1481 +-2733 327 +2429 4591 +2520 -4624 +-439 -162 +-174 6453 +1489 0 +-174 -6453 +-439 162 +2520 4624 +2429 -4591 +-2733 -327 +-2035 1481 +3574 -4377 +1670 0 +4302 -5293 +7643 -10399 +1609 -3231 +-483 6871 +-3511 7370 +1191 423 +7066 2985 +-5958 0 +-2209 -2821 +6646 -276 +-3342 -3013 +-1623 913 +-2828 233 +-5631 -1916 +976 215 +-4649 0 +-2360 4703 +1768 4006 +-5764 2148 +-323 1367 +5624 1677 +2772 5421 +-2751 -261 +1489 -1489 +-2327 -9736 +945 -5043 +3253 692 +-3747 -521 +-3931 -390 +-2357 -8457 +-1526 -1177 +1489 7628 +1334 -4828 +4012 -1728 +4191 640 +1436 40 +4325 2325 +1976 -4526 +-627 1081 +1489 0 +-627 -1081 +1976 4526 +4325 -2325 +1436 -40 +4191 -640 +4012 1728 +1334 4828 +1489 -7628 +-1526 1177 +-2357 8457 +-3931 390 +-3747 521 +3253 -692 +945 5043 +-2327 9736 +1489 1489 +-2016 -7973 +-2558 -5075 +3430 -51 +4981 -8777 +2979 -2165 +-1535 4965 +-135 -4377 +1489 1309 +-3885 2391 +-120 -3508 +973 -506 +-8628 -3380 +-6795 -2484 +-364 4579 +757 4669 +1489 0 +757 -4669 +-364 -4579 +-6795 2484 +-8628 3380 +973 506 +-120 3508 +-3885 -2391 +1489 -1309 +-135 4377 +-1535 -4965 +2979 2165 +4981 8777 +3430 51 +-2558 5075 +-2016 7973 +1489 -1489 +-2327 -9736 +945 -5043 +3253 692 +-3747 -521 +-3931 -390 +-2357 -8457 +-1526 -1177 +1489 7628 +1334 -4828 +4012 -1728 +4191 640 +1436 40 +4325 2325 +1976 -4526 +-627 1081 +-5958 2979 +2377 -1415 +-1927 -4492 +2559 -4600 +730 1510 +-3890 431 +-2567 -6994 +-5542 780 +4032 7447 +-24 -2552 +-3979 -2485 +6872 5851 +-1536 1737 +531 -371 +4896 3191 +-1975 1002 +-1489 0 +-1975 -1002 +4896 -3191 +531 371 +-1536 -1737 +6872 -5851 +-3979 2485 +-24 2552 +4032 -7447 +-5542 -780 +-2567 6994 +-3890 -431 +730 -1510 +2559 4600 +-1927 4492 +2377 1415 +-5958 -2979 +-6590 -2123 +3860 6750 +1654 6482 +3482 -2382 +8103 -3686 +3858 -145 +1329 3981 +1926 7447 +-4189 3635 +-5738 -441 +-2659 2357 +-2676 3349 +3682 1799 +1597 3280 +-2238 3698 +1489 0 +-2238 -3698 +1597 -3280 +3682 -1799 +-2676 -3349 +-2659 -2357 +-5738 441 +-4189 -3635 +1926 -7447 +1329 -3981 +3858 145 +8103 3686 +3482 2382 +1654 -6482 +3860 -6750 +-6590 2123 +-5958 2979 +2377 -1415 +-1927 -4492 +2559 -4600 +730 1510 +-3890 431 +-2567 -6994 +-5542 780 +4032 7447 +-24 -2552 +-3979 -2485 +6872 5851 +-1536 1737 +531 -371 +4896 3191 +-1975 1002 +0 1489 +-6556 -4344 +-1751 -1161 +5155 2401 +227 6025 +-8045 4709 +411 3028 +-1122 -2194 +-10171 -4649 +1015 6188 +-2066 3117 +-5894 -1850 +4119 2240 +-3887 1095 +-1170 27 +5511 -3173 +0 0 +5511 3173 +-1170 -27 +-3887 -1095 +4119 -2240 +-5894 1850 +-2066 -3117 +1015 -6188 +-10171 4649 +-1122 2194 +411 -3028 +-8045 -4709 +227 -6025 +5155 -2401 +-1751 1161 +-6556 4344 +0 -1489 +4858 -692 +1751 5699 +4581 2369 +5731 3273 +2991 -1423 +-411 -4663 +-5444 547 +-1745 1670 +2326 3627 +2066 -538 +3164 -3102 +1839 1100 +1936 7353 +1170 8724 +-589 -2316 +0 0 +-589 2316 +1170 -8724 +1936 -7353 +1839 -1100 +3164 3102 +2066 538 +2326 -3627 +-1745 -1670 +-5444 -547 +-411 4663 +2991 1423 +5731 -3273 +4581 -2369 +1751 -5699 +4858 692 +0 1489 +-6556 -4344 +-1751 -1161 +5155 2401 +227 6025 +-8045 4709 +411 3028 +-1122 -2194 +-10171 -4649 +1015 6188 +-2066 3117 +-5894 -1850 +4119 2240 +-3887 1095 +-1170 27 +5511 -3173 +2979 -5958 +1490 584 +1741 4501 +-497 -4096 +3199 4032 +993 -1077 +-3706 -5945 +1020 999 +1053 -8681 +-1510 -3202 +-4848 6017 +-2944 -1001 +5618 -1926 +1112 -3594 +-3679 -4879 +-1275 -1348 +-1489 0 +-1275 1348 +-3679 4879 +1112 3594 +5618 1926 +-2944 1001 +-4848 -6017 +-1510 3202 +1053 8681 +1020 -999 +-3706 5945 +993 1077 +3199 -4032 +-497 4096 +1741 -4501 +1490 -584 +2979 5958 +2781 -2684 +611 -1616 +3428 4232 +-221 4032 +548 -4081 +6405 -1473 +3862 3714 +-1053 -256 +-8877 7915 +-8022 6906 +-877 -4157 +-2639 -1926 +-1763 3730 +-417 4274 +2509 -752 +7447 0 +2509 752 +-417 -4274 +-1763 -3730 +-2639 1926 +-877 4157 +-8022 -6906 +-8877 -7915 +-1053 256 +3862 -3714 +6405 1473 +548 4081 +-221 -4032 +3428 -4232 +611 1616 +2781 2684 +2979 -5958 +1490 584 +1741 4501 +-497 -4096 +3199 4032 +993 -1077 +-3706 -5945 +1020 999 +1053 -8681 +-1510 -3202 +-4848 6017 +-2944 -1001 +5618 -1926 +1112 -3594 +-3679 -4879 +-1275 -1348 +2979 -1489 +-21 -1237 +1158 8450 +-809 9073 +1016 2554 +4488 4845 +-2950 -2888 +-192 -6396 +-3596 4032 +-8269 2330 +845 -1007 +-1390 -1476 +-3522 -4651 +2825 201 +5879 2178 +-2654 -2475 +-11916 0 +-2654 2475 +5879 -2178 +2825 -201 +-3522 4651 +-1390 1476 +845 1007 +-8269 -2330 +-3596 -4032 +-192 6396 +-2950 2888 +4488 -4845 +1016 -2554 +-809 -9073 +1158 -8450 +-21 1237 +2979 1489 +-1382 -2077 +-931 -839 +123 6644 +-6101 4638 +-3462 299 +4789 2715 +7246 -2810 +617 1926 +1216 5619 +3274 -3379 +364 4981 +2650 5885 +-2140 -2974 +-148 1220 +4057 -536 +0 0 +4057 536 +-148 -1220 +-2140 2974 +2650 -5885 +364 -4981 +3274 3379 +1216 -5619 +617 -1926 +7246 2810 +4789 -2715 +-3462 -299 +-6101 -4638 +123 -6644 +-931 839 +-1382 2077 +2979 -1489 +-21 -1237 +1158 8450 +-809 9073 +1016 2554 +4488 4845 +-2950 -2888 +-192 -6396 +-3596 4032 +-8269 2330 +845 -1007 +-1390 -1476 +-3522 -4651 +2825 201 +5879 2178 +-2654 -2475 +0 0 +5046 -197 +-1480 -1326 +3063 -4458 +134 -2456 +-5862 -4012 +3583 -340 +5738 3298 +-1670 0 +-3735 -1755 +3436 -4134 +5510 514 +-1167 -3369 +2032 -6967 +4394 1283 +-289 -3463 +-1489 0 +-289 3463 +4394 -1283 +2032 6967 +-1167 3369 +5510 -514 +3436 4134 +-3735 1755 +-1670 0 +5738 -3298 +3583 340 +-5862 4012 +134 2456 +3063 4458 +-1480 1326 +5046 197 +0 0 +-4727 -3229 +2620 -2148 +723 -126 +-1006 -4736 +-6121 -10386 +-6335 -2680 +6969 122 +4649 0 +-199 5709 +-684 -631 +-8748 763 +-3919 2135 +978 -2284 +-5534 5415 +-377 4061 +7447 0 +-377 -4061 +-5534 -5415 +978 2284 +-3919 -2135 +-8748 -763 +-684 631 +-199 -5709 +4649 0 +6969 -122 +-6335 2680 +-6121 10386 +-1006 4736 +723 126 +2620 2148 +-4727 3229 +0 0 +5046 -197 +-1480 -1326 +3063 -4458 +134 -2456 +-5862 -4012 +3583 -340 +5738 3298 +-1670 0 +-3735 -1755 +3436 -4134 +5510 514 +-1167 -3369 +2032 -6967 +4394 1283 +-289 -3463 +-2979 -1489 +3682 664 +25 -6365 +1423 -7235 +683 -1850 +-5134 5185 +-1470 472 +-4934 -2300 +-7192 2543 +-894 -5324 +-1395 -4591 +-1156 3453 +3436 4442 +4549 -122 +2977 -7905 +4011 -4103 +5958 0 +4011 4103 +2977 7905 +4549 122 +3436 -4442 +-1156 -3453 +-1395 4591 +-894 5324 +-7192 -2543 +-4934 2300 +-1470 -472 +-5134 -5185 +683 1850 +1423 7235 +25 6365 +3682 -664 +-2979 1489 +-90 3575 +470 -658 +-7788 -3385 +2296 -6214 +813 6132 +-4528 10139 +6184 -1390 +1234 436 +-1647 3379 +3181 819 +-1017 -2307 +-457 -590 +-117 -327 +741 -1585 +2114 6597 +0 0 +2114 -6597 +741 1585 +-117 327 +-457 590 +-1017 2307 +3181 -819 +-1647 -3379 +1234 -436 +6184 1390 +-4528 -10139 +813 -6132 +2296 6214 +-7788 3385 +470 658 +-90 -3575 +-2979 -1489 +3682 664 +25 -6365 +1423 -7235 +683 -1850 +-5134 5185 +-1470 472 +-4934 -2300 +-7192 2543 +-894 -5324 +-1395 -4591 +-1156 3453 +3436 4442 +4549 -122 +2977 -7905 +4011 -4103 +1489 1489 +-1720 177 +-564 1016 +-1889 1932 +-3863 940 +883 -2884 +3014 3733 +3068 -1543 +1489 -3415 +-5245 2351 +-5412 -13783 +1404 -7538 +2950 3113 +17 -3570 +-760 3536 +3704 1650 +7447 0 +3704 -1650 +-760 -3536 +17 3570 +2950 -3113 +1404 7538 +-5412 13783 +-5245 -2351 +1489 3415 +3068 1543 +3014 -3733 +883 2884 +-3863 -940 +-1889 -1932 +-564 -1016 +-1720 -177 +1489 -1489 +-1783 -1958 +-4695 5990 +1067 3297 +-1583 -1812 +-5015 3981 +-489 9016 +-728 3264 +1489 -5522 +2905 -2910 +-3071 1979 +2728 3131 +8454 1973 +805 3294 +61 2746 +-201 -5711 +-4468 0 +-201 5711 +61 -2746 +805 -3294 +8454 -1973 +2728 -3131 +-3071 -1979 +2905 2910 +1489 5522 +-728 -3264 +-489 -9016 +-5015 -3981 +-1583 1812 +1067 -3297 +-4695 -5990 +-1783 1958 +1489 1489 +-1720 177 +-564 1016 +-1889 1932 +-3863 940 +883 -2884 +3014 3733 +3068 -1543 +1489 -3415 +-5245 2351 +-5412 -13783 +1404 -7538 +2950 3113 +17 -3570 +-760 3536 +3704 1650 +0 1489 +-2036 811 +-366 -3338 +-771 2839 +-20 3375 +5758 -2623 +3797 154 +3111 -156 +2723 -4032 +-365 -782 +-2475 -3697 +-4269 -7282 +3226 3256 +4428 6850 +-4507 -3886 +-6827 -7345 +-5958 0 +-6827 7345 +-4507 3886 +4428 -6850 +3226 -3256 +-4269 7282 +-2475 3697 +-365 782 +2723 4032 +3111 156 +3797 -154 +5758 2623 +-20 -3375 +-771 -2839 +-366 3338 +-2036 -811 +0 -1489 +2995 4348 +5225 3338 +3660 4251 +3872 6795 +-3353 1564 +-4764 -154 +2742 1410 +-5702 -1926 +-3208 209 +-772 3697 +-3641 -2959 +4838 -5001 +-1812 2974 +3861 3886 +3587 -1238 +-11916 0 +3587 1238 +3861 -3886 +-1812 -2974 +4838 5001 +-3641 2959 +-772 -3697 +-3208 -209 +-5702 1926 +2742 -1410 +-4764 154 +-3353 -1564 +3872 -6795 +3660 -4251 +5225 -3338 +2995 -4348 +0 1489 +-2036 811 +-366 -3338 +-771 2839 +-20 3375 +5758 -2623 +3797 154 +3111 -156 +2723 -4032 +-365 -782 +-2475 -3697 +-4269 -7282 +3226 3256 +4428 6850 +-4507 -3886 +-6827 -7345 +5958 4468 +2725 -381 +3890 3298 +2218 -7072 +-4402 -10427 +-2293 4422 +-694 -404 +684 -2146 +-2106 -436 +-1683 -6920 +-1887 1405 +-5653 1149 +2563 -4803 +-398 1662 +-7666 -1747 +519 -6865 +5958 0 +519 6865 +-7666 1747 +-398 -1662 +2563 4803 +-5653 -1149 +-1887 -1405 +-1683 6920 +-2106 436 +684 2146 +-694 404 +-2293 -4422 +-4402 10427 +2218 7072 +3890 -3298 +2725 381 +5958 -4468 +-2580 -1847 +-5997 821 +-3913 -7064 +-2790 -6063 +937 -3365 +2800 177 +6695 786 +2106 -2543 +798 4081 +3993 4326 +-2709 -2478 +-1329 229 +3384 2158 +5560 -92 +1269 -333 +-5958 0 +1269 333 +5560 92 +3384 -2158 +-1329 -229 +-2709 2478 +3993 -4326 +798 -4081 +2106 2543 +6695 -786 +2800 -177 +937 3365 +-2790 6063 +-3913 7064 +-5997 -821 +-2580 1847 +5958 4468 +2725 -381 +3890 3298 +2218 -7072 +-4402 -10427 +-2293 4422 +-694 -404 +684 -2146 +-2106 -436 +-1683 -6920 +-1887 1405 +-5653 1149 +2563 -4803 +-398 1662 +-7666 -1747 +519 -6865 +-1489 -2979 +-4006 606 +-7547 -1836 +-1361 4866 +3360 -1737 +2676 -3049 +7232 7028 +6725 -1943 +2543 -1489 +4512 3896 +-367 -1818 +-5653 -837 +2705 2382 +6454 1726 +-161 -5079 +-291 -10078 +2979 0 +-291 10078 +-161 5079 +6454 -1726 +2705 -2382 +-5653 837 +-367 1818 +4512 -3896 +2543 1489 +6725 1943 +7232 -7028 +2676 3049 +3360 1737 +-1361 -4866 +-7547 1836 +-4006 -606 +-1489 2979 +-3049 2615 +-5150 -422 +-3499 3024 +3832 -3349 +37 -456 +-8278 111 +-2203 -3068 +436 -1489 +-1249 -5986 +3881 4743 +-284 8037 +-3939 -1510 +1630 19 +-1527 -1392 +-439 -631 +5958 0 +-439 631 +-1527 1392 +1630 -19 +-3939 1510 +-284 -8037 +3881 -4743 +-1249 5986 +436 1489 +-2203 3068 +-8278 -111 +37 456 +3832 3349 +-3499 -3024 +-5150 422 +-3049 -2615 +-1489 -2979 +-4006 606 +-7547 -1836 +-1361 4866 +3360 -1737 +2676 -3049 +7232 7028 +6725 -1943 +2543 -1489 +4512 3896 +-367 -1818 +-5653 -837 +2705 2382 +6454 1726 +-161 -5079 +-291 -10078 +-2979 -1489 +-20 -2004 +2164 -3407 +-80 -3675 +-4945 31 +150 -3038 +3084 -2045 +-2040 2317 +-3596 -1053 +3461 -1267 +3736 -1634 +-3349 -4675 +-559 -989 +579 -1615 +888 -2237 +3714 4347 +2979 0 +3714 -4347 +888 2237 +579 1615 +-559 989 +-3349 4675 +3736 1634 +3461 1267 +-3596 1053 +-2040 -2317 +3084 2045 +150 3038 +-4945 -31 +-80 3675 +2164 3407 +-20 2004 +-2979 1489 +-3576 -5312 +-3130 -485 +-6932 8100 +2839 -1776 +4474 -6130 +-3729 432 +2443 -994 +617 1053 +2282 4416 +1123 21 +-4196 4690 +2665 11160 +-7496 3306 +-4134 -1655 +10588 2079 +8937 0 +10588 -2079 +-4134 1655 +-7496 -3306 +2665 -11160 +-4196 -4690 +1123 -21 +2282 -4416 +617 -1053 +2443 994 +-3729 -432 +4474 6130 +2839 1776 +-6932 -8100 +-3130 485 +-3576 5312 +-2979 -1489 +-20 -2004 +2164 -3407 +-80 -3675 +-4945 31 +150 -3038 +3084 -2045 +-2040 2317 +-3596 -1053 +3461 -1267 +3736 -1634 +-3349 -4675 +-559 -989 +579 -1615 +888 -2237 +3714 4347 +4468 -1489 +2074 6406 +5800 15 +4085 -4759 +-3785 1775 +4312 -2364 +3751 2123 +-1771 5242 +-3596 -436 +61 5842 +4992 6272 +-1526 22 +-1033 496 +-1079 757 +-7308 -898 +-1691 -2728 +4468 0 +-1691 2728 +-7308 898 +-1079 -757 +-1033 -496 +-1526 -22 +4992 -6272 +61 -5842 +-3596 436 +-1771 -5242 +3751 -2123 +4312 2364 +-3785 -1775 +4085 4759 +5800 -15 +2074 -6406 +4468 1489 +-6488 -1001 +-5249 2492 +-60 5106 +-2173 -8094 +3511 -6167 +3935 1542 +4653 -1561 +617 -2543 +-1651 2051 +5918 3351 +195 -4340 +-4925 -6816 +-1013 -4622 +75 -2553 +-3613 3921 +-7447 0 +-3613 -3921 +75 2553 +-1013 4622 +-4925 6816 +195 4340 +5918 -3351 +-1651 -2051 +617 2543 +4653 1561 +3935 -1542 +3511 6167 +-2173 8094 +-60 -5106 +-5249 -2492 +-6488 1001 +4468 -1489 +2074 6406 +5800 15 +4085 -4759 +-3785 1775 +4312 -2364 +3751 2123 +-1771 5242 +-3596 -436 +61 5842 +4992 6272 +-1526 22 +-1033 496 +-1079 757 +-7308 -898 +-1691 -2728 +-1489 2979 +-5444 871 +-2011 -2187 +4400 2507 +-3482 -8998 +-2194 -3784 +8462 5884 +-391 1914 +-4649 1489 +4193 -2664 +-941 685 +-3800 1878 +2676 -741 +1132 2102 +2392 4667 +7899 11043 +8937 0 +7899 -11043 +2392 -4667 +1132 -2102 +2676 741 +-3800 -1878 +-941 -685 +4193 2664 +-4649 -1489 +-391 -1914 +8462 -5884 +-2194 3784 +-3482 8998 +4400 -2507 +-2011 2187 +-5444 -871 +-1489 -2979 +-557 3204 +1837 -2631 +1615 1498 +-730 2679 +-1288 119 +-5064 2826 +-4406 -338 +1670 1489 +-341 -1718 +-6669 -3891 +-6006 415 +1536 -5578 +6142 -4055 +1995 2431 +-954 -1010 +0 0 +-954 1010 +1995 -2431 +6142 4055 +1536 5578 +-6006 -415 +-6669 3891 +-341 1718 +1670 -1489 +-4406 338 +-5064 -2826 +-1288 -119 +-730 -2679 +1615 -1498 +1837 2631 +-557 -3204 +-1489 2979 +-5444 871 +-2011 -2187 +4400 2507 +-3482 -8998 +-2194 -3784 +8462 5884 +-391 1914 +-4649 1489 +4193 -2664 +-941 685 +-3800 1878 +2676 -741 +1132 2102 +2392 4667 +7899 11043 +0 5958 +-2484 4090 +-1678 5096 +3180 3340 +1726 -3966 +-3625 -3413 +-259 7199 +495 5798 +4032 -617 +-1943 2473 +-10573 995 +-1786 -3666 +-1833 -5106 +-2759 -2815 +2427 -231 +299 -2734 +-1489 0 +299 2734 +2427 231 +-2759 2815 +-1833 5106 +-1786 3666 +-10573 -995 +-1943 -2473 +4032 617 +495 -5798 +-259 -7199 +-3625 3413 +1726 3966 +3180 -3340 +-1678 -5096 +-2484 -4090 +0 -5958 +4446 1205 +-1474 8495 +-3592 -2413 +1253 -2354 +2874 4000 +678 -688 +-5767 5148 +1926 3596 +4935 689 +-17 9007 +8041 1028 +4812 -1214 +-2334 517 +-1019 -6519 +19 244 +1489 0 +19 -244 +-1019 6519 +-2334 -517 +4812 1214 +8041 -1028 +-17 -9007 +4935 -689 +1926 -3596 +-5767 -5148 +678 688 +2874 -4000 +1253 2354 +-3592 2413 +-1474 -8495 +4446 -1205 +0 5958 +-2484 4090 +-1678 5096 +3180 3340 +1726 -3966 +-3625 -3413 +-259 7199 +495 5798 +4032 -617 +-1943 2473 +-10573 995 +-1786 -3666 +-1833 -5106 +-2759 -2815 +2427 -231 +299 -2734 +-1489 -2979 +3149 -6379 +1227 -2732 +12 -782 +2327 3509 +2122 8146 +787 917 +-4896 2463 +-1670 4830 +-428 -3207 +-457 -401 +3994 3950 +532 4422 +5349 3691 +5319 -705 +-1277 364 +0 0 +-1277 -364 +5319 705 +5349 -3691 +532 -4422 +3994 -3950 +-457 401 +-428 3207 +-1670 -4830 +-4896 -2463 +787 -917 +2122 -8146 +2327 -3509 +12 782 +1227 2732 +3149 6379 +-1489 2979 +3931 -1699 +2986 -1213 +-6331 6790 +-1093 5789 +4210 -1899 +-5000 2708 +-6124 -1340 +4649 -7809 +-549 -336 +-3756 2280 +-2888 1762 +-7724 -1082 +1958 -1708 +-1106 6930 +-2231 7233 +8937 0 +-2231 -7233 +-1106 -6930 +1958 1708 +-7724 1082 +-2888 -1762 +-3756 -2280 +-549 336 +4649 7809 +-6124 1340 +-5000 -2708 +4210 1899 +-1093 -5789 +-6331 -6790 +2986 1213 +3931 1699 +-1489 -2979 +3149 -6379 +1227 -2732 +12 -782 +2327 3509 +2122 8146 +787 917 +-4896 2463 +-1670 4830 +-428 -3207 +-457 -401 +3994 3950 +532 4422 +5349 3691 +5319 -705 +-1277 364 +4468 -2979 +4590 -1123 +-1193 -1274 +-6052 3625 +1080 2476 +860 -5479 +-1416 -1488 +-4812 -2857 +-436 -2723 +6488 2297 +2529 -7275 +-699 -3655 +-2799 6595 +-4031 -3080 +-6329 -6753 +-187 -172 +8937 0 +-187 172 +-6329 6753 +-4031 3080 +-2799 -6595 +-699 3655 +2529 7275 +6488 -2297 +-436 2723 +-4812 2857 +-1416 1488 +860 5479 +1080 -2476 +-6052 -3625 +-1193 1274 +4590 1123 +4468 2979 +9735 847 +2106 -1651 +4463 -1904 +6112 864 +-8230 3697 +-3175 -770 +-73 1760 +-2543 5702 +-962 2111 +-3896 804 +2868 -407 +1565 2703 +-6030 2521 +-542 -385 +2072 5401 +0 0 +2072 -5401 +-542 385 +-6030 -2521 +1565 -2703 +2868 407 +-3896 -804 +-962 -2111 +-2543 -5702 +-73 -1760 +-3175 770 +-8230 -3697 +6112 -864 +4463 1904 +2106 1651 +9735 -847 +4468 -2979 +4590 -1123 +-1193 -1274 +-6052 3625 +1080 2476 +860 -5479 +-1416 -1488 +-4812 -2857 +-436 -2723 +6488 2297 +2529 -7275 +-699 -3655 +-2799 6595 +-4031 -3080 +-6329 -6753 +-187 -172 +0 -7447 +3697 -5584 +2361 3971 +-7208 3641 +-5542 -1623 +3511 1400 +-1893 2667 +-1499 1895 +4213 5522 +1498 4149 +-2788 230 +-5715 1900 +-189 323 +5405 -4826 +6178 -3494 +5129 481 +2979 0 +5129 -481 +6178 3494 +5405 4826 +-189 -323 +-5715 -1900 +-2788 -230 +1498 -4149 +4213 -5522 +-1499 -1895 +-1893 -2667 +3511 -1400 +-5542 1623 +-7208 -3641 +2361 -3971 +3697 5584 +0 7447 +3608 2033 +6937 -2831 +-1515 -5488 +-1650 -483 +-407 3417 +-1448 85 +1249 1849 +-4213 3415 +1674 -406 +-552 2522 +-8094 2917 +1423 -2429 +-2827 2978 +3120 4634 +1496 -4031 +-14895 0 +1496 4031 +3120 -4634 +-2827 -2978 +1423 2429 +-8094 -2917 +-552 -2522 +1674 406 +-4213 -3415 +1249 -1849 +-1448 -85 +-407 -3417 +-1650 483 +-1515 5488 +6937 2831 +3608 -2033 +0 -7447 +3697 -5584 +2361 3971 +-7208 3641 +-5542 -1623 +3511 1400 +-1893 2667 +-1499 1895 +4213 5522 +1498 4149 +-2788 230 +-5715 1900 +-189 323 +5405 -4826 +6178 -3494 +5129 481 +-1489 2979 +-1772 1887 +-162 941 +-1686 6579 +-4819 -966 +-5035 1162 +1191 3750 +5214 -3002 +-1053 2106 +-1996 -729 +505 -1125 +6001 1175 +12024 -4859 +4284 1732 +-774 2846 +-5152 -5913 +-11916 0 +-5152 5913 +-774 -2846 +4284 -1732 +12024 4859 +6001 -1175 +505 1125 +-1996 729 +-1053 -2106 +5214 3002 +1191 -3750 +-5035 -1162 +-4819 966 +-1686 -6579 +-162 -941 +-1772 -1887 +-1489 -2979 +-6393 -4026 +-3084 -5532 +6754 3905 +-2734 -3246 +-4277 -7321 +3668 3121 +-1602 1979 +1053 -2106 +4237 -2039 +-1150 2038 +-1206 2836 +1487 646 +3590 -1419 +-193 -1479 +-960 5519 +2979 0 +-960 -5519 +-193 1479 +3590 1419 +1487 -646 +-1206 -2836 +-1150 -2038 +4237 2039 +1053 2106 +-1602 -1979 +3668 -3121 +-4277 7321 +-2734 3246 +6754 -3905 +-3084 5532 +-6393 4026 +-1489 2979 +-1772 1887 +-162 941 +-1686 6579 +-4819 -966 +-5035 1162 +1191 3750 +5214 -3002 +-1053 2106 +-1996 -729 +505 -1125 +6001 1175 +12024 -4859 +4284 1732 +-774 2846 +-5152 -5913 +-2979 1489 +2367 12 +-1185 2542 +2077 7083 +2115 -693 +-8485 -1956 +-366 3426 +-534 1391 +-1489 -4032 +3853 408 +-6875 3322 +-4899 -6254 +5682 -4006 +3707 486 +-77 -2761 +903 2671 +2979 0 +903 -2671 +-77 2761 +3707 -486 +5682 4006 +-4899 6254 +-6875 -3322 +3853 -408 +-1489 4032 +-534 -1391 +-366 -3426 +-8485 1956 +2115 693 +2077 -7083 +-1185 -2542 +2367 -12 +-2979 -1489 +1962 3024 +2570 -4328 +-656 -5404 +503 3672 +-2282 -690 +2419 -2931 +1981 -1518 +-1489 -1926 +-740 -2814 +-1136 -7041 +4657 -1896 +9574 1027 +5881 -4312 +-7267 -3238 +-9792 -1915 +-2979 0 +-9792 1915 +-7267 3238 +5881 4312 +9574 -1027 +4657 1896 +-1136 7041 +-740 2814 +-1489 1926 +1981 1518 +2419 2931 +-2282 690 +503 -3672 +-656 5404 +2570 4328 +1962 -3024 +-2979 1489 +2367 12 +-1185 2542 +2077 7083 +2115 -693 +-8485 -1956 +-366 3426 +-534 1391 +-1489 -4032 +3853 408 +-6875 3322 +-4899 -6254 +5682 -4006 +3707 486 +-77 -2761 +903 2671 +0 -1489 +-3686 -545 +-7675 -4258 +-5447 2279 +-5315 2095 +1244 -4285 +2927 3020 +-1834 -1443 +-2106 -7628 +4468 -4730 +3237 -3810 +262 7222 +3930 6321 +-1138 -3812 +2913 -1826 +3301 -3522 +-5958 0 +3301 3522 +2913 1826 +-1138 3812 +3930 -6321 +262 -7222 +3237 3810 +4468 4730 +-2106 7628 +-1834 1443 +2927 -3020 +1244 4285 +-5315 -2095 +-5447 -2279 +-7675 4258 +-3686 545 +0 1489 +-7645 1434 +64 2419 +5784 5344 +4081 11 +5165 -1232 +-3101 2711 +-1845 4269 +2106 -1309 +502 -5198 +1149 3584 +-178 1991 +3262 -4215 +2734 5824 +484 5945 +-1687 -4853 +-5958 0 +-1687 4853 +484 -5945 +2734 -5824 +3262 4215 +-178 -1991 +1149 -3584 +502 5198 +2106 1309 +-1845 -4269 +-3101 -2711 +5165 1232 +4081 -11 +5784 -5344 +64 -2419 +-7645 -1434 +0 -1489 +-3686 -545 +-7675 -4258 +-5447 2279 +-5315 2095 +1244 -4285 +2927 3020 +-1834 -1443 +-2106 -7628 +4468 -4730 +3237 -3810 +262 7222 +3930 6321 +-1138 -3812 +2913 -1826 +3301 -3522 +4468 4468 +-2352 -1618 +-1469 -2699 +2983 -1930 +-5896 -2450 +-949 2566 +4358 3607 +2295 -2594 +5085 -9117 +4666 -6849 +-227 -380 +-3746 -2991 +-1661 -4849 +-168 -146 +42 1467 +-1558 825 +-4468 0 +-1558 -825 +42 -1467 +-168 146 +-1661 4849 +-3746 2991 +-227 380 +4666 6849 +5085 9117 +2295 2594 +4358 -3607 +-949 -2566 +-5896 2450 +2983 1930 +-1469 2699 +-2352 1618 +4468 -4468 +-1265 2371 +-543 6591 +351 596 +2556 4195 +1119 1364 +-6691 -1995 +500 5300 +873 -2798 +-5181 -6655 +-7610 1992 +-1928 -4730 +10959 -5321 +2339 4013 +226 2425 +2895 569 +-4468 0 +2895 -569 +226 -2425 +2339 -4013 +10959 5321 +-1928 4730 +-7610 -1992 +-5181 6655 +873 2798 +500 -5300 +-6691 1995 +1119 -1364 +2556 -4195 +351 -596 +-543 -6591 +-1265 -2371 +4468 4468 +-2352 -1618 +-1469 -2699 +2983 -1930 +-5896 -2450 +-949 2566 +4358 3607 +2295 -2594 +5085 -9117 +4666 -6849 +-227 -380 +-3746 -2991 +-1661 -4849 +-168 -146 +42 1467 +-1558 825 +-2979 0 +333 -5936 +-32 -3813 +-5920 2773 +2220 -1387 +-3475 -4177 +-4323 1523 +2150 1373 +-2798 -617 +-1979 3304 +-1349 1780 +-4012 -2079 +-47 3645 +1012 7234 +-4093 -520 +1825 -5739 +10426 0 +1825 5739 +-4093 520 +1012 -7234 +-47 -3645 +-4012 2079 +-1349 -1780 +-1979 -3304 +-2798 617 +2150 -1373 +-4323 -1523 +-3475 4177 +2220 1387 +-5920 -2773 +-32 3813 +333 5936 +-2979 0 +4080 -689 +-3687 -1918 +1895 -5826 +4972 -719 +-4348 5372 +2537 2596 +-5032 1394 +-9117 3596 +3569 1208 +7348 -3619 +5342 -6897 +-1187 -5751 +1080 -117 +3598 747 +3478 -2631 +7447 0 +3478 2631 +3598 -747 +1080 117 +-1187 5751 +5342 6897 +7348 3619 +3569 -1208 +-9117 -3596 +-5032 -1394 +2537 -2596 +-4348 -5372 +4972 719 +1895 5826 +-3687 1918 +4080 689 +-2979 0 +333 -5936 +-32 -3813 +-5920 2773 +2220 -1387 +-3475 -4177 +-4323 1523 +2150 1373 +-2798 -617 +-1979 3304 +-1349 1780 +-4012 -2079 +-47 3645 +1012 7234 +-4093 -520 +1825 -5739 +5958 4468 +-3971 1647 +-7848 1976 +-338 1558 +806 -3758 +-4233 4848 +-3468 1830 +1570 -9354 +-2979 -4649 +-1239 3647 +1628 6762 +-1211 3287 +-1946 2779 +-10395 5641 +-2282 3226 +7665 2260 +2979 0 +7665 -2260 +-2282 -3226 +-10395 -5641 +-1946 -2779 +-1211 -3287 +1628 -6762 +-1239 -3647 +-2979 4649 +1570 9354 +-3468 -1830 +-4233 -4848 +806 3758 +-338 -1558 +-7848 -1976 +-3971 -1647 +5958 -4468 +1816 5350 +2023 950 +4204 -5189 +-806 2886 +-697 92 +3789 427 +2266 5099 +-2979 1670 +5829 335 +6477 -291 +-2284 1199 +1946 2307 +-1898 2189 +-319 3912 +2916 1059 +-2979 0 +2916 -1059 +-319 -3912 +-1898 -2189 +1946 -2307 +-2284 -1199 +6477 291 +5829 -335 +-2979 -1670 +2266 -5099 +3789 -427 +-697 -92 +-806 -2886 +4204 5189 +2023 -950 +1816 -5350 +5958 4468 +-3971 1647 +-7848 1976 +-338 1558 +806 -3758 +-4233 4848 +-3468 1830 +1570 -9354 +-2979 -4649 +-1239 3647 +1628 6762 +-1211 3287 +-1946 2779 +-10395 5641 +-2282 3226 +7665 2260 +0 1489 +-7239 -2291 +1838 1255 +2351 -4191 +349 -2656 +2193 4220 +-2110 -54 +-1938 -5347 +-7192 -4649 +-764 3965 +5871 5231 +-2865 -3193 +-1263 2496 +-4465 5951 +-1023 520 +7985 3651 +5958 0 +7985 -3651 +-1023 -520 +-4465 -5951 +-1263 -2496 +-2865 3193 +5871 -5231 +-764 -3965 +-7192 4649 +-1938 5347 +-2110 54 +2193 -4220 +349 2656 +2351 4191 +1838 -1255 +-7239 2291 +0 -1489 +2141 -969 +-1665 10649 +506 1489 +2629 -5408 +5006 5233 +-1288 3052 +-2131 -627 +1234 1670 +-1660 -411 +1739 3724 +5383 5700 +4242 1356 +316 4742 +-3363 5426 +-4820 -873 +-5958 0 +-4820 873 +-3363 -5426 +316 -4742 +4242 -1356 +5383 -5700 +1739 -3724 +-1660 411 +1234 -1670 +-2131 627 +-1288 -3052 +5006 -5233 +2629 5408 +506 -1489 +-1665 -10649 +2141 969 +0 1489 +-7239 -2291 +1838 1255 +2351 -4191 +349 -2656 +2193 4220 +-2110 -54 +-1938 -5347 +-7192 -4649 +-764 3965 +5871 5231 +-2865 -3193 +-1263 2496 +-4465 5951 +-1023 520 +7985 3651 +-5958 -4468 +-2120 844 +3717 -2509 +6364 -10725 +9681 1899 +659 5043 +-3562 -3685 +-271 3222 +3596 -181 +4684 -5175 +-1392 5362 +-457 1362 +2694 -5778 +-343 -1793 +-4879 -4059 +-6729 -5857 +-5958 0 +-6729 5857 +-4879 4059 +-343 1793 +2694 5778 +-457 -1362 +-1392 -5362 +4684 5175 +3596 181 +-271 -3222 +-3562 3685 +659 -5043 +9681 -1899 +6364 10725 +3717 2509 +-2120 -844 +-5958 4468 +-135 4492 +-964 3382 +2172 -3956 +-383 -3133 +-2013 4553 +4702 -1401 +-1494 -1683 +-617 6139 +653 2955 +252 -277 +2799 343 +-6034 -1414 +-756 -438 +2127 3187 +-3013 3944 +0 0 +-3013 -3944 +2127 -3187 +-756 438 +-6034 1414 +2799 -343 +252 277 +653 -2955 +-617 -6139 +-1494 1683 +4702 1401 +-2013 -4553 +-383 3133 +2172 3956 +-964 -3382 +-135 -4492 +-5958 -4468 +-2120 844 +3717 -2509 +6364 -10725 +9681 1899 +659 5043 +-3562 -3685 +-271 3222 +3596 -181 +4684 -5175 +-1392 5362 +-457 1362 +2694 -5778 +-343 -1793 +-4879 -4059 +-6729 -5857 +-1489 2979 +-3422 5755 +-4210 5094 +-5260 336 +-3939 -436 +899 1580 +-56 5485 +-1292 5742 +5522 617 +4375 1744 +-3093 -924 +870 -789 +3360 2543 +-2483 -1433 +-1594 6024 +994 13450 +0 0 +994 -13450 +-1594 -6024 +-2483 1433 +3360 -2543 +870 789 +-3093 924 +4375 -1744 +5522 -617 +-1292 -5742 +-56 -5485 +899 -1580 +-3939 436 +-5260 -336 +-4210 -5094 +-3422 -5755 +-1489 -2979 +736 2977 +2843 1591 +2635 -1717 +2705 -436 +4889 -1510 +970 4663 +-2494 1006 +3415 -3596 +2636 -501 +-3778 -5056 +1126 3140 +3832 2543 +-2676 2332 +-2997 8364 +-1532 -10222 +-2979 0 +-1532 10222 +-2997 -8364 +-2676 -2332 +3832 -2543 +1126 -3140 +-3778 5056 +2636 501 +3415 3596 +-2494 -1006 +970 -4663 +4889 1510 +2705 436 +2635 1717 +2843 -1591 +736 -2977 +-1489 2979 +-3422 5755 +-4210 5094 +-5260 336 +-3939 -436 +899 1580 +-56 5485 +-1292 5742 +5522 617 +4375 1744 +-3093 -924 +870 -789 +3360 2543 +-2483 -1433 +-1594 6024 +994 13450 +8937 1489 +-6076 -1161 +-4099 -736 +6187 3576 +-1300 -4725 +-442 -11246 +1330 -2749 +1933 -3330 +-1234 -3777 +-4723 222 +331 -3547 +815 -1408 +160 -2080 +-792 -3201 +120 1483 +1725 -2300 +0 0 +1725 2300 +120 -1483 +-792 3201 +160 2080 +815 1408 +331 3547 +-4723 -222 +-1234 3777 +1933 3330 +1330 2749 +-442 11246 +-1300 4725 +6187 -3576 +-4099 736 +-6076 1161 +8937 -1489 +-547 1101 +-492 2081 +6001 -2818 +-2912 -360 +-6014 -666 +-8201 -8981 +-1315 -602 +7192 6755 +4452 2339 +583 1988 +-1155 -787 +4052 2952 +3826 5250 +-1487 -1883 +-3876 308 +-5958 0 +-3876 -308 +-1487 1883 +3826 -5250 +4052 -2952 +-1155 787 +583 -1988 +4452 -2339 +7192 -6755 +-1315 602 +-8201 8981 +-6014 666 +-2912 360 +6001 2818 +-492 -2081 +-547 -1101 +8937 1489 +-6076 -1161 +-4099 -736 +6187 3576 +-1300 -4725 +-442 -11246 +1330 -2749 +1933 -3330 +-1234 -3777 +-4723 222 +331 -3547 +815 -1408 +160 -2080 +-792 -3201 +120 1483 +1725 -2300 +1489 4468 +-2590 -997 +29 -1087 +1673 2465 +559 3133 +2997 3402 +-6889 4407 +-5028 -703 +6319 -7011 +3034 -1153 +-2037 -1613 +-6421 -8136 +-2839 1414 +2980 2257 +-974 -5186 +1733 1430 +7447 0 +1733 -1430 +-974 5186 +2980 -2257 +-2839 -1414 +-6421 8136 +-2037 1613 +3034 1153 +6319 7011 +-5028 703 +-6889 -4407 +2997 -3402 +559 -3133 +1673 -2465 +29 1087 +-2590 997 +1489 -4468 +2888 -1916 +5323 -699 +-509 -7250 +-2665 -1899 +986 3034 +-76 -3913 +-773 -6214 +-6319 -4905 +-458 -2727 +576 -2106 +-5346 -2091 +4945 5778 +3641 5062 +4047 -813 +1194 3630 +-10426 0 +1194 -3630 +4047 813 +3641 -5062 +4945 -5778 +-5346 2091 +576 2106 +-458 2727 +-6319 4905 +-773 6214 +-76 3913 +986 -3034 +-2665 1899 +-509 7250 +5323 699 +2888 1916 +1489 4468 +-2590 -997 +29 -1087 +1673 2465 +559 3133 +2997 3402 +-6889 4407 +-5028 -703 +6319 -7011 +3034 -1153 +-2037 -1613 +-6421 -8136 +-2839 1414 +2980 2257 +-974 -5186 +1733 1430 +0 2979 +3574 3803 +516 -1717 +-873 494 +-1253 8352 +3140 -2776 +2869 -915 +-5046 6834 +-5266 -1489 +-4598 -1986 +908 -2554 +4622 -373 +-4812 1708 +-3371 -2227 +4683 502 +6414 -1114 +7447 0 +6414 1114 +4683 -502 +-3371 2227 +-4812 -1708 +4622 373 +908 2554 +-4598 1986 +-5266 1489 +-5046 -6834 +2869 915 +3140 2776 +-1253 -8352 +-873 -494 +516 1717 +3574 -3803 +0 -2979 +-1834 -6331 +-2302 -6294 +-7494 1670 +-1726 2180 +385 -2891 +-3363 -470 +3194 -3485 +5266 -1489 +599 3590 +-4627 -4790 +-3631 4878 +1833 8824 +-1204 -5780 +1316 -2556 +6123 331 +4468 0 +6123 -331 +1316 2556 +-1204 5780 +1833 -8824 +-3631 -4878 +-4627 4790 +599 -3590 +5266 1489 +3194 3485 +-3363 470 +385 2891 +-1726 -2180 +-7494 -1670 +-2302 6294 +-1834 6331 +0 2979 +3574 3803 +516 -1717 +-873 494 +-1253 8352 +3140 -2776 +2869 -915 +-5046 6834 +-5266 -1489 +-4598 -1986 +908 -2554 +4622 -373 +-4812 1708 +-3371 -2227 +4683 502 +6414 -1114 +10426 -2979 +7834 -3105 +-9161 -3033 +-6266 4496 +113 -770 +2511 -3980 +453 3289 +1176 -3147 +3777 -4468 +191 1687 +-1884 -4460 +220 -1368 +2059 7241 +1526 1602 +4834 -1344 +2909 2321 +-2979 0 +2909 -2321 +4834 1344 +1526 -1602 +2059 -7241 +220 1368 +-1884 4460 +191 -1687 +3777 4468 +1176 3147 +453 -3289 +2511 3980 +113 770 +-6266 -4496 +-9161 3033 +7834 3105 +10426 2979 +-4764 3904 +678 -1959 +2313 -1508 +2866 -102 +2604 302 +-5711 2023 +-936 -3349 +-6755 -4468 +-8215 -2678 +1185 -6356 +-2111 -4589 +919 -2156 +-797 -894 +-2308 4055 +1805 3982 +0 0 +1805 -3982 +-2308 -4055 +-797 894 +919 2156 +-2111 4589 +1185 6356 +-8215 2678 +-6755 4468 +-936 3349 +-5711 -2023 +2604 -302 +2866 102 +2313 1508 +678 1959 +-4764 -3904 +10426 -2979 +7834 -3105 +-9161 -3033 +-6266 4496 +113 -770 +2511 -3980 +453 3289 +1176 -3147 +3777 -4468 +191 1687 +-1884 -4460 +220 -1368 +2059 7241 +1526 1602 +4834 -1344 +2909 2321 +1489 -4468 +-4338 -2561 +-2666 2949 +-1870 -3042 +-2193 -7630 +-1647 514 +-1062 6682 +6121 1202 +-2106 1053 +-7295 1419 +2957 -7465 +803 -1743 +-1699 7617 +-696 2461 +1592 1144 +3589 3308 +1489 0 +3589 -3308 +1592 -1144 +-696 -2461 +-1699 -7617 +803 1743 +2957 7465 +-7295 -1419 +-2106 -1053 +6121 -1202 +-1062 -6682 +-1647 -514 +-2193 7630 +-1870 3042 +-2666 -2949 +-4338 2561 +1489 4468 +4009 2424 +3806 3049 +-82 -2325 +87 2906 +777 6983 +-1691 -2964 +6331 -663 +2106 -1053 +-5157 -1068 +-205 6971 +67 362 +3805 5533 +2648 12060 +-2732 642 +-3260 1302 +-4468 0 +-3260 -1302 +-2732 -642 +2648 -12060 +3805 -5533 +67 -362 +-205 -6971 +-5157 1068 +2106 1053 +6331 663 +-1691 2964 +777 -6983 +87 -2906 +-82 2325 +3806 -3049 +4009 -2424 +1489 -4468 +-4338 -2561 +-2666 2949 +-1870 -3042 +-2193 -7630 +-1647 514 +-1062 6682 +6121 1202 +-2106 1053 +-7295 1419 +2957 -7465 +803 -1743 +-1699 7617 +-696 2461 +1592 1144 +3589 3308 +-4468 -4468 +1442 2480 +2602 -7228 +589 -4948 +4945 1253 +47 -1058 +-971 -6244 +471 -8522 +-2106 1053 +-1328 6200 +1440 2116 +5267 174 +559 -4812 +-4949 -2063 +-1998 1002 +819 -6946 +1489 0 +819 6946 +-1998 -1002 +-4949 2063 +559 4812 +5267 -174 +1440 -2116 +-1328 -6200 +-2106 -1053 +471 8522 +-971 6244 +47 1058 +4945 -1253 +589 4948 +2602 7228 +1442 -2480 +-4468 4468 +-2813 10626 +-4063 -2663 +-486 -1430 +-2839 1726 +-2135 -5641 +6324 914 +-69 4712 +2106 -1053 +4150 -1131 +1633 -3234 +4605 -2125 +-2665 1833 +-2938 -4504 +-4967 -6680 +-2672 165 +7447 0 +-2672 -165 +-4967 6680 +-2938 4504 +-2665 -1833 +4605 2125 +1633 3234 +4150 1131 +2106 1053 +-69 -4712 +6324 -914 +-2135 5641 +-2839 -1726 +-486 1430 +-4063 2663 +-2813 -10626 +-4468 -4468 +1442 2480 +2602 -7228 +589 -4948 +4945 1253 +47 -1058 +-971 -6244 +471 -8522 +-2106 1053 +-1328 6200 +1440 2116 +5267 174 +559 -4812 +-4949 -2063 +-1998 1002 +819 -6946 +1489 -1489 +6466 -4959 +5742 -1920 +8352 3574 +2296 1919 +-3016 -2638 +5575 -4831 +4291 1100 +-1489 2543 +24 -4393 +479 1447 +-305 -686 +-457 -2552 +1055 9120 +176 5472 +-1784 -1149 +-1489 0 +-1784 1149 +176 -5472 +1055 -9120 +-457 2552 +-305 686 +479 -1447 +24 4393 +-1489 -2543 +4291 -1100 +5575 4831 +-3016 2638 +2296 -1919 +8352 -3574 +5742 1920 +6466 4959 +1489 1489 +-2063 -6276 +-4129 -413 +-5399 4763 +683 -7005 +-2359 -213 +-1683 4563 +3153 -2602 +-1489 436 +1304 -4999 +-4371 -3459 +-9542 5084 +3436 3425 +2788 2975 +-1788 2366 +-2966 2364 +-7447 0 +-2966 -2364 +-1788 -2366 +2788 -2975 +3436 -3425 +-9542 -5084 +-4371 3459 +1304 4999 +-1489 -436 +3153 2602 +-1683 -4563 +-2359 213 +683 7005 +-5399 -4763 +-4129 413 +-2063 6276 +1489 -1489 +6466 -4959 +5742 -1920 +8352 3574 +2296 1919 +-3016 -2638 +5575 -4831 +4291 1100 +-1489 2543 +24 -4393 +479 1447 +-305 -686 +-457 -2552 +1055 9120 +176 5472 +-1784 -1149 +1489 -4468 +-189 -1593 +-2308 1580 +-3828 -5885 +-5629 3055 +-4985 -626 +-446 -3989 +1856 2087 +-873 -1053 +-1883 5961 +423 7352 +-815 2813 +-3994 -2569 +-1145 -8943 +3576 1901 +1600 7649 +-1489 0 +1600 -7649 +3576 -1901 +-1145 8943 +-3994 2569 +-815 -2813 +423 -7352 +-1883 -5961 +-873 1053 +1856 -2087 +-446 3989 +-4985 626 +-5629 -3055 +-3828 5885 +-2308 -1580 +-189 1593 +1489 4468 +1094 -1272 +750 4057 +1224 -3017 +543 -1310 +295 4040 +1790 -4570 +209 630 +-5085 1053 +-5687 -3243 +-22 4431 +3225 601 +3122 -7601 +6029 42 +8153 246 +3000 -10514 +-1489 0 +3000 10514 +8153 -246 +6029 -42 +3122 7601 +3225 -601 +-22 -4431 +-5687 3243 +-5085 -1053 +209 -630 +1790 4570 +295 -4040 +543 1310 +1224 3017 +750 -4057 +1094 1272 +1489 -4468 +-189 -1593 +-2308 1580 +-3828 -5885 +-5629 3055 +-4985 -626 +-446 -3989 +1856 2087 +-873 -1053 +-1883 5961 +423 7352 +-815 2813 +-3994 -2569 +-1145 -8943 +3576 1901 +1600 7649 +2979 -4468 +5285 -789 +-314 -2548 +-6983 803 +-2810 7724 +3350 -3039 +-4431 -1386 +-3568 3540 +-617 -6139 +-2409 -2266 +-3125 -1427 +-3422 -6279 +1897 2327 +-1647 676 +-3110 -6406 +2690 -1285 +2979 0 +2690 1285 +-3110 6406 +-1647 -676 +1897 -2327 +-3422 6279 +-3125 1427 +-2409 2266 +-617 6139 +-3568 -3540 +-4431 1386 +3350 3039 +-2810 -7724 +-6983 -803 +-314 2548 +5285 789 +2979 4468 +-4703 -283 +2367 -3130 +5328 -3722 +-530 -532 +-874 3592 +-2912 269 +646 4977 +3596 181 +5331 -10932 +4510 -3904 +946 -2538 +7401 -1093 +3302 3887 +-4901 -3485 +-3271 -4649 +-2979 0 +-3271 4649 +-4901 3485 +3302 -3887 +7401 1093 +946 2538 +4510 3904 +5331 10932 +3596 -181 +646 -4977 +-2912 -269 +-874 -3592 +-530 532 +5328 3722 +2367 3130 +-4703 283 +2979 -4468 +5285 -789 +-314 -2548 +-6983 803 +-2810 7724 +3350 -3039 +-4431 -1386 +-3568 3540 +-617 -6139 +-2409 -2266 +-3125 -1427 +-3422 -6279 +1897 2327 +-1647 676 +-3110 -6406 +2690 -1285 +1489 1489 +-3280 -1311 +1983 372 +1978 3642 +-523 -2458 +1757 -2560 +4161 -4 +7022 -1741 +-256 -1670 +3542 4787 +8189 6268 +-5239 -1318 +-6348 2939 +-4046 5292 +-1117 863 +6477 6338 +7447 0 +6477 -6338 +-1117 -863 +-4046 -5292 +-6348 -2939 +-5239 1318 +8189 -6268 +3542 -4787 +-256 1670 +7022 1741 +4161 4 +1757 2560 +-523 2458 +1978 -3642 +1983 -372 +-3280 1311 +1489 -1489 +303 308 +-4829 -5231 +-452 -1681 +1757 5798 +-3185 -2292 +-861 -962 +945 5759 +-8681 4649 +-3084 1511 +2895 -3022 +-1759 1970 +-844 6359 +-5906 2174 +1496 -1509 +4925 -5061 +-4468 0 +4925 5061 +1496 1509 +-5906 -2174 +-844 -6359 +-1759 -1970 +2895 3022 +-3084 -1511 +-8681 -4649 +945 -5759 +-861 962 +-3185 2292 +1757 -5798 +-452 1681 +-4829 5231 +303 -308 +1489 1489 +-3280 -1311 +1983 372 +1978 3642 +-523 -2458 +1757 -2560 +4161 -4 +7022 -1741 +-256 -1670 +3542 4787 +8189 6268 +-5239 -1318 +-6348 2939 +-4046 5292 +-1117 863 +6477 6338 +-2979 -1489 +3418 3145 +2355 -849 +11 -3369 +7924 957 +501 5856 +-6641 -3580 +121 -3185 +-1489 1926 +-6556 -4600 +-4367 -1514 +1089 -5850 +3538 -2582 +1668 6468 +2469 -2601 +-812 -36 +-5958 0 +-812 36 +2469 2601 +1668 -6468 +3538 2582 +1089 5850 +-4367 1514 +-6556 4600 +-1489 -1926 +121 3185 +-6641 3580 +501 -5856 +7924 -957 +11 3369 +2355 849 +3418 -3145 +-2979 1489 +3953 1532 +2503 -1431 +-6129 10126 +140 9214 +5068 2178 +5675 -1925 +4274 -6359 +-1489 4032 +-119 4237 +1120 -3991 +-1155 142 +314 837 +-1053 -1538 +-3114 321 +-4279 1979 +-5958 0 +-4279 -1979 +-3114 -321 +-1053 1538 +314 -837 +-1155 -142 +1120 3991 +-119 -4237 +-1489 -4032 +4274 6359 +5675 1925 +5068 -2178 +140 -9214 +-6129 -10126 +2503 1431 +3953 -1532 +-2979 -1489 +3418 3145 +2355 -849 +11 -3369 +7924 957 +501 5856 +-6641 -3580 +121 -3185 +-1489 1926 +-6556 -4600 +-4367 -1514 +1089 -5850 +3538 -2582 +1668 6468 +2469 -2601 +-812 -36 +-2979 0 +1100 -1642 +-6890 -8573 +-8182 1965 +-5778 5644 +-1915 -3179 +5358 2069 +-2291 -550 +4032 -2723 +11639 3468 +-945 -200 +206 1472 +3133 1966 +346 -203 +2540 -2203 +3835 -11016 +4468 0 +3835 11016 +2540 2203 +346 203 +3133 -1966 +206 -1472 +-945 200 +11639 -3468 +4032 2723 +-2291 550 +5358 -2069 +-1915 3179 +-5778 -5644 +-8182 -1965 +-6890 8573 +1100 1642 +-2979 0 +-3265 -326 +2504 -5263 +3145 -3863 +-1414 2420 +-1733 4737 +2252 -3775 +-485 -3132 +1926 5702 +854 3020 +-2453 962 +1508 -1659 +-1899 -5818 +-1801 49 +-2366 2751 +-2962 -1123 +1489 0 +-2962 1123 +-2366 -2751 +-1801 -49 +-1899 5818 +1508 1659 +-2453 -962 +854 -3020 +1926 -5702 +-485 3132 +2252 3775 +-1733 -4737 +-1414 -2420 +3145 3863 +2504 5263 +-3265 326 +-2979 0 +1100 -1642 +-6890 -8573 +-8182 1965 +-5778 5644 +-1915 -3179 +5358 2069 +-2291 -550 +4032 -2723 +11639 3468 +-945 -200 +206 1472 +3133 1966 +346 -203 +2540 -2203 +3835 -11016 +-5958 0 +-4 -4654 +1689 943 +371 6118 +-2552 5542 +-1667 703 +-3643 -2490 +1793 2754 +4649 -873 +-539 -5619 +1892 4758 +3549 5565 +7005 -189 +7745 5427 +-1278 1652 +-3855 -8364 +-1489 0 +-3855 8364 +-1278 -1652 +7745 -5427 +7005 189 +3549 -5565 +1892 -4758 +-539 5619 +4649 873 +1793 -2754 +-3643 2490 +-1667 -703 +-2552 -5542 +371 -6118 +1689 -943 +-4 4654 +-5958 0 +-7398 -841 +3344 2210 +2662 87 +3425 1650 +3188 1609 +-721 2909 +7047 4454 +-1670 -5085 +-4729 -2847 +2473 5832 +-4080 772 +-1919 1423 +-3341 1313 +-3754 -244 +-741 7535 +-4468 0 +-741 -7535 +-3754 244 +-3341 -1313 +-1919 -1423 +-4080 -772 +2473 -5832 +-4729 2847 +-1670 5085 +7047 -4454 +-721 -2909 +3188 -1609 +3425 -1650 +2662 -87 +3344 -2210 +-7398 841 +-5958 0 +-4 -4654 +1689 943 +371 6118 +-2552 5542 +-1667 703 +-3643 -2490 +1793 2754 +4649 -873 +-539 -5619 +1892 4758 +3549 5565 +7005 -189 +7745 5427 +-1278 1652 +-3855 -8364 +-2979 -2979 +881 3326 +-263 4196 +-496 -5549 +1899 -1214 +1584 5528 +-2453 3282 +2226 3853 +4032 1489 +-4151 -1859 +-6039 902 +1815 -1095 +5778 -3966 +-2930 -58 +-3120 -387 +2484 -3893 +1489 0 +2484 3893 +-3120 387 +-2930 58 +5778 3966 +1815 1095 +-6039 -902 +-4151 1859 +4032 -1489 +2226 -3853 +-2453 -3282 +1584 -5528 +1899 1214 +-496 5549 +-263 -4196 +881 -3326 +-2979 2979 +-482 -15 +4895 4381 +-5307 5506 +-3133 -5106 +2798 -6393 +-353 -4101 +4156 -2882 +1926 1489 +3914 -4955 +-1326 -10147 +-9119 -2994 +1414 -2354 +-5198 -3210 +-3257 539 +7823 -580 +4468 0 +7823 580 +-3257 -539 +-5198 3210 +1414 2354 +-9119 2994 +-1326 10147 +3914 4955 +1926 -1489 +4156 2882 +-353 4101 +2798 6393 +-3133 5106 +-5307 -5506 +4895 -4381 +-482 15 +-2979 -2979 +881 3326 +-263 4196 +-496 -5549 +1899 -1214 +1584 5528 +-2453 3282 +2226 3853 +4032 1489 +-4151 -1859 +-6039 902 +1815 -1095 +5778 -3966 +-2930 -58 +-3120 -387 +2484 -3893 +-4468 -1489 +5440 -2787 +4100 -1188 +1673 -548 +704 -730 +-1142 5762 +-1848 -1952 +282 -5603 +2106 3160 +-3969 688 +-2599 4359 +2240 4233 +209 -1536 +3015 93 +2138 -520 +5640 2903 +13405 0 +5640 -2903 +2138 520 +3015 -93 +209 1536 +2240 -4233 +-2599 -4359 +-3969 -688 +2106 -3160 +282 5603 +-1848 1952 +-1142 -5762 +704 730 +1673 548 +4100 1188 +5440 2787 +-4468 1489 +-5399 2731 +-854 2555 +-6190 -1813 +-1576 -3482 +1639 3839 +-3010 2865 +-2776 -3832 +-2106 -3160 +5171 2631 +3245 2512 +-9230 -9362 +-5295 -2676 +-431 3157 +-1172 -4071 +4036 6306 +7447 0 +4036 -6306 +-1172 4071 +-431 -3157 +-5295 2676 +-9230 9362 +3245 -2512 +5171 -2631 +-2106 3160 +-2776 3832 +-3010 -2865 +1639 -3839 +-1576 3482 +-6190 1813 +-854 -2555 +-5399 -2731 +-4468 -1489 +5440 -2787 +4100 -1188 +1673 -548 +704 -730 +-1142 5762 +-1848 -1952 +282 -5603 +2106 3160 +-3969 688 +-2599 4359 +2240 4233 +209 -1536 +3015 93 +2138 -520 +5640 2903 +-2979 1489 +2742 -5305 +4123 -2607 +-495 2762 +-296 6794 +-1159 -1759 +-325 -2353 +-4911 3130 +-2979 2543 +3882 5520 +4062 456 +1578 -1491 +-2229 6655 +-1851 488 +-6971 -2761 +-2421 5932 +8937 0 +-2421 -5932 +-6971 2761 +-1851 -488 +-2229 -6655 +1578 1491 +4062 -456 +3882 -5520 +-2979 -2543 +-4911 -3130 +-325 2353 +-1159 1759 +-296 -6794 +-495 -2762 +4123 2607 +2742 5305 +-2979 -1489 +6360 -8207 +5767 4446 +3401 2868 +7488 -4687 +414 1511 +-5006 -3379 +-5598 -2968 +-2979 436 +-3090 -4520 +-2944 -229 +1100 -1571 +995 -4549 +5437 -1164 +1293 -1358 +-5390 1736 +-2979 0 +-5390 -1736 +1293 1358 +5437 1164 +995 4549 +1100 1571 +-2944 229 +-3090 4520 +-2979 -436 +-5598 2968 +-5006 3379 +414 -1511 +7488 4687 +3401 -2868 +5767 -4446 +6360 8207 +-2979 1489 +2742 -5305 +4123 -2607 +-495 2762 +-296 6794 +-1159 -1759 +-325 -2353 +-4911 3130 +-2979 2543 +3882 5520 +4062 456 +1578 -1491 +-2229 6655 +-1851 488 +-6971 -2761 +-2421 5932 +-2979 -5958 +2201 -3681 +4382 3972 +-4982 -4359 +11 0 +1071 -1106 +-1938 -4803 +1257 3691 +436 1234 +3754 -2845 +494 572 +-1646 5531 +4215 0 +-1960 -5410 +162 4874 +3757 6859 +-1489 0 +3757 -6859 +162 -4874 +-1960 5410 +4215 0 +-1646 -5531 +494 -572 +3754 2845 +436 -1234 +1257 -3691 +-1938 4803 +1071 1106 +11 0 +-4982 4359 +4382 -3972 +2201 3681 +-2979 5958 +4178 -6877 +2089 -4292 +-580 -835 +2095 0 +4521 3432 +4864 2203 +1130 -6018 +2543 -7192 +5 2344 +-7633 5253 +-6868 5978 +-6321 0 +-6408 -2517 +-2420 3231 +569 -3675 +1489 0 +569 3675 +-2420 -3231 +-6408 2517 +-6321 0 +-6868 -5978 +-7633 -5253 +5 -2344 +2543 7192 +1130 6018 +4864 -2203 +4521 -3432 +2095 0 +-580 835 +2089 4292 +4178 6877 +-2979 -5958 +2201 -3681 +4382 3972 +-4982 -4359 +11 0 +1071 -1106 +-1938 -4803 +1257 3691 +436 1234 +3754 -2845 +494 572 +-1646 5531 +4215 0 +-1960 -5410 +162 4874 +3757 6859 +-2979 1489 +-4817 -6924 +-593 2720 +-2532 91 +-617 376 +2733 2472 +-2909 -3628 +-4339 2822 +-2979 2543 +-6669 -749 +-5566 3289 +-300 3376 +3596 3009 +1586 -462 +-5380 -1929 +230 1662 +8937 0 +230 -1662 +-5380 1929 +1586 462 +3596 -3009 +-300 -3376 +-5566 -3289 +-6669 749 +-2979 -2543 +-4339 -2822 +-2909 3628 +2733 -2472 +-617 -376 +-2532 -91 +-593 -2720 +-4817 6924 +-2979 -1489 +5462 -5844 +8204 -2814 +5779 -4569 +-617 7688 +-1767 3814 +3082 -811 +-520 6829 +-2979 436 +1810 229 +1179 6655 +1266 4654 +3596 -6860 +1661 -5761 +1982 4303 +416 -4259 +-2979 0 +416 4259 +1982 -4303 +1661 5761 +3596 6860 +1266 -4654 +1179 -6655 +1810 -229 +-2979 -436 +-520 -6829 +3082 811 +-1767 -3814 +-617 -7688 +5779 4569 +8204 2814 +5462 5844 +-2979 1489 +-4817 -6924 +-593 2720 +-2532 91 +-617 376 +2733 2472 +-2909 -3628 +-4339 2822 +-2979 2543 +-6669 -749 +-5566 3289 +-300 3376 +3596 3009 +1586 -462 +-5380 -1929 +230 1662 +1489 -11916 +-3759 -5857 +-2108 -2901 +6231 2312 +-1006 5999 +-3353 604 +1997 1870 +2641 5446 +-181 2979 +-4456 -780 +-301 3468 +2718 4882 +-3919 494 +-2802 -270 +1172 -367 +-2836 1229 +-5958 0 +-2836 -1229 +1172 367 +-2802 270 +-3919 -494 +2718 -4882 +-301 -3468 +-4456 780 +-181 -2979 +2641 -5446 +1997 -1870 +-3353 -604 +-1006 -5999 +6231 -2312 +-2108 2901 +-3759 5857 +1489 11916 +-8093 -2390 +-5030 -5582 +5398 5033 +134 -1786 +959 2848 +4474 3389 +2384 -990 +6139 2979 +5282 -4934 +-1956 -4167 +-4839 315 +-1167 3719 +4115 5870 +1753 -2158 +410 694 +2979 0 +410 -694 +1753 2158 +4115 -5870 +-1167 -3719 +-4839 -315 +-1956 4167 +5282 4934 +6139 -2979 +2384 990 +4474 -3389 +959 -2848 +134 1786 +5398 -5033 +-5030 5582 +-8093 2390 +1489 -11916 +-3759 -5857 +-2108 -2901 +6231 2312 +-1006 5999 +-3353 604 +1997 1870 +2641 5446 +-181 2979 +-4456 -780 +-301 3468 +2718 4882 +-3919 494 +-2802 -270 +1172 -367 +-2836 1229 +5958 -1489 +3999 -3685 +-4812 -2542 +1360 -1769 +4366 3549 +-6592 3719 +-3003 3241 +726 6550 +-3596 -1926 +-1884 -2392 +-5818 2643 +-2484 -2155 +6624 -4355 +-1374 -3451 +-5117 -3477 +-1778 -3483 +-2979 0 +-1778 3483 +-5117 3477 +-1374 3451 +6624 4355 +-2484 2155 +-5818 -2643 +-1884 2392 +-3596 1926 +726 -6550 +-3003 -3241 +-6592 -3719 +4366 -3549 +1360 1769 +-4812 2542 +3999 3685 +5958 1489 +963 2055 +-1413 -2049 +496 -4185 +3698 2409 +1492 -150 +4883 3630 +8500 -28 +617 -4032 +-849 7925 +2194 -1730 +-2134 -6273 +-2773 -1603 +810 -6073 +1171 4843 +-1251 9290 +-2979 0 +-1251 -9290 +1171 -4843 +810 6073 +-2773 1603 +-2134 6273 +2194 1730 +-849 -7925 +617 4032 +8500 28 +4883 -3630 +1492 150 +3698 -2409 +496 4185 +-1413 2049 +963 -2055 +5958 -1489 +3999 -3685 +-4812 -2542 +1360 -1769 +4366 3549 +-6592 3719 +-3003 3241 +726 6550 +-3596 -1926 +-1884 -2392 +-5818 2643 +-2484 -2155 +6624 -4355 +-1374 -3451 +-5117 -3477 +-1778 -3483 +-4468 2979 +-1225 -967 +-367 790 +4298 2581 +5161 -826 +163 1129 +4997 4725 +-2026 2571 +-1309 -4830 +9249 1090 +2097 10376 +-318 -745 +463 -5172 +-3445 -1955 +-2464 -922 +2411 7331 +5958 0 +2411 -7331 +-2464 922 +-3445 1955 +463 5172 +-318 745 +2097 -10376 +9249 -1090 +-1309 4830 +-2026 -2571 +4997 -4725 +163 -1129 +5161 826 +4298 -2581 +-367 -790 +-1225 967 +-4468 -2979 +-167 -1777 +-127 -1262 +2061 547 +797 4678 +-4522 3335 +1002 1919 +-6365 3613 +-7628 7809 +1421 2361 +-3883 -3731 +-827 3383 +5495 -2892 +2590 -8659 +-1254 449 +-3299 -893 +-2979 0 +-3299 893 +-1254 -449 +2590 8659 +5495 2892 +-827 -3383 +-3883 3731 +1421 -2361 +-7628 -7809 +-6365 -3613 +1002 -1919 +-4522 -3335 +797 -4678 +2061 -547 +-127 1262 +-167 1777 +-4468 2979 +-1225 -967 +-367 790 +4298 2581 +5161 -826 +163 1129 +4997 4725 +-2026 2571 +-1309 -4830 +9249 1090 +2097 10376 +-318 -745 +463 -5172 +-3445 -1955 +-2464 -922 +2411 7331 +-4468 -2979 +-6005 -1561 +2568 2566 +5581 -153 +-417 -2069 +-4495 -719 +-1981 2091 +-1585 5503 +-4032 2979 +9194 -2102 +1256 -1152 +-8693 -1279 +9461 -4576 +1561 -2240 +-3560 281 +-1919 -1531 +-11916 0 +-1919 1531 +-3560 -281 +1561 2240 +9461 4576 +-8693 1279 +1256 1152 +9194 2102 +-4032 -2979 +-1585 -5503 +-1981 -2091 +-4495 719 +-417 2069 +5581 153 +2568 -2566 +-6005 1561 +-4468 2979 +-4402 -4855 +-1428 -4351 +2216 5439 +55 5048 +1572 -1744 +-771 -1596 +-2937 1674 +-1926 2979 +-112 1041 +1496 -2566 +607 -731 +2816 1597 +1651 -3936 +2420 -6280 +7767 -1207 +8937 0 +7767 1207 +2420 6280 +1651 3936 +2816 -1597 +607 731 +1496 2566 +-112 -1041 +-1926 -2979 +-2937 -1674 +-771 1596 +1572 1744 +55 -5048 +2216 -5439 +-1428 4351 +-4402 4855 +-4468 -2979 +-6005 -1561 +2568 2566 +5581 -153 +-417 -2069 +-4495 -719 +-1981 2091 +-1585 5503 +-4032 2979 +9194 -2102 +1256 -1152 +-8693 -1279 +9461 -4576 +1561 -2240 +-3560 281 +-1919 -1531 +0 -1489 +5927 7147 +1852 9506 +-3745 -6451 +-1859 -396 +848 9825 +2741 -2942 +-4748 558 +-3596 4032 +1430 1419 +261 9369 +3270 -930 +2999 -6235 +3963 2521 +-141 -148 +-5142 618 +-2979 0 +-5142 -618 +-141 148 +3963 -2521 +2999 6235 +3270 930 +261 -9369 +1430 -1419 +-3596 -4032 +-4748 -558 +2741 2942 +848 -9825 +-1859 396 +-3745 6451 +1852 -9506 +5927 -7147 +0 1489 +748 947 +-1206 23 +-1825 -1242 +-247 -3816 +-1462 -6606 +505 -4004 +-2623 416 +617 1926 +3662 2288 +706 4026 +2849 5976 +-893 2022 +-3898 3529 +-4718 6188 +747 -1707 +8937 0 +747 1707 +-4718 -6188 +-3898 -3529 +-893 -2022 +2849 -5976 +706 -4026 +3662 -2288 +617 -1926 +-2623 -416 +505 4004 +-1462 6606 +-247 3816 +-1825 1242 +-1206 -23 +748 -947 +0 -1489 +5927 7147 +1852 9506 +-3745 -6451 +-1859 -396 +848 9825 +2741 -2942 +-4748 558 +-3596 4032 +1430 1419 +261 9369 +3270 -930 +2999 -6235 +3963 2521 +-141 -148 +-5142 618 +0 2979 +2715 1455 +-308 1651 +-6247 7075 +1167 1423 +5505 139 +-3105 -1498 +-942 1412 +1670 2979 +-7256 -590 +-5119 5556 +2588 2081 +1006 5542 +10 4930 +1348 -4306 +2904 10249 +4468 0 +2904 -10249 +1348 4306 +10 -4930 +1006 -5542 +2588 -2081 +-5119 -5556 +-7256 590 +1670 -2979 +-942 -1412 +-3105 1498 +5505 -139 +1167 -1423 +-6247 -7075 +-308 -1651 +2715 -1455 +0 -2979 +-1960 4087 +-2444 -778 +1194 -2771 +3919 -189 +4583 -4313 +1965 -3587 +-6366 3230 +-4649 2979 +5791 -2658 +6259 -471 +2546 994 +-134 1650 +-1753 3134 +1404 3433 +-3312 7743 +-10426 0 +-3312 -7743 +1404 -3433 +-1753 -3134 +-134 -1650 +2546 -994 +6259 471 +5791 2658 +-4649 -2979 +-6366 -3230 +1965 3587 +4583 4313 +3919 189 +1194 2771 +-2444 778 +-1960 -4087 +0 2979 +2715 1455 +-308 1651 +-6247 7075 +1167 1423 +5505 139 +-3105 -1498 +-942 1412 +1670 2979 +-7256 -590 +-5119 5556 +2588 2081 +1006 5542 +10 4930 +1348 -4306 +2904 10249 +1489 2979 +-4853 -170 +-9194 -3593 +3582 6789 +216 5114 +-7143 -1620 +2462 -4187 +-359 -2678 +-1053 3851 +3135 1897 +-4208 -2002 +-2705 -7446 +-96 -5435 +-2972 1968 +2704 -744 +2376 524 +-2979 0 +2376 -524 +2704 744 +-2972 -1968 +-96 5435 +-2705 7446 +-4208 2002 +3135 -1897 +-1053 -3851 +-359 2678 +2462 4187 +-7143 1620 +216 -5114 +3582 -6789 +-9194 3593 +-4853 170 +1489 -2979 +1802 -1241 +-429 -5384 +-3588 -3085 +3636 -390 +323 1902 +-4969 3448 +2329 8161 +1053 8064 +-892 -2907 +757 -483 +5312 -1989 +8160 -7715 +-1235 444 +961 1937 +4888 -2 +0 0 +4888 2 +961 -1937 +-1235 -444 +8160 7715 +5312 1989 +757 483 +-892 2907 +1053 -8064 +2329 -8161 +-4969 -3448 +323 -1902 +3636 390 +-3588 3085 +-429 5384 +1802 1241 +1489 2979 +-4853 -170 +-9194 -3593 +3582 6789 +216 5114 +-7143 -1620 +2462 -4187 +-359 -2678 +-1053 3851 +3135 1897 +-4208 -2002 +-2705 -7446 +-96 -5435 +-2972 1968 +2704 -744 +2376 524 +1489 0 +3140 2007 +1676 -1322 +697 -997 +1412 -1527 +5421 -2164 +1739 -423 +-1331 -1677 +181 873 +-3821 -2207 +-4958 -5977 +-7569 510 +-9757 3959 +2349 -3078 +7952 -4775 +-956 3762 +-5958 0 +-956 -3762 +7952 4775 +2349 3078 +-9757 -3959 +-7569 -510 +-4958 5977 +-3821 2207 +181 -873 +-1331 1677 +1739 423 +5421 2164 +1412 1527 +697 997 +1676 1322 +3140 -2007 +1489 0 +-4842 -489 +-1623 3255 +660 -795 +-2285 -8644 +3632 4845 +3498 -868 +-4424 -5958 +-6139 5085 +-4354 -5428 +1466 -3740 +4662 2171 +4672 -2214 +6999 1287 +2165 -1717 +-264 -2243 +2979 0 +-264 2243 +2165 1717 +6999 -1287 +4672 2214 +4662 -2171 +1466 3740 +-4354 5428 +-6139 -5085 +-4424 5958 +3498 868 +3632 -4845 +-2285 8644 +660 795 +-1623 -3255 +-4842 489 +1489 0 +3140 2007 +1676 -1322 +697 -997 +1412 -1527 +5421 -2164 +1739 -423 +-1331 -1677 +181 873 +-3821 -2207 +-4958 -5977 +-7569 510 +-9757 3959 +2349 -3078 +7952 -4775 +-956 3762 +0 1489 +2776 -368 +-4314 2742 +-3675 4766 +1679 3758 +-3808 -820 +2838 4696 +3035 4053 +-1745 -3777 +2279 -1288 +4030 838 +6904 1132 +3139 -2779 +-2156 -6795 +-1868 224 +386 3988 +2979 0 +386 -3988 +-1868 -224 +-2156 6795 +3139 2779 +6904 -1132 +4030 -838 +2279 1288 +-1745 3777 +3035 -4053 +2838 -4696 +-3808 820 +1679 -3758 +-3675 -4766 +-4314 -2742 +2776 368 +0 -1489 +3252 -6288 +1713 4397 +1246 4516 +66 -2886 +-3931 4337 +5267 1775 +-946 1913 +-10171 6755 +-4368 -983 +-3709 1420 +835 2839 +7031 -2307 +4585 4614 +-3957 2701 +-6413 -6967 +-2979 0 +-6413 6967 +-3957 -2701 +4585 -4614 +7031 2307 +835 -2839 +-3709 -1420 +-4368 983 +-10171 -6755 +-946 -1913 +5267 -1775 +-3931 -4337 +66 2886 +1246 -4516 +1713 -4397 +3252 6288 +0 1489 +2776 -368 +-4314 2742 +-3675 4766 +1679 3758 +-3808 -820 +2838 4696 +3035 4053 +-1745 -3777 +2279 -1288 +4030 838 +6904 1132 +3139 -2779 +-2156 -6795 +-1868 224 +386 3988 +-5958 1489 +-8292 4397 +2834 -2256 +5871 2853 +-6103 2162 +-4653 1196 +-3292 4119 +-2530 2179 +5085 2543 +-172 1954 +661 786 +-397 -2570 +-9006 -710 +1049 -1174 +3073 -5904 +5019 2177 +14895 0 +5019 -2177 +3073 5904 +1049 1174 +-9006 710 +-397 2570 +661 -786 +-172 -1954 +5085 -2543 +-2530 -2179 +-3292 -4119 +-4653 -1196 +-6103 -2162 +5871 -2853 +2834 2256 +-8292 -4397 +-5958 -1489 +2575 1972 +6144 -6000 +-2674 -660 +-7047 1690 +-335 -2506 +2552 -699 +612 3368 +873 436 +4370 -4191 +1823 2634 +-119 -1964 +4282 -7354 +1258 143 +-1880 -2353 +-1582 -3593 +-2979 0 +-1582 3593 +-1880 2353 +1258 -143 +4282 7354 +-119 1964 +1823 -2634 +4370 4191 +873 -436 +612 -3368 +2552 699 +-335 2506 +-7047 -1690 +-2674 660 +6144 6000 +2575 -1972 +-5958 1489 +-8292 4397 +2834 -2256 +5871 2853 +-6103 2162 +-4653 1196 +-3292 4119 +-2530 2179 +5085 2543 +-172 1954 +661 786 +-397 -2570 +-9006 -710 +1049 -1174 +3073 -5904 +5019 2177 +1489 -4468 +-2485 -5968 +6656 -3950 +2139 -3744 +1946 -483 +1071 773 +-9147 -1113 +-3514 2639 +-1489 5883 +1865 2334 +2903 218 +-2823 -503 +806 -2429 +-5155 1099 +-1152 6575 +-1187 5328 +-13405 0 +-1187 -5328 +-1152 -6575 +-5155 -1099 +806 2429 +-2823 503 +2903 -218 +1865 -2334 +-1489 -5883 +-3514 -2639 +-9147 1113 +1071 -773 +1946 483 +2139 3744 +6656 3950 +-2485 5968 +1489 4468 +5925 -2952 +709 -2102 +-332 2503 +-1946 -1623 +2544 -1934 +4515 2631 +-2814 -3843 +-1489 -8862 +6395 33 +3473 3768 +499 -1646 +-806 323 +-6369 5097 +3958 1757 +4240 -2251 +-7447 0 +4240 2251 +3958 -1757 +-6369 -5097 +-806 -323 +499 1646 +3473 -3768 +6395 -33 +-1489 8862 +-2814 3843 +4515 -2631 +2544 1934 +-1946 1623 +-332 -2503 +709 2102 +5925 2952 +1489 -4468 +-2485 -5968 +6656 -3950 +2139 -3744 +1946 -483 +1071 773 +-9147 -1113 +-3514 2639 +-1489 5883 +1865 2334 +2903 218 +-2823 -503 +806 -2429 +-5155 1099 +-1152 6575 +-1187 5328 +4468 0 +145 -796 +5964 -722 +1817 -465 +-5778 -530 +1030 125 +-509 -310 +994 2153 +436 1489 +-456 -6647 +1753 -3992 +-202 1044 +3133 -7401 +-995 -6761 +-1872 836 +-2319 -1691 +-8937 0 +-2319 1691 +-1872 -836 +-995 6761 +3133 7401 +-202 -1044 +1753 3992 +-456 6647 +436 -1489 +994 -2153 +-509 310 +1030 -125 +-5778 530 +1817 465 +5964 722 +145 796 +4468 0 +-4043 255 +-460 989 +7924 1946 +-1414 -2810 +2595 -5684 +2789 8147 +-419 14289 +2543 1489 +-4332 -369 +-4033 1659 +790 -5802 +-1899 -1897 +-4533 5553 +-3632 1176 +2004 -1968 +5958 0 +2004 1968 +-3632 -1176 +-4533 -5553 +-1899 1897 +790 5802 +-4033 -1659 +-4332 369 +2543 -1489 +-419 -14289 +2789 -8147 +2595 5684 +-1414 2810 +7924 -1946 +-460 -989 +-4043 -255 +4468 0 +145 -796 +5964 -722 +1817 -465 +-5778 -530 +1030 125 +-509 -310 +994 2153 +436 1489 +-456 -6647 +1753 -3992 +-202 1044 +3133 -7401 +-995 -6761 +-1872 836 +-2319 -1691 +1489 4468 +3609 -3721 +3387 -3874 +-3176 4921 +2382 3549 +2543 3213 +3105 2934 +13306 -1630 +-873 2287 +-3914 3577 +2818 -5106 +-2779 -8273 +3349 -4355 +-2339 1522 +-4153 4538 +2121 1662 +-1489 0 +2121 -1662 +-4153 -4538 +-2339 -1522 +3349 4355 +-2779 8273 +2818 5106 +-3914 -3577 +-873 -2287 +13306 1630 +3105 -2934 +2543 -3213 +2382 -3549 +-3176 -4921 +3387 3874 +3609 3721 +1489 -4468 +531 1715 +1151 4787 +2691 -716 +-1510 2409 +-4139 4690 +-1471 1657 +52 -2751 +-5085 -8245 +-2951 -2989 +-240 3739 +-5342 -1778 +1737 -1603 +4115 5070 +-4598 2333 +-4328 -2189 +-1489 0 +-4328 2189 +-4598 -2333 +4115 -5070 +1737 1603 +-5342 1778 +-240 -3739 +-2951 2989 +-5085 8245 +52 2751 +-1471 -1657 +-4139 -4690 +-1510 -2409 +2691 716 +1151 -4787 +531 -1715 +1489 4468 +3609 -3721 +3387 -3874 +-3176 4921 +2382 3549 +2543 3213 +3105 2934 +13306 -1630 +-873 2287 +-3914 3577 +2818 -5106 +-2779 -8273 +3349 -4355 +-2339 1522 +-4153 4538 +2121 1662 +0 2979 +-2971 2639 +1517 -1357 +-2734 1344 +2059 1547 +1598 540 +-3624 -2147 +2988 -2307 +-1926 6575 +4554 7470 +7796 -2009 +-4179 -4609 +2866 -733 +-105 3135 +-1872 903 +4543 -4530 +1489 0 +4543 4530 +-1872 -903 +-105 -3135 +2866 733 +-4179 4609 +7796 2009 +4554 -7470 +-1926 -6575 +2988 2307 +-3624 2147 +1598 -540 +2059 -1547 +-2734 -1344 +1517 1357 +-2971 -2639 +0 -2979 +6218 4640 +936 -1017 +-2124 -4454 +919 4772 +-952 -1083 +-5279 -7797 +-2022 1756 +-4032 2362 +-3587 -1075 +5319 6448 +4824 10105 +113 7052 +-4753 3285 +-4794 -809 +-1297 -1586 +-1489 0 +-1297 1586 +-4794 809 +-4753 -3285 +113 -7052 +4824 -10105 +5319 -6448 +-3587 1075 +-4032 -2362 +-2022 -1756 +-5279 7797 +-952 1083 +919 -4772 +-2124 4454 +936 1017 +6218 -4640 +0 2979 +-2971 2639 +1517 -1357 +-2734 1344 +2059 1547 +1598 540 +-3624 -2147 +2988 -2307 +-1926 6575 +4554 7470 +7796 -2009 +-4179 -4609 +2866 -733 +-105 3135 +-1872 903 +4543 -4530 +2979 -1489 +7350 4412 +2748 -17 +5426 4320 +4896 10374 +-1369 -2176 +1497 -8720 +-1666 -1832 +-2106 -436 +-4104 -3735 +-2184 -1434 +4024 2688 +-777 1311 +3231 291 +-625 -2423 +-1367 -7163 +8937 0 +-1367 7163 +-625 2423 +3231 -291 +-777 -1311 +4024 -2688 +-2184 1434 +-4104 3735 +-2106 436 +-1666 1832 +1497 8720 +-1369 2176 +4896 -10374 +5426 -4320 +2748 17 +7350 -4412 +2979 1489 +1874 1561 +-3620 -1123 +-3657 2430 +6508 -4055 +-2933 -2468 +-6582 5968 +3238 -427 +2106 -2543 +-2972 -1108 +-2901 -1318 +-2002 5653 +-4669 5008 +-2720 2594 +-248 1283 +-2353 -6298 +-2979 0 +-2353 6298 +-248 -1283 +-2720 -2594 +-4669 -5008 +-2002 -5653 +-2901 1318 +-2972 1108 +2106 2543 +3238 427 +-6582 -5968 +-2933 2468 +6508 4055 +-3657 -2430 +-3620 1123 +1874 -1561 +2979 -1489 +7350 4412 +2748 -17 +5426 4320 +4896 10374 +-1369 -2176 +1497 -8720 +-1666 -1832 +-2106 -436 +-4104 -3735 +-2184 -1434 +4024 2688 +-777 1311 +3231 291 +-625 -2423 +-1367 -7163 +4468 -2979 +8358 -887 +6287 1379 +223 -2500 +730 893 +3037 106 +-1973 -2390 +-4518 3008 +-436 8681 +-7597 998 +-2755 -7281 +7855 -1292 +-1536 -1859 +-1152 -1718 +-4099 3986 +-8657 -1838 +-2979 0 +-8657 1838 +-4099 -3986 +-1152 1718 +-1536 1859 +7855 1292 +-2755 7281 +-7597 -998 +-436 -8681 +-4518 -3008 +-1973 2390 +3037 -106 +730 -893 +223 2500 +6287 -1379 +8358 887 +4468 2979 +-244 -5013 +-4181 2986 +2595 7956 +3482 -2999 +-1125 -4740 +-134 -2642 +-4535 553 +-2543 256 +-1840 -3396 +648 2249 +7388 2616 +-2676 -247 +-1969 1216 +6205 379 +2182 1895 +0 0 +2182 -1895 +6205 -379 +-1969 -1216 +-2676 247 +7388 -2616 +648 -2249 +-1840 3396 +-2543 -256 +-4535 -553 +-134 2642 +-1125 4740 +3482 2999 +2595 -7956 +-4181 -2986 +-244 5013 +4468 -2979 +8358 -887 +6287 1379 +223 -2500 +730 893 +3037 106 +-1973 -2390 +-4518 3008 +-436 8681 +-7597 998 +-2755 -7281 +7855 -1292 +-1536 -1859 +-1152 -1718 +-4099 3986 +-8657 -1838 +0 1489 +6320 4705 +-3042 -1391 +-5139 -864 +806 -3985 +1998 -3538 +1894 1564 +-2214 -2888 +-2979 -6755 +-2400 -3978 +-2985 -582 +-601 715 +-1946 6898 +-1771 -2157 +1073 -12285 +-871 3716 +-2979 0 +-871 -3716 +1073 12285 +-1771 2157 +-1946 -6898 +-601 -715 +-2985 582 +-2400 3978 +-2979 6755 +-2214 2888 +1894 -1564 +1998 3538 +806 3985 +-5139 864 +-3042 1391 +6320 -4705 +0 -1489 +-1155 257 +4409 -4060 +796 -992 +-806 -2845 +-4549 -1561 +-2808 -4520 +-257 -6338 +-2979 3777 +9083 1244 +9856 -630 +-1061 3903 +1946 4145 +1901 1592 +3518 -3336 +-81 -687 +-8937 0 +-81 687 +3518 3336 +1901 -1592 +1946 -4145 +-1061 -3903 +9856 630 +9083 -1244 +-2979 -3777 +-257 6338 +-2808 4520 +-4549 1561 +-806 2845 +796 992 +4409 4060 +-1155 -257 +0 1489 +6320 4705 +-3042 -1391 +-5139 -864 +806 -3985 +1998 -3538 +1894 1564 +-2214 -2888 +-2979 -6755 +-2400 -3978 +-2985 -582 +-601 715 +-1946 6898 +-1771 -2157 +1073 -12285 +-871 3716 +0 4468 +-6476 139 +-2032 -1986 +2165 -3626 +-1510 1833 +-1198 11355 +-1247 -1859 +-2236 -4416 +1489 1053 +3615 -5602 +683 3209 +-1861 2515 +1737 1253 +5332 4373 +1079 -5448 +-1458 514 +0 0 +-1458 -514 +1079 5448 +5332 -4373 +1737 -1253 +-1861 -2515 +683 -3209 +3615 5602 +1489 -1053 +-2236 4416 +-1247 1859 +-1198 -11355 +-1510 -1833 +2165 3626 +-2032 1986 +-6476 -139 +0 -4468 +-1695 -6314 +-5979 -5625 +1056 -998 +2382 -4812 +1333 -6392 +2633 2032 +-2731 3357 +1489 -1053 +5911 -1602 +-8026 1177 +-9283 -473 +3349 1726 +2457 4933 +974 2050 +5069 4017 +5958 0 +5069 -4017 +974 -2050 +2457 -4933 +3349 -1726 +-9283 473 +-8026 -1177 +5911 1602 +1489 1053 +-2731 -3357 +2633 -2032 +1333 6392 +2382 4812 +1056 998 +-5979 5625 +-1695 6314 +0 4468 +-6476 139 +-2032 -1986 +2165 -3626 +-1510 1833 +-1198 11355 +-1247 -1859 +-2236 -4416 +1489 1053 +3615 -5602 +683 3209 +-1861 2515 +1737 1253 +5332 4373 +1079 -5448 +-1458 514 +0 -2979 +845 -3851 +-3899 4667 +649 7102 +2269 -1880 +-1792 2113 +4038 6794 +1497 -208 +6755 2106 +5170 6887 +-4912 1396 +3302 -3669 +1289 -6225 +-1849 -4313 +2495 2772 +-147 4541 +-1489 0 +-147 -4541 +2495 -2772 +-1849 4313 +1289 6225 +3302 3669 +-4912 -1396 +5170 -6887 +6755 -2106 +1497 208 +4038 -6794 +-1792 -2113 +2269 1880 +649 -7102 +-3899 -4667 +845 3851 +0 2979 +7806 2318 +4866 1505 +-5855 2005 +-4375 3625 +-6093 -1644 +-3392 322 +-4211 6152 +-3777 -2106 +3690 -3678 +53 5721 +1662 2311 +817 -3945 +-6875 -321 +751 3401 +2201 3108 +-4468 0 +2201 -3108 +751 -3401 +-6875 321 +817 3945 +1662 -2311 +53 -5721 +3690 3678 +-3777 2106 +-4211 -6152 +-3392 -322 +-6093 1644 +-4375 -3625 +-5855 -2005 +4866 -1505 +7806 -2318 +0 -2979 +845 -3851 +-3899 4667 +649 7102 +2269 -1880 +-1792 2113 +4038 6794 +1497 -208 +6755 2106 +5170 6887 +-4912 1396 +3302 -3669 +1289 -6225 +-1849 -4313 +2495 2772 +-147 4541 +-4468 -5958 +-8609 115 +-3993 2819 +2405 -3346 +7869 -1120 +149 700 +-5001 -477 +-495 2518 +436 617 +-620 -3210 +5219 -50 +7124 5812 +722 5978 +1404 -1243 +-2415 -394 +-6273 7100 +-2979 0 +-6273 -7100 +-2415 394 +1404 1243 +722 -5978 +7124 -5812 +5219 50 +-620 3210 +436 -617 +-495 -2518 +-5001 477 +149 -700 +7869 1120 +2405 3346 +-3993 -2819 +-8609 -115 +-4468 5958 +3540 2064 +275 6426 +1742 8424 +557 -2732 +-195 1034 +3215 9054 +301 325 +2543 -3596 +-2108 94 +779 202 +3627 1880 +-9147 2086 +595 364 +1921 1213 +-2588 1037 +5958 0 +-2588 -1037 +1921 -1213 +595 -364 +-9147 -2086 +3627 -1880 +779 -202 +-2108 -94 +2543 3596 +301 -325 +3215 -9054 +-195 -1034 +557 2732 +1742 -8424 +275 -6426 +3540 -2064 +-4468 -5958 +-8609 115 +-3993 2819 +2405 -3346 +7869 -1120 +149 700 +-5001 -477 +-495 2518 +436 617 +-620 -3210 +5219 -50 +7124 5812 +722 5978 +1404 -1243 +-2415 -394 +-6273 7100 +2979 -1489 +-1084 174 +-3663 -7781 +2205 -223 +1214 -1536 +-1613 -4696 +-1189 418 +2239 -5274 +10788 -3160 +6851 6924 +1188 4478 +1720 -5736 +-3966 -3482 +-4150 107 +-1268 -1445 +1853 5562 +5958 0 +1853 -5562 +-1268 1445 +-4150 -107 +-3966 3482 +1720 5736 +1188 -4478 +6851 -6924 +10788 3160 +2239 5274 +-1189 -418 +-1613 4696 +1214 1536 +2205 223 +-3663 7781 +-1084 -174 +2979 1489 +2676 -64 +-1574 -8807 +-4142 -357 +5106 -2676 +1678 -4421 +-8928 -985 +-3749 -1992 +-1851 3160 +-3408 -2192 +-1241 -2576 +-493 4056 +-2354 -730 +-3630 -1675 +4760 -760 +3049 -1881 +-5958 0 +3049 1881 +4760 760 +-3630 1675 +-2354 730 +-493 -4056 +-1241 2576 +-3408 2192 +-1851 -3160 +-3749 1992 +-8928 985 +1678 4421 +5106 2676 +-4142 357 +-1574 8807 +2676 64 +2979 -1489 +-1084 174 +-3663 -7781 +2205 -223 +1214 -1536 +-1613 -4696 +-1189 418 +2239 -5274 +10788 -3160 +6851 6924 +1188 4478 +1720 -5736 +-3966 -3482 +-4150 107 +-1268 -1445 +1853 5562 +-2979 1489 +2754 -140 +-1754 1283 +-5805 228 +1583 -6548 +648 -1674 +-3159 3749 +-4528 -529 +2979 -1670 +7040 420 +553 278 +-5109 1793 +-8454 4108 +-4462 -3967 +-5510 -4624 +-1008 8054 +8937 0 +-1008 -8054 +-5510 4624 +-4462 3967 +-8454 -4108 +-5109 -1793 +553 -278 +7040 -420 +2979 1670 +-4528 529 +-3159 -3749 +648 1674 +1583 6548 +-5805 -228 +-1754 -1283 +2754 140 +-2979 -1489 +3161 -2387 +3540 -2801 +1144 1935 +3863 -1516 +2073 -3992 +3654 4582 +2470 3878 +2979 4649 +4736 1185 +3166 -6330 +456 2711 +-2950 -256 +2630 -4040 +-489 638 +-6199 -8836 +-2979 0 +-6199 8836 +-489 -638 +2630 4040 +-2950 256 +456 -2711 +3166 6330 +4736 -1185 +2979 -4649 +2470 -3878 +3654 -4582 +2073 3992 +3863 1516 +1144 -1935 +3540 2801 +3161 2387 +-2979 1489 +2754 -140 +-1754 1283 +-5805 228 +1583 -6548 +648 -1674 +-3159 3749 +-4528 -529 +2979 -1670 +7040 420 +553 278 +-5109 1793 +-8454 4108 +-4462 -3967 +-5510 -4624 +-1008 8054 +-4468 4468 +-1778 4821 +5670 -3377 +4230 -6610 +4859 7782 +4227 -2401 +-4466 -8756 +-3235 1521 +1489 436 +-1459 3550 +247 146 +916 -1601 +-3246 3084 +-1593 1731 +513 2633 +623 -1339 +1489 0 +623 1339 +513 -2633 +-1593 -1731 +-3246 -3084 +916 1601 +247 -146 +-1459 -3550 +1489 -436 +-3235 -1521 +-4466 8756 +4227 2401 +4859 -7782 +4230 6610 +5670 3377 +-1778 -4821 +-4468 -4468 +398 -439 +649 8194 +413 2945 +-646 2750 +-3026 4354 +-1853 46 +-339 2553 +1489 2543 +-471 6483 +-6566 3059 +-4397 -2404 +-966 7448 +-770 562 +5807 -9731 +6261 -237 +1489 0 +6261 237 +5807 9731 +-770 -562 +-966 -7448 +-4397 2404 +-6566 -3059 +-471 -6483 +1489 -2543 +-339 -2553 +-1853 -46 +-3026 -4354 +-646 -2750 +413 -2945 +649 -8194 +398 439 +-4468 4468 +-1778 4821 +5670 -3377 +4230 -6610 +4859 7782 +4227 -2401 +-4466 -8756 +-3235 1521 +1489 436 +-1459 3550 +247 146 +916 -1601 +-3246 3084 +-1593 1731 +513 2633 +623 -1339 +1489 0 +5625 7448 +1541 140 +-3131 -7430 +-817 2790 +1533 2122 +-406 -389 +-5017 1112 +-6139 -5085 +-5076 -155 +-135 3299 +1654 -29 +-2269 -1329 +1886 1144 +4850 5482 +2741 15 +2979 0 +2741 -15 +4850 -5482 +1886 -1144 +-2269 1329 +1654 29 +-135 -3299 +-5076 155 +-6139 5085 +-5017 -1112 +-406 389 +1533 -2122 +-817 -2790 +-3131 7430 +1541 -140 +5625 -7448 +1489 0 +3061 6739 +1211 5538 +-4349 3652 +-1289 4402 +-1656 -1995 +1546 1507 +2416 4312 +181 -873 +8319 6032 +-1005 2032 +-6731 -3522 +4375 2563 +-6056 3315 +-7602 4408 +4783 2711 +5958 0 +4783 -2711 +-7602 -4408 +-6056 -3315 +4375 -2563 +-6731 3522 +-1005 -2032 +8319 -6032 +181 873 +2416 -4312 +1546 -1507 +-1656 1995 +-1289 -4402 +-4349 -3652 +1211 -5538 +3061 -6739 +1489 0 +5625 7448 +1541 140 +-3131 -7430 +-817 2790 +1533 2122 +-406 -389 +-5017 1112 +-6139 -5085 +-5076 -155 +-135 3299 +1654 -29 +-2269 -1329 +1886 1144 +4850 5482 +2741 15 +0 -2979 +4812 3745 +7563 3894 +362 2031 +-7704 844 +-6533 -5430 +506 -4186 +-3630 2455 +-1670 873 +5810 103 +166 2474 +-2922 -667 +-899 -523 +-10 5445 +-2340 4563 +-3494 -593 +-1489 0 +-3494 593 +-2340 -4563 +-10 -5445 +-899 523 +-2922 667 +166 -2474 +5810 -103 +-1670 -873 +-3630 -2455 +506 4186 +-6533 5430 +-7704 -844 +362 -2031 +7563 -3894 +4812 -3745 +0 2979 +722 -2272 +-1831 -10213 +-3179 511 +-3339 6348 +3167 -2344 +3612 -2134 +-2602 3218 +4649 5085 +421 7850 +1673 3845 +6289 -1603 +-5931 1757 +2827 2601 +2566 1757 +-2040 4346 +7447 0 +-2040 -4346 +2566 -1757 +2827 -2601 +-5931 -1757 +6289 1603 +1673 -3845 +421 -7850 +4649 -5085 +-2602 -3218 +3612 2134 +3167 2344 +-3339 -6348 +-3179 -511 +-1831 10213 +722 2272 +0 -2979 +4812 3745 +7563 3894 +362 2031 +-7704 844 +-6533 -5430 +506 -4186 +-3630 2455 +-1670 873 +5810 103 +166 2474 +-2922 -667 +-899 -523 +-10 5445 +-2340 4563 +-3494 -593 +-1489 2979 +-2244 -7274 +1383 -8374 +-7240 -201 +-4564 -2440 +4385 726 +-1014 2646 +5521 -1799 +3160 1234 +-5259 2939 +1983 1215 +217 1971 +-833 2592 +2299 -153 +370 -366 +-1485 3873 +-2979 0 +-1485 -3873 +370 366 +2299 153 +-833 -2592 +217 -1971 +1983 -1215 +-5259 -2939 +3160 -1234 +5521 1799 +-1014 -2646 +4385 -726 +-4564 2440 +-7240 201 +1383 8374 +-2244 7274 +-1489 -2979 +3143 -4253 +3230 -7301 +492 -4092 +3692 -1773 +4347 -4130 +-4758 1379 +-5450 -3612 +-3160 -7192 +2909 -566 +5534 -680 +-3444 -2150 +-4253 -6805 +-1056 -915 +5187 5032 +2866 -7616 +-5958 0 +2866 7616 +5187 -5032 +-1056 915 +-4253 6805 +-3444 2150 +5534 680 +2909 566 +-3160 7192 +-5450 3612 +-4758 -1379 +4347 4130 +3692 1773 +492 4092 +3230 7301 +3143 4253 +-1489 2979 +-2244 -7274 +1383 -8374 +-7240 -201 +-4564 -2440 +4385 726 +-1014 2646 +5521 -1799 +3160 1234 +-5259 2939 +1983 1215 +217 1971 +-833 2592 +2299 -153 +370 -366 +-1485 3873 +-4468 -1489 +-1056 -11541 +3031 -5654 +-4585 672 +-4945 1080 +-1271 396 +3492 726 +4704 1762 +-2979 -4032 +-1811 -2540 +11 3292 +-5969 5545 +-559 2799 +2860 -6813 +-3853 -5047 +4991 3142 +16384 0 +4991 -3142 +-3853 5047 +2860 6813 +-559 -2799 +-5969 -5545 +11 -3292 +-1811 2540 +-2979 4032 +4704 -1762 +3492 -726 +-1271 -396 +-4945 -1080 +-4585 -672 +3031 5654 +-1056 11541 +-4468 1489 +3137 1678 +-279 3547 +-553 -56 +2839 6112 +-4986 106 +-2352 -2833 +1447 -713 +-2979 -1926 +861 3136 +-1151 -1185 +-3983 -1366 +2665 -1565 +1637 -808 +1101 7153 +4578 -1543 +4468 0 +4578 1543 +1101 -7153 +1637 808 +2665 1565 +-3983 1366 +-1151 1185 +861 -3136 +-2979 1926 +1447 713 +-2352 2833 +-4986 -106 +2839 -6112 +-553 56 +-279 -3547 +3137 -1678 +-4468 -1489 +-1056 -11541 +3031 -5654 +-4585 672 +-4945 1080 +-1271 396 +3492 726 +4704 1762 +-2979 -4032 +-1811 -2540 +11 3292 +-5969 5545 +-559 2799 +2860 -6813 +-3853 -5047 +4991 3142 +4468 4468 +-319 6485 +1794 2926 +6000 -229 +-1757 2080 +-2436 -451 +-1381 -5077 +-4418 -36 +-4468 4649 +2740 1374 +6539 154 +600 988 +844 360 +1739 2491 +306 2891 +14 -472 +-1489 0 +14 472 +306 -2891 +1739 -2491 +844 -360 +600 -988 +6539 -154 +2740 -1374 +-4468 -4649 +-4418 36 +-1381 5077 +-2436 451 +-1757 -2080 +6000 229 +1794 -2926 +-319 -6485 +4468 -4468 +-2775 -1569 +-5019 1019 +808 693 +523 -2952 +-8857 -1471 +-6403 1452 +2299 -1716 +-4468 -1670 +367 1540 +1245 -2034 +-1305 -2375 +6348 4725 +-4975 1998 +2919 -9116 +10517 -10287 +-1489 0 +10517 10287 +2919 9116 +-4975 -1998 +6348 -4725 +-1305 2375 +1245 2034 +367 -1540 +-4468 1670 +2299 1716 +-6403 -1452 +-8857 1471 +523 2952 +808 -693 +-5019 -1019 +-2775 1569 +4468 4468 +-319 6485 +1794 2926 +6000 -229 +-1757 2080 +-2436 -451 +-1381 -5077 +-4418 -36 +-4468 4649 +2740 1374 +6539 154 +600 988 +844 360 +1739 2491 +306 2891 +14 -472 +-1489 0 +-1840 335 +4225 7820 +4437 1203 +-3322 -1053 +-6722 -2022 +1155 -951 +1399 5652 +436 4468 +2479 1840 +2776 5896 +872 5820 +-236 -1053 +6980 2045 +-584 7978 +-8078 1939 +-2979 0 +-8078 -1939 +-584 -7978 +6980 -2045 +-236 1053 +872 -5820 +2776 -5896 +2479 -1840 +436 -4468 +1399 -5652 +1155 951 +-6722 2022 +-3322 1053 +4437 -1203 +4225 -7820 +-1840 -335 +-1489 0 +1761 -8576 +-6331 4782 +-1235 8384 +3322 -1053 +-3486 -1790 +951 -4534 +323 1411 +2543 4468 +5863 -735 +-670 534 +607 -3674 +236 -1053 +-1454 1585 +-1522 -7291 +-1908 -4223 +0 0 +-1908 4223 +-1522 7291 +-1454 -1585 +236 1053 +607 3674 +-670 -534 +5863 735 +2543 -4468 +323 -1411 +951 4534 +-3486 1790 +3322 1053 +-1235 -8384 +-6331 -4782 +1761 8576 +-1489 0 +-1840 335 +4225 7820 +4437 1203 +-3322 -1053 +-6722 -2022 +1155 -951 +1399 5652 +436 4468 +2479 1840 +2776 5896 +872 5820 +-236 -1053 +6980 2045 +-584 7978 +-8078 1939 +-1489 -2979 +-6440 640 +1897 29 +6143 -3498 +2467 1140 +458 -1630 +-3757 3281 +-2318 4136 +4032 2106 +2675 7489 +1685 1191 +3606 1985 +846 -2752 +-1088 -4012 +-1679 9124 +-5931 -491 +-8937 0 +-5931 491 +-1679 -9124 +-1088 4012 +846 2752 +3606 -1985 +1685 -1191 +2675 -7489 +4032 -2106 +-2318 -4136 +-3757 -3281 +458 1630 +2467 -1140 +6143 3498 +1897 -29 +-6440 -640 +-1489 2979 +1193 -4823 +-2543 -3008 +3144 2740 +6831 -1140 +3281 -4618 +511 -302 +-1409 1690 +1926 -2106 +2343 -3408 +-2651 -4170 +-852 1938 +-4186 2752 +-6266 -6917 +6537 -6145 +1461 -1947 +-11916 0 +1461 1947 +6537 6145 +-6266 6917 +-4186 -2752 +-852 -1938 +-2651 4170 +2343 3408 +1926 2106 +-1409 -1690 +511 302 +3281 4618 +6831 1140 +3144 -2740 +-2543 3008 +1193 4823 +-1489 -2979 +-6440 640 +1897 29 +6143 -3498 +2467 1140 +458 -1630 +-3757 3281 +-2318 4136 +4032 2106 +2675 7489 +1685 1191 +3606 1985 +846 -2752 +-1088 -4012 +-1679 9124 +-5931 -491 +-4468 -2979 +2105 6805 +-696 110 +-3520 1513 +-4268 3930 +-3101 -3662 +4650 4226 +-3752 3801 +-5266 -4213 +3999 7 +4978 2217 +7795 1356 +1396 -4081 +-7382 -739 +-8003 5017 +-4215 -5016 +0 0 +-4215 5016 +-8003 -5017 +-7382 739 +1396 4081 +7795 -1356 +4978 -2217 +3999 -7 +-5266 4213 +-3752 -3801 +4650 -4226 +-3101 3662 +-4268 -3930 +-3520 -1513 +-696 -110 +2105 -6805 +-4468 2979 +1688 1112 +6106 -6807 +-720 1689 +-3796 3262 +-1537 -29 +2069 539 +1684 1421 +5266 4213 +5506 3924 +2686 803 +415 1445 +-5248 5315 +-376 2009 +125 -1544 +1410 3216 +8937 0 +1410 -3216 +125 1544 +-376 -2009 +-5248 -5315 +415 -1445 +2686 -803 +5506 -3924 +5266 -4213 +1684 -1421 +2069 -539 +-1537 29 +-3796 -3262 +-720 -1689 +6106 6807 +1688 -1112 +-4468 -2979 +2105 6805 +-696 110 +-3520 1513 +-4268 3930 +-3101 -3662 +4650 4226 +-3752 3801 +-5266 -4213 +3999 7 +4978 2217 +7795 1356 +1396 -4081 +-7382 -739 +-8003 5017 +-4215 -5016 +0 1489 +3269 -3891 +875 -6811 +-1799 3547 +-2999 -2182 +-766 -4028 +445 -446 +1188 3123 +3596 1926 +3129 -4218 +3766 4435 +4088 1466 +247 -2516 +-5604 3971 +-10019 1866 +-987 6331 +8937 0 +-987 -6331 +-10019 -1866 +-5604 -3971 +247 2516 +4088 -1466 +3766 -4435 +3129 4218 +3596 -1926 +1188 -3123 +445 446 +-766 4028 +-2999 2182 +-1799 -3547 +875 6811 +3269 3891 +0 -1489 +-1758 5633 +2964 -1993 +572 -4968 +893 2182 +2703 5301 +-7294 3104 +-6089 1040 +-617 4032 +-4720 945 +1338 691 +3693 3378 +1859 2516 +5539 6604 +-3991 3714 +-2456 -3600 +8937 0 +-2456 3600 +-3991 -3714 +5539 -6604 +1859 -2516 +3693 -3378 +1338 -691 +-4720 -945 +-617 -4032 +-6089 -1040 +-7294 -3104 +2703 -5301 +893 -2182 +572 4968 +2964 1993 +-1758 -5633 +0 1489 +3269 -3891 +875 -6811 +-1799 3547 +-2999 -2182 +-766 -4028 +445 -446 +1188 3123 +3596 1926 +3129 -4218 +3766 4435 +4088 1466 +247 -2516 +-5604 3971 +-10019 1866 +-987 6331 +7447 -7447 +3134 2050 +-1063 2581 +3049 -7924 +-3242 730 +-1814 864 +1989 -2870 +-6271 -3811 +-5085 -7011 +-585 -4207 +-4402 -4355 +-2989 4219 +2089 1536 +3968 -7565 +3968 2678 +2511 1896 +1489 0 +2511 -1896 +3968 -2678 +3968 7565 +2089 -1536 +-2989 -4219 +-4402 4355 +-585 4207 +-5085 7011 +-6271 3811 +1989 2870 +-1814 -864 +-3242 -730 +3049 7924 +-1063 -2581 +3134 -2050 +7447 7447 +-2037 735 +796 -969 +1013 734 +-7802 3482 +93 2891 +5848 -1022 +1042 -1928 +-873 -4905 +310 -1532 +6735 463 +2430 -464 +-8919 2676 +-5750 375 +-1955 -1066 +1897 890 +7447 0 +1897 -890 +-1955 1066 +-5750 -375 +-8919 -2676 +2430 464 +6735 -463 +310 1532 +-873 4905 +1042 1928 +5848 1022 +93 -2891 +-7802 -3482 +1013 -734 +796 969 +-2037 -735 +7447 -7447 +3134 2050 +-1063 2581 +3049 -7924 +-3242 730 +-1814 864 +1989 -2870 +-6271 -3811 +-5085 -7011 +-585 -4207 +-4402 -4355 +-2989 4219 +2089 1536 +3968 -7565 +3968 2678 +2511 1896 +-4468 2979 +1419 5899 +-474 -1381 +96 -2188 +-969 3930 +-6138 -221 +-8504 -4998 +-3026 -5021 +6139 -2979 +3737 -811 +144 -865 +-587 3353 +-1450 -4081 +2580 -2669 +-3199 7020 +-5667 -6267 +0 0 +-5667 6267 +-3199 -7020 +2580 2669 +-1450 4081 +-587 -3353 +144 865 +3737 811 +6139 2979 +-3026 5021 +-8504 4998 +-6138 221 +-969 -3930 +96 2188 +-474 1381 +1419 -5899 +-4468 -2979 +-4730 6385 +2 2499 +-139 -1850 +7288 3262 +7003 -2975 +1860 -4893 +3997 -2921 +-181 -2979 +3077 1748 +6501 -4813 +-3502 -1801 +-4870 5315 +687 -1557 +3671 -1689 +1194 -1337 +-2979 0 +1194 1337 +3671 1689 +687 1557 +-4870 -5315 +-3502 1801 +6501 4813 +3077 -1748 +-181 2979 +3997 2921 +1860 4893 +7003 2975 +7288 -3262 +-139 1850 +2 -2499 +-4730 -6385 +-4468 2979 +1419 5899 +-474 -1381 +96 -2188 +-969 3930 +-6138 -221 +-8504 -4998 +-3026 -5021 +6139 -2979 +3737 -811 +144 -865 +-587 3353 +-1450 -4081 +2580 -2669 +-3199 7020 +-5667 -6267 +1489 4468 +1261 2599 +-4948 -9335 +-4706 -1748 +3756 5638 +648 1108 +354 3674 +3305 2223 +-617 -1670 +1257 -224 +-2197 2867 +-10225 4033 +-3529 3447 +3394 -1779 +1791 -4329 +1112 2752 +1489 0 +1112 -2752 +1791 4329 +3394 1779 +-3529 -3447 +-10225 -4033 +-2197 -2867 +1257 224 +-617 1670 +3305 -2223 +354 -3674 +648 -1108 +3756 -5638 +-4706 1748 +-4948 9335 +1261 -2599 +1489 -4468 +3353 -1639 +1969 584 +4479 -1140 +7648 -6511 +-2730 1298 +-3333 -6253 +4979 -8076 +3596 4649 +3444 -3349 +-782 -1233 +-7127 3877 +-1917 1639 +-584 4395 +-4770 -209 +-1860 487 +1489 0 +-1860 -487 +-4770 209 +-584 -4395 +-1917 -1639 +-7127 -3877 +-782 1233 +3444 3349 +3596 -4649 +4979 8076 +-3333 6253 +-2730 -1298 +7648 6511 +4479 1140 +1969 -584 +3353 1639 +1489 4468 +1261 2599 +-4948 -9335 +-4706 -1748 +3756 5638 +648 1108 +354 3674 +3305 2223 +-617 -1670 +1257 -224 +-2197 2867 +-10225 4033 +-3529 3447 +3394 -1779 +1791 -4329 +1112 2752 +1489 -10426 +-7373 -93 +58 1678 +4987 -6264 +806 483 +67 3983 +-9800 -901 +-3701 -1816 +1489 1670 +-3883 744 +3499 -335 +1856 4476 +-1946 2429 +1792 4242 +-9 4406 +-1763 -7955 +-1489 0 +-1763 7955 +-9 -4406 +1792 -4242 +-1946 -2429 +1856 -4476 +3499 335 +-3883 -744 +1489 -1670 +-3701 1816 +-9800 901 +67 -3983 +806 -483 +4987 6264 +58 -1678 +-7373 93 +1489 10426 +-3379 1386 +-5068 -3139 +82 1152 +-806 1623 +2660 1529 +1522 -4452 +1702 -5338 +1489 -4649 +-263 -5164 +566 3408 +-1662 2861 +1946 -323 +7068 4388 +9232 2559 +1808 66 +-7447 0 +1808 -66 +9232 -2559 +7068 -4388 +1946 323 +-1662 -2861 +566 -3408 +-263 5164 +1489 4649 +1702 5338 +1522 4452 +2660 -1529 +-806 -1623 +82 -1152 +-5068 3139 +-3379 -1386 +1489 -10426 +-7373 -93 +58 1678 +4987 -6264 +806 483 +67 3983 +-9800 -901 +-3701 -1816 +1489 1670 +-3883 744 +3499 -335 +1856 4476 +-1946 2429 +1792 4242 +-9 4406 +-1763 -7955 +1489 0 +1818 4117 +-7430 561 +-1421 1228 +-2 -4575 +-1772 583 +7997 9254 +5067 -1460 +4032 -873 +1628 5897 +-1713 -471 +261 2281 +-6308 5048 +-1843 -215 +-1932 434 +-3910 954 +2979 0 +-3910 -954 +-1932 -434 +-1843 215 +-6308 -5048 +261 -2281 +-1713 471 +1628 -5897 +4032 873 +5067 1460 +7997 -9254 +-1772 -583 +-2 4575 +-1421 -1228 +-7430 -561 +1818 -4117 +1489 0 +-2918 6140 +-901 -3046 +7980 -2157 +10534 1597 +-3349 -5096 +-4011 -276 +1958 3179 +1926 -5085 +3344 -5469 +194 -723 +-2577 -301 +-4224 -2069 +-5705 -2647 +-4120 -1173 +1439 -414 +5958 0 +1439 414 +-4120 1173 +-5705 2647 +-4224 2069 +-2577 301 +194 723 +3344 5469 +1926 5085 +1958 -3179 +-4011 276 +-3349 5096 +10534 -1597 +7980 2157 +-901 3046 +-2918 -6140 +1489 0 +1818 4117 +-7430 561 +-1421 1228 +-2 -4575 +-1772 583 +7997 9254 +5067 -1460 +4032 -873 +1628 5897 +-1713 -471 +261 2281 +-6308 5048 +-1843 -215 +-1932 434 +-3910 954 +1489 7447 +-1284 -577 +-982 1145 +3669 2203 +2069 -6092 +1048 -3093 +2425 -351 +10795 -484 +3596 1670 +-8579 566 +-3629 -1230 +-3371 -940 +-4576 4791 +-26 1733 +4935 -4351 +1778 2789 +-4468 0 +1778 -2789 +4935 4351 +-26 -1733 +-4576 -4791 +-3371 940 +-3629 1230 +-8579 -566 +3596 -1670 +10795 484 +2425 351 +1048 3093 +2069 6092 +3669 -2203 +-982 -1145 +-1284 577 +1489 -7447 +-3628 -2017 +982 2800 +-2934 -1525 +-5048 -4952 +3110 -7221 +-2425 -3273 +1206 -2705 +-617 -4649 +-7635 -3220 +3629 -649 +3425 6301 +1597 2039 +3503 -5721 +-4935 -1874 +-1079 -1358 +7447 0 +-1079 1358 +-4935 1874 +3503 5721 +1597 -2039 +3425 -6301 +3629 649 +-7635 3220 +-617 4649 +1206 2705 +-2425 3273 +3110 7221 +-5048 4952 +-2934 1525 +982 -2800 +-3628 2017 +1489 7447 +-1284 -577 +-982 1145 +3669 2203 +2069 -6092 +1048 -3093 +2425 -351 +10795 -484 +3596 1670 +-8579 566 +-3629 -1230 +-3371 -940 +-4576 4791 +-26 1733 +4935 -4351 +1778 2789 +-4468 2979 +5693 -4814 +-950 -1342 +-7420 2667 +919 -930 +1826 1605 +3739 5424 +-380 935 +-1309 6575 +2123 5532 +-2116 -7239 +119 -72 +113 4328 +-2395 -1778 +-1213 -3244 +-815 -7711 +0 0 +-815 7711 +-1213 3244 +-2395 1778 +113 -4328 +119 72 +-2116 7239 +2123 -5532 +-1309 -6575 +-380 -935 +3739 -5424 +1826 -1605 +919 930 +-7420 -2667 +-950 1342 +5693 4814 +-4468 -2979 +330 -4992 +2941 2308 +847 -2553 +2059 -4155 +3857 221 +11237 -6070 +473 -2284 +-7628 2362 +1008 -1377 +1523 2380 +1982 -383 +2866 -3456 +1184 -388 +-3245 -2 +-8431 3409 +-8937 0 +-8431 -3409 +-3245 2 +1184 388 +2866 3456 +1982 383 +1523 -2380 +1008 1377 +-7628 -2362 +473 2284 +11237 6070 +3857 -221 +2059 4155 +847 2553 +2941 -2308 +330 4992 +-4468 2979 +5693 -4814 +-950 -1342 +-7420 2667 +919 -930 +1826 1605 +3739 5424 +-380 935 +-1309 6575 +2123 5532 +-2116 -7239 +119 -72 +113 4328 +-2395 -1778 +-1213 -3244 +-815 -7711 +1489 0 +-3792 -2312 +-1275 -3886 +-6415 -867 +-4268 1423 +5248 622 +-2615 3016 +-2060 -820 +-1053 -2106 +1596 8139 +8660 2458 +2969 -6243 +1396 5542 +-404 5814 +1114 -963 +3712 5405 +0 0 +3712 -5405 +1114 963 +-404 -5814 +1396 -5542 +2969 6243 +8660 -2458 +1596 -8139 +-1053 2106 +-2060 820 +-2615 -3016 +5248 -622 +-4268 -1423 +-6415 867 +-1275 3886 +-3792 2312 +1489 0 +1193 -3381 +7674 -2812 +8133 525 +-3796 -189 +1231 568 +-2663 1749 +-8366 859 +1053 2106 +4617 975 +2576 562 +-5235 4164 +-5248 1650 +2899 -999 +-1555 4436 +-5326 6404 +-2979 0 +-5326 -6404 +-1555 -4436 +2899 999 +-5248 -1650 +-5235 -4164 +2576 -562 +4617 -975 +1053 -2106 +-8366 -859 +-2663 -1749 +1231 -568 +-3796 189 +8133 -525 +7674 2812 +1193 3381 +1489 0 +-3792 -2312 +-1275 -3886 +-6415 -867 +-4268 1423 +5248 622 +-2615 3016 +-2060 -820 +-1053 -2106 +1596 8139 +8660 2458 +2969 -6243 +1396 5542 +-404 5814 +1114 -963 +3712 5405 +2979 -7447 +-6653 -2156 +-641 2329 +2920 -566 +2999 76 +9323 -4060 +5 -3713 +1129 2594 +3596 1053 +-2467 -6672 +3306 -3480 +1908 6883 +-247 410 +1015 -3129 +-5419 948 +-7572 -6036 +-5958 0 +-7572 6036 +-5419 -948 +1015 3129 +-247 -410 +1908 -6883 +3306 3480 +-2467 6672 +3596 -1053 +1129 -2594 +5 3713 +9323 4060 +2999 -76 +2920 566 +-641 -2329 +-6653 2156 +2979 7447 +-108 -717 +-2605 1563 +-784 3161 +-893 -4289 +6586 68 +4854 5325 +857 4815 +-617 -1053 +-2440 -2885 +-3952 5092 +-7112 -358 +-1859 -4622 +2995 4326 +4452 2944 +403 -1888 +-5958 0 +403 1888 +4452 -2944 +2995 -4326 +-1859 4622 +-7112 358 +-3952 -5092 +-2440 2885 +-617 1053 +857 -4815 +4854 -5325 +6586 -68 +-893 4289 +-784 -3161 +-2605 -1563 +-108 717 +2979 -7447 +-6653 -2156 +-641 2329 +2920 -566 +2999 76 +9323 -4060 +5 -3713 +1129 2594 +3596 1053 +-2467 -6672 +3306 -3480 +1908 6883 +-247 410 +1015 -3129 +-5419 948 +-7572 -6036 +-2979 4468 +6514 -43 +-5726 3276 +-8811 11066 +-617 2656 +-2291 -5816 +3941 -5444 +-2144 -1562 +-5085 436 +3287 1938 +-2668 182 +-1097 -6425 +3596 -2496 +-595 -1317 +-1642 -3109 +-582 6100 +0 0 +-582 -6100 +-1642 3109 +-595 1317 +3596 2496 +-1097 6425 +-2668 -182 +3287 -1938 +-5085 -436 +-2144 1562 +3941 5444 +-2291 5816 +-617 -2656 +-8811 -11066 +-5726 -3276 +6514 43 +-2979 -4468 +-2934 -410 +3620 -1437 +4162 1551 +-617 5408 +-7589 -2876 +-1835 -287 +-1422 4714 +-873 2543 +6772 2693 +4774 45 +1260 120 +3596 -1356 +6535 -4022 +-464 -1010 +-1065 -1583 +5958 0 +-1065 1583 +-464 1010 +6535 4022 +3596 1356 +1260 -120 +4774 -45 +6772 -2693 +-873 -2543 +-1422 -4714 +-1835 287 +-7589 2876 +-617 -5408 +4162 -1551 +3620 1437 +-2934 410 +-2979 4468 +6514 -43 +-5726 3276 +-8811 11066 +-617 2656 +-2291 -5816 +3941 -5444 +-2144 -1562 +-5085 436 +3287 1938 +-2668 182 +-1097 -6425 +3596 -2496 +-595 -1317 +-1642 -3109 +-582 6100 +-1489 -2979 +-5795 3219 +-137 4068 +-3497 -1542 +-2968 -1735 +7271 -5327 +4904 -5344 +-2465 -2894 +-3160 -5085 +3104 -301 +4799 767 +-837 -3441 +1236 -9274 +2791 -5421 +-2403 4598 +-3893 -1375 +-2979 0 +-3893 1375 +-2403 -4598 +2791 5421 +1236 9274 +-837 3441 +4799 -767 +3104 301 +-3160 5085 +-2465 2894 +4904 5344 +7271 5327 +-2968 1735 +-3497 1542 +-137 -4068 +-5795 -3219 +-1489 2979 +762 4358 +-2237 -1583 +-1018 -906 +-884 4714 +6603 -6821 +5040 -3633 +-2218 6405 +3160 -873 +590 2520 +-359 426 +-1040 -2214 +-9300 6295 +-1847 1040 +2310 -3858 +1489 -766 +5958 0 +1489 766 +2310 3858 +-1847 -1040 +-9300 -6295 +-1040 2214 +-359 -426 +590 -2520 +3160 873 +-2218 -6405 +5040 3633 +6603 6821 +-884 -4714 +-1018 906 +-2237 1583 +762 -4358 +-1489 -2979 +-5795 3219 +-137 4068 +-3497 -1542 +-2968 -1735 +7271 -5327 +4904 -5344 +-2465 -2894 +-3160 -5085 +3104 -301 +4799 767 +-837 -3441 +1236 -9274 +2791 -5421 +-2403 4598 +-3893 -1375 +-4468 4468 +-141 -1397 +391 1655 +72 6310 +-3834 -4128 +-4755 128 +5049 1634 +690 -2484 +-2979 6139 +1991 4108 +1223 -432 +-773 2071 +-2369 -1710 +2593 -548 +2798 3407 +4098 518 +10426 0 +4098 -518 +2798 -3407 +2593 548 +-2369 1710 +-773 -2071 +1223 432 +1991 -4108 +-2979 -6139 +690 2484 +5049 -1634 +-4755 -128 +-3834 4128 +72 -6310 +391 -1655 +-141 1397 +-4468 -4468 +-2204 3714 +3554 2237 +12803 -1023 +7174 4128 +-7424 1057 +-1424 -21 +2204 -462 +-2979 -181 +-5830 1371 +-3102 2045 +-336 7541 +-6929 1710 +-2181 -2591 +3427 485 +-809 -6626 +-1489 0 +-809 6626 +3427 -485 +-2181 2591 +-6929 -1710 +-336 -7541 +-3102 -2045 +-5830 -1371 +-2979 181 +2204 462 +-1424 21 +-7424 -1057 +7174 -4128 +12803 1023 +3554 -2237 +-2204 -3714 +-4468 4468 +-141 -1397 +391 1655 +72 6310 +-3834 -4128 +-4755 128 +5049 1634 +690 -2484 +-2979 6139 +1991 4108 +1223 -432 +-773 2071 +-2369 -1710 +2593 -548 +2798 3407 +4098 518 +-7447 -2979 +-332 4349 +6025 -2802 +6661 -2361 +7005 7611 +5729 2099 +3455 -5034 +-3259 969 +-6139 8064 +-1808 835 +-1227 -3631 +2851 1833 +3425 4386 +-2634 878 +4203 -2116 +4678 1436 +-2979 0 +4678 -1436 +4203 2116 +-2634 -878 +3425 -4386 +2851 -1833 +-1227 3631 +-1808 -835 +-6139 -8064 +-3259 -969 +3455 5034 +5729 -2099 +7005 -7611 +6661 2361 +6025 2802 +-332 -4349 +-7447 2979 +-2703 -2113 +2134 -1090 +904 6938 +-1919 -3398 +-5110 960 +-4043 3422 +-2792 -525 +181 3851 +2355 -391 +-4866 2019 +-5751 1225 +-2552 -174 +-2651 3699 +6235 -1776 +3861 800 +-5958 0 +3861 -800 +6235 1776 +-2651 -3699 +-2552 174 +-5751 -1225 +-4866 -2019 +2355 391 +181 -3851 +-2792 525 +-4043 -3422 +-5110 -960 +-1919 3398 +904 -6938 +2134 1090 +-2703 2113 +-7447 -2979 +-332 4349 +6025 -2802 +6661 -2361 +7005 7611 +5729 2099 +3455 -5034 +-3259 969 +-6139 8064 +-1808 835 +-1227 -3631 +2851 1833 +3425 4386 +-2634 878 +4203 -2116 +4678 1436 +2979 1489 +1830 -2457 +207 -4122 +-544 -1661 +-3950 1080 +-6832 5136 +5150 -2212 +6292 -5036 +617 1053 +-453 -294 +-2971 -3642 +87 -2699 +-855 2799 +-2690 -468 +-1086 -4726 +3730 3704 +8937 0 +3730 -3704 +-1086 4726 +-2690 468 +-855 -2799 +87 2699 +-2971 3642 +-453 294 +617 -1053 +6292 5036 +5150 2212 +-6832 -5136 +-3950 -1080 +-544 1661 +207 4122 +1830 2457 +2979 -1489 +2969 4281 +-6606 3628 +-1180 3245 +610 6112 +-8326 -1759 +128 -3787 +-3006 -775 +-3596 -1053 +2368 -12 +-8265 1856 +-1139 3795 +10153 -1565 +3772 -227 +1527 8444 +3121 3625 +2979 0 +3121 -3625 +1527 -8444 +3772 227 +10153 1565 +-1139 -3795 +-8265 -1856 +2368 12 +-3596 1053 +-3006 775 +128 3787 +-8326 1759 +610 -6112 +-1180 -3245 +-6606 -3628 +2969 -4281 +2979 1489 +1830 -2457 +207 -4122 +-544 -1661 +-3950 1080 +-6832 5136 +5150 -2212 +6292 -5036 +617 1053 +-453 -294 +-2971 -3642 +87 -2699 +-855 2799 +-2690 -468 +-1086 -4726 +3730 3704 +1489 5958 +2351 12538 +-1577 -1003 +-1546 -832 +3302 3855 +-3831 -5375 +-7943 -3296 +-2074 1333 +1053 -2106 +2220 -1268 +2391 3952 +4736 1954 +3462 857 +-2564 2515 +1350 -294 +2067 852 +-2979 0 +2067 -852 +1350 294 +-2564 -2515 +3462 -857 +4736 -1954 +2391 -3952 +2220 1268 +1053 2106 +-2074 -1333 +-7943 3296 +-3831 5375 +3302 -3855 +-1546 832 +-1577 1003 +2351 -12538 +1489 -5958 +1009 -2041 +-5766 264 +-7467 1793 +550 -11046 +176 -3091 +-68 2103 +2586 -4328 +-1053 2106 +-3079 4767 +-338 5026 +5715 -703 +4602 -2091 +-3644 -262 +35 -2190 +3345 7712 +0 0 +3345 -7712 +35 2190 +-3644 262 +4602 2091 +5715 703 +-338 -5026 +-3079 -4767 +-1053 -2106 +2586 4328 +-68 -2103 +176 3091 +550 11046 +-7467 -1793 +-5766 -264 +1009 2041 +1489 5958 +2351 12538 +-1577 -1003 +-1546 -832 +3302 3855 +-3831 -5375 +-7943 -3296 +-2074 1333 +1053 -2106 +2220 -1268 +2391 3952 +4736 1954 +3462 857 +-2564 2515 +1350 -294 +2067 852 +-1489 0 +-4670 1937 +-952 676 +-431 -3151 +6671 2440 +4744 1796 +141 3670 +-1527 3746 +-11224 -5085 +-2935 1357 +6583 5010 +-1031 -306 +-1274 -2592 +52 -1968 +363 -83 +-3020 -4557 +-8937 0 +-3020 4557 +363 83 +52 1968 +-1274 2592 +-1031 306 +6583 -5010 +-2935 -1357 +-11224 5085 +-1527 -3746 +141 -3670 +4744 -1796 +6671 -2440 +-431 3151 +-952 -676 +-4670 -1937 +-1489 0 +1337 -1745 +-5140 -3901 +946 -3514 +-1586 1773 +-6081 1174 +8017 4115 +7201 3548 +-692 -873 +2766 5937 +3855 2774 +4648 3275 +2146 6805 +-2847 -4697 +-951 -3141 +849 4750 +0 0 +849 -4750 +-951 3141 +-2847 4697 +2146 -6805 +4648 -3275 +3855 -2774 +2766 -5937 +-692 873 +7201 -3548 +8017 -4115 +-6081 -1174 +-1586 -1773 +946 3514 +-5140 3901 +1337 1745 +-1489 0 +-4670 1937 +-952 676 +-431 -3151 +6671 2440 +4744 1796 +141 3670 +-1527 3746 +-11224 -5085 +-2935 1357 +6583 5010 +-1031 -306 +-1274 -2592 +52 -1968 +363 -83 +-3020 -4557 +-2979 0 +2407 4533 +-2188 5922 +527 -3833 +2059 -3538 +-291 -265 +2424 6114 +-2895 4408 +-2287 -7447 +1197 -1508 +-3595 3396 +848 601 +2866 140 +-3780 614 +-676 2507 +6034 -2082 +7447 0 +6034 2082 +-676 -2507 +-3780 -614 +2866 -140 +848 -601 +-3595 -3396 +1197 1508 +-2287 7447 +-2895 -4408 +2424 -6114 +-291 265 +2059 3538 +527 3833 +-2188 -5922 +2407 -4533 +-2979 0 +-2453 -4576 +-2576 -6394 +-9388 -2981 +919 -314 +97 -4209 +-5897 531 +7042 -319 +8245 -7447 +802 2372 +-3102 3248 +-3575 2710 +113 7924 +-1289 356 +3696 -2980 +4718 -1185 +-1489 0 +4718 1185 +3696 2980 +-1289 -356 +113 -7924 +-3575 -2710 +-3102 -3248 +802 -2372 +8245 7447 +7042 319 +-5897 -531 +97 4209 +919 314 +-9388 2981 +-2576 6394 +-2453 4576 +-2979 0 +2407 4533 +-2188 5922 +527 -3833 +2059 -3538 +-291 -265 +2424 6114 +-2895 4408 +-2287 -7447 +1197 -1508 +-3595 3396 +848 601 +2866 140 +-3780 614 +-676 2507 +6034 -2082 +0 -5958 +-133 5365 +1397 -5192 +6557 -4947 +5379 1329 +-1110 3667 +85 5663 +-2544 -1408 +-9734 -2106 +-5025 -288 +1667 4968 +-291 7409 +-3207 -4402 +-3427 -3196 +-1808 2444 +-1014 -3716 +-1489 0 +-1014 3716 +-1808 -2444 +-3427 3196 +-3207 4402 +-291 -7409 +1667 -4968 +-5025 288 +-9734 2106 +-2544 1408 +85 -5663 +-1110 -3667 +5379 -1329 +6557 4947 +1397 5192 +-133 -5365 +0 5958 +1782 7049 +-258 -1505 +-1350 3379 +8132 -2563 +6929 -1698 +-2837 -898 +1704 -3983 +798 2106 +-6132 -437 +1085 -1949 +1910 -4906 +-4347 -2790 +-792 5653 +668 1029 +2936 455 +7447 0 +2936 -455 +668 -1029 +-792 -5653 +-4347 2790 +1910 4906 +1085 1949 +-6132 437 +798 -2106 +1704 3983 +-2837 898 +6929 1698 +8132 2563 +-1350 -3379 +-258 1505 +1782 -7049 +0 -5958 +-133 5365 +1397 -5192 +6557 -4947 +5379 1329 +-1110 3667 +85 5663 +-2544 -1408 +-9734 -2106 +-5025 -288 +1667 4968 +-291 7409 +-3207 -4402 +-3427 -3196 +-1808 2444 +-1014 -3716 +-2979 -7447 +125 2721 +948 8906 +-1940 -2674 +1946 4079 +5659 -318 +-537 788 +-4829 7126 +-1234 -4649 +-477 -1932 +-2699 -1438 +-1138 -3043 +806 3046 +445 -15 +-633 2474 +-3652 4886 +-5958 0 +-3652 -4886 +-633 -2474 +445 15 +806 -3046 +-1138 3043 +-2699 1438 +-477 1932 +-1234 4649 +-4829 -7126 +-537 -788 +5659 318 +1946 -4079 +-1940 2674 +948 -8906 +125 -2721 +-2979 7447 +-575 -7130 +-948 -4368 +-5262 5397 +-1946 5219 +3187 -674 +537 -2422 +598 3545 +7192 1670 +7933 3724 +2699 4017 +76 -2698 +-806 294 +-1027 2926 +633 6277 +878 10592 +0 0 +878 -10592 +633 -6277 +-1027 -2926 +-806 -294 +76 2698 +2699 -4017 +7933 -3724 +7192 -1670 +598 -3545 +537 2422 +3187 674 +-1946 -5219 +-5262 -5397 +-948 4368 +-575 7130 +-2979 -7447 +125 2721 +948 8906 +-1940 -2674 +1946 4079 +5659 -318 +-537 788 +-4829 7126 +-1234 -4649 +-477 -1932 +-2699 -1438 +-1138 -3043 +806 3046 +445 -15 +-633 2474 +-3652 4886 +-7447 0 +-3105 -4083 +-184 -2780 +-2292 2466 +-134 966 +-777 2405 +1571 8423 +11302 -587 +3160 -4213 +-1774 1016 +-264 -535 +-4514 5105 +1167 4859 +-3945 -1192 +-9072 -42 +-1873 -3135 +0 0 +-1873 3135 +-9072 42 +-3945 1192 +1167 -4859 +-4514 -5105 +-264 535 +-1774 -1016 +3160 4213 +11302 587 +1571 -8423 +-777 -2405 +-134 -966 +-2292 -2466 +-184 2780 +-3105 4083 +-7447 0 +-3120 -2898 +4722 -1811 +2791 -520 +1006 3246 +731 2121 +63 -1552 +1624 6939 +-3160 4213 +3126 -4835 +2842 1449 +-8381 1166 +3919 -646 +7962 1393 +322 1409 +2246 6325 +2979 0 +2246 -6325 +322 -1409 +7962 -1393 +3919 646 +-8381 -1166 +2842 -1449 +3126 4835 +-3160 -4213 +1624 -6939 +63 1552 +731 -2121 +1006 -3246 +2791 520 +4722 1811 +-3120 2898 +-7447 0 +-3105 -4083 +-184 -2780 +-2292 2466 +-134 966 +-777 2405 +1571 8423 +11302 -587 +3160 -4213 +-1774 1016 +-264 -535 +-4514 5105 +1167 4859 +-3945 -1192 +-9072 -42 +-1873 -3135 +-2979 -1489 +-1712 3045 +-1871 8385 +2466 -2631 +646 -5059 +323 -3123 +-2147 -8482 +-2303 -17 +873 4649 +-1293 -3688 +-90 -3194 +4183 -688 +966 2618 +-6242 8358 +-2705 2894 +-1272 -4242 +-5958 0 +-1272 4242 +-2705 -2894 +-6242 -8358 +966 -2618 +4183 688 +-90 3194 +-1293 3688 +873 -4649 +-2303 17 +-2147 8482 +323 3123 +646 5059 +2466 2631 +-1871 -8385 +-1712 -3045 +-2979 1489 +-1796 839 +5763 5656 +-7432 2256 +-4859 -27 +8967 1411 +534 -4294 +2649 -5027 +5085 -1670 +4172 -903 +1702 -1879 +-5689 -4702 +3246 -1746 +3424 -495 +-1187 -4982 +1555 -3336 +0 0 +1555 3336 +-1187 4982 +3424 495 +3246 1746 +-5689 4702 +1702 1879 +4172 903 +5085 1670 +2649 5027 +534 4294 +8967 -1411 +-4859 27 +-7432 -2256 +5763 -5656 +-1796 -839 +-2979 -1489 +-1712 3045 +-1871 8385 +2466 -2631 +646 -5059 +323 -3123 +-2147 -8482 +-2303 -17 +873 4649 +-1293 -3688 +-90 -3194 +4183 -688 +966 2618 +-6242 8358 +-2705 2894 +-1272 -4242 +-2979 5958 +4315 -1201 +-687 -5472 +502 1461 +-1623 -873 +-4386 2756 +-2166 4262 +-5987 -6106 +6755 2979 +7726 9725 +-3032 -1477 +2696 1147 +-323 5085 +201 551 +2445 -1718 +2456 -4731 +7447 0 +2456 4731 +2445 1718 +201 -551 +-323 -5085 +2696 -1147 +-3032 1477 +7726 -9725 +6755 -2979 +-5987 6106 +-2166 -4262 +-4386 -2756 +-1623 873 +502 -1461 +-687 5472 +4315 1201 +-2979 -5958 +-3230 2160 +5545 5472 +5276 2293 +-483 -873 +-2895 -4780 +1200 -4262 +-4059 -1475 +-3777 2979 +4600 4861 +-215 1477 +-919 2521 +2429 5085 +-475 3959 +-3090 1718 +-5821 -910 +-7447 0 +-5821 910 +-3090 -1718 +-475 -3959 +2429 -5085 +-919 -2521 +-215 -1477 +4600 -4861 +-3777 -2979 +-4059 1475 +1200 4262 +-2895 4780 +-483 873 +5276 -2293 +5545 -5472 +-3230 -2160 +-2979 5958 +4315 -1201 +-687 -5472 +502 1461 +-1623 -873 +-4386 2756 +-2166 4262 +-5987 -6106 +6755 2979 +7726 9725 +-3032 -1477 +2696 1147 +-323 5085 +201 551 +2445 -1718 +2456 -4731 +4468 -1489 +-371 -4253 +-5962 -1448 +-859 -10146 +-1547 -6758 +5314 6159 +9971 6618 +-1855 227 +-873 -1053 +2939 5087 +-2460 234 +-3136 -2124 +-733 2532 +2780 -497 +-10 -889 +-3239 -773 +-1489 0 +-3239 773 +-10 889 +2780 497 +-733 -2532 +-3136 2124 +-2460 -234 +2939 -5087 +-873 1053 +-1855 -227 +9971 -6618 +5314 -6159 +-1547 6758 +-859 10146 +-5962 1448 +-371 4253 +4468 1489 +953 2072 +2222 1621 +-796 -6463 +-4772 3779 +-2837 1250 +886 -3220 +-1067 7161 +-5085 1053 +-17 2113 +28 7376 +660 654 +7052 447 +-1125 3776 +-4675 5275 +2658 3340 +4468 0 +2658 -3340 +-4675 -5275 +-1125 -3776 +7052 -447 +660 -654 +28 -7376 +-17 -2113 +-5085 -1053 +-1067 -7161 +886 3220 +-2837 -1250 +-4772 -3779 +-796 6463 +2222 -1621 +953 -2072 +4468 -1489 +-371 -4253 +-5962 -1448 +-859 -10146 +-1547 -6758 +5314 6159 +9971 6618 +-1855 227 +-873 -1053 +2939 5087 +-2460 234 +-3136 -2124 +-733 2532 +2780 -497 +-10 -889 +-3239 -773 +0 -4468 +-746 -5445 +-2715 1208 +3151 -2677 +2214 -5424 +-735 1788 +2759 -3895 +-2455 -3783 +0 436 +874 -5775 +-3598 -3097 +-263 -2223 +-1527 -4605 +3449 -12 +4353 -1011 +-2664 -2840 +-2979 0 +-2664 2840 +4353 1011 +3449 12 +-1527 4605 +-263 2223 +-3598 3097 +874 5775 +0 -436 +-2455 3783 +2759 3895 +-735 -1788 +2214 5424 +3151 2677 +-2715 -1208 +-746 5445 +0 4468 +876 2062 +-479 -1609 +-5621 -6894 +-3959 -5619 +5899 -334 +7336 2336 +-97 -408 +0 2543 +-2536 -349 +-540 -8632 +-689 4969 +-8644 11436 +3234 157 +4798 2356 +-1678 5950 +2979 0 +-1678 -5950 +4798 -2356 +3234 -157 +-8644 -11436 +-689 -4969 +-540 8632 +-2536 349 +0 -2543 +-97 408 +7336 -2336 +5899 334 +-3959 5619 +-5621 6894 +-479 1609 +876 -2062 +0 -4468 +-746 -5445 +-2715 1208 +3151 -2677 +2214 -5424 +-735 1788 +2759 -3895 +-2455 -3783 +0 436 +874 -5775 +-3598 -3097 +-263 -2223 +-1527 -4605 +3449 -12 +4353 -1011 +-2664 -2840 +0 1489 +-509 -10216 +-2529 -1491 +1198 7626 +1592 -3055 +-4065 2722 +4415 7865 +3604 -1067 +617 -4032 +721 -608 +-6047 4208 +-1297 3405 +-666 2569 +-5298 2896 +-1661 -190 +-1242 729 +-2979 0 +-1242 -729 +-1661 190 +-5298 -2896 +-666 -2569 +-1297 -3405 +-6047 -4208 +721 608 +617 4032 +3604 1067 +4415 -7865 +-4065 -2722 +1592 3055 +1198 -7626 +-2529 1491 +-509 10216 +0 -1489 +3996 1055 +-2084 793 +-2061 -4872 +2260 1310 +-1269 2097 +1357 618 +-3847 -1348 +-3596 -1926 +1455 1764 +-1470 -1683 +7923 426 +8730 7601 +-3556 7295 +-3897 5449 +4248 2107 +8937 0 +4248 -2107 +-3897 -5449 +-3556 -7295 +8730 -7601 +7923 -426 +-1470 1683 +1455 -1764 +-3596 1926 +-3847 1348 +1357 -618 +-1269 -2097 +2260 -1310 +-2061 4872 +-2084 -793 +3996 -1055 +0 1489 +-509 -10216 +-2529 -1491 +1198 7626 +1592 -3055 +-4065 2722 +4415 7865 +3604 -1067 +617 -4032 +721 -608 +-6047 4208 +-1297 3405 +-666 2569 +-5298 2896 +-1661 -190 +-1242 729 +0 4468 +4525 7241 +2393 861 +-1411 -4565 +-4032 -3536 +-2467 -2853 +4768 -1548 +1011 760 +-5702 -1053 +1943 -3075 +4450 3313 +-3256 -346 +-1926 -6168 +3461 1085 +1982 3513 +-1669 2040 +-2979 0 +-1669 -2040 +1982 -3513 +3461 -1085 +-1926 6168 +-3256 346 +4450 -3313 +1943 3075 +-5702 1053 +1011 -760 +4768 1548 +-2467 2853 +-4032 3536 +-1411 4565 +2393 -861 +4525 -7241 +0 -4468 +333 -5394 +1231 -3386 +-5442 -5566 +-4032 -10848 +1248 246 +1458 849 +4268 -544 +2723 1053 +-729 -4146 +-504 1946 +-5242 1310 +-1926 3700 +4683 782 +-3861 -11997 +-1256 795 +8937 0 +-1256 -795 +-3861 11997 +4683 -782 +-1926 -3700 +-5242 -1310 +-504 -1946 +-729 4146 +2723 -1053 +4268 544 +1458 -849 +1248 -246 +-4032 10848 +-5442 5566 +1231 3386 +333 5394 +0 4468 +4525 7241 +2393 861 +-1411 -4565 +-4032 -3536 +-2467 -2853 +4768 -1548 +1011 760 +-5702 -1053 +1943 -3075 +4450 3313 +-3256 -346 +-1926 -6168 +3461 1085 +1982 3513 +-1669 2040 +-2979 1489 +-3111 -812 +-2279 39 +581 7593 +4649 6584 +4061 -4504 +817 -2434 +-1841 -3581 +-2362 1053 +2171 7474 +1031 -4791 +-6520 3677 +-1670 5079 +4711 -4537 +-1465 5221 +1309 -234 +8937 0 +1309 234 +-1465 -5221 +4711 4537 +-1670 -5079 +-6520 -3677 +1031 4791 +2171 -7474 +-2362 -1053 +-1841 3581 +817 2434 +4061 4504 +4649 -6584 +581 -7593 +-2279 -39 +-3111 812 +-2979 -1489 +-2200 -1546 +-2086 -3512 +1867 -6767 +4649 608 +-2103 1633 +-5849 -586 +-3726 3597 +-6575 -1053 +-4042 -3699 +4001 26 +991 1342 +-1670 -3845 +4838 -7087 +5829 1477 +3014 5126 +2979 0 +3014 -5126 +5829 -1477 +4838 7087 +-1670 3845 +991 -1342 +4001 -26 +-4042 3699 +-6575 1053 +-3726 -3597 +-5849 586 +-2103 -1633 +4649 -608 +1867 6767 +-2086 3512 +-2200 1546 +-2979 1489 +-3111 -812 +-2279 39 +581 7593 +4649 6584 +4061 -4504 +817 -2434 +-1841 -3581 +-2362 1053 +2171 7474 +1031 -4791 +-6520 3677 +-1670 5079 +4711 -4537 +-1465 5221 +1309 -234 +-1489 0 +6705 -4404 +7031 -3677 +-5434 1474 +-1253 -1189 +2311 -5011 +-1002 -181 +1804 -1336 +-2543 617 +-2326 6566 +-111 -156 +-6376 2697 +-4812 4088 +-580 2132 +491 4563 +-2099 -6225 +-5958 0 +-2099 6225 +491 -4563 +-580 -2132 +-4812 -4088 +-6376 -2697 +-111 156 +-2326 -6566 +-2543 -617 +1804 1336 +-1002 181 +2311 5011 +-1253 1189 +-5434 -1474 +7031 3677 +6705 4404 +-1489 0 +930 423 +3732 -3782 +2753 -1039 +-1726 10487 +-520 -1269 +757 -8890 +-3323 -2613 +-436 -3596 +3845 190 +6314 3723 +4585 4954 +1833 -748 +3261 -4619 +-5296 616 +-5536 -3902 +2979 0 +-5536 3902 +-5296 -616 +3261 4619 +1833 748 +4585 -4954 +6314 -3723 +3845 -190 +-436 3596 +-3323 2613 +757 8890 +-520 1269 +-1726 -10487 +2753 1039 +3732 3782 +930 -423 +-1489 0 +6705 -4404 +7031 -3677 +-5434 1474 +-1253 -1189 +2311 -5011 +-1002 -181 +1804 -1336 +-2543 617 +-2326 6566 +-111 -156 +-6376 2697 +-4812 4088 +-580 2132 +491 4563 +-2099 -6225 +1489 -4468 +2182 4011 +-3372 1253 +-5155 -2881 +4489 1042 +2709 -4322 +-4917 1895 +1938 306 +5085 -5266 +-1362 3309 +1413 -5451 +5808 -2574 +1242 5268 +-2291 -1443 +-737 2142 +2560 -344 +4468 0 +2560 344 +-737 -2142 +-2291 1443 +1242 -5268 +5808 2574 +1413 5451 +-1362 -3309 +5085 5266 +1938 -306 +-4917 -1895 +2709 4322 +4489 -1042 +-5155 2881 +-3372 -1253 +2182 -4011 +1489 4468 +3671 3044 +2027 227 +-2755 -1979 +597 -1042 +180 1609 +-6813 492 +-5177 4215 +873 5266 +2322 -6572 +146 -12504 +-3192 -3363 +-370 -5268 +4697 -6642 +337 2827 +-6133 -386 +-7447 0 +-6133 386 +337 -2827 +4697 6642 +-370 5268 +-3192 3363 +146 12504 +2322 6572 +873 -5266 +-5177 -4215 +-6813 -492 +180 -1609 +597 1042 +-2755 1979 +2027 -227 +3671 -3044 +1489 -4468 +2182 4011 +-3372 1253 +-5155 -2881 +4489 1042 +2709 -4322 +-4917 1895 +1938 306 +5085 -5266 +-1362 3309 +1413 -5451 +5808 -2574 +1242 5268 +-2291 -1443 +-737 2142 +2560 -344 +-7447 1489 +9454 4251 +6417 775 +-2453 -3288 +3226 -919 +1608 -4408 +1932 -4065 +-5218 -3073 +-5085 -5266 +4180 -4687 +-592 -1862 +769 3337 +3872 113 +-2148 -430 +-5657 6214 +-2373 2102 +1489 0 +-2373 -2102 +-5657 -6214 +-2148 430 +3872 -113 +769 -3337 +-592 1862 +4180 4687 +-5085 5266 +-5218 3073 +1932 4065 +1608 4408 +3226 919 +-2453 3288 +6417 -775 +9454 -4251 +-7447 -1489 +-120 -4238 +1840 2623 +-4077 7017 +4838 -2059 +3211 -3664 +1488 -321 +4341 4090 +-873 5266 +-7863 2027 +-2828 1689 +5421 54 +-20 2866 +-2330 3706 +-2599 1397 +-2401 6148 +1489 0 +-2401 -6148 +-2599 -1397 +-2330 -3706 +-20 -2866 +5421 -54 +-2828 -1689 +-7863 -2027 +-873 -5266 +4341 -4090 +1488 321 +3211 3664 +4838 2059 +-4077 -7017 +1840 -2623 +-120 4238 +-7447 1489 +9454 4251 +6417 775 +-2453 -3288 +3226 -919 +1608 -4408 +1932 -4065 +-5218 -3073 +-5085 -5266 +4180 -4687 +-592 -1862 +769 3337 +3872 113 +-2148 -430 +-5657 6214 +-2373 2102 +2979 -1489 +3229 1609 +6794 3661 +-660 -4873 +1234 -2952 +-635 3097 +-6090 3406 +1329 -1311 +-2979 436 +-3601 4937 +6796 -1509 +478 3221 +-7192 4725 +-5813 -1463 +-3459 1708 +670 -3024 +2979 0 +670 3024 +-3459 -1708 +-5813 1463 +-7192 -4725 +478 -3221 +6796 1509 +-3601 -4937 +-2979 -436 +1329 1311 +-6090 -3406 +-635 -3097 +1234 2952 +-660 4873 +6794 -3661 +3229 -1609 +2979 1489 +2770 -4983 +-7040 -3393 +-3059 3931 +1234 2080 +140 -2749 +1284 4432 +-3115 4327 +-2979 2543 +1815 7797 +3967 -824 +-972 -940 +-7192 360 +2095 -5972 +9662 305 +5329 940 +2979 0 +5329 -940 +9662 -305 +2095 5972 +-7192 -360 +-972 940 +3967 824 +1815 -7797 +-2979 -2543 +-3115 -4327 +1284 -4432 +140 2749 +1234 -2080 +-3059 -3931 +-7040 3393 +2770 4983 +2979 -1489 +3229 1609 +6794 3661 +-660 -4873 +1234 -2952 +-635 3097 +-6090 3406 +1329 -1311 +-2979 436 +-3601 4937 +6796 -1509 +478 3221 +-7192 4725 +-5813 -1463 +-3459 1708 +670 -3024 +2979 0 +2759 6393 +-3389 -4487 +-624 586 +1289 9784 +-4361 3680 +448 6991 +1859 -597 +2543 -6319 +4500 4870 +3537 823 +2154 -1309 +-4375 -539 +-985 -9250 +2799 -4493 +1900 3549 +4468 0 +1900 -3549 +2799 4493 +-985 9250 +-4375 539 +2154 1309 +3537 -823 +4500 -4870 +2543 6319 +1859 597 +448 -6991 +-4361 -3680 +1289 -9784 +-624 -586 +-3389 4487 +2759 -6393 +2979 0 +1506 3002 +-556 -104 +3029 2695 +817 387 +-6922 971 +-4072 -119 +-4400 292 +436 6319 +4533 2263 +-1657 90 +-588 2389 +2269 -1206 +-129 534 +-9024 5860 +-4232 4858 +7447 0 +-4232 -4858 +-9024 -5860 +-129 -534 +2269 1206 +-588 -2389 +-1657 -90 +4533 -2263 +436 -6319 +-4400 -292 +-4072 119 +-6922 -971 +817 -387 +3029 -2695 +-556 104 +1506 -3002 +2979 0 +2759 6393 +-3389 -4487 +-624 586 +1289 9784 +-4361 3680 +448 6991 +1859 -597 +2543 -6319 +4500 4870 +3537 823 +2154 -1309 +-4375 -539 +-985 -9250 +2799 -4493 +1900 3549 +1489 -7447 +5178 657 +4304 2924 +2898 -3314 +-1263 2458 +-590 2269 +-269 2367 +-8409 7686 +-1489 7628 +3483 5684 +-2951 4063 +-2456 2457 +2629 -2939 +8057 -1861 +-3288 3860 +-4462 -356 +7447 0 +-4462 356 +-3288 -3860 +8057 1861 +2629 2939 +-2456 -2457 +-2951 -4063 +3483 -5684 +-1489 -7628 +-8409 -7686 +-269 -2367 +-590 -2269 +-1263 -2458 +2898 3314 +4304 -2924 +5178 -657 +1489 7447 +-4720 -1562 +-5671 2 +2970 710 +4242 -5798 +466 2421 +1182 -109 +-1993 -5620 +-1489 1309 +-4731 1885 +-3920 2408 +3221 -47 +349 -6359 +2284 -3023 +-1303 3279 +-1196 4956 +7447 0 +-1196 -4956 +-1303 -3279 +2284 3023 +349 6359 +3221 47 +-3920 -2408 +-4731 -1885 +-1489 -1309 +-1993 5620 +1182 109 +466 -2421 +4242 5798 +2970 -710 +-5671 -2 +-4720 1562 +1489 -7447 +5178 657 +4304 2924 +2898 -3314 +-1263 2458 +-590 2269 +-269 2367 +-8409 7686 +-1489 7628 +3483 5684 +-2951 4063 +-2456 2457 +2629 -2939 +8057 -1861 +-3288 3860 +-4462 -356 +-1489 -1489 +4184 558 +344 -486 +-4694 -6085 +-3246 -6148 +-4456 4945 +-6332 8007 +1980 983 +1489 -2543 +-3248 -2885 +2378 1148 +2804 954 +-646 -7622 +-161 -5472 +5506 -1293 +4367 -5982 +-1489 0 +4367 5982 +5506 1293 +-161 5472 +-646 7622 +2804 -954 +2378 -1148 +-3248 2885 +1489 2543 +1980 -983 +-6332 -8007 +-4456 -4945 +-3246 6148 +-4694 6085 +344 486 +4184 -558 +-1489 1489 +-920 4658 +151 4227 +-10010 1797 +-966 -171 +5424 -935 +333 2850 +7121 2407 +1489 -436 +293 4448 +-592 1284 +-6693 -6127 +4859 1303 +935 3918 +-1788 -3392 +3075 -2544 +-1489 0 +3075 2544 +-1788 3392 +935 -3918 +4859 -1303 +-6693 6127 +-592 -1284 +293 -4448 +1489 436 +7121 -2407 +333 -2850 +5424 935 +-966 171 +-10010 -1797 +151 -4227 +-920 -4658 +-1489 -1489 +4184 558 +344 -486 +-4694 -6085 +-3246 -6148 +-4456 4945 +-6332 8007 +1980 983 +1489 -2543 +-3248 -2885 +2378 1148 +2804 954 +-646 -7622 +-161 -5472 +5506 -1293 +4367 -5982 +5958 2979 +2117 2233 +325 -692 +440 552 +-1763 -3188 +-1757 240 +-3535 -996 +-3138 -3653 +-1926 -617 +343 -10011 +1779 -7155 +-664 1759 +-636 1403 +2961 5272 +6987 1447 +2157 -3783 +-4468 0 +2157 3783 +6987 -1447 +2961 -5272 +-636 -1403 +-664 -1759 +1779 7155 +343 10011 +-1926 617 +-3138 3653 +-3535 996 +-1757 -240 +-1763 3188 +440 -552 +325 692 +2117 -2233 +5958 -2979 +-6114 -1199 +-5130 -1321 +4737 4522 +-8407 2316 +-4161 234 +9739 3329 +1760 4139 +-4032 3596 +-6402 780 +-2024 -683 +3010 -3218 +-1109 3683 +3859 6295 +3776 -1715 +851 3526 +4468 0 +851 -3526 +3776 1715 +3859 -6295 +-1109 -3683 +3010 3218 +-2024 683 +-6402 -780 +-4032 -3596 +1760 -4139 +9739 -3329 +-4161 -234 +-8407 -2316 +4737 -4522 +-5130 1321 +-6114 1199 +5958 2979 +2117 2233 +325 -692 +440 552 +-1763 -3188 +-1757 240 +-3535 -996 +-3138 -3653 +-1926 -617 +343 -10011 +1779 -7155 +-664 1759 +-636 1403 +2961 5272 +6987 1447 +2157 -3783 +-4468 -4468 +2477 1134 +-786 1389 +-581 1569 +-1757 -200 +-2922 -4899 +7213 2732 +-1655 9814 +-5341 6755 +1655 6633 +801 1505 +2922 -1594 +844 5865 +581 1148 +-2788 -152 +-2477 11108 +4468 0 +-2477 -11108 +-2788 152 +581 -1148 +844 -5865 +2922 1594 +801 -1505 +1655 -6633 +-5341 -6755 +-1655 -9814 +7213 -2732 +-2922 4899 +-1757 200 +-581 -1569 +-786 -1389 +2477 -1134 +-4468 4468 +2477 3261 +5057 -2355 +-581 -1504 +523 -672 +-2922 -1219 +2259 -2086 +-1655 -4723 +-9554 -3777 +1655 739 +4111 3353 +2922 980 +6348 -779 +581 4422 +-3950 3399 +-2477 -4433 +-1489 0 +-2477 4433 +-3950 -3399 +581 -4422 +6348 779 +2922 -980 +4111 -3353 +1655 -739 +-9554 3777 +-1655 4723 +2259 2086 +-2922 1219 +523 672 +-581 1504 +5057 2355 +2477 -3261 +-4468 -4468 +2477 1134 +-786 1389 +-581 1569 +-1757 -200 +-2922 -4899 +7213 2732 +-1655 9814 +-5341 6755 +1655 6633 +801 1505 +2922 -1594 +844 5865 +581 1148 +-2788 -152 +-2477 11108 +0 -1489 +4686 -9196 +-72 -2996 +-2724 10055 +-4945 1376 +-833 20 +4949 -874 +-1810 -4983 +1489 6139 +8038 3441 +2111 -3385 +679 875 +-559 570 +-4067 -5479 +-873 -4303 +2589 6138 +2979 0 +2589 -6138 +-873 4303 +-4067 5479 +-559 -570 +679 -875 +2111 3385 +8038 -3441 +1489 -6139 +-1810 4983 +4949 874 +-833 -20 +-4945 -1376 +-2724 -10055 +-72 2996 +4686 9196 +0 1489 +-556 -554 +4609 -896 +3455 602 +2839 -1376 +-2192 -1793 +-3315 -738 +-174 562 +1489 -181 +7877 -2811 +467 1773 +-3800 -1250 +2665 -570 +-7370 5619 +-7878 410 +-3799 1078 +-8937 0 +-3799 -1078 +-7878 -410 +-7370 -5619 +2665 570 +-3800 1250 +467 -1773 +7877 2811 +1489 181 +-174 -562 +-3315 738 +-2192 1793 +2839 1376 +3455 -602 +4609 896 +-556 554 +0 -1489 +4686 -9196 +-72 -2996 +-2724 10055 +-4945 1376 +-833 20 +4949 -874 +-1810 -4983 +1489 6139 +8038 3441 +2111 -3385 +679 875 +-559 570 +-4067 -5479 +-873 -4303 +2589 6138 +0 2979 +-3139 -5724 +254 -5404 +-1825 4112 +-3730 -2752 +-4232 -2904 +-5916 837 +2547 5093 +9734 5085 +2802 -795 +-4156 -738 +-4097 -8464 +1784 -1140 +5492 3014 +-401 -6945 +-367 6754 +4468 0 +-367 -6754 +-401 6945 +5492 -3014 +1784 1140 +-4097 8464 +-4156 738 +2802 795 +9734 -5085 +2547 -5093 +-5916 -837 +-4232 2904 +-3730 2752 +-1825 -4112 +254 5404 +-3139 5724 +0 -2979 +4242 5692 +13 8703 +-2242 4235 +-2590 2752 +-2726 1985 +-1921 -1215 +-4459 -3184 +-798 873 +5602 1715 +1823 -2109 +1339 -4452 +4536 1140 +-133 1762 +-1611 -4139 +1197 652 +1489 0 +1197 -652 +-1611 4139 +-133 -1762 +4536 -1140 +1339 4452 +1823 2109 +5602 -1715 +-798 -873 +-4459 3184 +-1921 1215 +-2726 -1985 +-2590 -2752 +-2242 -4235 +13 -8703 +4242 -5692 +0 2979 +-3139 -5724 +254 -5404 +-1825 4112 +-3730 -2752 +-4232 -2904 +-5916 837 +2547 5093 +9734 5085 +2802 -795 +-4156 -738 +-4097 -8464 +1784 -1140 +5492 3014 +-401 -6945 +-367 6754 +0 -4468 +1335 2280 +2576 4258 +2328 2204 +-1329 6214 +-3448 -5293 +2035 -3020 +-3485 6632 +-4213 -5522 +3373 -5194 +5989 3810 +4714 168 +-4402 590 +-6126 3334 +-3478 1826 +1854 1106 +8937 0 +1854 -1106 +-3478 -1826 +-6126 -3334 +-4402 -590 +4714 -168 +5989 -3810 +3373 5194 +-4213 5522 +-3485 -6632 +2035 3020 +-3448 5293 +-1329 -6214 +2328 -2204 +2576 -4258 +1335 -2280 +0 4468 +2734 -5766 +-4682 -2419 +-4846 7082 +2563 1850 +591 -2095 +71 -2711 +320 -236 +4213 -3415 +1725 -6365 +-3882 -3584 +-566 -9035 +-2790 -4442 +-1073 983 +1371 -5945 +571 -2205 +2979 0 +571 2205 +1371 5945 +-1073 -983 +-2790 4442 +-566 9035 +-3882 3584 +1725 6365 +4213 3415 +320 236 +71 2711 +591 2095 +2563 -1850 +-4846 -7082 +-4682 2419 +2734 5766 +0 -4468 +1335 2280 +2576 4258 +2328 2204 +-1329 6214 +-3448 -5293 +2035 -3020 +-3485 6632 +-4213 -5522 +3373 -5194 +5989 3810 +4714 168 +-4402 590 +-6126 3334 +-3478 1826 +1854 1106 +0 -1489 +1445 402 +2527 -3479 +-2192 -1889 +-4859 940 +2426 -468 +849 -551 +-5401 9161 +-2106 7628 +-2322 -5711 +3283 -956 +8442 2719 +3246 3113 +4696 1953 +976 -2679 +-1671 5949 +2979 0 +-1671 -5949 +976 2679 +4696 -1953 +3246 -3113 +8442 -2719 +3283 956 +-2322 5711 +-2106 -7628 +-5401 -9161 +849 551 +2426 468 +-4859 -940 +-2192 1889 +2527 3479 +1445 -402 +0 1489 +2730 1378 +1365 -1379 +-2239 1165 +646 -1812 +-2320 -2181 +-2461 -415 +4388 64 +2106 1309 +-1224 2833 +-1671 4202 +2461 -2331 +966 1973 +-11274 5295 +-4868 2034 +2055 12494 +-2979 0 +2055 -12494 +-4868 -2034 +-11274 -5295 +966 -1973 +2461 2331 +-1671 -4202 +-1224 -2833 +2106 -1309 +4388 -64 +-2461 415 +-2320 2181 +646 1812 +-2239 -1165 +1365 1379 +2730 -1378 +0 -1489 +1445 402 +2527 -3479 +-2192 -1889 +-4859 940 +2426 -468 +849 -551 +-5401 9161 +-2106 7628 +-2322 -5711 +3283 -956 +8442 2719 +3246 3113 +4696 1953 +976 -2679 +-1671 5949 +1489 1489 +5151 -2837 +-2678 -2446 +112 1824 +3209 3113 +509 728 +568 -4142 +-3061 1509 +3596 4649 +5904 -1651 +1402 -1496 +2839 -1178 +-1823 -1812 +3858 -3990 +7762 -922 +-1299 9726 +-4468 0 +-1299 -9726 +7762 922 +3858 3990 +-1823 1812 +2839 1178 +1402 1496 +5904 1651 +3596 -4649 +-3061 -1509 +568 4142 +509 -728 +3209 -3113 +112 -1824 +-2678 2446 +5151 2837 +1489 -1489 +-5466 -2869 +-5107 -5071 +-5640 -737 +-6188 1973 +79 1584 +2656 8755 +-1727 2762 +-617 -1670 +-5330 4481 +-4626 -4061 +786 -4830 +-1156 940 +5883 -6732 +23 -4850 +-2598 3467 +7447 0 +-2598 -3467 +23 4850 +5883 6732 +-1156 -940 +786 4830 +-4626 4061 +-5330 -4481 +-617 1670 +-1727 -2762 +2656 -8755 +79 -1584 +-6188 -1973 +-5640 737 +-5107 5071 +-5466 2869 +1489 1489 +5151 -2837 +-2678 -2446 +112 1824 +3209 3113 +509 728 +568 -4142 +-3061 1509 +3596 4649 +5904 -1651 +1402 -1496 +2839 -1178 +-1823 -1812 +3858 -3990 +7762 -922 +-1299 9726 +2979 -1489 +6562 4364 +-1649 -634 +3080 -3703 +4649 3133 +-6343 -2648 +-1284 -5504 +-4917 1180 +-4830 3160 +2662 -1541 +-4617 -3616 +-1682 2592 +-1670 1414 +-7317 1289 +1146 6967 +2257 1724 +-2979 0 +2257 -1724 +1146 -6967 +-7317 -1289 +-1670 -1414 +-1682 -2592 +-4617 3616 +2662 1541 +-4830 -3160 +-4917 -1180 +-1284 5504 +-6343 2648 +4649 -3133 +3080 3703 +-1649 634 +6562 -4364 +2979 1489 +-842 -1266 +-2470 140 +2102 4069 +4649 -1899 +-2776 -3458 +1057 -494 +6024 1288 +7809 -3160 +4657 -4719 +-1114 1830 +2375 1367 +-1670 5778 +-6290 9142 +-2985 -3249 +449 -7355 +2979 0 +449 7355 +-2985 3249 +-6290 -9142 +-1670 -5778 +2375 -1367 +-1114 -1830 +4657 4719 +7809 3160 +6024 -1288 +1057 494 +-2776 3458 +4649 1899 +2102 -4069 +-2470 -140 +-842 1266 +2979 -1489 +6562 4364 +-1649 -634 +3080 -3703 +4649 3133 +-6343 -2648 +-1284 -5504 +-4917 1180 +-4830 3160 +2662 -1541 +-4617 -3616 +-1682 2592 +-1670 1414 +-7317 1289 +1146 6967 +2257 1724 +1489 2979 +3741 -4321 +7638 2292 +5330 2043 +-1565 5562 +1464 5473 +5570 -5368 +651 1976 +-2543 4830 +-3163 -1913 +-3131 961 +381 4240 +-1080 3037 +-3384 -938 +1557 -6354 +-437 -8130 +-5958 0 +-437 8130 +1557 6354 +-3384 938 +-1080 -3037 +381 -4240 +-3131 -961 +-3163 1913 +-2543 -4830 +651 -1976 +5570 5368 +1464 -5473 +-1565 -5562 +5330 -2043 +7638 -2292 +3741 4321 +1489 -2979 +-1640 20 +-2726 4632 +692 -4209 +2799 -2222 +1667 -5927 +-1299 -1236 +-1862 2179 +-436 -7809 +-3410 564 +-3608 139 +-288 -2414 +-6112 6261 +-5863 1052 +7914 -2851 +6121 -1675 +-2979 0 +6121 1675 +7914 2851 +-5863 -1052 +-6112 -6261 +-288 2414 +-3608 -139 +-3410 -564 +-436 7809 +-1862 -2179 +-1299 1236 +1667 5927 +2799 2222 +692 4209 +-2726 -4632 +-1640 -20 +1489 2979 +3741 -4321 +7638 2292 +5330 2043 +-1565 5562 +1464 5473 +5570 -5368 +651 1976 +-2543 4830 +-3163 -1913 +-3131 961 +381 4240 +-1080 3037 +-3384 -938 +1557 -6354 +-437 -8130 +2979 -4468 +5679 -2528 +-1189 2951 +5530 3243 +1946 -3113 +-7680 650 +883 3501 +-581 4177 +-5085 3415 +1016 -3375 +2570 7611 +3389 11476 +806 1812 +-4695 4948 +-2128 1862 +2232 -4112 +2979 0 +2232 4112 +-2128 -1862 +-4695 -4948 +806 -1812 +3389 -11476 +2570 -7611 +1016 3375 +-5085 -3415 +-581 -4177 +883 -3501 +-7680 -650 +1946 3113 +5530 -3243 +-1189 -2951 +5679 2528 +2979 4468 +-1807 -1831 +-744 -3918 +-4093 979 +-1946 -1973 +-4990 -401 +-2175 -2856 +6505 -3549 +-873 5522 +1486 326 +7147 -2752 +855 235 +-806 -940 +-5168 -1179 +-4364 1385 +2321 7990 +2979 0 +2321 -7990 +-4364 -1385 +-5168 1179 +-806 940 +855 -235 +7147 2752 +1486 -326 +-873 -5522 +6505 3549 +-2175 2856 +-4990 401 +-1946 1973 +-4093 -979 +-744 3918 +-1807 1831 +2979 -4468 +5679 -2528 +-1189 2951 +5530 3243 +1946 -3113 +-7680 650 +883 3501 +-581 4177 +-5085 3415 +1016 -3375 +2570 7611 +3389 11476 +806 1812 +-4695 4948 +-2128 1862 +2232 -4112 +-1489 0 +2916 -2579 +5393 -1841 +2377 -1227 +-8777 1946 +-7168 -2746 +8227 -6957 +4285 -2212 +4032 -873 +7428 -5984 +-1688 -5125 +-721 1795 +3380 -806 +1199 -2105 +-6392 2605 +-6349 -476 +0 0 +-6349 476 +-6392 -2605 +1199 2105 +3380 806 +-721 -1795 +-1688 5125 +7428 5984 +4032 873 +4285 2212 +8227 6957 +-7168 2746 +-8777 -1946 +2377 1227 +5393 1841 +2916 2579 +-1489 0 +-3365 -1432 +-5415 6432 +-700 3366 +-521 -1946 +-2225 -22 +4416 86 +1199 1899 +1926 -5085 +1365 -4500 +-6742 4212 +-2828 -2819 +-40 806 +1640 2499 +2201 -3972 +946 6635 +2979 0 +946 -6635 +2201 3972 +1640 -2499 +-40 -806 +-2828 2819 +-6742 -4212 +1365 4500 +1926 5085 +1199 -1899 +4416 -86 +-2225 22 +-521 1946 +-700 -3366 +-5415 -6432 +-3365 1432 +-1489 0 +2916 -2579 +5393 -1841 +2377 -1227 +-8777 1946 +-7168 -2746 +8227 -6957 +4285 -2212 +4032 -873 +7428 -5984 +-1688 -5125 +-721 1795 +3380 -806 +1199 -2105 +-6392 2605 +-6349 -476 +2979 1489 +1888 5080 +-1379 -6434 +1697 1353 +-2260 -1064 +-1134 -7618 +4277 1629 +-1193 824 +-2362 -5266 +466 -4301 +1316 1031 +-2792 3289 +-8730 3162 +-4314 -3546 +-2252 -7369 +349 3632 +5958 0 +349 -3632 +-2252 7369 +-4314 3546 +-8730 -3162 +-2792 -3289 +1316 -1031 +466 4301 +-2362 5266 +-1193 -824 +4277 -1629 +-1134 7618 +-2260 1064 +1697 -1353 +-1379 6434 +1888 -5080 +2979 -1489 +1315 4766 +3391 -5941 +259 -5274 +-1592 -3149 +-2694 3423 +-1944 2018 +-1930 -5359 +-6575 5266 +1365 3978 +6522 -3342 +127 -3271 +666 -7375 +426 -4588 +1984 951 +6164 2000 +5958 0 +6164 -2000 +1984 -951 +426 4588 +666 7375 +127 3271 +6522 3342 +1365 -3978 +-6575 -5266 +-1930 5359 +-1944 -2018 +-2694 -3423 +-1592 3149 +259 5274 +3391 5941 +1315 -4766 +2979 1489 +1888 5080 +-1379 -6434 +1697 1353 +-2260 -1064 +-1134 -7618 +4277 1629 +-1193 824 +-2362 -5266 +466 -4301 +1316 1031 +-2792 3289 +-8730 3162 +-4314 -3546 +-2252 -7369 +349 3632 +4468 2979 +-1861 828 +-4869 4842 +-436 2695 +2409 -2665 +-6305 -3170 +-2329 -1940 +6347 -5756 +-1309 -3596 +-3044 5195 +-3668 -1438 +-1577 -5464 +1603 -4945 +-9762 -4716 +-4204 2444 +6106 54 +2979 0 +6106 -54 +-4204 -2444 +-9762 4716 +1603 4945 +-1577 5464 +-3668 1438 +-3044 -5195 +-1309 3596 +6347 5756 +-2329 1940 +-6305 3170 +2409 2665 +-436 -2695 +-4869 -4842 +-1861 -828 +4468 -2979 +1426 1519 +-2496 2501 +6282 -4584 +3549 559 +445 -4916 +6961 -6071 +-1972 4860 +-7628 617 +-2623 -1878 +-2709 -616 +944 1591 +4355 2839 +1983 -1386 +1399 -1059 +4046 -1919 +5958 0 +4046 1919 +1399 1059 +1983 1386 +4355 -2839 +944 -1591 +-2709 616 +-2623 1878 +-7628 -617 +-1972 -4860 +6961 6071 +445 4916 +3549 -559 +6282 4584 +-2496 -2501 +1426 -1519 +4468 2979 +-1861 828 +-4869 4842 +-436 2695 +2409 -2665 +-6305 -3170 +-2329 -1940 +6347 -5756 +-1309 -3596 +-3044 5195 +-3668 -1438 +-1577 -5464 +1603 -4945 +-9762 -4716 +-4204 2444 +6106 54 +0 4468 +7984 -641 +-665 1579 +3609 5106 +4422 -2220 +-36 -2637 +8676 4479 +804 1624 +4830 -1053 +9734 2423 +-7215 -2011 +-7860 -6275 +-5789 -47 +-2913 2377 +5436 -1915 +3162 -2386 +0 0 +3162 2386 +5436 1915 +-2913 -2377 +-5789 47 +-7860 6275 +-7215 2011 +9734 -2423 +4830 1053 +804 -1624 +8676 -4479 +-36 2637 +4422 2220 +3609 -5106 +-665 -1579 +7984 641 +0 -4468 +-1923 1336 +224 8632 +-4078 704 +-1082 -4972 +-626 813 +2560 3453 +-1408 1752 +-7809 1053 +-704 -1326 +1938 -2696 +96 -1053 +-3509 -1187 +-5043 -2071 +963 -512 +-797 802 +-5958 0 +-797 -802 +963 512 +-5043 2071 +-3509 1187 +96 1053 +1938 2696 +-704 1326 +-7809 -1053 +-1408 -1752 +2560 -3453 +-626 -813 +-1082 4972 +-4078 -704 +224 -8632 +-1923 -1336 +0 4468 +7984 -641 +-665 1579 +3609 5106 +4422 -2220 +-36 -2637 +8676 4479 +804 1624 +4830 -1053 +9734 2423 +-7215 -2011 +-7860 -6275 +-5789 -47 +-2913 2377 +5436 -1915 +3162 -2386 +-2979 1489 +2777 3688 +-1298 1913 +-4133 -3744 +2665 3709 +6021 1300 +48 -7334 +1813 -7168 +5702 -9117 +-2355 -1151 +-1332 1957 +-870 -2332 +-4945 -1442 +4233 -3099 +1064 -397 +-4572 2566 +0 0 +-4572 -2566 +1064 397 +4233 3099 +-4945 1442 +-870 2332 +-1332 -1957 +-2355 1151 +5702 9117 +1813 7168 +48 7334 +6021 -1300 +2665 -3709 +-4133 3744 +-1298 -1913 +2777 -3688 +-2979 -1489 +-2262 5969 +4545 5657 +3964 -4812 +-559 6461 +-8192 7045 +-4906 -2516 +1803 2342 +-2723 -2798 +1018 -5502 +1978 109 +-2463 1496 +2839 -303 +1441 -2725 +-98 -3948 +1777 -6651 +0 0 +1777 6651 +-98 3948 +1441 2725 +2839 303 +-2463 -1496 +1978 -109 +1018 5502 +-2723 2798 +1803 -2342 +-4906 2516 +-8192 -7045 +-559 -6461 +3964 4812 +4545 -5657 +-2262 -5969 +-2979 1489 +2777 3688 +-1298 1913 +-4133 -3744 +2665 3709 +6021 1300 +48 -7334 +1813 -7168 +5702 -9117 +-2355 -1151 +-1332 1957 +-870 -2332 +-4945 -1442 +4233 -3099 +1064 -397 +-4572 2566 +-7447 1489 +-568 2640 +3294 5606 +7322 118 +1859 1187 +-7527 3578 +-4370 -32 +384 -1811 +3340 -4032 +-6160 -752 +-4425 2352 +5019 -1621 +-2999 2220 +5037 2818 +7374 -3064 +-1064 49 +1489 0 +-1064 -49 +7374 3064 +5037 -2818 +-2999 -2220 +5019 1621 +-4425 -2352 +-6160 752 +3340 4032 +384 1811 +-4370 32 +-7527 -3578 +1859 -1187 +7322 -118 +3294 -5606 +-568 -2640 +-7447 -1489 +-4010 -7499 +-1135 -6025 +-491 -1085 +247 47 +-868 -332 +7501 1439 +1160 1166 +-9298 -1926 +-2821 1398 +-1174 801 +-196 -1626 +893 4972 +129 -1851 +4850 -7525 +4654 4809 +1489 0 +4654 -4809 +4850 7525 +129 1851 +893 -4972 +-196 1626 +-1174 -801 +-2821 -1398 +-9298 1926 +1160 -1166 +7501 -1439 +-868 332 +247 -47 +-491 1085 +-1135 6025 +-4010 7499 +-7447 1489 +-568 2640 +3294 5606 +7322 118 +1859 1187 +-7527 3578 +-4370 -32 +384 -1811 +3340 -4032 +-6160 -752 +-4425 2352 +5019 -1621 +-2999 2220 +5037 2818 +7374 -3064 +-1064 49 +1489 -4468 +1291 578 +-3395 10245 +-4343 2689 +1017 4413 +-225 2021 +-4541 -3082 +1061 3540 +4468 -3415 +-3103 1532 +-3232 7993 +6606 -5597 +8134 -1652 +3793 4421 +2974 -1670 +-610 112 +-4468 0 +-610 -112 +2974 1670 +3793 -4421 +8134 1652 +6606 5597 +-3232 -7993 +-3103 -1532 +4468 3415 +1061 -3540 +-4541 3082 +-225 -2021 +1017 -4413 +-4343 -2689 +-3395 -10245 +1291 -578 +1489 4468 +945 699 +737 2851 +-234 4776 +1962 4885 +3283 -1369 +-1038 3595 +-1505 2758 +4468 -5522 +3548 2486 +-5572 222 +-9664 745 +-5155 4992 +783 -2461 +2152 -1362 +-1627 -1116 +-4468 0 +-1627 1116 +2152 1362 +783 2461 +-5155 -4992 +-9664 -745 +-5572 -222 +3548 -2486 +4468 5522 +-1505 -2758 +-1038 -3595 +3283 1369 +1962 -4885 +-234 -4776 +737 -2851 +945 -699 +1489 -4468 +1291 578 +-3395 10245 +-4343 2689 +1017 4413 +-225 2021 +-4541 -3082 +1061 3540 +4468 -3415 +-3103 1532 +-3232 7993 +6606 -5597 +8134 -1652 +3793 4421 +2974 -1670 +-610 112 +2979 -7447 +3750 -3696 +951 2045 +-4083 1997 +2790 1623 +1620 3683 +-201 -3617 +2522 -8945 +-2979 2543 +-6470 4391 +2244 -3659 +8559 -1880 +1329 -323 +-1773 3766 +-1612 3118 +-5113 -7438 +-5958 0 +-5113 7438 +-1612 -3118 +-1773 -3766 +1329 323 +8559 1880 +2244 3659 +-6470 -4391 +-2979 -2543 +2522 8945 +-201 3617 +1620 -3683 +2790 -1623 +-4083 -1997 +951 -2045 +3750 3696 +2979 7447 +1756 3425 +-5337 3553 +4066 5840 +4402 483 +76 2929 +7811 5777 +-1443 -1303 +-2979 436 +-1101 -1244 +-5642 -8565 +-538 -1037 +-2563 2429 +498 -1969 +1786 12 +-2326 221 +0 0 +-2326 -221 +1786 -12 +498 1969 +-2563 -2429 +-538 1037 +-5642 8565 +-1101 1244 +-2979 -436 +-1443 1303 +7811 -5777 +76 -2929 +4402 -483 +4066 -5840 +-5337 -3553 +1756 -3425 +2979 -7447 +3750 -3696 +951 2045 +-4083 1997 +2790 1623 +1620 3683 +-201 -3617 +2522 -8945 +-2979 2543 +-6470 4391 +2244 -3659 +8559 -1880 +1329 -323 +-1773 3766 +-1612 3118 +-5113 -7438 +4468 1489 +-5013 485 +-5893 -2161 +-273 6970 +-2629 6025 +-1295 1037 +1452 -1168 +2286 3563 +2723 5522 +-848 -2603 +-1952 -4051 +-3498 -5055 +-4242 2240 +-645 -1031 +-3477 -7753 +-1628 11528 +4468 0 +-1628 -11528 +-3477 7753 +-645 1031 +-4242 -2240 +-3498 5055 +-1952 4051 +-848 2603 +2723 -5522 +2286 -3563 +1452 1168 +-1295 -1037 +-2629 -6025 +-273 -6970 +-5893 2161 +-5013 -485 +4468 -1489 +2085 283 +-599 -5356 +39 1812 +-349 3273 +6904 979 +8265 5781 +-260 4762 +-5702 3415 +-5390 -1522 +661 -1506 +2102 3311 +1263 1100 +5091 2564 +1544 1981 +344 -2869 +4468 0 +344 2869 +1544 -1981 +5091 -2564 +1263 -1100 +2102 -3311 +661 1506 +-5390 1522 +-5702 -3415 +-260 -4762 +8265 -5781 +6904 -979 +-349 -3273 +39 -1812 +-599 5356 +2085 -283 +4468 1489 +-5013 485 +-5893 -2161 +-273 6970 +-2629 6025 +-1295 1037 +1452 -1168 +2286 3563 +2723 5522 +-848 -2603 +-1952 -4051 +-3498 -5055 +-4242 2240 +-645 -1031 +-3477 -7753 +-1628 11528 +4468 1489 +3005 1361 +3827 623 +6524 -7574 +-2665 4099 +-5529 3496 +1312 -2422 +-3683 2679 +-6319 1053 +-3984 -1907 +-9076 -8250 +-3397 -1542 +4945 6272 +-671 1281 +-1462 3190 +541 1055 +-1489 0 +541 -1055 +-1462 -3190 +-671 -1281 +4945 -6272 +-3397 1542 +-9076 8250 +-3984 1907 +-6319 -1053 +-3683 -2679 +1312 2422 +-5529 -3496 +-2665 -4099 +6524 7574 +3827 -623 +3005 -1361 +4468 -1489 +1529 -5348 +858 4707 +-155 1522 +559 1347 +3292 4836 +1119 -3255 +2652 -4197 +6319 -1053 +1791 3425 +-1781 -1641 +-2150 -6789 +-2839 5132 +2086 4771 +5203 -2072 +-1851 2931 +-7447 0 +-1851 -2931 +5203 2072 +2086 -4771 +-2839 -5132 +-2150 6789 +-1781 1641 +1791 -3425 +6319 1053 +2652 4197 +1119 3255 +3292 -4836 +559 -1347 +-155 -1522 +858 -4707 +1529 5348 +4468 1489 +3005 1361 +3827 623 +6524 -7574 +-2665 4099 +-5529 3496 +1312 -2422 +-3683 2679 +-6319 1053 +-3984 -1907 +-9076 -8250 +-3397 -1542 +4945 6272 +-671 1281 +-1462 3190 +541 1055 +-2979 -4468 +-1692 5488 +1816 -2308 +578 -2997 +-189 4281 +4812 0 +2931 -758 +-2 3377 +873 4649 +1521 6080 +4648 8870 +426 -789 +-1650 -9127 +5365 405 +339 6391 +-2266 3810 +2979 0 +-2266 -3810 +339 -6391 +5365 -405 +-1650 9127 +426 789 +4648 -8870 +1521 -6080 +873 -4649 +-2 -3377 +2931 758 +4812 0 +-189 -4281 +578 2997 +1816 2308 +-1692 -5488 +-2979 4468 +-3988 4311 +-3923 4494 +-1953 7282 +1423 -4643 +1937 1009 +-824 1823 +4780 -6362 +5085 -1670 +-5007 1106 +-2542 6578 +-683 53 +-5542 -3150 +-2058 5626 +-2446 -1737 +-1771 -4182 +2979 0 +-1771 4182 +-2446 1737 +-2058 -5626 +-5542 3150 +-683 -53 +-2542 -6578 +-5007 -1106 +5085 1670 +4780 6362 +-824 -1823 +1937 -1009 +1423 4643 +-1953 -7282 +-3923 -4494 +-3988 -4311 +-2979 -4468 +-1692 5488 +1816 -2308 +578 -2997 +-189 4281 +4812 0 +2931 -758 +-2 3377 +873 4649 +1521 6080 +4648 8870 +426 -789 +-1650 -9127 +5365 405 +339 6391 +-2266 3810 +-2979 -2979 +4391 -3757 +9670 -5090 +-450 1651 +1993 1120 +-363 -8924 +-5352 -8420 +-1298 -1335 +1053 1489 +2668 -847 +3353 -1521 +-1547 -2490 +-4166 -5978 +-310 2198 +-3294 4505 +-525 -7402 +7447 0 +-525 7402 +-3294 -4505 +-310 -2198 +-4166 5978 +-1547 2490 +3353 1521 +2668 847 +1053 -1489 +-1298 1335 +-5352 8420 +-363 8924 +1993 -1120 +-450 -1651 +9670 5090 +4391 3757 +-2979 2979 +3526 -2274 +-3672 -7134 +-2753 1334 +-759 2732 +-3328 -874 +1634 2822 +-3925 2344 +-1053 1489 +1263 111 +-3848 -1609 +-1255 2863 +-3026 -2086 +1580 -9383 +1508 -2345 +2326 3116 +10426 0 +2326 -3116 +1508 2345 +1580 9383 +-3026 2086 +-1255 -2863 +-3848 1609 +1263 -111 +-1053 -1489 +-3925 -2344 +1634 -2822 +-3328 874 +-759 -2732 +-2753 -1334 +-3672 7134 +3526 2274 +-2979 -2979 +4391 -3757 +9670 -5090 +-450 1651 +1993 1120 +-363 -8924 +-5352 -8420 +-1298 -1335 +1053 1489 +2668 -847 +3353 -1521 +-1547 -2490 +-4166 -5978 +-310 2198 +-3294 4505 +-525 -7402 +-8937 0 +-3953 2465 +1254 3795 +6833 -4615 +-919 -2892 +-4673 1154 +-2097 -3989 +-5765 -5772 +4032 -2723 +2173 2809 +-1002 6488 +6320 1188 +-113 -826 +2753 4707 +367 2848 +3063 -2777 +16384 0 +3063 2777 +367 -2848 +2753 -4707 +-113 826 +6320 -1188 +-1002 -6488 +2173 -2809 +4032 2723 +-5765 5772 +-2097 3989 +-4673 -1154 +-919 2892 +6833 4615 +1254 -3795 +-3953 -2465 +-8937 0 +-3870 -3748 +2464 1936 +-1530 1549 +-2059 -5172 +1791 1028 +3883 -130 +1741 -4861 +1926 5702 +-81 4514 +-4997 -4649 +-4730 2473 +-2866 4678 +1661 -2804 +127 -3075 +-1733 -893 +1489 0 +-1733 893 +127 3075 +1661 2804 +-2866 -4678 +-4730 -2473 +-4997 4649 +-81 -4514 +1926 -5702 +1741 4861 +3883 130 +1791 -1028 +-2059 5172 +-1530 -1549 +2464 -1936 +-3870 3748 +-8937 0 +-3953 2465 +1254 3795 +6833 -4615 +-919 -2892 +-4673 1154 +-2097 -3989 +-5765 -5772 +4032 -2723 +2173 2809 +-1002 6488 +6320 1188 +-113 -826 +2753 4707 +367 2848 +3063 -2777 +0 7447 +-8660 -1685 +-7722 -5116 +2761 3596 +5048 -2363 +1985 -3413 +2504 1870 +107 -844 +873 -5522 +-5198 -1322 +-6372 4332 +1256 -4777 +-1597 -8655 +1424 -1739 +-1044 -1314 +-4949 -630 +0 0 +-4949 630 +-1044 1314 +1424 1739 +-1597 8655 +1256 4777 +-6372 -4332 +-5198 1322 +873 5522 +107 844 +2504 -1870 +1985 3413 +5048 2363 +2761 -3596 +-7722 5116 +-8660 1685 +0 -7447 +5827 1926 +357 -3461 +-3191 -7592 +-2069 2001 +1027 -2566 +2127 -1051 +-746 2054 +5085 -3415 +2916 2532 +-4 4913 +6438 -1203 +4575 -3622 +5151 -2257 +-1761 1163 +-6148 871 +0 0 +-6148 -871 +-1761 -1163 +5151 2257 +4575 3622 +6438 1203 +-4 -4913 +2916 -2532 +5085 3415 +-746 -2054 +2127 1051 +1027 2566 +-2069 -2001 +-3191 7592 +357 3461 +5827 -1926 +0 7447 +-8660 -1685 +-7722 -5116 +2761 3596 +5048 -2363 +1985 -3413 +2504 1870 +107 -844 +873 -5522 +-5198 -1322 +-6372 4332 +1256 -4777 +-1597 -8655 +1424 -1739 +-1044 -1314 +-4949 -630 +0 -1489 +-3415 -6088 +-6802 -5594 +328 -134 +-153 -2676 +-4229 -2515 +2951 -1222 +3440 -5654 +2723 -181 +540 4037 +6637 737 +6901 2601 +-10837 -730 +-4036 -3279 +1962 606 +-292 -1157 +5958 0 +-292 1157 +1962 -606 +-4036 3279 +-10837 730 +6901 -2601 +6637 -737 +540 -4037 +2723 181 +3440 5654 +2951 1222 +-4229 2515 +-153 2676 +328 134 +-6802 5594 +-3415 6088 +0 1489 +2282 171 +-582 -1598 +181 -2875 +515 -1536 +-5787 1137 +-1285 -12 +-4907 478 +-5702 6139 +7419 2784 +1868 497 +-6602 3458 +-1440 -3482 +4818 -718 +7167 6586 +3358 -1189 +0 0 +3358 1189 +7167 -6586 +4818 718 +-1440 3482 +-6602 -3458 +1868 -497 +7419 -2784 +-5702 -6139 +-4907 -478 +-1285 12 +-5787 -1137 +515 1536 +181 2875 +-582 1598 +2282 -171 +0 -1489 +-3415 -6088 +-6802 -5594 +328 -134 +-153 -2676 +-4229 -2515 +2951 -1222 +3440 -5654 +2723 -181 +540 4037 +6637 737 +6901 2601 +-10837 -730 +-4036 -3279 +1962 606 +-292 -1157 +2979 0 +-2601 4767 +1854 7009 +4426 -1378 +200 5221 +1310 2335 +-2211 -4424 +-4716 1434 +-4649 873 +751 1892 +983 8483 +-3979 4611 +5865 -6014 +4189 -6376 +-5244 3220 +53 6074 +4468 0 +53 -6074 +-5244 -3220 +4189 6376 +5865 6014 +-3979 -4611 +983 -8483 +751 -1892 +-4649 -873 +-4716 -1434 +-2211 4424 +1310 -2335 +200 -5221 +4426 1378 +1854 -7009 +-2601 -4767 +2979 0 +663 -8148 +199 -878 +1314 -1806 +672 -6455 +-384 5278 +-5132 1864 +-639 -1023 +1670 5085 +1380 4023 +402 5085 +-4730 721 +-779 -1178 +-2145 911 +-2767 -4792 +5110 -3951 +7447 0 +5110 3951 +-2767 4792 +-2145 -911 +-779 1178 +-4730 -721 +402 -5085 +1380 -4023 +1670 -5085 +-639 1023 +-5132 -1864 +-384 -5278 +672 6455 +1314 1806 +199 878 +663 8148 +2979 0 +-2601 4767 +1854 7009 +4426 -1378 +200 5221 +1310 2335 +-2211 -4424 +-4716 1434 +-4649 873 +751 1892 +983 8483 +-3979 4611 +5865 -6014 +4189 -6376 +-5244 3220 +53 6074 +1489 4468 +6584 -467 +-3917 -1431 +-2685 224 +3456 2988 +-2230 -1465 +3924 -1925 +3670 -569 +-2979 -1053 +-2921 1295 +-963 -3991 +1893 -4558 +-930 4462 +998 4398 +1264 321 +-2458 90 +-1489 0 +-2458 -90 +1264 -321 +998 -4398 +-930 -4462 +1893 4558 +-963 3991 +-2921 -1295 +-2979 1053 +3670 569 +3924 1925 +-2230 1465 +3456 -2988 +-2685 -224 +-3917 1431 +6584 467 +1489 -4468 +1571 -7249 +6251 -849 +3978 -3615 +-4328 -2988 +-11573 1546 +-4192 -3580 +423 2441 +-2979 1053 +-2117 -7848 +2976 -1514 +-1379 -3787 +-4155 -4462 +10997 637 +6574 -2601 +-4752 620 +-1489 0 +-4752 -620 +6574 2601 +10997 -637 +-4155 4462 +-1379 3787 +2976 1514 +-2117 7848 +-2979 -1053 +423 -2441 +-4192 3580 +-11573 -1546 +-4328 2988 +3978 3615 +6251 849 +1571 7249 +1489 4468 +6584 -467 +-3917 -1431 +-2685 224 +3456 2988 +-2230 -1465 +3924 -1925 +3670 -569 +-2979 -1053 +-2921 1295 +-963 -3991 +1893 -4558 +-930 4462 +998 4398 +1264 321 +-2458 90 +-4468 -2979 +-2104 3221 +804 5036 +3915 -1241 +-2799 -1576 +-7618 830 +-2454 -6781 +-1480 -3567 +-7628 617 +-1931 -4312 +446 620 +1305 3269 +6112 5295 +-3238 9067 +2901 329 +3970 -5137 +-8937 0 +3970 5137 +2901 -329 +-3238 -9067 +6112 -5295 +1305 -3269 +446 -620 +-1931 4312 +-7628 -617 +-1480 3567 +-2454 6781 +-7618 -830 +-2799 1576 +3915 1241 +804 -5036 +-2104 -3221 +-4468 2979 +-7767 2798 +563 -4390 +3343 -870 +1565 704 +2769 -5281 +1541 3535 +3443 5455 +-1309 -3596 +-32 -2529 +6425 347 +3544 2345 +1080 -209 +-4019 -1113 +1690 4529 +5901 2428 +0 0 +5901 -2428 +1690 -4529 +-4019 1113 +1080 209 +3544 -2345 +6425 -347 +-32 2529 +-1309 3596 +3443 -5455 +1541 -3535 +2769 5281 +1565 -704 +3343 870 +563 4390 +-7767 -2798 +-4468 -2979 +-2104 3221 +804 5036 +3915 -1241 +-2799 -1576 +-7618 830 +-2454 -6781 +-1480 -3567 +-7628 617 +-1931 -4312 +446 620 +1305 3269 +6112 5295 +-3238 9067 +2901 329 +3970 -5137 +-4468 -2979 +6972 -468 +281 -916 +-4046 -3311 +-1253 1416 +-1579 -2553 +1885 -5888 +-3773 3122 +4649 1489 +11933 -3274 +-213 2958 +-4996 -1070 +-4812 -8207 +1697 -362 +51 2327 +-8790 -4007 +-8937 0 +-8790 4007 +51 -2327 +1697 362 +-4812 8207 +-4996 1070 +-213 -2958 +11933 3274 +4649 -1489 +-3773 -3122 +1885 5888 +-1579 2553 +-1253 -1416 +-4046 3311 +281 916 +6972 468 +-4468 2979 +-1357 -1493 +418 -2330 +-5367 -2987 +-1726 -4756 +-1632 1276 +6598 1029 +6856 -2470 +-1670 1489 +1193 -1578 +-2313 -3603 +-3443 2073 +1833 -1091 +2516 -3656 +5208 -1360 +3817 -3459 +0 0 +3817 3459 +5208 1360 +2516 3656 +1833 1091 +-3443 -2073 +-2313 3603 +1193 1578 +-1670 -1489 +6856 2470 +6598 -1029 +-1632 -1276 +-1726 4756 +-5367 2987 +418 2330 +-1357 1493 +-4468 -2979 +6972 -468 +281 -916 +-4046 -3311 +-1253 1416 +-1579 -2553 +1885 -5888 +-3773 3122 +4649 1489 +11933 -3274 +-213 2958 +-4996 -1070 +-4812 -8207 +1697 -362 +51 2327 +-8790 -4007 +2979 -5958 +-4612 -6443 +-4578 -9170 +-2974 -87 +-3322 2543 +6 -2803 +-2332 3311 +4422 -2348 +3160 -5702 +-6169 1945 +-2656 170 +71 2072 +-236 -436 +-500 -3055 +5303 2286 +6706 -2292 +1489 0 +6706 2292 +5303 -2286 +-500 3055 +-236 436 +71 -2072 +-2656 -170 +-6169 -1945 +3160 5702 +4422 2348 +-2332 -3311 +6 2803 +-3322 -2543 +-2974 87 +-4578 9170 +-4612 6443 +2979 5958 +-4919 -152 +-4819 -5860 +4581 -267 +3322 2543 +3601 -7 +1664 -2532 +-4170 2532 +-3160 2723 +5917 -5439 +3324 1332 +-3678 6581 +236 -436 +-1107 2247 +4093 7239 +2825 3934 +-7447 0 +2825 -3934 +4093 -7239 +-1107 -2247 +236 436 +-3678 -6581 +3324 -1332 +5917 5439 +-3160 -2723 +-4170 -2532 +1664 2532 +3601 7 +3322 -2543 +4581 267 +-4819 5860 +-4919 152 +2979 -5958 +-4612 -6443 +-4578 -9170 +-2974 -87 +-3322 2543 +6 -2803 +-2332 3311 +4422 -2348 +3160 -5702 +-6169 1945 +-2656 170 +71 2072 +-236 -436 +-500 -3055 +5303 2286 +6706 -2292 +-4468 1489 +-73 5366 +-2472 -1581 +-2956 3853 +1946 8094 +1576 -1340 +4809 2894 +6499 -543 +6575 -2543 +-1646 6816 +-6408 -1312 +2376 -1113 +806 6816 +-375 1636 +75 4787 +-4226 8896 +-4468 0 +-4226 -8896 +75 -4787 +-375 -1636 +806 -6816 +2376 1113 +-6408 1312 +-1646 -6816 +6575 2543 +6499 543 +4809 -2894 +1576 1340 +1946 -8094 +-2956 -3853 +-2472 1581 +-73 -5366 +-4468 -1489 +290 -3632 +2298 -3236 +1623 4283 +-1946 -1775 +-7731 -1503 +-1411 5816 +2918 5622 +2362 -436 +-2267 -7695 +-1203 -1893 +6058 4228 +-806 -496 +-572 543 +4311 2310 +-1496 -1204 +-4468 0 +-1496 1204 +4311 -2310 +-572 -543 +-806 496 +6058 -4228 +-1203 1893 +-2267 7695 +2362 436 +2918 -5622 +-1411 -5816 +-7731 1503 +-1946 1775 +1623 -4283 +2298 3236 +290 3632 +-4468 1489 +-73 5366 +-2472 -1581 +-2956 3853 +1946 8094 +1576 -1340 +4809 2894 +6499 -543 +6575 -2543 +-1646 6816 +-6408 -1312 +2376 -1113 +806 6816 +-375 1636 +75 4787 +-4226 8896 +2979 -7447 +-5097 -7019 +-2424 742 +2722 -5914 +5876 -2429 +-162 -749 +-3185 592 +-4106 3423 +-5085 -2543 +6308 968 +5074 1779 +-164 -1315 +4887 -1623 +8 -3326 +3321 2106 +3145 3619 +-5958 0 +3145 -3619 +3321 -2106 +8 3326 +4887 1623 +-164 1315 +5074 -1779 +6308 -968 +-5085 2543 +-4106 -3423 +-3185 -592 +-162 749 +5876 2429 +2722 5914 +-2424 -742 +-5097 7019 +2979 7447 +6646 -7462 +-973 -4861 +2155 1568 +1316 323 +-4246 -1482 +-1201 -366 +-1493 -1810 +-873 -436 +-2000 1483 +-4901 -7510 +-1920 -3732 +-6121 -483 +-6818 -7325 +4290 -267 +5023 3079 +0 0 +5023 -3079 +4290 267 +-6818 7325 +-6121 483 +-1920 3732 +-4901 7510 +-2000 -1483 +-873 436 +-1493 1810 +-1201 366 +-4246 1482 +1316 -323 +2155 -1568 +-973 4861 +6646 7462 +2979 -7447 +-5097 -7019 +-2424 742 +2722 -5914 +5876 -2429 +-162 -749 +-3185 592 +-4106 3423 +-5085 -2543 +6308 968 +5074 1779 +-164 -1315 +4887 -1623 +8 -3326 +3321 2106 +3145 3619 +-4468 0 +-6970 650 +-4355 233 +-9331 932 +-3133 -4489 +9177 -4947 +2581 5431 +4196 222 +2543 -4830 +-4894 -4567 +1066 -6393 +2395 4135 +1414 1242 +1924 -6586 +2870 -31 +-372 -2219 +-5958 0 +-372 2219 +2870 31 +1924 6586 +1414 -1242 +2395 -4135 +1066 6393 +-4894 4567 +2543 4830 +4196 -222 +2581 -5431 +9177 4947 +-3133 4489 +-9331 -932 +-4355 -233 +-6970 -650 +-4468 0 +-1807 -1247 +463 1647 +-1 2432 +1899 -597 +6544 1643 +-969 -1486 +-5328 1747 +436 7809 +5037 -712 +-2678 168 +-6120 5012 +5778 -370 +3836 2059 +1022 3656 +1711 -2137 +-2979 0 +1711 2137 +1022 -3656 +3836 -2059 +5778 370 +-6120 -5012 +-2678 -168 +5037 712 +436 -7809 +-5328 -1747 +-969 1486 +6544 -1643 +1899 597 +-1 -2432 +463 -1647 +-1807 1247 +-4468 0 +-6970 650 +-4355 233 +-9331 932 +-3133 -4489 +9177 -4947 +2581 5431 +4196 222 +2543 -4830 +-4894 -4567 +1066 -6393 +2395 4135 +1414 1242 +1924 -6586 +2870 -31 +-372 -2219 +8937 -2979 +-350 -6343 +-2702 4843 +-1009 -1055 +1376 -7735 +215 2581 +-1941 5421 +4756 1726 +4032 1489 +7806 1910 +5555 628 +-4355 -454 +-570 1888 +-5297 -979 +-576 -2645 +3884 3606 +-4468 0 +3884 -3606 +-576 2645 +-5297 979 +-570 -1888 +-4355 454 +5555 -628 +7806 -1910 +4032 -1489 +4756 -1726 +-1941 -5421 +215 -2581 +1376 7735 +-1009 1055 +-2702 -4843 +-350 6343 +8937 2979 +4347 -1438 +-2210 6886 +-4168 2565 +-1376 -1563 +5702 -990 +-2329 -5821 +-3378 -1791 +1926 1489 +-1747 -683 +1183 717 +2009 -4448 +570 -5228 +-1523 4423 +-8896 4204 +-6893 -1669 +-1489 0 +-6893 1669 +-8896 -4204 +-1523 -4423 +570 5228 +2009 4448 +1183 -717 +-1747 683 +1926 -1489 +-3378 1791 +-2329 5821 +5702 990 +-1376 1563 +-4168 -2565 +-2210 -6886 +4347 1438 +8937 -2979 +-350 -6343 +-2702 4843 +-1009 -1055 +1376 -7735 +215 2581 +-1941 5421 +4756 1726 +4032 1489 +7806 1910 +5555 628 +-4355 -454 +-570 1888 +-5297 -979 +-576 -2645 +3884 3606 +-2979 2979 +-3619 1179 +82 -1946 +607 -8356 +-483 267 +-1757 2576 +-5379 -806 +-4725 10464 +-2543 6319 +-315 -338 +4016 -806 +3227 -5419 +2429 2333 +2237 6099 +-3254 -1946 +1894 -3251 +10426 0 +1894 3251 +-3254 1946 +2237 -6099 +2429 -2333 +3227 5419 +4016 806 +-315 338 +-2543 -6319 +-4725 -10464 +-5379 806 +-1757 -2576 +-483 -267 +607 8356 +82 1946 +-3619 -1179 +-2979 -2979 +-2679 1288 +-1048 -1946 +4245 -10467 +-1623 -2012 +-5708 -1705 +4733 -806 +5728 -1591 +-436 -6319 +1591 3520 +842 -806 +-1266 -310 +-323 7837 +-1585 -2755 +8 -1946 +2124 4962 +1489 0 +2124 -4962 +8 1946 +-1585 2755 +-323 -7837 +-1266 310 +842 806 +1591 -3520 +-436 6319 +5728 1591 +4733 806 +-5708 1705 +-1623 2012 +4245 10467 +-1048 1946 +-2679 -1288 +-2979 2979 +-3619 1179 +82 -1946 +607 -8356 +-483 267 +-1757 2576 +-5379 -806 +-4725 10464 +-2543 6319 +-315 -338 +4016 -806 +3227 -5419 +2429 2333 +2237 6099 +-3254 -1946 +1894 -3251 +1489 0 +1803 -930 +-7363 -987 +-3737 2003 +-1376 3872 +-4582 -1097 +6757 -3300 +7400 -2312 +4649 -617 +-2519 3755 +-4395 -2405 +9235 -11002 +570 -4838 +-4594 -2248 +5644 -4804 +2109 84 +-2979 0 +2109 -84 +5644 4804 +-4594 2248 +570 4838 +9235 11002 +-4395 2405 +-2519 -3755 +4649 617 +7400 2312 +6757 3300 +-4582 1097 +-1376 -3872 +-3737 -2003 +-7363 987 +1803 930 +1489 0 +5446 -2862 +3298 -4045 +-2154 -3189 +1376 -20 +-2277 3255 +-1747 -1064 +-3060 -2143 +-1670 3596 +4326 973 +-4828 -1960 +-5297 -582 +-570 -3226 +-3445 -765 +2634 -228 +1348 -6608 +-5958 0 +1348 6608 +2634 228 +-3445 765 +-570 3226 +-5297 582 +-4828 1960 +4326 -973 +-1670 -3596 +-3060 2143 +-1747 1064 +-2277 -3255 +1376 20 +-2154 3189 +3298 4045 +5446 2862 +1489 0 +1803 -930 +-7363 -987 +-3737 2003 +-1376 3872 +-4582 -1097 +6757 -3300 +7400 -2312 +4649 -617 +-2519 3755 +-4395 -2405 +9235 -11002 +570 -4838 +-4594 -2248 +5644 -4804 +2109 84 +4468 5958 +293 2494 +-3866 2296 +2763 10912 +4992 1367 +1137 -2882 +-1499 -3436 +-1158 -1227 +1053 2979 +-186 -2363 +-4692 -457 +-8484 -5244 +-4413 -6871 +1336 -998 +-3359 -683 +-2707 8462 +2979 0 +-2707 -8462 +-3359 683 +1336 998 +-4413 6871 +-8484 5244 +-4692 457 +-186 2363 +1053 -2979 +-1158 1227 +-1499 3436 +1137 2882 +4992 -1367 +2763 -10912 +-3866 -2296 +293 -2494 +4468 -5958 +1618 3730 +2899 2296 +-4 2013 +-1652 4591 +2930 1584 +853 -3436 +328 -3393 +-1053 2979 +-917 -777 +9551 -457 +3126 6333 +-4885 913 +5623 -4032 +112 -683 +-5697 2731 +0 0 +-5697 -2731 +112 683 +5623 4032 +-4885 -913 +3126 -6333 +9551 457 +-917 777 +-1053 -2979 +328 3393 +853 3436 +2930 -1584 +-1652 -4591 +-4 -2013 +2899 -2296 +1618 -3730 +4468 5958 +293 2494 +-3866 2296 +2763 10912 +4992 1367 +1137 -2882 +-1499 -3436 +-1158 -1227 +1053 2979 +-186 -2363 +-4692 -457 +-8484 -5244 +-4413 -6871 +1336 -998 +-3359 -683 +-2707 8462 +4468 1489 +-2453 1911 +-4877 -2003 +-1934 -24 +1946 7354 +1470 9051 +467 -1481 +-63 -6679 +-3596 -2543 +-1362 1788 +-2622 1345 +-4265 -9418 +806 -2162 +592 4963 +4488 -2859 +4496 5395 +-1489 0 +4496 -5395 +4488 2859 +592 -4963 +806 2162 +-4265 9418 +-2622 -1345 +-1362 -1788 +-3596 2543 +-63 6679 +467 1481 +1470 -9051 +1946 -7354 +-1934 24 +-4877 2003 +-2453 -1911 +4468 -1489 +239 -1584 +-1122 -3029 +5953 -2145 +-1946 710 +-2327 5295 +3252 -2884 +-4196 -3810 +617 -436 +7901 -11521 +3117 -5709 +-383 1596 +-806 -1690 +894 -533 +-2702 -2173 +-4562 625 +-1489 0 +-4562 -625 +-2702 2173 +894 533 +-806 1690 +-383 -1596 +3117 5709 +7901 11521 +617 436 +-4196 3810 +3252 2884 +-2327 -5295 +-1946 -710 +5953 2145 +-1122 3029 +239 1584 +4468 1489 +-2453 1911 +-4877 -2003 +-1934 -24 +1946 7354 +1470 9051 +467 -1481 +-63 -6679 +-3596 -2543 +-1362 1788 +-2622 1345 +-4265 -9418 +806 -2162 +592 4963 +4488 -2859 +4496 5395 +2979 4468 +-7266 -2767 +-1934 -4760 +3409 2812 +-523 323 +-765 4737 +2060 -1617 +2889 -10134 +-2106 4649 +-303 8704 +2283 5849 +-6171 3721 +-6348 -483 +1524 3192 +4404 2357 +5351 -249 +5958 0 +5351 249 +4404 -2357 +1524 -3192 +-6348 483 +-6171 -3721 +2283 -5849 +-303 -8704 +-2106 -4649 +2889 10134 +2060 1617 +-765 -4737 +-523 -323 +3409 -2812 +-1934 4760 +-7266 2767 +2979 -4468 +-1379 661 +-9569 774 +-446 -3537 +1757 -2429 +1386 948 +-621 -4435 +-2782 -4630 +2106 -1670 +-1738 -5513 +491 2483 +4258 3443 +-844 -1623 +5230 1052 +2886 -3875 +-3199 -4243 +0 0 +-3199 4243 +2886 3875 +5230 -1052 +-844 1623 +4258 -3443 +491 -2483 +-1738 5513 +2106 1670 +-2782 4630 +-621 4435 +1386 -948 +1757 2429 +-446 3537 +-9569 -774 +-1379 -661 +2979 4468 +-7266 -2767 +-1934 -4760 +3409 2812 +-523 323 +-765 4737 +2060 -1617 +2889 -10134 +-2106 4649 +-303 8704 +2283 5849 +-6171 3721 +-6348 -483 +1524 3192 +4404 2357 +5351 -249 +-1489 -1489 +108 2020 +6276 -2296 +1979 503 +-1786 -817 +-2392 -6532 +-6032 -4670 +-1087 -3589 +3596 436 +-1648 5722 +-2764 625 +-2094 -6037 +-3719 2269 +-1958 3595 +-538 -5817 +3322 -920 +7447 0 +3322 920 +-538 5817 +-1958 -3595 +-3719 -2269 +-2094 6037 +-2764 -625 +-1648 -5722 +3596 -436 +-1087 3589 +-6032 4670 +-2392 6532 +-1786 817 +1979 -503 +6276 2296 +108 -2020 +-1489 1489 +2749 3436 +3935 -3 +7870 -2901 +5999 -1289 +-2628 6589 +-1900 2132 +2283 2265 +-617 2543 +-5052 -1088 +-1943 8753 +4834 137 +-494 -4375 +-5611 -35 +2965 -8398 +-674 418 +-10426 0 +-674 -418 +2965 8398 +-5611 35 +-494 4375 +4834 -137 +-1943 -8753 +-5052 1088 +-617 -2543 +2283 -2265 +-1900 -2132 +-2628 -6589 +5999 1289 +7870 2901 +3935 3 +2749 -3436 +-1489 -1489 +108 2020 +6276 -2296 +1979 503 +-1786 -817 +-2392 -6532 +-6032 -4670 +-1087 -3589 +3596 436 +-1648 5722 +-2764 625 +-2094 -6037 +-3719 2269 +-1958 3595 +-538 -5817 +3322 -920 +0 1489 +435 2474 +-423 -4332 +-3769 -5244 +-576 -3569 +-1944 -4362 +-2559 3554 +3981 4364 +5085 -4649 +-5738 -2578 +-7125 -3751 +1167 -7349 +-2856 1129 +-1593 -1832 +5304 -8196 +4127 331 +2979 0 +4127 -331 +5304 8196 +-1593 1832 +-2856 -1129 +1167 7349 +-7125 3751 +-5738 2578 +5085 4649 +3981 -4364 +-2559 -3554 +-1944 4362 +-576 3569 +-3769 5244 +-423 4332 +435 -2474 +0 -1489 +3675 1659 +3228 1900 +824 2861 +-8360 1463 +-2598 -5 +8935 187 +1544 738 +873 1670 +-2067 -104 +2494 -934 +8879 -243 +-6081 -3235 +-966 -3776 +2062 -2661 +-5956 -3982 +-2979 0 +-5956 3982 +2062 2661 +-966 3776 +-6081 3235 +8879 243 +2494 934 +-2067 104 +873 -1670 +1544 -738 +8935 -187 +-2598 5 +-8360 -1463 +824 -2861 +3228 -1900 +3675 -1659 +0 1489 +435 2474 +-423 -4332 +-3769 -5244 +-576 -3569 +-1944 -4362 +-2559 3554 +3981 4364 +5085 -4649 +-5738 -2578 +-7125 -3751 +1167 -7349 +-2856 1129 +-1593 -1832 +5304 -8196 +4127 331 +2979 -1489 +3862 -5891 +-2802 -5874 +3356 -2115 +2819 -3852 +-8014 2736 +-3383 1372 +115 -126 +1234 -436 +6290 -6660 +-1759 4727 +-1260 2482 +5891 -7165 +-863 -1631 +1404 -959 +1445 3641 +-5958 0 +1445 -3641 +1404 959 +-863 1631 +5891 7165 +-1260 -2482 +-1759 -4727 +6290 6660 +1234 436 +115 126 +-3383 -1372 +-8014 -2736 +2819 3852 +3356 2115 +-2802 5874 +3862 5891 +2979 1489 +-4720 -1444 +-4069 -5188 +-1126 567 +-1074 512 +-220 3432 +2016 8425 +-2679 -2175 +-7192 -2543 +-2738 5651 +-2832 3324 +-2502 -2806 +4279 -2133 +2204 2016 +-491 67 +6850 -1259 +11916 0 +6850 1259 +-491 -67 +2204 -2016 +4279 2133 +-2502 2806 +-2832 -3324 +-2738 -5651 +-7192 2543 +-2679 2175 +2016 -8425 +-220 -3432 +-1074 -512 +-1126 -567 +-4069 5188 +-4720 1444 +2979 -1489 +3862 -5891 +-2802 -5874 +3356 -2115 +2819 -3852 +-8014 2736 +-3383 1372 +115 -126 +1234 -436 +6290 -6660 +-1759 4727 +-1260 2482 +5891 -7165 +-863 -1631 +1404 -959 +1445 3641 +-4468 2979 +-2366 4172 +3987 -5121 +3617 2308 +-672 2667 +458 816 +3363 5550 +2756 2532 +-1926 -1234 +-2039 1157 +-1184 4543 +-1310 -4108 +779 -6711 +287 -737 +3745 -253 +5654 5148 +2979 0 +5654 -5148 +3745 253 +287 737 +779 6711 +-1310 4108 +-1184 -4543 +-2039 -1157 +-1926 1234 +2756 -2532 +3363 -5550 +458 -816 +-672 -2667 +3617 -2308 +3987 5121 +-2366 -4172 +-4468 -2979 +-3808 3463 +3851 -4596 +6894 373 +-200 7504 +2478 976 +-1350 -7483 +-7621 -1014 +-4032 7192 +-7026 361 +916 1950 +4519 5900 +-5865 4966 +-92 3418 +-1412 -1038 +-2402 2487 +5958 0 +-2402 -2487 +-1412 1038 +-92 -3418 +-5865 -4966 +4519 -5900 +916 -1950 +-7026 -361 +-4032 -7192 +-7621 1014 +-1350 7483 +2478 -976 +-200 -7504 +6894 -373 +3851 4596 +-3808 -3463 +-4468 2979 +-2366 4172 +3987 -5121 +3617 2308 +-672 2667 +458 816 +3363 5550 +2756 2532 +-1926 -1234 +-2039 1157 +-1184 4543 +-1310 -4108 +779 -6711 +287 -737 +3745 -253 +5654 5148 +-1489 0 +-7688 -707 +1756 -4909 +-4154 -4006 +-4413 -4052 +1463 -2957 +-10385 1276 +69 -2327 +6139 -2106 +-3099 6392 +411 348 +-251 -8652 +-1652 -1300 +-4559 2921 +-3711 702 +2536 2700 +2979 0 +2536 -2700 +-3711 -702 +-4559 -2921 +-1652 1300 +-251 8652 +411 -348 +-3099 -6392 +6139 2106 +69 2327 +-10385 -1276 +1463 2957 +-4413 4052 +-4154 4006 +1756 4909 +-7688 707 +-1489 0 +4244 405 +6994 -6175 +4090 -6106 +-4885 -160 +6317 -2471 +7806 -4122 +-1660 428 +-181 2106 +-1802 1238 +-2045 -726 +2188 -3722 +4992 -2912 +3332 362 +-826 2598 +-1025 3037 +0 0 +-1025 -3037 +-826 -2598 +3332 -362 +4992 2912 +2188 3722 +-2045 726 +-1802 -1238 +-181 -2106 +-1660 -428 +7806 4122 +6317 2471 +-4885 160 +4090 6106 +6994 6175 +4244 -405 +-1489 0 +-7688 -707 +1756 -4909 +-4154 -4006 +-4413 -4052 +1463 -2957 +-10385 1276 +69 -2327 +6139 -2106 +-3099 6392 +411 348 +-251 -8652 +-1652 -1300 +-4559 2921 +-3711 702 +2536 2700 +-1489 0 +4607 3749 +5375 3573 +-3189 2093 +-2565 -3246 +722 -2036 +-1625 5168 +-5847 -5375 +-1926 -7192 +-2989 1801 +-4443 -288 +-81 2855 +-3518 646 +-2806 204 +-3946 7133 +-163 -401 +8937 0 +-163 401 +-3946 -7133 +-2806 -204 +-3518 -646 +-81 -2855 +-4443 288 +-2989 -1801 +-1926 7192 +-5847 5375 +-1625 -5168 +722 2036 +-2565 3246 +-3189 -2093 +5375 -3573 +4607 -3749 +-1489 0 +2062 515 +-516 1232 +5748 -625 +11863 -966 +3901 6411 +659 1036 +-4842 -3842 +-4032 1234 +-599 -847 +1196 534 +8399 -224 +178 -4859 +-4270 3009 +3300 3630 +-655 -5507 +-5958 0 +-655 5507 +3300 -3630 +-4270 -3009 +178 4859 +8399 224 +1196 -534 +-599 847 +-4032 -1234 +-4842 3842 +659 -1036 +3901 -6411 +11863 966 +5748 625 +-516 -1232 +2062 -515 +-1489 0 +4607 3749 +5375 3573 +-3189 2093 +-2565 -3246 +722 -2036 +-1625 5168 +-5847 -5375 +-1926 -7192 +-2989 1801 +-4443 -288 +-81 2855 +-3518 646 +-2806 204 +-3946 7133 +-163 -401 +-4468 -2979 +1625 -454 +3146 2200 +-3318 2115 +-3672 -5522 +-4289 -2972 +2369 2167 +5152 -3924 +436 617 +-887 3951 +-1754 -2337 +1242 1690 +1027 3415 +-2828 1300 +-3379 1417 +-1686 -3133 +0 0 +-1686 3133 +-3379 -1417 +-2828 -1300 +1027 -3415 +1242 -1690 +-1754 2337 +-887 -3951 +436 -617 +5152 3924 +2369 -2167 +-4289 2972 +-3672 5522 +-3318 -2115 +3146 -2200 +1625 454 +-4468 2979 +-3021 473 +-5426 -1932 +390 3857 +693 -5522 +-1949 -12364 +3135 5670 +-705 7547 +2543 -3596 +653 208 +-3750 4 +784 -1351 +-4006 3415 +1543 6 +5659 595 +7296 7178 +14895 0 +7296 -7178 +5659 -595 +1543 -6 +-4006 -3415 +784 1351 +-3750 -4 +653 -208 +2543 3596 +-705 -7547 +3135 -5670 +-1949 12364 +693 5522 +390 -3857 +-5426 1932 +-3021 -473 +-4468 -2979 +1625 -454 +3146 2200 +-3318 2115 +-3672 -5522 +-4289 -2972 +2369 2167 +5152 -3924 +436 617 +-887 3951 +-1754 -2337 +1242 1690 +1027 3415 +-2828 1300 +-3379 1417 +-1686 -3133 +0 -5958 +-2418 -12215 +-1998 819 +3898 -1810 +-3656 -3349 +-4802 -5422 +605 -4775 +-2785 -1026 +1053 -3596 +2312 5875 +120 3604 +997 -5519 +-4934 -1510 +-3723 -7345 +2990 -5195 +4171 7208 +4468 0 +4171 -7208 +2990 5195 +-3723 7345 +-4934 1510 +997 5519 +120 -3604 +2312 -5875 +1053 3596 +-2785 1026 +605 4775 +-4802 5422 +-3656 3349 +3898 1810 +-1998 -819 +-2418 12215 +0 5958 +-892 -7142 +1998 1893 +1946 1772 +3656 -1737 +3640 -4978 +-605 -6042 +-2169 555 +-1053 617 +2642 985 +-120 1708 +165 2020 +4934 2382 +-2121 2294 +-2990 204 +-861 -7319 +-4468 0 +-861 7319 +-2990 -204 +-2121 -2294 +4934 -2382 +165 -2020 +-120 -1708 +2642 -985 +-1053 -617 +-2169 -555 +-605 6042 +3640 4978 +3656 1737 +1946 -1772 +1998 -1893 +-892 7142 +0 -5958 +-2418 -12215 +-1998 819 +3898 -1810 +-3656 -3349 +-4802 -5422 +605 -4775 +-2785 -1026 +1053 -3596 +2312 5875 +120 3604 +997 -5519 +-4934 -1510 +-3723 -7345 +2990 -5195 +4171 7208 +-2979 7447 +-7794 2728 +1333 318 +-660 865 +-4489 2059 +-2031 2201 +2608 -6360 +11276 -8008 +1489 1926 +2230 5453 +7077 -1179 +-5755 -6328 +-1242 -2866 +470 842 +-1284 2779 +6951 5328 +8937 0 +6951 -5328 +-1284 -2779 +470 -842 +-1242 2866 +-5755 6328 +7077 1179 +2230 -5453 +1489 -1926 +11276 8008 +2608 6360 +-2031 -2201 +-4489 -2059 +-660 -865 +1333 -318 +-7794 -2728 +-2979 -7447 +-794 791 +-4406 2166 +-2415 -6706 +-597 919 +605 2758 +-1147 -2617 +2850 5458 +1489 4032 +-4359 -755 +-112 2372 +-256 -1164 +370 -113 +1617 1208 +-4069 -2039 +-1936 1951 +2979 0 +-1936 -1951 +-4069 2039 +1617 -1208 +370 113 +-256 1164 +-112 -2372 +-4359 755 +1489 -4032 +2850 -5458 +-1147 2617 +605 -2758 +-597 -919 +-2415 6706 +-4406 -2166 +-794 -791 +-2979 7447 +-7794 2728 +1333 318 +-660 865 +-4489 2059 +-2031 2201 +2608 -6360 +11276 -8008 +1489 1926 +2230 5453 +7077 -1179 +-5755 -6328 +-1242 -2866 +470 842 +-1284 2779 +6951 5328 +1489 -1489 +-1730 -1633 +6513 -167 +2844 -2970 +-880 -2866 +-396 3516 +575 1335 +1738 979 +-8064 3160 +-2016 -5387 +-2413 -2073 +-9915 8005 +8664 919 +7703 1120 +17 7274 +927 321 +-4468 0 +927 -321 +17 -7274 +7703 -1120 +8664 -919 +-9915 -8005 +-2413 2073 +-2016 5387 +-8064 -3160 +1738 -979 +575 -1335 +-396 -3516 +-880 2866 +2844 2970 +6513 167 +-1730 1633 +1489 1489 +5208 4960 +-782 7079 +-5054 1243 +-5440 -113 +377 5085 +3544 6974 +-34 2088 +-3851 -3160 +1300 -1263 +4252 212 +-2063 -1336 +-2345 2059 +-1922 3645 +210 1383 +3032 1714 +1489 0 +3032 -1714 +210 -1383 +-1922 -3645 +-2345 -2059 +-2063 1336 +4252 -212 +1300 1263 +-3851 3160 +-34 -2088 +3544 -6974 +377 -5085 +-5440 113 +-5054 -1243 +-782 -7079 +5208 -4960 +1489 -1489 +-1730 -1633 +6513 -167 +2844 -2970 +-880 -2866 +-396 3516 +575 1335 +1738 979 +-8064 3160 +-2016 -5387 +-2413 -2073 +-9915 8005 +8664 919 +7703 1120 +17 7274 +927 321 +-1489 5958 +1953 2072 +3913 -1323 +-1424 912 +3656 5012 +7036 8706 +813 -1518 +-1842 -671 +-2543 5341 +734 -4771 +-699 63 +-1863 -1343 +4934 -7590 +1165 5478 +-1613 1913 +4168 -8516 +5958 0 +4168 8516 +-1613 -1913 +1165 -5478 +4934 7590 +-1863 1343 +-699 -63 +734 4771 +-2543 -5341 +-1842 671 +813 1518 +7036 -8706 +3656 -5012 +-1424 -912 +3913 1323 +1953 -2072 +-1489 -5958 +-2708 -99 +-4407 4075 +-2402 562 +-3656 -1160 +1115 1501 +5186 378 +-564 6823 +-436 9554 +-608 671 +-1087 -1203 +-783 -6058 +-4934 -474 +-2843 7155 +-2106 839 +-1132 5173 +2979 0 +-1132 -5173 +-2106 -839 +-2843 -7155 +-4934 474 +-783 6058 +-1087 1203 +-608 -671 +-436 -9554 +-564 -6823 +5186 -378 +1115 -1501 +-3656 1160 +-2402 -562 +-4407 -4075 +-2708 99 +-1489 5958 +1953 2072 +3913 -1323 +-1424 912 +3656 5012 +7036 8706 +813 -1518 +-1842 -671 +-2543 5341 +734 -4771 +-699 63 +-1863 -1343 +4934 -7590 +1165 5478 +-1613 1913 +4168 -8516 +0 -1489 +-1204 2883 +-4388 -3027 +-50 3682 +-1387 303 +-2978 1753 +612 8229 +-2126 252 +1489 -3160 +5777 -2995 +1500 1651 +-2782 3159 +-3645 -3709 +-1886 -5247 +-4619 -4202 +-1126 -1082 +5958 0 +-1126 1082 +-4619 4202 +-1886 5247 +-3645 3709 +-2782 -3159 +1500 -1651 +5777 2995 +1489 3160 +-2126 -252 +612 -8229 +-2978 -1753 +-1387 -303 +-50 -3682 +-4388 3027 +-1204 -2883 +0 1489 +4587 7692 +2722 -1564 +-9522 482 +-719 1442 +1524 -11 +-9741 -1358 +-2066 -1524 +1489 3160 +347 -1849 +5884 -738 +5527 -429 +5751 -6461 +1741 1973 +-3886 5569 +4236 -340 +11916 0 +4236 340 +-3886 -5569 +1741 -1973 +5751 6461 +5527 429 +5884 738 +347 1849 +1489 -3160 +-2066 1524 +-9741 1358 +1524 11 +-719 -1442 +-9522 -482 +2722 1564 +4587 -7692 +0 -1489 +-1204 2883 +-4388 -3027 +-50 3682 +-1387 303 +-2978 1753 +612 8229 +-2126 252 +1489 -3160 +5777 -2995 +1500 1651 +-2782 3159 +-3645 -3709 +-1886 -5247 +-4619 -4202 +-1126 -1082 +4468 5958 +-4943 -2622 +-4580 -2910 +-432 200 +-1536 87 +-1582 -1605 +540 -3996 +4490 -3268 +-436 -1489 +1432 990 +1396 -3085 +-931 -9562 +3482 -3805 +-6495 -3264 +-352 -7818 +4719 -1265 +-5958 0 +4719 1265 +-352 7818 +-6495 3264 +3482 3805 +-931 9562 +1396 3085 +1432 -990 +-436 1489 +4490 3268 +540 3996 +-1582 1605 +-1536 -87 +-432 -200 +-4580 2910 +-4943 2622 +4468 -5958 +789 -724 +2474 6436 +4493 -4444 +-2676 -2193 +1721 8339 +1566 1779 +-608 -3423 +-2543 -1489 +-7594 1502 +710 4357 +6297 2555 +730 1699 +-3070 -2807 +-1755 -8997 +1715 -4815 +2979 0 +1715 4815 +-1755 8997 +-3070 2807 +730 -1699 +6297 -2555 +710 -4357 +-7594 -1502 +-2543 1489 +-608 3423 +1566 -1779 +1721 -8339 +-2676 2193 +4493 4444 +2474 -6436 +789 724 +4468 5958 +-4943 -2622 +-4580 -2910 +-432 200 +-1536 87 +-1582 -1605 +540 -3996 +4490 -3268 +-436 -1489 +1432 990 +1396 -3085 +-931 -9562 +3482 -3805 +-6495 -3264 +-352 -7818 +4719 -1265 +4468 -4468 +516 599 +-4989 2114 +-6154 4043 +-3683 4128 +-1859 1104 +-3939 2381 +-3045 -1109 +2106 -6139 +-507 623 +-3906 7426 +-22 1495 +-3188 1710 +-6025 1545 +3341 -2616 +4178 4918 +-1489 0 +4178 -4918 +3341 2616 +-6025 -1545 +-3188 -1710 +-22 -1495 +-3906 -7426 +-507 -623 +2106 6139 +-3045 1109 +-3939 -2381 +-1859 -1104 +-3683 -4128 +-6154 -4043 +-4989 -2114 +516 -599 +4468 4468 +4967 1688 +5956 1533 +2605 -2211 +-1403 -4128 +3535 10542 +4585 4036 +-2357 -3451 +-2106 181 +58 -3437 +-952 4949 +2861 -19 +2316 -1710 +-3368 10457 +-94 305 +4616 -4376 +4468 0 +4616 4376 +-94 -305 +-3368 -10457 +2316 1710 +2861 19 +-952 -4949 +58 3437 +-2106 -181 +-2357 3451 +4585 -4036 +3535 -10542 +-1403 4128 +2605 2211 +5956 -1533 +4967 -1688 +4468 -4468 +516 599 +-4989 2114 +-6154 4043 +-3683 4128 +-1859 1104 +-3939 2381 +-3045 -1109 +2106 -6139 +-507 623 +-3906 7426 +-22 1495 +-3188 1710 +-6025 1545 +3341 -2616 +4178 4918 +-5958 -4468 +-2280 -4068 +4696 733 +3391 2902 +2173 1274 +-1454 1575 +-1486 2445 +6810 -5812 +0 -5522 +-385 3027 +6377 4876 +2446 4943 +4925 -1586 +1459 336 +-2089 6228 +-3542 -3318 +-8937 0 +-3542 3318 +-2089 -6228 +1459 -336 +4925 1586 +2446 -4943 +6377 -4876 +-385 -3027 +0 5522 +6810 5812 +-1486 -2445 +-1454 -1575 +2173 -1274 +3391 -2902 +4696 -733 +-2280 4068 +-5958 4468 +-93 551 +3089 2513 +13 77 +3785 -2146 +2523 4392 +-1738 2413 +4717 -3469 +0 -3415 +-3357 -846 +-3153 -4230 +-6739 -7214 +1033 6671 +-1639 6320 +-5696 -7194 +-1869 -652 +-2979 0 +-1869 652 +-5696 7194 +-1639 -6320 +1033 -6671 +-6739 7214 +-3153 4230 +-3357 846 +0 3415 +4717 3469 +-1738 -2413 +2523 -4392 +3785 2146 +13 -77 +3089 -2513 +-93 -551 +-5958 -4468 +-2280 -4068 +4696 733 +3391 2902 +2173 1274 +-1454 1575 +-1486 2445 +6810 -5812 +0 -5522 +-385 3027 +6377 4876 +2446 4943 +4925 -1586 +1459 336 +-2089 6228 +-3542 -3318 +-2979 -4468 +-8166 4794 +-4753 -4726 +116 -4047 +510 4602 +1227 821 +450 1487 +-4739 1909 +-5085 -3777 +2266 -411 +4892 2133 +-699 -6023 +-4175 -3302 +-1842 -2793 +2332 -6461 +6690 4724 +8937 0 +6690 -4724 +2332 6461 +-1842 2793 +-4175 3302 +-699 6023 +4892 -2133 +2266 411 +-5085 3777 +-4739 -1909 +450 -1487 +1227 -821 +510 -4602 +116 4047 +-4753 4726 +-8166 -4794 +-2979 4468 +728 7024 +-2857 1061 +-1391 1600 +6682 3462 +6794 5191 +-624 -4938 +-2832 -293 +-873 6755 +-1188 -4011 +-506 374 +2395 -1360 +2941 -550 +1826 7292 +1066 -3162 +-1184 -2435 +-2979 0 +-1184 2435 +1066 3162 +1826 -7292 +2941 550 +2395 1360 +-506 -374 +-1188 4011 +-873 -6755 +-2832 293 +-624 4938 +6794 -5191 +6682 -3462 +-1391 -1600 +-2857 -1061 +728 -7024 +-2979 -4468 +-8166 4794 +-4753 -4726 +116 -4047 +510 4602 +1227 821 +450 1487 +-4739 1909 +-5085 -3777 +2266 -411 +4892 2133 +-699 -6023 +-4175 -3302 +-1842 -2793 +2332 -6461 +6690 4724 +1489 0 +467 -1928 +3810 1926 +-2399 -2473 +360 -4213 +-2590 11302 +-5909 9965 +1044 -1474 +-1926 0 +-29 -2742 +3725 1951 +1495 3395 +2952 -4213 +-3301 -2704 +-4641 -1648 +420 -241 +0 0 +420 241 +-4641 1648 +-3301 2704 +2952 4213 +1495 -3395 +3725 -1951 +-29 2742 +-1926 0 +1044 1474 +-5909 -9965 +-2590 -11302 +360 4213 +-2399 2473 +3810 -1926 +467 1928 +1489 0 +5701 -9723 +-3164 -3917 +17 6076 +4725 -4213 +4891 -2439 +9156 5011 +504 1638 +-4032 0 +414 -4041 +-2759 -1359 +-2504 -571 +-2080 -4213 +-4034 -3223 +-217 -2810 +-95 1985 +-2979 0 +-95 -1985 +-217 2810 +-4034 3223 +-2080 4213 +-2504 571 +-2759 1359 +414 4041 +-4032 0 +504 -1638 +9156 -5011 +4891 2439 +4725 4213 +17 -6076 +-3164 3917 +5701 9723 +1489 0 +467 -1928 +3810 1926 +-2399 -2473 +360 -4213 +-2590 11302 +-5909 9965 +1044 -1474 +-1926 0 +-29 -2742 +3725 1951 +1495 3395 +2952 -4213 +-3301 -2704 +-4641 -1648 +420 -241 +0 -2979 +-281 275 +-2292 -5790 +3759 -1061 +2866 7631 +-498 2809 +763 1403 +-9072 3081 +-4032 2723 +2799 3655 +2514 4137 +6182 8633 +919 7612 +2483 -3139 +-1881 -3077 +-5425 4157 +1489 0 +-5425 -4157 +-1881 3077 +2483 3139 +919 -7612 +6182 -8633 +2514 -4137 +2799 -3655 +-4032 -2723 +-9072 -3081 +763 -1403 +-498 -2809 +2866 -7631 +3759 1061 +-2292 5790 +-281 -275 +0 2979 +4268 1384 +185 -1554 +-5567 1235 +113 -7270 +-487 -3719 +1344 6608 +2516 -4341 +-1926 -5702 +-2736 1124 +-408 -2084 +4520 3851 +2059 4665 +-1966 -3633 +-226 1692 +-495 7032 +-1489 0 +-495 -7032 +-226 -1692 +-1966 3633 +2059 -4665 +4520 -3851 +-408 2084 +-2736 -1124 +-1926 5702 +2516 4341 +1344 -6608 +-487 3719 +113 7270 +-5567 -1235 +185 1554 +4268 -1384 +0 -2979 +-281 275 +-2292 -5790 +3759 -1061 +2866 7631 +-498 2809 +763 1403 +-9072 3081 +-4032 2723 +2799 3655 +2514 4137 +6182 8633 +919 7612 +2483 -3139 +-1881 -3077 +-5425 4157 +1489 1489 +2175 1949 +3576 -3477 +-2257 -2178 +2440 -5248 +-376 -2717 +-2856 3332 +6082 508 +1489 -5522 +-1065 -8019 +1349 1556 +789 5355 +2592 4268 +-4580 4334 +-6085 -225 +-952 2693 +-1489 0 +-952 -2693 +-6085 225 +-4580 -4334 +2592 -4268 +789 -5355 +1349 -1556 +-1065 8019 +1489 5522 +6082 -508 +-2856 -3332 +-376 2717 +2440 5248 +-2257 2178 +3576 3477 +2175 -1949 +1489 -1489 +1627 1304 +-5682 3325 +1037 8524 +1773 1396 +-3519 2555 +4963 5913 +4386 -3064 +1489 -3415 +4527 -494 +757 -737 +-3040 442 +-6805 3796 +-4905 -3947 +3979 -8352 +73 6518 +-7447 0 +73 -6518 +3979 8352 +-4905 3947 +-6805 -3796 +-3040 -442 +757 737 +4527 494 +1489 3415 +4386 3064 +4963 -5913 +-3519 -2555 +1773 -1396 +1037 -8524 +-5682 -3325 +1627 -1304 +1489 1489 +2175 1949 +3576 -3477 +-2257 -2178 +2440 -5248 +-376 -2717 +-2856 3332 +6082 508 +1489 -5522 +-1065 -8019 +1349 1556 +789 5355 +2592 4268 +-4580 4334 +-6085 -225 +-952 2693 +1489 0 +420 1334 +-4760 -6475 +-3521 -3870 +-2429 3262 +764 4639 +2876 5611 +7372 2074 +3160 3851 +-3697 7089 +1593 -2720 +1068 -4488 +1623 5315 +5673 4184 +935 -3131 +-1468 -3977 +0 0 +-1468 3977 +935 3131 +5673 -4184 +1623 -5315 +1068 4488 +1593 2720 +-3697 -7089 +3160 -3851 +7372 -2074 +2876 -5611 +764 -4639 +-2429 -3262 +-3521 3870 +-4760 6475 +420 -1334 +1489 0 +-1867 1711 +5900 -1269 +3763 2376 +323 3930 +-2185 2987 +-5628 841 +1517 1485 +-3160 8064 +-632 148 +1159 -6956 +-10656 653 +483 -4081 +5093 -5224 +-2075 3090 +-1645 -1216 +-2979 0 +-1645 1216 +-2075 -3090 +5093 5224 +483 4081 +-10656 -653 +1159 6956 +-632 -148 +-3160 -8064 +1517 -1485 +-5628 -841 +-2185 -2987 +323 -3930 +3763 -2376 +5900 1269 +-1867 -1711 +1489 0 +420 1334 +-4760 -6475 +-3521 -3870 +-2429 3262 +764 4639 +2876 5611 +7372 2074 +3160 3851 +-3697 7089 +1593 -2720 +1068 -4488 +1623 5315 +5673 4184 +935 -3131 +-1468 -3977 +-1489 -1489 +2521 1738 +2168 3439 +6973 164 +7982 8078 +-2693 2034 +-360 -3306 +-94 -3598 +-6575 -5522 +836 1704 +-212 1892 +-5511 4060 +2781 855 +3660 -2594 +-1037 5197 +-2754 -1626 +-4468 0 +-2754 1626 +-1037 -5197 +3660 2594 +2781 -855 +-5511 -4060 +-212 -1892 +836 -1704 +-6575 5522 +-94 3598 +-360 3306 +-2693 -2034 +7982 -8078 +6973 -164 +2168 -3439 +2521 -1738 +-1489 1489 +-225 -5355 +-801 -2793 +-1913 -3497 +3422 -4738 +-575 -1864 +-553 60 +5432 803 +-2362 -3415 +-1614 -2219 +7083 -925 +-2230 1613 +-8228 8443 +2289 4765 +5628 -338 +-4103 289 +-10426 0 +-4103 -289 +5628 338 +2289 -4765 +-8228 -8443 +-2230 -1613 +7083 925 +-1614 2219 +-2362 3415 +5432 -803 +-553 -60 +-575 1864 +3422 4738 +-1913 3497 +-801 2793 +-225 5355 +-1489 -1489 +2521 1738 +2168 3439 +6973 164 +7982 8078 +-2693 2034 +-360 -3306 +-94 -3598 +-6575 -5522 +836 1704 +-212 1892 +-5511 4060 +2781 855 +3660 -2594 +-1037 5197 +-2754 -1626 +0 2979 +-1454 -618 +-686 -4787 +-4379 6674 +570 -1403 +959 -2729 +-3944 11141 +1898 5348 +181 -617 +-2751 -417 +-1044 -1248 +-2979 -2473 +1376 -2316 +3710 -2870 +1411 -4098 +3092 1663 +4468 0 +3092 -1663 +1411 4098 +3710 2870 +1376 2316 +-2979 2473 +-1044 1248 +-2751 417 +181 617 +1898 -5348 +-3944 -11141 +959 2729 +570 1403 +-4379 -6674 +-686 4787 +-1454 618 +0 -2979 +-1511 -2975 +-926 -7321 +8716 699 +-570 -3683 +-7088 -7621 +52 344 +-6568 3201 +-6139 3596 +4197 -2497 +4936 -3396 +1323 360 +-1376 3188 +-263 6565 +201 -307 +3097 -4803 +7447 0 +3097 4803 +201 307 +-263 -6565 +-1376 -3188 +1323 -360 +4936 3396 +4197 2497 +-6139 -3596 +-6568 -3201 +52 -344 +-7088 7621 +-570 3683 +8716 -699 +-926 7321 +-1511 2975 +0 2979 +-1454 -618 +-686 -4787 +-4379 6674 +570 -1403 +959 -2729 +-3944 11141 +1898 5348 +181 -617 +-2751 -417 +-1044 -1248 +-2979 -2473 +1376 -2316 +3710 -2870 +1411 -4098 +3092 1663 +0 5958 +-5327 2751 +4180 2862 +4683 1807 +-7637 -230 +456 4897 +1911 3086 +-2281 4901 +-1670 5085 +-3263 -3493 +-2636 1077 +696 1042 +6132 -4802 +226 4251 +-5172 7769 +2671 1185 +7447 0 +2671 -1185 +-5172 -7769 +226 -4251 +6132 4802 +696 -1042 +-2636 -1077 +-3263 3493 +-1670 -5085 +-2281 -4901 +1911 -3086 +456 -4897 +-7637 230 +4683 -1807 +4180 -2862 +-5327 -2751 +0 -5958 +-3388 -3638 +184 -4055 +1508 4054 +-1661 9167 +6913 -3462 +3121 -601 +1008 5901 +4649 873 +323 1845 +-2396 -337 +-3851 -3366 +-2792 -4134 +-2203 -5640 +808 1208 +1831 5818 +-1489 0 +1831 -5818 +808 -1208 +-2203 5640 +-2792 4134 +-3851 3366 +-2396 337 +323 -1845 +4649 -873 +1008 -5901 +3121 601 +6913 3462 +-1661 -9167 +1508 -4054 +184 4055 +-3388 3638 +0 5958 +-5327 2751 +4180 2862 +4683 1807 +-7637 -230 +456 4897 +1911 3086 +-2281 4901 +-1670 5085 +-3263 -3493 +-2636 1077 +696 1042 +6132 -4802 +226 4251 +-5172 7769 +2671 1185 +4468 0 +-3214 -473 +2046 7306 +4468 2188 +-5059 806 +-472 891 +3668 -4112 +214 1436 +-1053 3340 +-737 1886 +7577 2685 +8031 1990 +-2618 1946 +-5553 2510 +-7646 2986 +-3957 367 +2979 0 +-3957 -367 +-7646 -2986 +-5553 -2510 +-2618 -1946 +8031 -1990 +7577 -2685 +-737 -1886 +-1053 -3340 +214 -1436 +3668 4112 +-472 -891 +-5059 -806 +4468 -2188 +2046 -7306 +-3214 473 +4468 0 +-959 -845 +-4001 5070 +3552 5955 +-27 -806 +2315 -10553 +7684 465 +1458 1673 +1053 -9298 +357 -523 +-6290 -373 +-3381 -1481 +1746 -1946 +-534 -4537 +-3037 3431 +-1588 61 +0 0 +-1588 -61 +-3037 -3431 +-534 4537 +1746 1946 +-3381 1481 +-6290 373 +357 523 +1053 9298 +1458 -1673 +7684 -465 +2315 10553 +-27 806 +3552 -5955 +-4001 -5070 +-959 845 +4468 0 +-3214 -473 +2046 7306 +4468 2188 +-5059 806 +-472 891 +3668 -4112 +214 1436 +-1053 3340 +-737 1886 +7577 2685 +8031 1990 +-2618 1946 +-5553 2510 +-7646 2986 +-3957 367 +0 4468 +1492 -842 +1841 -2793 +2852 77 +4859 5758 +1280 1639 +1460 60 +7647 -1464 +0 -8500 +-4693 -3487 +3253 -925 +3022 -150 +-3246 -93 +-3400 -3797 +3317 -338 +3930 -631 +0 0 +3930 631 +3317 338 +-3400 3797 +-3246 93 +3022 150 +3253 925 +-4693 3487 +0 8500 +7647 1464 +1460 -60 +1280 -1639 +4859 -5758 +2852 -77 +1841 2793 +1492 842 +0 -4468 +-4631 -1134 +-3453 3439 +94 941 +-646 5285 +1037 234 +-5352 -3306 +-6461 425 +0 -6394 +-4918 -5790 +639 1892 +3087 2477 +-966 -6737 +8880 -6647 +-1705 5197 +-9217 2334 +0 0 +-9217 -2334 +-1705 -5197 +8880 6647 +-966 6737 +3087 -2477 +639 -1892 +-4918 5790 +0 6394 +-6461 -425 +-5352 3306 +1037 -234 +-646 -5285 +94 -941 +-3453 -3439 +-4631 1134 +0 4468 +1492 -842 +1841 -2793 +2852 77 +4859 5758 +1280 1639 +1460 60 +7647 -1464 +0 -8500 +-4693 -3487 +3253 -925 +3022 -150 +-3246 -93 +-3400 -3797 +3317 -338 +3930 -631 +4468 0 +10493 3058 +-376 -4887 +-5584 -819 +4536 6682 +-1423 -531 +-2881 -7486 +1592 -3521 +1926 2106 +4146 -355 +250 939 +-2632 5007 +-3730 -2941 +-4114 -1825 +-2328 8469 +-5637 4713 +-8937 0 +-5637 -4713 +-2328 -8469 +-4114 1825 +-3730 2941 +-2632 -5007 +250 -939 +4146 355 +1926 -2106 +1592 3521 +-2881 7486 +-1423 531 +4536 -6682 +-5584 819 +-376 4887 +10493 -3058 +4468 0 +903 2485 +-3743 -2799 +-2125 619 +1784 510 +1689 -2091 +2654 253 +1472 -2784 +4032 -2106 +-2998 543 +-5981 -1490 +-1847 2088 +-2590 4175 +7610 2915 +489 2441 +-1547 -1102 +11916 0 +-1547 1102 +489 -2441 +7610 -2915 +-2590 -4175 +-1847 -2088 +-5981 1490 +-2998 -543 +4032 2106 +1472 2784 +2654 -253 +1689 2091 +1784 -510 +-2125 -619 +-3743 2799 +903 -2485 +4468 0 +10493 3058 +-376 -4887 +-5584 -819 +4536 6682 +-1423 -531 +-2881 -7486 +1592 -3521 +1926 2106 +4146 -355 +250 939 +-2632 5007 +-3730 -2941 +-4114 -1825 +-2328 8469 +-5637 4713 +0 0 +6411 376 +2404 4049 +1427 543 +3919 -777 +-487 2768 +5542 4508 +851 4204 +-4649 6319 +2111 390 +786 -1988 +-458 5137 +-134 -6508 +-232 -10258 +-2370 2152 +-5202 -2107 +-4468 0 +-5202 2107 +-2370 -2152 +-232 10258 +-134 6508 +-458 -5137 +786 1988 +2111 -390 +-4649 -6319 +851 -4204 +5542 -4508 +-487 -2768 +3919 777 +1427 -543 +2404 -4049 +6411 -376 +0 0 +469 -4241 +-1932 3316 +945 3791 +1167 -4669 +-7809 -2761 +1102 124 +4938 -1369 +1670 -6319 +872 -1580 +-7430 8365 +-6467 -464 +1006 -4896 +4656 -1082 +1898 -4958 +-2025 -2293 +-1489 0 +-2025 2293 +1898 4958 +4656 1082 +1006 4896 +-6467 464 +-7430 -8365 +872 1580 +1670 6319 +4938 1369 +1102 -124 +-7809 2761 +1167 4669 +945 -3791 +-1932 -3316 +469 4241 +0 0 +6411 376 +2404 4049 +1427 543 +3919 -777 +-487 2768 +5542 4508 +851 4204 +-4649 6319 +2111 390 +786 -1988 +-458 5137 +-134 -6508 +-232 -10258 +-2370 2152 +-5202 -2107 +-1489 5958 +-6116 -1267 +-2584 977 +-1376 6577 +2429 1650 +3632 1174 +-174 7692 +1479 -1912 +4032 -5085 +3940 5545 +-1132 -218 +-2099 -1645 +-1623 1423 +-7502 4464 +-63 6387 +5065 -7105 +0 0 +5065 7105 +-63 -6387 +-7502 -4464 +-1623 -1423 +-2099 1645 +-1132 218 +3940 -5545 +4032 5085 +1479 1912 +-174 -7692 +3632 -1174 +2429 -1650 +-1376 -6577 +-2584 -977 +-6116 1267 +-1489 -5958 +953 -4978 +-2448 -6762 +-668 -7787 +-323 5542 +5078 6107 +4539 1664 +-3610 505 +1926 -873 +1416 4511 +-3232 1871 +1173 689 +-483 -189 +1762 -1996 +5095 3958 +-3126 405 +-8937 0 +-3126 -405 +5095 -3958 +1762 1996 +-483 189 +1173 -689 +-3232 -1871 +1416 -4511 +1926 873 +-3610 -505 +4539 -1664 +5078 -6107 +-323 -5542 +-668 7787 +-2448 6762 +953 4978 +-1489 5958 +-6116 -1267 +-2584 977 +-1376 6577 +2429 1650 +3632 1174 +-174 7692 +1479 -1912 +4032 -5085 +3940 5545 +-1132 -218 +-2099 -1645 +-1623 1423 +-7502 4464 +-63 6387 +5065 -7105 +-5958 -4468 +1791 2158 +1829 2921 +-6041 1436 +-6615 -5181 +-4308 444 +207 1530 +225 -2963 +-2106 2543 +1816 6183 +164 -560 +-640 -13414 +4090 -2763 +1376 1524 +859 -8499 +3569 6538 +2979 0 +3569 -6538 +859 8499 +1376 -1524 +4090 2763 +-640 13414 +164 560 +1816 -6183 +-2106 -2543 +225 2963 +207 -1530 +-4308 -444 +-6615 5181 +-6041 -1436 +1829 -2921 +1791 -2158 +-5958 4468 +-1270 7924 +4170 1518 +3629 5667 +1169 3075 +-5127 -942 +-3925 844 +786 -2902 +2106 436 +3666 1348 +-658 466 +358 3387 +7314 657 +2328 -459 +-2644 -1445 +-2157 -3402 +-2979 0 +-2157 3402 +-2644 1445 +2328 459 +7314 -657 +358 -3387 +-658 -466 +3666 -1348 +2106 -436 +786 2902 +-3925 -844 +-5127 942 +1169 -3075 +3629 -5667 +4170 -1518 +-1270 -7924 +-5958 -4468 +1791 2158 +1829 2921 +-6041 1436 +-6615 -5181 +-4308 444 +207 1530 +225 -2963 +-2106 2543 +1816 6183 +164 -560 +-640 -13414 +4090 -2763 +1376 1524 +859 -8499 +3569 6538 +-4468 -4468 +-6497 542 +-3394 4111 +-543 -4698 +-3246 3396 +541 823 +5185 -5563 +-771 -5801 +-4830 -4649 +-1662 6470 +1875 -2116 +3646 -4502 +-646 6482 +-4012 -3024 +2450 -3153 +6110 5523 +4468 0 +6110 -5523 +2450 3153 +-4012 3024 +-646 -6482 +3646 4502 +1875 2116 +-1662 -6470 +-4830 4649 +-771 5801 +5185 5563 +541 -823 +-3246 -3396 +-543 4698 +-3394 -4111 +-6497 -542 +-4468 4468 +-3068 -146 +1287 6211 +4424 1522 +-966 2924 +-4695 6091 +-3079 -5427 +910 -3263 +7809 1670 +7028 1432 +231 3042 +2788 899 +4859 -163 +-2149 1246 +-4556 1560 +-2050 -76 +-1489 0 +-2050 76 +-4556 -1560 +-2149 -1246 +4859 163 +2788 -899 +231 -3042 +7028 -1432 +7809 -1670 +910 3263 +-3079 5427 +-4695 -6091 +-966 -2924 +4424 -1522 +1287 -6211 +-3068 146 +-4468 -4468 +-6497 542 +-3394 4111 +-543 -4698 +-3246 3396 +541 823 +5185 -5563 +-771 -5801 +-4830 -4649 +-1662 6470 +1875 -2116 +3646 -4502 +-646 6482 +-4012 -3024 +2450 -3153 +6110 5523 +-5958 2979 +-1370 -4857 +-1188 -4907 +-2242 1871 +4602 1461 +2186 842 +2594 -1679 +3316 1781 +1670 873 +-2473 -5362 +-6549 2557 +-626 6277 +3302 3073 +3274 3244 +-1459 299 +-2741 -77 +1489 0 +-2741 77 +-1459 -299 +3274 -3244 +3302 -3073 +-626 -6277 +-6549 -2557 +-2473 5362 +1670 -873 +3316 -1781 +2594 1679 +2186 -842 +4602 -1461 +-2242 -1871 +-1188 4907 +-1370 4857 +-5958 -2979 +1094 4665 +-2058 6519 +521 2242 +3462 6965 +-3967 2800 +2265 -2213 +-2219 3837 +-4649 5085 +6880 -5986 +5903 -6449 +4688 7884 +550 5353 +-3833 -529 +493 1313 +-2488 -5166 +-7447 0 +-2488 5166 +493 -1313 +-3833 529 +550 -5353 +4688 -7884 +5903 6449 +6880 5986 +-4649 -5085 +-2219 -3837 +2265 2213 +-3967 -2800 +3462 -6965 +521 -2242 +-2058 -6519 +1094 -4665 +-5958 2979 +-1370 -4857 +-1188 -4907 +-2242 1871 +4602 1461 +2186 842 +2594 -1679 +3316 1781 +1670 873 +-2473 -5362 +-6549 2557 +-626 6277 +3302 3073 +3274 3244 +-1459 299 +-2741 -77 +-8937 5958 +90 4005 +-6980 -3664 +-5246 -1567 +-1027 719 +-1127 2391 +5462 792 +-2159 -3991 +-1926 -3596 +-631 -3150 +-5438 -548 +2783 6738 +-693 5751 +-3143 -124 +2420 -2905 +1574 -4152 +1489 0 +1574 4152 +2420 2905 +-3143 124 +-693 -5751 +2783 -6738 +-5438 548 +-631 3150 +-1926 3596 +-2159 3991 +5462 -792 +-1127 -2391 +-1027 -719 +-5246 1567 +-6980 3664 +90 -4005 +-8937 -5958 +-7419 4866 +1681 912 +4551 -6248 +4006 1387 +31 1560 +6740 348 +1853 6678 +-4032 617 +6441 -5172 +3407 1688 +593 1774 +3672 -3645 +1558 -3131 +4624 153 +250 2014 +-7447 0 +250 -2014 +4624 -153 +1558 3131 +3672 3645 +593 -1774 +3407 -1688 +6441 5172 +-4032 -617 +1853 -6678 +6740 -348 +31 -1560 +4006 -1387 +4551 6248 +1681 -912 +-7419 -4866 +-8937 5958 +90 4005 +-6980 -3664 +-5246 -1567 +-1027 719 +-1127 2391 +5462 792 +-2159 -3991 +-1926 -3596 +-631 -3150 +-5438 -548 +2783 6738 +-693 5751 +-3143 -124 +2420 -2905 +1574 -4152 +-2979 -1489 +-2945 -4993 +-92 -5329 +7302 1467 +2106 -672 +822 -815 +4726 1012 +-4661 4355 +-10171 4649 +-5049 -827 +3652 2981 +4349 -1118 +-2106 -779 +900 7730 +1175 -2190 +-148 -6676 +2979 0 +-148 6676 +1175 2190 +900 -7730 +-2106 779 +4349 1118 +3652 -2981 +-5049 827 +-10171 -4649 +-4661 -4355 +4726 -1012 +822 815 +2106 672 +7302 -1467 +-92 5329 +-2945 4993 +-2979 1489 +-1681 4508 +3071 6050 +2199 5399 +2106 -200 +-267 -1392 +-1747 3148 +120 3765 +-1745 -1670 +2152 -769 +-673 2924 +-8475 -3022 +-2106 5865 +1596 5628 +1804 -7259 +3786 4900 +2979 0 +3786 -4900 +1804 7259 +1596 -5628 +-2106 -5865 +-8475 3022 +-673 -2924 +2152 769 +-1745 1670 +120 -3765 +-1747 -3148 +-267 1392 +2106 200 +2199 -5399 +3071 -6050 +-1681 -4508 +-2979 -1489 +-2945 -4993 +-92 -5329 +7302 1467 +2106 -672 +822 -815 +4726 1012 +-4661 4355 +-10171 4649 +-5049 -827 +3652 2981 +4349 -1118 +-2106 -779 +900 7730 +1175 -2190 +-148 -6676 +1489 -2979 +-2964 -954 +-6953 5321 +-3402 540 +5306 -3661 +-2902 -1015 +-3513 -3512 +1457 -4949 +-2543 -6575 +-325 -4601 +3755 5578 +3338 3050 +3511 -5242 +4481 -33 +-723 2873 +-3005 -1535 +0 0 +-3005 1535 +-723 -2873 +4481 33 +3511 5242 +3338 -3050 +3755 -5578 +-325 4601 +-2543 6575 +1457 4949 +-3513 3512 +-2902 1015 +5306 3661 +-3402 -540 +-6953 -5321 +-2964 954 +1489 2979 +3593 1914 +-5743 -330 +-923 -3429 +1886 2788 +-3571 3420 +2467 -1800 +1705 -904 +-436 -2362 +-2838 1028 +-241 5238 +3135 4860 +-4745 10327 +-157 2649 +-964 -5584 +2376 4775 +14895 0 +2376 -4775 +-964 5584 +-157 -2649 +-4745 -10327 +3135 -4860 +-241 -5238 +-2838 -1028 +-436 2362 +1705 904 +2467 1800 +-3571 -3420 +1886 -2788 +-923 3429 +-5743 330 +3593 -1914 +1489 -2979 +-2964 -954 +-6953 5321 +-3402 540 +5306 -3661 +-2902 -1015 +-3513 -3512 +1457 -4949 +-2543 -6575 +-325 -4601 +3755 5578 +3338 3050 +3511 -5242 +4481 -33 +-723 2873 +-3005 -1535 +-4468 4468 +-3670 1405 +-2428 -210 +-4248 -321 +873 1784 +6058 -3989 +1864 -5188 +2126 3959 +3596 -2543 +-185 -1254 +1371 3488 +4524 -2931 +5085 2590 +3331 4454 +-2817 927 +-1024 5018 +4468 0 +-1024 -5018 +-2817 -927 +3331 -4454 +5085 -2590 +4524 2931 +1371 -3488 +-185 1254 +3596 2543 +2126 -3959 +1864 5188 +6058 3989 +873 -1784 +-4248 321 +-2428 210 +-3670 -1405 +-4468 -4468 +-3080 5976 +1556 3341 +8284 -6992 +873 4536 +-10836 -1985 +-6949 -7036 +-752 2488 +-617 -436 +-3122 264 +-6456 -1329 +-1038 528 +5085 3730 +2350 229 +1944 4671 +1281 3352 +-1489 0 +1281 -3352 +1944 -4671 +2350 -229 +5085 -3730 +-1038 -528 +-6456 1329 +-3122 -264 +-617 436 +-752 -2488 +-6949 7036 +-10836 1985 +873 -4536 +8284 6992 +1556 -3341 +-3080 -5976 +-4468 4468 +-3670 1405 +-2428 -210 +-4248 -321 +873 1784 +6058 -3989 +1864 -5188 +2126 3959 +3596 -2543 +-185 -1254 +1371 3488 +4524 -2931 +5085 2590 +3331 4454 +-2817 927 +-1024 5018 +-2979 8937 +956 -1936 +-946 2200 +-7794 3475 +-2952 189 +-1758 3249 +-5113 592 +-524 7867 +9734 10171 +3757 329 +-4354 124 +-2710 -2299 +-4725 -1650 +3785 -144 +6392 -2126 +-1150 4992 +-1489 0 +-1150 -4992 +6392 2126 +3785 144 +-4725 1650 +-2710 2299 +-4354 -124 +3757 -329 +9734 -10171 +-524 -7867 +-5113 -592 +-1758 -3249 +-2952 -189 +-7794 -3475 +-946 -2200 +956 1936 +-2979 -8937 +4790 -3083 +4019 6777 +1797 -1117 +2080 -1423 +1684 526 +3652 147 +-4868 3757 +-798 1745 +5848 -1155 +-2611 2360 +-1429 2315 +-360 -5542 +-2000 -4748 +-1039 932 +-382 -2120 +1489 0 +-382 2120 +-1039 -932 +-2000 4748 +-360 5542 +-1429 -2315 +-2611 -2360 +5848 1155 +-798 -1745 +-4868 -3757 +3652 -147 +1684 -526 +2080 1423 +1797 1117 +4019 -6777 +4790 3083 +-2979 8937 +956 -1936 +-946 2200 +-7794 3475 +-2952 189 +-1758 3249 +-5113 592 +-524 7867 +9734 10171 +3757 329 +-4354 124 +-2710 -2299 +-4725 -1650 +3785 -144 +6392 -2126 +-1150 4992 +-1489 0 +5908 -655 +95 2429 +-2091 -1838 +817 -2296 +-170 8770 +1250 2058 +-7170 -3346 +-4032 6319 +3026 1229 +-3582 -4084 +-618 -147 +2269 -457 +159 1841 +-1339 3866 +-334 1077 +2979 0 +-334 -1077 +-1339 -3866 +159 -1841 +2269 457 +-618 147 +-3582 4084 +3026 -1229 +-4032 -6319 +-7170 3346 +1250 -2058 +-170 -8770 +817 2296 +-2091 1838 +95 -2429 +5908 655 +-1489 0 +906 -4072 +5882 -6280 +2956 -1163 +1289 -683 +3437 1192 +7674 6006 +3859 -5695 +-1926 -6319 +-7500 1192 +-5342 -3980 +575 1872 +-4375 3436 +-4248 -1165 +-4638 -14 +1304 -6258 +11916 0 +1304 6258 +-4638 14 +-4248 1165 +-4375 -3436 +575 -1872 +-5342 3980 +-7500 -1192 +-1926 6319 +3859 5695 +7674 -6006 +3437 -1192 +1289 683 +2956 1163 +5882 6280 +906 4072 +-1489 0 +5908 -655 +95 2429 +-2091 -1838 +817 -2296 +-170 8770 +1250 2058 +-7170 -3346 +-4032 6319 +3026 1229 +-3582 -4084 +-618 -147 +2269 -457 +159 1841 +-1339 3866 +-334 1077 +1489 1489 +4786 1508 +2620 -1365 +-520 -2939 +835 -1006 +392 -1209 +-7363 -205 +-3600 2585 +617 1670 +-1904 -1836 +407 -3094 +772 850 +2616 3919 +3626 1133 +1519 2976 +-1515 7446 +-4468 0 +-1515 -7446 +1519 -2976 +3626 -1133 +2616 -3919 +772 -850 +407 3094 +-1904 1836 +617 -1670 +-3600 -2585 +-7363 205 +392 1209 +835 1006 +-520 2939 +2620 1365 +4786 -1508 +1489 -1489 +7 -4528 +5485 -4539 +1039 1400 +-6282 134 +-8286 -2267 +1538 926 +9493 -2100 +-3596 -4649 +-3000 -2345 +-3007 -6356 +-4875 -4861 +8788 1167 +-574 -6696 +-1198 -7136 +4160 5209 +-4468 0 +4160 -5209 +-1198 7136 +-574 6696 +8788 -1167 +-4875 4861 +-3007 6356 +-3000 2345 +-3596 4649 +9493 2100 +1538 -926 +-8286 2267 +-6282 -134 +1039 -1400 +5485 4539 +7 4528 +1489 1489 +4786 1508 +2620 -1365 +-520 -2939 +835 -1006 +392 -1209 +-7363 -205 +-3600 2585 +617 1670 +-1904 -1836 +407 -3094 +772 850 +2616 3919 +3626 1133 +1519 2976 +-1515 7446 +1489 4468 +1079 2227 +6097 1769 +-4066 6943 +-4859 -1784 +-208 -6059 +-290 714 +4253 -1110 +-2362 -1309 +1494 4038 +5110 1627 +-447 -1969 +3246 -2590 +-7476 -3287 +-4892 541 +4224 4237 +-1489 0 +4224 -4237 +-4892 -541 +-7476 3287 +3246 2590 +-447 1969 +5110 -1627 +1494 -4038 +-2362 1309 +4253 1110 +-290 -714 +-208 6059 +-4859 1784 +-4066 -6943 +6097 -1769 +1079 -2227 +1489 -4468 +6060 4252 +1268 2350 +-2405 -5329 +646 -4536 +2466 -4367 +-4342 -941 +-7179 -608 +-6575 -7628 +-4420 -1543 +1267 4104 +2704 -4245 +966 -3730 +1006 688 +7697 -2380 +2915 -1971 +-7447 0 +2915 1971 +7697 2380 +1006 -688 +966 3730 +2704 4245 +1267 -4104 +-4420 1543 +-6575 7628 +-7179 608 +-4342 941 +2466 4367 +646 4536 +-2405 5329 +1268 -2350 +6060 -4252 +1489 4468 +1079 2227 +6097 1769 +-4066 6943 +-4859 -1784 +-208 -6059 +-290 714 +4253 -1110 +-2362 -1309 +1494 4038 +5110 1627 +-447 -1969 +3246 -2590 +-7476 -3287 +-4892 541 +4224 4237 +4468 0 +1653 3214 +-657 -1463 +3298 6269 +2705 -2048 +-4161 -4318 +-7225 -1129 +-4609 2543 +-436 8681 +1631 -2587 +148 -3235 +821 953 +3832 -1349 +-989 1671 +-7713 -3569 +-1383 -5251 +5958 0 +-1383 5251 +-7713 3569 +-989 -1671 +3832 1349 +821 -953 +148 3235 +1631 2587 +-436 -8681 +-4609 -2543 +-7225 1129 +-4161 4318 +2705 2048 +3298 -6269 +-657 1463 +1653 -3214 +4468 0 +-485 10359 +-3935 -1463 +-2464 888 +-3939 1176 +-4308 -6297 +354 -1129 +761 -3170 +-2543 256 +-1007 4880 +766 -3235 +-136 -862 +3360 6435 +7939 -659 +6346 -3569 +3440 4895 +2979 0 +3440 -4895 +6346 3569 +7939 659 +3360 -6435 +-136 862 +766 3235 +-1007 -4880 +-2543 -256 +761 3170 +354 1129 +-4308 6297 +-3939 -1176 +-2464 -888 +-3935 1463 +-485 -10359 +4468 0 +1653 3214 +-657 -1463 +3298 6269 +2705 -2048 +-4161 -4318 +-7225 -1129 +-4609 2543 +-436 8681 +1631 -2587 +148 -3235 +821 953 +3832 -1349 +-989 1671 +-7713 -3569 +-1383 -5251 +2979 0 +-6508 -1997 +-4383 -3414 +-1747 4265 +-5495 8475 +-1044 -3538 +2456 3946 +3390 6923 +-1053 -3596 +-6822 1782 +1774 -3060 +2164 -604 +-5161 7089 +-442 -145 +-2420 -128 +-2106 -1683 +4468 0 +-2106 1683 +-2420 128 +-442 145 +-5161 -7089 +2164 604 +1774 3060 +-6822 -1782 +-1053 3596 +3390 -6923 +2456 -3946 +-1044 3538 +-5495 -8475 +-1747 -4265 +-4383 3414 +-6508 1997 +2979 0 +1583 2779 +-743 5294 +4199 -2798 +-463 -922 +-358 2404 +6348 -1 +3794 3093 +1053 617 +3209 -1483 +3806 -3165 +226 -2462 +-797 6422 +5428 8104 +5078 3752 +-4966 1173 +-10426 0 +-4966 -1173 +5078 -3752 +5428 -8104 +-797 -6422 +226 2462 +3806 3165 +3209 1483 +1053 -617 +3794 -3093 +6348 1 +-358 -2404 +-463 922 +4199 2798 +-743 -5294 +1583 -2779 +2979 0 +-6508 -1997 +-4383 -3414 +-1747 4265 +-5495 8475 +-1044 -3538 +2456 3946 +3390 6923 +-1053 -3596 +-6822 1782 +1774 -3060 +2164 -604 +-5161 7089 +-442 -145 +-2420 -128 +-2106 -1683 +7447 -2979 +1338 -4843 +2053 -4482 +-3600 -8303 +-4449 -1214 +406 531 +-3364 -2941 +1258 -353 +436 -5702 +-2326 -1164 +-1373 8091 +2058 -2954 +7535 -3966 +-4499 3865 +-2651 -3595 +3785 -6831 +-2979 0 +3785 6831 +-2651 3595 +-4499 -3865 +7535 3966 +2058 2954 +-1373 -8091 +-2326 1164 +436 5702 +1258 353 +-3364 2941 +406 -531 +-4449 1214 +-3600 8303 +2053 4482 +1338 4843 +7447 2979 +2742 -2421 +-1313 4708 +-635 4096 +-3977 -5106 +2296 -3138 +2171 1102 +393 2596 +2543 2723 +-5177 -806 +-7605 -3972 +-245 -3865 +891 -2354 +-4207 -3858 +166 -2136 +6412 3780 +5958 0 +6412 -3780 +166 2136 +-4207 3858 +891 2354 +-245 3865 +-7605 3972 +-5177 806 +2543 -2723 +393 -2596 +2171 -1102 +2296 3138 +-3977 5106 +-635 -4096 +-1313 -4708 +2742 2421 +7447 -2979 +1338 -4843 +2053 -4482 +-3600 -8303 +-4449 -1214 +406 531 +-3364 -2941 +1258 -353 +436 -5702 +-2326 -1164 +-1373 8091 +2058 -2954 +7535 -3966 +-4499 3865 +-2651 -3595 +3785 -6831 +2979 5958 +-3860 2248 +-276 -3416 +-4608 5603 +-8510 1423 +-1742 2803 +1604 4886 +2914 -3681 +2543 -2106 +4503 -4272 +6048 -5695 +1366 2424 +1047 5542 +1799 -5135 +367 -11453 +2549 466 +4468 0 +2549 -466 +367 11453 +1799 5135 +1047 -5542 +1366 -2424 +6048 5695 +4503 4272 +2543 2106 +2914 3681 +1604 -4886 +-1742 -2803 +-8510 -1423 +-4608 -5603 +-276 3416 +-3860 -2248 +2979 -5958 +3996 3469 +-1109 339 +-105 -2406 +-2533 -189 +-358 2186 +-3658 2101 +-8072 1763 +436 2106 +655 74 +1964 44 +733 -2940 +-7877 1650 +2914 2827 +6976 -4263 +-2686 2971 +-4468 0 +-2686 -2971 +6976 4263 +2914 -2827 +-7877 -1650 +733 2940 +1964 -44 +655 -74 +436 -2106 +-8072 -1763 +-3658 -2101 +-358 -2186 +-2533 189 +-105 2406 +-1109 -339 +3996 -3469 +2979 5958 +-3860 2248 +-276 -3416 +-4608 5603 +-8510 1423 +-1742 2803 +1604 4886 +2914 -3681 +2543 -2106 +4503 -4272 +6048 -5695 +1366 2424 +1047 5542 +1799 -5135 +367 -11453 +2549 466 +7447 -1489 +-1 -1917 +973 7978 +2022 1155 +0 -5408 +-2019 1778 +-2007 -729 +3561 -6880 +-617 -3777 +433 2866 +-4095 3551 +-12152 -431 +0 1356 +1386 5 +2344 -4548 +-1346 -1052 +-13405 0 +-1346 1052 +2344 4548 +1386 -5 +0 -1356 +-12152 431 +-4095 -3551 +433 -2866 +-617 3777 +3561 6880 +-2007 729 +-2019 -1778 +0 5408 +2022 -1155 +973 -7978 +-1 1917 +7447 1489 +3438 -1473 +-478 732 +285 -6327 +0 -2656 +1503 2511 +-3991 -6369 +637 -1646 +3596 6755 +-2351 2350 +5880 1267 +7163 1986 +0 2496 +1811 4004 +1375 1343 +-4370 -512 +-7447 0 +-4370 512 +1375 -1343 +1811 -4004 +0 -2496 +7163 -1986 +5880 -1267 +-2351 -2350 +3596 -6755 +637 1646 +-3991 6369 +1503 -2511 +0 2656 +285 6327 +-478 -732 +3438 1473 +7447 -1489 +-1 -1917 +973 7978 +2022 1155 +0 -5408 +-2019 1778 +-2007 -729 +3561 -6880 +-617 -3777 +433 2866 +-4095 3551 +-12152 -431 +0 1356 +1386 5 +2344 -4548 +-1346 -1052 +2979 -5958 +1791 -9012 +452 2839 +1613 1735 +3758 3596 +-543 4420 +-5975 559 +-5793 3391 +-4649 1234 +67 932 +-473 2665 +-417 2128 +2779 617 +-4997 2958 +-4497 4945 +2112 -2999 +1489 0 +2112 2999 +-4497 -4945 +-4997 -2958 +2779 -617 +-417 -2128 +-473 -2665 +67 -932 +-4649 -1234 +-5793 -3391 +-5975 -559 +-543 -4420 +3758 -3596 +1613 -1735 +452 -2839 +1791 9012 +2979 5958 +-4070 -294 +-679 2839 +9777 -676 +-2886 3596 +-6988 1755 +4136 559 +2149 1573 +1670 -7192 +353 1111 +-3646 2665 +164 -6659 +2307 617 +1391 4247 +-1234 4945 +3391 7624 +10426 0 +3391 -7624 +-1234 -4945 +1391 -4247 +2307 -617 +164 6659 +-3646 -2665 +353 -1111 +1670 7192 +2149 -1573 +4136 -559 +-6988 -1755 +-2886 -3596 +9777 676 +-679 -2839 +-4070 294 +2979 -5958 +1791 -9012 +452 2839 +1613 1735 +3758 3596 +-543 4420 +-5975 559 +-5793 3391 +-4649 1234 +67 932 +-473 2665 +-417 2128 +2779 617 +-4997 2958 +-4497 4945 +2112 -2999 +1489 0 +2169 754 +1905 1553 +-2239 -5344 +-463 2127 +4140 4976 +7031 -166 +-1910 6974 +-2543 6575 +5702 588 +-1016 650 +-4192 201 +-797 5332 +-207 3333 +897 -3007 +-959 2409 +-2979 0 +-959 -2409 +897 3007 +-207 -3333 +-797 -5332 +-4192 -201 +-1016 -650 +5702 -588 +-2543 -6575 +-1910 -6974 +7031 166 +4140 -4976 +-463 -2127 +-2239 5344 +1905 -1553 +2169 -754 +1489 0 +1077 -6171 +-5593 286 +-2620 3281 +-5495 -1765 +-3495 -2004 +9063 -5565 +2877 -5838 +-436 2362 +-4736 4119 +-4907 -424 +4838 1782 +-5161 6945 +-4652 2040 +4536 -1112 +4205 4170 +5958 0 +4205 -4170 +4536 1112 +-4652 -2040 +-5161 -6945 +4838 -1782 +-4907 424 +-4736 -4119 +-436 -2362 +2877 5838 +9063 5565 +-3495 2004 +-5495 1765 +-2620 -3281 +-5593 -286 +1077 6171 +1489 0 +2169 754 +1905 1553 +-2239 -5344 +-463 2127 +4140 4976 +7031 -166 +-1910 6974 +-2543 6575 +5702 588 +-1016 650 +-4192 201 +-797 5332 +-207 3333 +897 -3007 +-959 2409 +2979 -2979 +-2768 2005 +4099 3269 +10573 -1697 +-3092 5106 +-5412 4379 +315 2324 +840 5222 +-1053 2723 +-6417 4181 +5230 743 +8307 542 +-5038 2354 +85 -2192 +-1902 33 +-3785 257 +4468 0 +-3785 -257 +-1902 -33 +85 2192 +-5038 -2354 +8307 -542 +5230 -743 +-6417 -4181 +-1053 -2723 +840 -5222 +315 -2324 +-5412 -4379 +-3092 -5106 +10573 1697 +4099 -3269 +-2768 -2005 +2979 2979 +2899 -602 +3266 -2129 +1721 1012 +-5844 1214 +-9689 -5405 +-4947 428 +399 1831 +1053 -5702 +4234 2873 +1146 2009 +-6495 -1568 +-3898 3966 +909 1506 +4707 1107 +4598 1146 +1489 0 +4598 -1146 +4707 -1107 +909 -1506 +-3898 -3966 +-6495 1568 +1146 -2009 +4234 -2873 +1053 5702 +399 -1831 +-4947 -428 +-9689 5405 +-5844 -1214 +1721 -1012 +3266 2129 +2899 602 +2979 -2979 +-2768 2005 +4099 3269 +10573 -1697 +-3092 5106 +-5412 4379 +315 2324 +840 5222 +-1053 2723 +-6417 4181 +5230 743 +8307 542 +-5038 2354 +85 -2192 +-1902 33 +-3785 257 +-1489 1489 +-931 -8820 +-2240 2235 +-1349 371 +3282 -4128 +1844 1049 +-2644 -4292 +-929 -1532 +-873 5266 +-4571 4658 +-1641 -981 +-489 -5721 +-8541 -1710 +-6889 2201 +5225 -317 +4542 -3480 +-1489 0 +4542 3480 +5225 317 +-6889 -2201 +-8541 1710 +-489 5721 +-1641 981 +-4571 -4658 +-873 -5266 +-929 1532 +-2644 4292 +1844 -1049 +3282 4128 +-1349 -371 +-2240 -2235 +-931 8820 +-1489 -1489 +1250 1185 +4573 6948 +2143 -3052 +58 4128 +2384 -2841 +2377 -9450 +-2004 14 +-5085 -5266 +-2561 -6176 +3653 -845 +4989 3929 +-757 1710 +-2634 -4882 +2612 -2417 +5203 -4155 +4468 0 +5203 4155 +2612 2417 +-2634 4882 +-757 -1710 +4989 -3929 +3653 845 +-2561 6176 +-5085 5266 +-2004 -14 +2377 9450 +2384 2841 +58 -4128 +2143 3052 +4573 -6948 +1250 -1185 +-1489 1489 +-931 -8820 +-2240 2235 +-1349 371 +3282 -4128 +1844 1049 +-2644 -4292 +-929 -1532 +-873 5266 +-4571 4658 +-1641 -981 +-489 -5721 +-8541 -1710 +-6889 2201 +5225 -317 +4542 -3480 +-2979 -1489 +4711 -5225 +2303 -496 +-3975 -2101 +-2527 -5655 +-3154 -1857 +-2287 1888 +-1869 -752 +3596 -1926 +2590 -902 +-2427 1382 +-1057 7190 +-6397 2249 +-1926 -4683 +4442 -1707 +-2286 -1676 +-5958 0 +-2286 1676 +4442 1707 +-1926 4683 +-6397 -2249 +-1057 -7190 +-2427 -1382 +2590 902 +3596 1926 +-1869 752 +-2287 -1888 +-3154 1857 +-2527 5655 +-3975 2101 +2303 496 +4711 5225 +-2979 1489 +1963 1245 +2555 6453 +-1595 -4107 +421 -4515 +2539 8 +1320 -7846 +-5503 -1470 +-617 -4032 +2501 -10501 +-820 4576 +7175 4703 +8504 -504 +1991 302 +-5088 -4251 +-2109 429 +5958 0 +-2109 -429 +-5088 4251 +1991 -302 +8504 504 +7175 -4703 +-820 -4576 +2501 10501 +-617 4032 +-5503 1470 +1320 7846 +2539 -8 +421 4515 +-1595 4107 +2555 -6453 +1963 -1245 +-2979 -1489 +4711 -5225 +2303 -496 +-3975 -2101 +-2527 -5655 +-3154 -1857 +-2287 1888 +-1869 -752 +3596 -1926 +2590 -902 +-2427 1382 +-1057 7190 +-6397 2249 +-1926 -4683 +4442 -1707 +-2286 -1676 +-1489 -1489 +6236 3413 +1576 2575 +-824 757 +4336 -940 +4059 -290 +2701 4095 +1887 1825 +4830 -2543 +-523 -1998 +747 2514 +1059 2541 +-9594 -3113 +2506 3869 +2008 7951 +-8643 -3302 +-4468 0 +-8643 3302 +2008 -7951 +2506 -3869 +-9594 3113 +1059 -2541 +747 -2514 +-523 1998 +4830 2543 +1887 -1825 +2701 -4095 +4059 290 +4336 940 +-824 -757 +1576 -2575 +6236 -3413 +-1489 1489 +-1035 -3583 +-5090 -9500 +-8089 579 +1111 1812 +5127 -2505 +9995 2508 +2338 1508 +-7809 -436 +-478 -173 +940 -3613 +-2461 -3056 +-1810 -1973 +-1377 -252 +-962 1253 +218 -2371 +1489 0 +218 2371 +-962 -1253 +-1377 252 +-1810 1973 +-2461 3056 +940 3613 +-478 173 +-7809 436 +2338 -1508 +9995 -2508 +5127 2505 +1111 -1812 +-8089 -579 +-5090 9500 +-1035 3583 +-1489 -1489 +6236 3413 +1576 2575 +-824 757 +4336 -940 +4059 -290 +2701 4095 +1887 1825 +4830 -2543 +-523 -1998 +747 2514 +1059 2541 +-9594 -3113 +2506 3869 +2008 7951 +-8643 -3302 +1489 -4468 +3677 -7385 +1833 3034 +2175 -691 +-2892 113 +-4514 6644 +5433 -4775 +-5342 -2641 +-9298 1053 +2416 -4745 +1130 2740 +805 739 +826 -2059 +-3450 2841 +-7392 -4248 +-1241 -6315 +7447 0 +-1241 6315 +-7392 4248 +-3450 -2841 +826 2059 +805 -739 +1130 -2740 +2416 4745 +-9298 -1053 +-5342 2641 +5433 4775 +-4514 -6644 +-2892 -113 +2175 691 +1833 -3034 +3677 7385 +1489 4468 +3964 -2038 +-315 -228 +-7099 996 +-5172 2866 +-959 -74 +2899 -1602 +1217 237 +3340 -1053 +5922 -4152 +4922 -7372 +455 -3887 +-4678 -919 +4161 -3827 +3406 -3117 +-2187 -1175 +1489 0 +-2187 1175 +3406 3117 +4161 3827 +-4678 919 +455 3887 +4922 7372 +5922 4152 +3340 1053 +1217 -237 +2899 1602 +-959 74 +-5172 -2866 +-7099 -996 +-315 228 +3964 2038 +1489 -4468 +3677 -7385 +1833 3034 +2175 -691 +-2892 113 +-4514 6644 +5433 -4775 +-5342 -2641 +-9298 1053 +2416 -4745 +1130 2740 +805 739 +826 -2059 +-3450 2841 +-7392 -4248 +-1241 -6315 +4468 -1489 +1575 3322 +4321 4045 +2418 -3982 +2242 -1006 +3563 272 +-8042 1745 +-2128 5766 +5702 2543 +-2384 490 +-3732 -344 +603 -767 +3035 3919 +-24 9000 +3369 4529 +5765 -4366 +1489 0 +5765 4366 +3369 -4529 +-24 -9000 +3035 -3919 +603 767 +-3732 344 +-2384 -490 +5702 -2543 +-2128 -5766 +-8042 -1745 +3563 -272 +2242 1006 +2418 3982 +4321 -4045 +1575 -3322 +4468 1489 +292 -828 +-108 7684 +-2634 7868 +-9434 134 +-1717 500 +3829 -2146 +-480 -624 +-2723 436 +1420 892 +-481 1688 +-3437 -6352 +-1801 1167 +-7198 7337 +844 -2970 +4366 -389 +-4468 0 +4366 389 +844 2970 +-7198 -7337 +-1801 -1167 +-3437 6352 +-481 -1688 +1420 -892 +-2723 -436 +-480 624 +3829 2146 +-1717 -500 +-9434 -134 +-2634 -7868 +-108 -7684 +292 828 +4468 -1489 +1575 3322 +4321 4045 +2418 -3982 +2242 -1006 +3563 272 +-8042 1745 +-2128 5766 +5702 2543 +-2384 490 +-3732 -344 +603 -767 +3035 3919 +-24 9000 +3369 4529 +5765 -4366 +-2979 -4468 +-5993 -2269 +-1099 -1102 +7971 -1073 +8294 -1356 +-5096 -3223 +-6239 639 +-2535 4189 +-2106 3415 +64 37 +1858 -2324 +5815 1687 +-951 2656 +-5545 -1522 +3736 -2506 +2956 -1870 +-2979 0 +2956 1870 +3736 2506 +-5545 1522 +-951 -2656 +5815 -1687 +1858 2324 +64 -37 +-2106 -3415 +-2535 -4189 +-6239 -639 +-5096 3223 +8294 1356 +7971 1073 +-1099 1102 +-5993 2269 +-2979 4468 +-279 -2599 +-4899 -416 +-88 7369 +-1102 -2496 +1781 -1621 +9957 7693 +-506 2663 +2106 5522 +4269 5070 +-1364 -3727 +3992 3639 +-283 5408 +-406 -2353 +-1951 -1480 +-6400 -1253 +-2979 0 +-6400 1253 +-1951 1480 +-406 2353 +-283 -5408 +3992 -3639 +-1364 3727 +4269 -5070 +2106 -5522 +-506 -2663 +9957 -7693 +1781 1621 +-1102 2496 +-88 -7369 +-4899 416 +-279 2599 +-2979 -4468 +-5993 -2269 +-1099 -1102 +7971 -1073 +8294 -1356 +-5096 -3223 +-6239 639 +-2535 4189 +-2106 3415 +64 37 +1858 -2324 +5815 1687 +-951 2656 +-5545 -1522 +3736 -2506 +2956 -1870 +0 2979 +-5262 -7129 +-1627 -5407 +6691 -1163 +3825 283 +-7276 -2709 +-1769 921 +1716 2069 +-436 -2979 +8161 1724 +-2380 1105 +-5989 3687 +9810 8294 +2231 1828 +714 -887 +6180 -2503 +1489 0 +6180 2503 +714 887 +2231 -1828 +9810 -8294 +-5989 -3687 +-2380 -1105 +8161 -1724 +-436 2979 +1716 -2069 +-1769 -921 +-7276 2709 +3825 -283 +6691 1163 +-1627 5407 +-5262 7129 +0 -2979 +882 -3986 +-4104 3301 +-1323 -138 +-1207 951 +-3387 -1013 +-2350 -3027 +-4149 -1225 +-2543 -2979 +-1168 1400 +541 1001 +5642 -1905 +5446 -1102 +3410 2375 +-941 2993 +-6360 -6332 +-7447 0 +-6360 6332 +-941 -2993 +3410 -2375 +5446 1102 +5642 1905 +541 -1001 +-1168 -1400 +-2543 2979 +-4149 1225 +-2350 3027 +-3387 1013 +-1207 -951 +-1323 138 +-4104 -3301 +882 3986 +0 2979 +-5262 -7129 +-1627 -5407 +6691 -1163 +3825 283 +-7276 -2709 +-1769 921 +1716 2069 +-436 -2979 +8161 1724 +-2380 1105 +-5989 3687 +9810 8294 +2231 1828 +714 -887 +6180 -2503 +2979 1489 +-3131 44 +52 -1121 +3123 -495 +-1469 -3026 +-1496 -5712 +-2086 -5139 +-4608 3848 +5702 181 +6759 -7211 +4421 3536 +4568 2649 +-4715 -1993 +875 6809 +2717 4947 +-1268 -1747 +2979 0 +-1268 1747 +2717 -4947 +875 -6809 +-4715 1993 +4568 -2649 +4421 -3536 +6759 7211 +5702 -181 +-4608 -3848 +-2086 5139 +-1496 5712 +-1469 3026 +3123 495 +52 1121 +-3131 -44 +2979 -1489 +4534 4950 +-2105 4519 +-2437 -212 +-5361 -4166 +470 -5792 +9429 752 +-2446 -2646 +-2723 -6139 +295 -316 +-5806 -3710 +-3542 -4089 +-6328 759 +-1561 2548 +5294 2663 +-135 -1988 +-2979 0 +-135 1988 +5294 -2663 +-1561 -2548 +-6328 -759 +-3542 4089 +-5806 3710 +295 316 +-2723 6139 +-2446 2646 +9429 -752 +470 5792 +-5361 4166 +-2437 212 +-2105 -4519 +4534 -4950 +2979 1489 +-3131 44 +52 -1121 +3123 -495 +-1469 -3026 +-1496 -5712 +-2086 -5139 +-4608 3848 +5702 181 +6759 -7211 +4421 3536 +4568 2649 +-4715 -1993 +875 6809 +2717 4947 +-1268 -1747 +-2979 -7447 +-727 -5346 +2329 1322 +4170 -6211 +-1737 7564 +-1086 7120 +1426 -4815 +-3976 6100 +-1489 5266 +1538 -1233 +1867 -1038 +832 -1996 +-2382 -586 +2951 -640 +2813 -3876 +-2880 -7280 +-2979 0 +-2880 7280 +2813 3876 +2951 640 +-2382 586 +832 1996 +1867 1038 +1538 1233 +-1489 -5266 +-3976 -6100 +1426 4815 +-1086 -7120 +-1737 -7564 +4170 6211 +2329 -1322 +-727 5346 +-2979 7447 +1308 -3989 +3402 -1495 +-5825 -3713 +-3349 -4585 +3563 -1886 +2693 1417 +1054 4060 +-1489 -5266 +1384 -10321 +-28 -6573 +-3309 -2140 +1510 -2393 +-1296 -1803 +-2586 -510 +2299 -6918 +2979 0 +2299 6918 +-2586 510 +-1296 1803 +1510 2393 +-3309 2140 +-28 6573 +1384 10321 +-1489 5266 +1054 -4060 +2693 -1417 +3563 1886 +-3349 4585 +-5825 3713 +3402 1495 +1308 3989 +-2979 -7447 +-727 -5346 +2329 1322 +4170 -6211 +-1737 7564 +-1086 7120 +1426 -4815 +-3976 6100 +-1489 5266 +1538 -1233 +1867 -1038 +832 -1996 +-2382 -586 +2951 -640 +2813 -3876 +-2880 -7280 +5958 2979 +-2993 125 +-11777 -903 +-2146 2200 +-3832 -247 +-2530 -2405 +-5178 1969 +-4561 1816 +7011 -1489 +3327 1855 +-1806 4019 +4001 669 +3939 893 +-2466 3471 +-1000 1729 +3934 -807 +4468 0 +3934 807 +-1000 -1729 +-2466 -3471 +3939 -893 +4001 -669 +-1806 -4019 +3327 -1855 +7011 1489 +-4561 -1816 +-5178 -1969 +-2530 2405 +-3832 247 +-2146 -2200 +-11777 903 +-2993 -125 +5958 -2979 +-3798 -1435 +7680 -2170 +6609 -3615 +-3360 -1859 +-562 -3142 +-7692 -3430 +-2756 378 +4905 -1489 +6270 -2886 +4505 2946 +-6413 1568 +-2705 -2999 +3506 2898 +3352 3624 +577 -3726 +-4468 0 +577 3726 +3352 -3624 +3506 -2898 +-2705 2999 +-6413 -1568 +4505 -2946 +6270 2886 +4905 1489 +-2756 -378 +-7692 3430 +-562 3142 +-3360 1859 +6609 3615 +7680 2170 +-3798 1435 +5958 2979 +-2993 125 +-11777 -903 +-2146 2200 +-3832 -247 +-2530 -2405 +-5178 1969 +-4561 1816 +7011 -1489 +3327 1855 +-1806 4019 +4001 669 +3939 893 +-2466 3471 +-1000 1729 +3934 -807 +1489 1489 +4255 -2802 +4701 -6694 +505 -2430 +-2819 5248 +-2626 -2313 +2645 301 +-493 9656 +-3596 4649 +-1933 2757 +1304 559 +230 -6165 +-5891 -4268 +-1186 999 +-3650 -108 +-5362 -3529 +1489 0 +-5362 3529 +-3650 108 +-1186 -999 +-5891 4268 +230 6165 +1304 -559 +-1933 -2757 +-3596 -4649 +-493 -9656 +2645 -301 +-2626 2313 +-2819 -5248 +505 2430 +4701 6694 +4255 2802 +1489 -1489 +2110 -3461 +-2216 6921 +2037 7410 +1074 -1396 +-3499 -1423 +6332 -2140 +2665 -2212 +617 -1670 +6253 3698 +-111 3560 +-3822 -9568 +-4279 -3796 +-64 410 +2911 -5623 +930 4703 +1489 0 +930 -4703 +2911 5623 +-64 -410 +-4279 3796 +-3822 9568 +-111 -3560 +6253 -3698 +617 1670 +2665 2212 +6332 2140 +-3499 1423 +1074 1396 +2037 -7410 +-2216 -6921 +2110 3461 +1489 1489 +4255 -2802 +4701 -6694 +505 -2430 +-2819 5248 +-2626 -2313 +2645 301 +-493 9656 +-3596 4649 +-1933 2757 +1304 559 +230 -6165 +-5891 -4268 +-1186 999 +-3650 -108 +-5362 -3529 +1489 4468 +-241 7428 +-1041 -3740 +-6261 -1778 +-5361 9374 +-1128 4950 +598 1300 +-2697 1643 +-2106 -1926 +1844 1972 +2930 3762 +-420 1490 +-6328 3750 +-795 -1813 +4157 62 +3108 12135 +4468 0 +3108 -12135 +4157 -62 +-795 1813 +-6328 -3750 +-420 -1490 +2930 -3762 +1844 -1972 +-2106 1926 +-2697 -1643 +598 -1300 +-1128 -4950 +-5361 -9374 +-6261 1778 +-1041 3740 +-241 -7428 +1489 -4468 +5470 651 +4986 -2085 +6820 1401 +-1469 5010 +-2933 1418 +3027 -1621 +-1710 -2360 +2106 -4032 +-2941 -2690 +-4809 4343 +2201 4878 +-4715 -1282 +2516 1436 +2068 2539 +-2833 -4055 +4468 0 +-2833 4055 +2068 -2539 +2516 -1436 +-4715 1282 +2201 -4878 +-4809 -4343 +-2941 2690 +2106 4032 +-1710 2360 +3027 1621 +-2933 -1418 +-1469 -5010 +6820 -1401 +4986 2085 +5470 -651 +1489 4468 +-241 7428 +-1041 -3740 +-6261 -1778 +-5361 9374 +-1128 4950 +598 1300 +-2697 1643 +-2106 -1926 +1844 1972 +2930 3762 +-420 1490 +-6328 3750 +-795 -1813 +4157 62 +3108 12135 +-8937 7447 +-3783 1025 +53 -1650 +-3313 218 +1946 2392 +-1222 1211 +2088 -2657 +3672 -4914 +873 -2543 +5360 2444 +-507 1224 +-2634 -994 +806 4092 +-4044 4884 +-3289 1860 +1809 2931 +2979 0 +1809 -2931 +-3289 -1860 +-4044 -4884 +806 -4092 +-2634 994 +-507 -1224 +5360 -2444 +873 2543 +3672 4914 +2088 2657 +-1222 -1211 +1946 -2392 +-3313 -218 +53 1650 +-3783 -1025 +-8937 -7447 +4926 -2201 +5451 8121 +3053 -1372 +-1946 -7477 +-8105 3933 +192 -269 +301 -7870 +5085 -436 +6876 -3767 +-1773 -8363 +312 -2099 +-806 -3220 +-898 -2360 +-2215 398 +-2311 -4560 +2979 0 +-2311 4560 +-2215 -398 +-898 2360 +-806 3220 +312 2099 +-1773 8363 +6876 3767 +5085 436 +301 7870 +192 269 +-8105 -3933 +-1946 7477 +3053 1372 +5451 -8121 +4926 2201 +-8937 7447 +-3783 1025 +53 -1650 +-3313 218 +1946 2392 +-1222 1211 +2088 -2657 +3672 -4914 +873 -2543 +5360 2444 +-507 1224 +-2634 -994 +806 4092 +-4044 4884 +-3289 1860 +1809 2931 +0 10426 +3178 3856 +904 -3527 +-8310 1873 +1053 1376 +205 -4337 +3278 513 +10567 3059 +1489 -5266 +-1128 -7139 +2960 343 +1079 3406 +-1053 570 +1014 -1444 +492 -702 +-2532 489 +-2979 0 +-2532 -489 +492 702 +1014 1444 +-1053 -570 +1079 -3406 +2960 -343 +-1128 7139 +1489 5266 +10567 -3059 +3278 -513 +205 4337 +1053 -1376 +-8310 -1873 +904 3527 +3178 -3856 +0 -10426 +8306 -1330 +-258 3527 +1739 -1174 +1053 -1376 +-11257 -922 +-32 -513 +4467 5424 +1489 5266 +25 -1344 +-1994 -343 +3828 1853 +-1053 -570 +-5148 745 +-5351 702 +-6030 -3015 +-2979 0 +-6030 3015 +-5351 -702 +-5148 -745 +-1053 570 +3828 -1853 +-1994 343 +25 1344 +1489 -5266 +4467 -5424 +-32 513 +-11257 922 +1053 1376 +1739 1174 +-258 -3527 +8306 1330 +0 10426 +3178 3856 +904 -3527 +-8310 1873 +1053 1376 +205 -4337 +3278 513 +10567 3059 +1489 -5266 +-1128 -7139 +2960 343 +1079 3406 +-1053 570 +1014 -1444 +492 -702 +-2532 489 +1489 2979 +3741 947 +4589 -787 +986 5822 +1289 4402 +1784 -164 +7338 -2521 +-315 -611 +-7011 1234 +328 2308 +451 3460 +-4947 1273 +-4375 2563 +2945 -3127 +215 -5477 +-3507 7020 +0 0 +-3507 -7020 +215 5477 +2945 3127 +-4375 -2563 +-4947 -1273 +451 -3460 +328 -2308 +-7011 -1234 +-315 611 +7338 2521 +1784 164 +1289 -4402 +986 -5822 +4589 787 +3741 -947 +1489 -2979 +7853 4250 +1142 -3845 +2115 -7529 +817 2790 +-11971 2507 +-3219 -285 +-1919 -5410 +-4905 -7192 +2895 1388 +1388 3905 +3137 3004 +2269 -1329 +-2474 -5074 +11 -900 +-650 -530 +-2979 0 +-650 530 +11 900 +-2474 5074 +2269 1329 +3137 -3004 +1388 -3905 +2895 -1388 +-4905 7192 +-1919 5410 +-3219 285 +-11971 -2507 +817 -2790 +2115 7529 +1142 3845 +7853 -4250 +1489 2979 +3741 947 +4589 -787 +986 5822 +1289 4402 +1784 -164 +7338 -2521 +-315 -611 +-7011 1234 +328 2308 +451 3460 +-4947 1273 +-4375 2563 +2945 -3127 +215 -5477 +-3507 7020 +1489 0 +-191 4071 +1743 3241 +4125 -3221 +628 -4859 +4667 2612 +4243 -1079 +-3232 2417 +-3160 2979 +266 -8860 +2247 3555 +657 6282 +619 -3246 +1144 -2265 +-5237 -2660 +1100 5050 +11916 0 +1100 -5050 +-5237 2660 +1144 2265 +619 3246 +657 -6282 +2247 -3555 +266 8860 +-3160 -2979 +-3232 -2417 +4243 1079 +4667 -2612 +628 4859 +4125 3221 +1743 -3241 +-191 -4071 +1489 0 +-5877 78 +-5310 -262 +2822 5693 +2712 646 +2575 -885 +3217 -1900 +3637 -6839 +3160 2979 +620 2693 +2932 -576 +-1406 5616 +-9917 -966 +-6157 -5434 +-3834 -319 +-4748 844 +-2979 0 +-4748 -844 +-3834 319 +-6157 5434 +-9917 966 +-1406 -5616 +2932 576 +620 -2693 +3160 -2979 +3637 6839 +3217 1900 +2575 885 +2712 -646 +2822 -5693 +-5310 262 +-5877 -78 +1489 0 +-191 4071 +1743 3241 +4125 -3221 +628 -4859 +4667 2612 +4243 -1079 +-3232 2417 +-3160 2979 +266 -8860 +2247 3555 +657 6282 +619 -3246 +1144 -2265 +-5237 -2660 +1100 5050 +5958 2979 +-5436 3803 +-6573 2802 +810 3328 +-3360 -893 +800 2828 +5958 2642 +1496 2242 +1053 1489 +731 -6477 +-1254 -3184 +-3089 -1910 +-2705 1859 +4194 730 +5377 -2854 +217 12841 +-1489 0 +217 -12841 +5377 2854 +4194 -730 +-2705 -1859 +-3089 1910 +-1254 3184 +731 6477 +1053 -1489 +1496 -2242 +5958 -2642 +800 -2828 +-3360 893 +810 -3328 +-6573 -2802 +-5436 -3803 +5958 -2979 +-1763 -2657 +-7531 -6921 +-3976 911 +-3832 2999 +3268 -883 +3586 -2416 +-4353 -2692 +-1053 1489 +3417 -4143 +4349 -2547 +5514 5600 +3939 247 +904 1764 +-3912 4693 +-2735 -1524 +1489 0 +-2735 1524 +-3912 -4693 +904 -1764 +3939 -247 +5514 -5600 +4349 2547 +3417 4143 +-1053 -1489 +-4353 2692 +3586 2416 +3268 883 +-3832 -2999 +-3976 -911 +-7531 6921 +-1763 2657 +5958 2979 +-5436 3803 +-6573 2802 +810 3328 +-3360 -893 +800 2828 +5958 2642 +1496 2242 +1053 1489 +731 -6477 +-1254 -3184 +-3089 -1910 +-2705 1859 +4194 730 +5377 -2854 +217 12841 +-2979 0 +3929 2665 +-161 4437 +-4503 3829 +-5902 -806 +-2393 -2219 +-2296 435 +-9379 1785 +2543 5085 +5776 -610 +1280 -9411 +4516 -5083 +-3141 -1946 +887 -829 +945 1233 +-5197 -2489 +-1489 0 +-5197 2489 +945 -1233 +887 829 +-3141 1946 +4516 5083 +1280 9411 +5776 610 +2543 -5085 +-9379 -1785 +-2296 -435 +-2393 2219 +-5902 806 +-4503 -3829 +-161 -4437 +3929 -2665 +-2979 0 +-2004 -2615 +4107 -1591 +2776 5228 +-6375 806 +-8069 -572 +5920 2864 +3335 -3267 +436 873 +6760 6564 +-3160 -1672 +-3772 -1279 +3503 1946 +2132 -2112 +5281 -855 +5205 1550 +1489 0 +5205 -1550 +5281 855 +2132 2112 +3503 -1946 +-3772 1279 +-3160 1672 +6760 -6564 +436 -873 +3335 3267 +5920 -2864 +-8069 572 +-6375 -806 +2776 -5228 +4107 1591 +-2004 2615 +-2979 0 +3929 2665 +-161 4437 +-4503 3829 +-5902 -806 +-2393 -2219 +-2296 435 +-9379 1785 +2543 5085 +5776 -610 +1280 -9411 +4516 -5083 +-3141 -1946 +887 -829 +945 1233 +-5197 -2489 +1489 1489 +979 -1993 +-1557 -2530 +-5562 2002 +-5562 -4396 +-5230 -1428 +-1590 3738 +8346 -872 +4213 -4905 +2003 -1962 +4325 1639 +1219 -4233 +3037 -4043 +-55 1991 +3775 1014 +2416 1676 +-7447 0 +2416 -1676 +3775 -1014 +-55 -1991 +3037 4043 +1219 4233 +4325 -1639 +2003 1962 +4213 4905 +8346 872 +-1590 -3738 +-5230 1428 +-5562 4396 +-5562 -2002 +-1557 2530 +979 1993 +1489 -1489 +30 4761 +591 -6274 +-606 -8161 +2222 6141 +4844 1115 +944 -1080 +-1011 -1267 +-4213 -7011 +379 1567 +534 3487 +-2767 -6251 +6261 -6128 +-269 2021 +-7022 4565 +-4717 -652 +-7447 0 +-4717 652 +-7022 -4565 +-269 -2021 +6261 6128 +-2767 6251 +534 -3487 +379 -1567 +-4213 7011 +-1011 1267 +944 1080 +4844 -1115 +2222 -6141 +-606 8161 +591 6274 +30 -4761 +1489 1489 +979 -1993 +-1557 -2530 +-5562 2002 +-5562 -4396 +-5230 -1428 +-1590 3738 +8346 -872 +4213 -4905 +2003 -1962 +4325 1639 +1219 -4233 +3037 -4043 +-55 1991 +3775 1014 +2416 1676 +-4468 0 +5208 -9779 +-881 1622 +1492 424 +1870 -1859 +3092 201 +10254 -4931 +-1779 -1634 +436 617 +6310 -3957 +-416 -1743 +2752 -2209 +1216 -2999 +866 2730 +-1877 4606 +-2969 2512 +2979 0 +-2969 -2512 +-1877 -4606 +866 -2730 +1216 2999 +2752 2209 +-416 1743 +6310 3957 +436 -617 +-1779 1634 +10254 4931 +3092 -201 +1870 1859 +1492 -424 +-881 -1622 +5208 9779 +-4468 0 +-7960 -14296 +-3505 -2762 +-2632 2759 +2343 -247 +-1952 3369 +-2643 2179 +4531 -1748 +2543 -3596 +-3558 -2800 +-2982 -1009 +-1612 -1248 +-5429 893 +-2006 -1639 +2051 -5746 +217 -1195 +0 0 +217 1195 +2051 5746 +-2006 1639 +-5429 -893 +-1612 1248 +-2982 1009 +-3558 2800 +2543 3596 +4531 1748 +-2643 -2179 +-1952 -3369 +2343 247 +-2632 -2759 +-3505 2762 +-7960 14296 +-4468 0 +5208 -9779 +-881 1622 +1492 424 +1870 -1859 +3092 201 +10254 -4931 +-1779 -1634 +436 617 +6310 -3957 +-416 -1743 +2752 -2209 +1216 -2999 +866 2730 +-1877 4606 +-2969 2512 +-5958 4468 +-5016 -1898 +-333 -2153 +-8119 1332 +-5542 -2496 +4306 -611 +529 3275 +1711 -1134 +0 -3415 +3584 3044 +4106 3954 +-4483 1124 +-189 5408 +-5576 1435 +-5669 -5681 +3711 -852 +2979 0 +3711 852 +-5669 5681 +-5576 -1435 +-189 -5408 +-4483 -1124 +4106 -3954 +3584 -3044 +0 3415 +1711 1134 +529 -3275 +4306 611 +-5542 2496 +-8119 -1332 +-333 2153 +-5016 1898 +-5958 -4468 +-5031 4820 +1206 7959 +4009 -3808 +-1650 -1356 +3499 2266 +4556 13 +848 553 +0 -5522 +-639 -3625 +980 2823 +-1042 531 +1423 2656 +7405 -3912 +6542 -8854 +831 3774 +-2979 0 +831 -3774 +6542 8854 +7405 3912 +1423 -2656 +-1042 -531 +980 -2823 +-639 3625 +0 5522 +848 -553 +4556 -13 +3499 -2266 +-1650 1356 +4009 3808 +1206 -7959 +-5031 -4820 +-5958 4468 +-5016 -1898 +-333 -2153 +-8119 1332 +-5542 -2496 +4306 -611 +529 3275 +1711 -1134 +0 -3415 +3584 3044 +4106 3954 +-4483 1124 +-189 5408 +-5576 1435 +-5669 -5681 +3711 -852 +0 -1489 +4305 2571 +-3536 -3693 +-6569 -7309 +857 -5569 +1069 2177 +1226 3520 +-4095 3487 +-7192 5522 +5786 -1961 +1195 -1210 +-768 -700 +11046 -1557 +2688 3589 +3592 -1125 +5671 -3333 +-2979 0 +5671 3333 +3592 1125 +2688 -3589 +11046 1557 +-768 700 +1195 1210 +5786 1961 +-7192 -5522 +-4095 -3487 +1226 -3520 +1069 -2177 +857 5569 +-6569 7309 +-3536 3693 +4305 -2571 +0 1489 +-6753 2390 +-2462 -2038 +4350 -1088 +-2091 -6709 +-3209 -3905 +2493 599 +-1560 -1093 +1234 3415 +6362 2876 +-701 -629 +-6808 -3415 +-3855 1195 +823 5970 +-1806 1352 +-1290 3325 +2979 0 +-1290 -3325 +-1806 -1352 +823 -5970 +-3855 -1195 +-6808 3415 +-701 629 +6362 -2876 +1234 -3415 +-1560 1093 +2493 -599 +-3209 3905 +-2091 6709 +4350 1088 +-2462 2038 +-6753 -2390 +0 -1489 +4305 2571 +-3536 -3693 +-6569 -7309 +857 -5569 +1069 2177 +1226 3520 +-4095 3487 +-7192 5522 +5786 -1961 +1195 -1210 +-768 -700 +11046 -1557 +2688 3589 +3592 -1125 +5671 -3333 +-4468 1489 +-6176 1870 +1596 -1910 +-1616 -3372 +-8236 -47 +-793 7860 +-804 -1420 +-2743 859 +873 9117 +1063 -3207 +5124 1114 +2769 599 +194 -4972 +8075 4837 +2143 665 +-4633 -3288 +-1489 0 +-4633 3288 +2143 -665 +8075 -4837 +194 4972 +2769 -599 +5124 -1114 +1063 3207 +873 -9117 +-2743 -859 +-804 1420 +-793 -7860 +-8236 47 +-1616 3372 +1596 1910 +-6176 -1870 +-4468 -1489 +-3818 -780 +-2981 -7068 +4124 -2933 +4385 -1187 +1528 -2317 +-1249 680 +-1451 1763 +5085 2798 +6702 -3887 +2887 -3599 +-2516 3011 +-8258 -2220 +-3146 -4648 +5201 528 +2630 3087 +-1489 0 +2630 -3087 +5201 -528 +-3146 4648 +-8258 2220 +-2516 -3011 +2887 3599 +6702 3887 +5085 -2798 +-1451 -1763 +-1249 -680 +1528 2317 +4385 1187 +4124 2933 +-2981 7068 +-3818 780 +-4468 1489 +-6176 1870 +1596 -1910 +-1616 -3372 +-8236 -47 +-793 7860 +-804 -1420 +-2743 859 +873 9117 +1063 -3207 +5124 1114 +2769 599 +194 -4972 +8075 4837 +2143 665 +-4633 -3288 +1489 -2979 +2515 2319 +-462 1601 +-5629 -256 +2220 1510 +-3115 -147 +-2959 -2611 +4488 -1062 +-436 1489 +-3544 1461 +-1567 -900 +1837 -87 +-47 1737 +-3734 -1783 +-1168 -1846 +511 2849 +0 0 +511 -2849 +-1168 1846 +-3734 1783 +-47 -1737 +1837 87 +-1567 900 +-3544 -1461 +-436 -1489 +4488 1062 +-2959 2611 +-3115 147 +2220 -1510 +-5629 256 +-462 -1601 +2515 -2319 +1489 2979 +3250 2804 +-10301 -635 +-4328 81 +4972 -2382 +1337 -2901 +3205 1965 +-7670 1038 +-2543 1489 +7367 4020 +-4636 -3958 +-5259 -5241 +-1187 3349 +2041 -671 +5974 -1401 +9934 7779 +14895 0 +9934 -7779 +5974 1401 +2041 671 +-1187 -3349 +-5259 5241 +-4636 3958 +7367 -4020 +-2543 -1489 +-7670 -1038 +3205 -1965 +1337 2901 +4972 2382 +-4328 -81 +-10301 635 +3250 -2804 +1489 -2979 +2515 2319 +-462 1601 +-5629 -256 +2220 1510 +-3115 -147 +-2959 -2611 +4488 -1062 +-436 1489 +-3544 1461 +-1567 -900 +1837 -87 +-47 1737 +-3734 -1783 +-1168 -1846 +511 2849 +1489 -2979 +8141 -907 +3289 2564 +-4352 -1251 +-5408 4081 +-4602 -2253 +-513 -4065 +-3849 5785 +-1053 0 +4100 -1569 +3259 6573 +3820 1525 +-1356 -3262 +-1507 5234 +-1690 5145 +-3106 -4888 +0 0 +-3106 4888 +-1690 -5145 +-1507 -5234 +-1356 3262 +3820 -1525 +3259 -6573 +4100 1569 +-1053 0 +-3849 -5785 +-513 4065 +-4602 2253 +-5408 -4081 +-4352 1251 +3289 -2564 +8141 907 +1489 2979 +2915 -7738 +4054 -2012 +2293 -1711 +-2656 -5315 +-3589 2874 +8524 -3621 +8626 -5893 +1053 0 +-4663 -472 +-5313 4337 +158 388 +-2496 -3930 +-647 1520 +305 2087 +-3737 2736 +-2979 0 +-3737 -2736 +305 -2087 +-647 -1520 +-2496 3930 +158 -388 +-5313 -4337 +-4663 472 +1053 0 +8626 5893 +8524 3621 +-3589 -2874 +-2656 5315 +2293 1711 +4054 2012 +2915 7738 +1489 -2979 +8141 -907 +3289 2564 +-4352 -1251 +-5408 4081 +-4602 -2253 +-513 -4065 +-3849 5785 +-1053 0 +4100 -1569 +3259 6573 +3820 1525 +-1356 -3262 +-1507 5234 +-1690 5145 +-3106 -4888 +1489 -1489 +-1774 1498 +-970 2637 +-6124 -4085 +-5854 4832 +-2615 -386 +-865 -2753 +1210 5462 +-617 -5522 +2343 156 +43 8975 +-4345 -254 +3543 1500 +5616 4632 +3311 -431 +1612 -3396 +-1489 0 +1612 3396 +3311 431 +5616 -4632 +3543 -1500 +-4345 254 +43 -8975 +2343 -156 +-617 5522 +1210 -5462 +-865 2753 +-2615 386 +-5854 -4832 +-6124 4085 +-970 -2637 +-1774 -1498 +1489 1489 +718 -4319 +-6814 -625 +-2455 61 +2875 -5704 +4834 -10676 +4089 420 +1526 8701 +3596 -3415 +-866 -4893 +-3267 -1137 +-2086 1001 +-6522 3585 +-1250 -336 +4473 699 +3657 2017 +4468 0 +3657 -2017 +4473 -699 +-1250 336 +-6522 -3585 +-2086 -1001 +-3267 1137 +-866 4893 +3596 3415 +1526 -8701 +4089 -420 +4834 10676 +2875 5704 +-2455 -61 +-6814 625 +718 4319 +1489 -1489 +-1774 1498 +-970 2637 +-6124 -4085 +-5854 4832 +-2615 -386 +-865 -2753 +1210 5462 +-617 -5522 +2343 156 +43 8975 +-4345 -254 +3543 1500 +5616 4632 +3311 -431 +1612 -3396 +4468 2979 +-2225 5048 +-5040 -4392 +-238 2338 +-134 2485 +-1084 -6571 +2823 -3704 +-3968 -3776 +-1053 2106 +2903 3243 +-3309 -5723 +804 1622 +1167 -1193 +-2545 -6337 +-4878 3187 +-4018 -445 +0 0 +-4018 445 +-4878 -3187 +-2545 6337 +1167 1193 +804 -1622 +-3309 5723 +2903 -3243 +-1053 -2106 +-3968 3776 +2823 3704 +-1084 6571 +-134 -2485 +-238 -2338 +-5040 4392 +-2225 -5048 +4468 -2979 +8276 6904 +3028 1792 +3138 -4152 +1006 -740 +-6481 269 +-5156 -4401 +-4571 -2866 +1053 -2106 +132 -1460 +-4528 6044 +4481 502 +3919 -8977 +1926 -3903 +5145 2638 +3472 3971 +2979 0 +3472 -3971 +5145 -2638 +1926 3903 +3919 8977 +4481 -502 +-4528 -6044 +132 1460 +1053 2106 +-4571 2866 +-5156 4401 +-6481 -269 +1006 740 +3138 4152 +3028 -1792 +8276 -6904 +4468 2979 +-2225 5048 +-5040 -4392 +-238 2338 +-134 2485 +-1084 -6571 +2823 -3704 +-3968 -3776 +-1053 2106 +2903 3243 +-3309 -5723 +804 1622 +1167 -1193 +-2545 -6337 +-4878 3187 +-4018 -445 +0 0 +-2248 -5333 +-87 4521 +-5735 4533 +1093 -1053 +276 -1803 +-9298 -4981 +1739 -3555 +-1926 1489 +-8176 212 +-6252 1501 +-5256 3305 +7724 -1053 +5264 132 +2578 2363 +2891 -1583 +-4468 0 +2891 1583 +2578 -2363 +5264 -132 +7724 1053 +-5256 -3305 +-6252 -1501 +-8176 -212 +-1926 -1489 +1739 3555 +-9298 4981 +276 1803 +1093 1053 +-5735 -4533 +-87 -4521 +-2248 5333 +0 0 +887 -9364 +-1699 1211 +533 7205 +-2327 -1053 +1247 -1390 +8804 862 +2821 -1569 +-4032 1489 +2325 3927 +2534 338 +-2760 -888 +-532 -1053 +-1994 -3125 +3420 -2590 +8188 -360 +4468 0 +8188 360 +3420 2590 +-1994 3125 +-532 1053 +-2760 888 +2534 -338 +2325 -3927 +-4032 -1489 +2821 1569 +8804 -862 +1247 1390 +-2327 1053 +533 -7205 +-1699 -1211 +887 9364 +0 0 +-2248 -5333 +-87 4521 +-5735 4533 +1093 -1053 +276 -1803 +-9298 -4981 +1739 -3555 +-1926 1489 +-8176 212 +-6252 1501 +-5256 3305 +7724 -1053 +5264 132 +2578 2363 +2891 -1583 +4468 0 +-5102 -3215 +-4205 844 +-4087 6139 +-3113 3020 +1499 -4592 +-441 1757 +8259 2881 +8245 -1234 +1456 6041 +4032 523 +-1006 -5605 +-1812 3473 +1762 796 +2195 -6348 +321 -2508 +-2979 0 +321 2508 +2195 6348 +1762 -796 +-1812 -3473 +-1006 5605 +4032 -523 +1456 -6041 +8245 1234 +8259 -2881 +-441 -1757 +1499 4592 +-3113 -3020 +-4087 -6139 +-4205 -844 +-5102 3215 +4468 0 +3053 -3389 +-654 844 +155 -3476 +-1973 -4765 +-1439 1038 +1407 1757 +3653 9839 +-2287 7192 +-3651 -3491 +-786 523 +-986 3796 +940 6697 +-4323 123 +-1549 -6348 +436 6075 +-5958 0 +436 -6075 +-1549 6348 +-4323 -123 +940 -6697 +-986 -3796 +-786 -523 +-3651 3491 +-2287 -7192 +3653 -9839 +1407 -1757 +-1439 -1038 +-1973 4765 +155 3476 +-654 -844 +3053 3389 +4468 0 +-5102 -3215 +-4205 844 +-4087 6139 +-3113 3020 +1499 -4592 +-441 1757 +8259 2881 +8245 -1234 +1456 6041 +4032 523 +-1006 -5605 +-1812 3473 +1762 796 +2195 -6348 +321 -2508 +5958 5958 +63 390 +-73 313 +-3448 -2818 +-4113 -2099 +-1378 -1629 +-1999 -1917 +1530 2519 +1926 -1489 +-2931 3271 +6 5936 +510 2114 +-4251 11643 +-2184 6976 +-452 -4571 +-1681 493 +-1489 0 +-1681 -493 +-452 4571 +-2184 -6976 +-4251 -11643 +510 -2114 +6 -5936 +-2931 -3271 +1926 1489 +1530 -2519 +-1999 1917 +-1378 1629 +-4113 2099 +-3448 2818 +-73 -313 +63 -390 +5958 -5958 +5209 2625 +-6304 6785 +-2807 -1760 +7092 2461 +1789 -3289 +-5366 -1288 +-1848 6147 +4032 -1489 +969 2170 +-2811 2774 +4583 752 +7230 634 +2935 -3769 +5083 -247 +-1311 -702 +-10426 0 +-1311 702 +5083 247 +2935 3769 +7230 -634 +4583 -752 +-2811 -2774 +969 -2170 +4032 1489 +-1848 -6147 +-5366 1288 +1789 3289 +7092 -2461 +-2807 1760 +-6304 -6785 +5209 -2625 +5958 5958 +63 390 +-73 313 +-3448 -2818 +-4113 -2099 +-1378 -1629 +-1999 -1917 +1530 2519 +1926 -1489 +-2931 3271 +6 5936 +510 2114 +-4251 11643 +-2184 6976 +-452 -4571 +-1681 493 +-2979 -1489 +3520 6172 +8245 415 +4032 -3945 +893 3322 +-2506 87 +-4303 -1083 +-1047 -536 +-1489 2287 +-3648 2244 +-1861 -6500 +-317 2599 +1859 -236 +1133 -1655 +-3483 12763 +1802 -479 +8937 0 +1802 479 +-3483 -12763 +1133 1655 +1859 236 +-317 -2599 +-1861 6500 +-3648 -2244 +-1489 -2287 +-1047 536 +-4303 1083 +-2506 -87 +893 -3322 +4032 3945 +8245 -415 +3520 -6172 +-2979 1489 +887 4207 +506 744 +-5814 -2388 +-2999 -3322 +1947 -4787 +1724 869 +772 1794 +-1489 -8245 +-1581 -6036 +227 -5630 +-1404 -8696 +247 236 +2929 5840 +-1054 311 +-705 -6109 +2979 0 +-705 6109 +-1054 -311 +2929 -5840 +247 -236 +-1404 8696 +227 5630 +-1581 6036 +-1489 8245 +772 -1794 +1724 -869 +1947 4787 +-2999 3322 +-5814 2388 +506 -744 +887 -4207 +-2979 -1489 +3520 6172 +8245 415 +4032 -3945 +893 3322 +-2506 87 +-4303 -1083 +-1047 -536 +-1489 2287 +-3648 2244 +-1861 -6500 +-317 2599 +1859 -236 +1133 -1655 +-3483 12763 +1802 -479 +2979 4468 +684 7752 +-4340 4377 +-2546 -1710 +3625 5153 +169 979 +-2184 3331 +1018 3334 +2106 -3777 +-2573 2700 +-7244 -4642 +1457 -3817 +3945 7325 +-5627 3639 +998 4660 +5431 2998 +0 0 +5431 -2998 +998 -4660 +-5627 -3639 +3945 -7325 +1457 3817 +-7244 4642 +-2573 -2700 +2106 3777 +1018 -3334 +-2184 -3331 +169 -979 +3625 -5153 +-2546 1710 +-4340 -4377 +684 -7752 +2979 -4468 +5315 -6525 +3294 -885 +-1172 119 +-1880 2400 +-663 2464 +497 -3278 +-2803 4446 +-2106 6755 +787 -4637 +-5452 -5475 +-1951 5328 +6225 6185 +1909 1262 +2516 576 +567 -3062 +-5958 0 +567 3062 +2516 -576 +1909 -1262 +6225 -6185 +-1951 -5328 +-5452 5475 +787 4637 +-2106 -6755 +-2803 -4446 +497 3278 +-663 -2464 +-1880 -2400 +-1172 -119 +3294 885 +5315 6525 +2979 4468 +684 7752 +-4340 4377 +-2546 -1710 +3625 5153 +169 979 +-2184 3331 +1018 3334 +2106 -3777 +-2573 2700 +-7244 -4642 +1457 -3817 +3945 7325 +-5627 3639 +998 4660 +5431 2998 +-5958 -5958 +613 5869 +5502 4888 +6238 -1982 +817 -160 +-2255 -4415 +4145 -3206 +-2970 812 +-7628 873 +-5129 -3954 +-7994 -7185 +-4767 486 +2269 -2912 +7350 -5216 +-199 2976 +-4072 -1365 +1489 0 +-4072 1365 +-199 -2976 +7350 5216 +2269 2912 +-4767 -486 +-7994 7185 +-5129 3954 +-7628 -873 +-2970 -812 +4145 3206 +-2255 4415 +817 160 +6238 1982 +5502 -4888 +613 -5869 +-5958 5958 +-2828 -1422 +56 -4642 +4168 -43 +1289 -4052 +-4759 1487 +3371 -1599 +3313 -6422 +-1309 5085 +-371 2558 +2222 -3578 +2706 799 +-4375 -1300 +-255 -1022 +4812 3228 +3019 1600 +4468 0 +3019 -1600 +4812 -3228 +-255 1022 +-4375 1300 +2706 -799 +2222 3578 +-371 -2558 +-1309 -5085 +3313 6422 +3371 1599 +-4759 -1487 +1289 4052 +4168 43 +56 4642 +-2828 1422 +-5958 -5958 +613 5869 +5502 4888 +6238 -1982 +817 -160 +-2255 -4415 +4145 -3206 +-2970 812 +-7628 873 +-5129 -3954 +-7994 -7185 +-4767 486 +2269 -2912 +7350 -5216 +-199 2976 +-4072 -1365 +-1489 4468 +-571 -3227 +1846 -1310 +1453 -1881 +3122 -6301 +1818 -646 +-3958 -2507 +-348 -3202 +9298 -181 +1444 -4273 +2611 -2661 +8513 798 +-5629 3215 +-4490 3522 +-635 813 +356 3111 +7447 0 +356 -3111 +-635 -813 +-4490 -3522 +-5629 -3215 +8513 -798 +2611 2661 +1444 4273 +9298 181 +-348 3202 +-3958 2507 +1818 646 +3122 6301 +1453 1881 +1846 1310 +-571 3227 +-1489 -4468 +2903 -3304 +1401 -2336 +-340 -3375 +-3994 343 +-3384 -3673 +-900 -3910 +-8686 5323 +-3340 6139 +1097 -7001 +-1965 -9714 +2770 4411 +543 2743 +2085 -2739 +1601 1498 +-4621 -2696 +-4468 0 +-4621 2696 +1601 -1498 +2085 2739 +543 -2743 +2770 -4411 +-1965 9714 +1097 7001 +-3340 -6139 +-8686 -5323 +-900 3910 +-3384 3673 +-3994 -343 +-340 3375 +1401 2336 +2903 3304 +-1489 4468 +-571 -3227 +1846 -1310 +1453 -1881 +3122 -6301 +1818 -646 +-3958 -2507 +-348 -3202 +9298 -181 +1444 -4273 +2611 -2661 +8513 798 +-5629 3215 +-4490 3522 +-635 813 +356 3111 +-1489 0 +-3505 467 +172 -2535 +509 3544 +-1027 -1510 +-2542 568 +2758 2285 +1472 -323 +-4649 6575 +3553 1172 +-2903 -3696 +-11135 -1029 +-693 -1737 +-1984 -1014 +491 2155 +6228 7393 +2979 0 +6228 -7393 +491 -2155 +-1984 1014 +-693 1737 +-11135 1029 +-2903 3696 +3553 -1172 +-4649 -6575 +1472 323 +2758 -2285 +-2542 -568 +-1027 1510 +509 -3544 +172 2535 +-3505 -467 +-1489 0 +-3141 8599 +-7956 523 +2260 -4852 +4006 2382 +-1906 6584 +466 49 +-1410 -813 +1670 2362 +5158 -2843 +-322 -4141 +361 -7494 +3672 -3349 +6011 4373 +7293 -2422 +70 -2352 +-5958 0 +70 2352 +7293 2422 +6011 -4373 +3672 3349 +361 7494 +-322 4141 +5158 2843 +1670 -2362 +-1410 813 +466 -49 +-1906 -6584 +4006 -2382 +2260 4852 +-7956 -523 +-3141 -8599 +-1489 0 +-3505 467 +172 -2535 +509 3544 +-1027 -1510 +-2542 568 +2758 2285 +1472 -323 +-4649 6575 +3553 1172 +-2903 -3696 +-11135 -1029 +-693 -1737 +-1984 -1014 +491 2155 +6228 7393 +-1489 7447 +-2416 -7428 +1067 -8903 +2546 -671 +-3436 -4725 +855 -788 +-493 5435 +790 -2089 +617 -2543 +-7677 4523 +-1864 4821 +-893 2105 +-2296 -2080 +5417 -2691 +2363 2768 +487 4197 +4468 0 +487 -4197 +2363 -2768 +5417 2691 +-2296 2080 +-893 -2105 +-1864 -4821 +-7677 -4523 +617 2543 +790 2089 +-493 -5435 +855 788 +-3436 4725 +2546 671 +1067 8903 +-2416 7428 +-1489 -7447 +-2618 -2767 +4192 4712 +-674 -1649 +457 -360 +6860 5133 +-2032 2995 +-871 -812 +-3596 -436 +-668 5865 +10347 7822 +1603 3185 +-683 2952 +1136 1316 +-1664 -2746 +-3878 -1103 +-7447 0 +-3878 1103 +-1664 2746 +1136 -1316 +-683 -2952 +1603 -3185 +10347 -7822 +-668 -5865 +-3596 436 +-871 812 +-2032 -2995 +6860 -5133 +457 360 +-674 1649 +4192 -4712 +-2618 2767 +-1489 7447 +-2416 -7428 +1067 -8903 +2546 -671 +-3436 -4725 +855 -788 +-493 5435 +790 -2089 +617 -2543 +-7677 4523 +-1864 4821 +-893 2105 +-2296 -2080 +5417 -2691 +2363 2768 +487 4197 +-2979 -5958 +-4966 -1180 +-1372 7062 +5159 -3141 +236 -370 +-3530 1113 +-5307 -8904 +2246 -3316 +7011 -617 +371 -3791 +2232 1161 +3204 -1368 +-3322 -4489 +-4648 -1577 +1725 1539 +3949 5374 +1489 0 +3949 -5374 +1725 -1539 +-4648 1577 +-3322 4489 +3204 1368 +2232 -1161 +371 3791 +7011 617 +2246 3316 +-5307 8904 +-3530 -1113 +236 370 +5159 3141 +-1372 -7062 +-4966 1180 +-2979 5958 +-5233 -6156 +-3219 -3438 +-6240 1593 +-236 1242 +3969 5055 +-1564 2679 +7568 1015 +4905 3596 +-2747 2781 +-1319 2784 +-72 1043 +3322 -597 +-6267 1962 +-3092 340 +7238 -2992 +4468 0 +7238 2992 +-3092 -340 +-6267 -1962 +3322 597 +-72 -1043 +-1319 -2784 +-2747 -2781 +4905 -3596 +7568 -1015 +-1564 -2679 +3969 -5055 +-236 -1242 +-6240 -1593 +-3219 3438 +-5233 6156 +-2979 -5958 +-4966 -1180 +-1372 7062 +5159 -3141 +236 -370 +-3530 1113 +-5307 -8904 +2246 -3316 +7011 -617 +371 -3791 +2232 1161 +3204 -1368 +-3322 -4489 +-4648 -1577 +1725 1539 +3949 5374 +-1489 -5958 +-3515 -755 +3860 -244 +5893 -5197 +1006 -2752 +-642 -560 +-2408 6508 +-7545 6219 +-6139 0 +1151 -3180 +2367 -1184 +591 12228 +3919 -1140 +5167 -7477 +-2 7892 +-3194 -6287 +-2979 0 +-3194 6287 +-2 -7892 +5167 7477 +3919 1140 +591 -12228 +2367 1184 +1151 3180 +-6139 0 +-7545 -6219 +-2408 -6508 +-642 560 +1006 2752 +5893 5197 +3860 244 +-3515 755 +-1489 5958 +5564 4758 +3279 5050 +-1961 3677 +-134 2752 +583 -333 +-4063 -305 +-4367 3320 +181 0 +1043 -35 +-109 1429 +1401 1610 +1167 1140 +-2606 347 +-2924 2871 +2436 1026 +5958 0 +2436 -1026 +-2924 -2871 +-2606 -347 +1167 -1140 +1401 -1610 +-109 -1429 +1043 35 +181 0 +-4367 -3320 +-4063 305 +583 333 +-134 -2752 +-1961 -3677 +3279 -5050 +5564 -4758 +-1489 -5958 +-3515 -755 +3860 -244 +5893 -5197 +1006 -2752 +-642 -560 +-2408 6508 +-7545 6219 +-6139 0 +1151 -3180 +2367 -1184 +591 12228 +3919 -1140 +5167 -7477 +-2 7892 +-3194 -6287 +5958 -4468 +-3375 -582 +-7936 4996 +-964 908 +-2650 2532 +-3623 -495 +-4108 -8100 +-453 -2719 +1489 -1053 +-1356 -2352 +2266 116 +1676 -688 +-1016 3779 +1751 1366 +4779 -7951 +3032 -4098 +0 0 +3032 4098 +4779 7951 +1751 -1366 +-1016 -3779 +1676 688 +2266 -116 +-1356 2352 +1489 1053 +-453 2719 +-4108 8100 +-3623 495 +-2650 -2532 +-964 -908 +-7936 -4996 +-3375 582 +5958 4468 +454 2272 +-1019 3068 +-1512 -1598 +3522 447 +1968 6810 +-7795 4248 +-128 6053 +1489 1053 +1938 -7602 +3680 3735 +-21 6059 +6101 -6758 +726 -3001 +-1782 -113 +-110 -7500 +-5958 0 +-110 7500 +-1782 113 +726 3001 +6101 6758 +-21 -6059 +3680 -3735 +1938 7602 +1489 -1053 +-128 -6053 +-7795 -4248 +1968 -6810 +3522 -447 +-1512 1598 +-1019 -3068 +454 -2272 +5958 -4468 +-3375 -582 +-7936 4996 +-964 908 +-2650 2532 +-3623 -495 +-4108 -8100 +-453 -2719 +1489 -1053 +-1356 -2352 +2266 116 +1676 -688 +-1016 3779 +1751 1366 +4779 -7951 +3032 -4098 +2979 4468 +-3349 -3548 +-6372 -1565 +1711 -2791 +5542 483 +836 1802 +-7722 -6419 +-5854 1781 +-1234 6755 +-5899 -2419 +-1761 948 +3848 8468 +189 2429 +2384 2455 +2504 3745 +-3695 -6891 +-5958 0 +-3695 6891 +2504 -3745 +2384 -2455 +189 -2429 +3848 -8468 +-1761 -948 +-5899 2419 +-1234 -6755 +-5854 -1781 +-7722 6419 +836 -1802 +5542 -483 +1711 2791 +-6372 1565 +-3349 3548 +2979 -4468 +-2003 -2522 +-4 -1508 +5254 -6452 +1650 1623 +624 1464 +357 4959 +2782 4396 +7192 -3777 +2827 5373 +-1044 6017 +-2387 2582 +-1423 -323 +4581 -3914 +2127 1608 +-1658 -2404 +0 0 +-1658 2404 +2127 -1608 +4581 3914 +-1423 323 +-2387 -2582 +-1044 -6017 +2827 -5373 +7192 3777 +2782 -4396 +357 -4959 +624 -1464 +1650 -1623 +5254 6452 +-4 1508 +-2003 2522 +2979 4468 +-3349 -3548 +-6372 -1565 +1711 -2791 +5542 483 +836 1802 +-7722 -6419 +-5854 1781 +-1234 6755 +-5899 -2419 +-1761 948 +3848 8468 +189 2429 +2384 2455 +2504 3745 +-3695 -6891 +-5958 -5958 +-6629 104 +-450 4298 +3006 -966 +-3026 1699 +3212 1089 +-1263 -4535 +-3991 -104 +4032 1489 +3045 -1350 +6542 2518 +6412 2924 +1993 87 +5198 2758 +3155 3272 +-2791 -54 +-4468 0 +-2791 54 +3155 -3272 +5198 -2758 +1993 -87 +6412 -2924 +6542 -2518 +3045 1350 +4032 -1489 +-3991 104 +-1263 4535 +3212 -1089 +-3026 -1699 +3006 966 +-450 -4298 +-6629 -104 +-5958 5958 +-6897 1551 +3923 3958 +1481 3888 +-4166 -3805 +-1546 -508 +-1756 1115 +9448 -2373 +1926 1489 +-11423 -1127 +-1778 -5938 +2628 -2343 +-759 -2193 +-3539 163 +3543 4984 +2387 1709 +-7447 0 +2387 -1709 +3543 -4984 +-3539 -163 +-759 2193 +2628 2343 +-1778 5938 +-11423 1127 +1926 -1489 +9448 2373 +-1756 -1115 +-1546 508 +-4166 3805 +1481 -3888 +3923 -3958 +-6897 -1551 +-5958 -5958 +-6629 104 +-450 4298 +3006 -966 +-3026 1699 +3212 1089 +-1263 -4535 +-3991 -104 +4032 1489 +3045 -1350 +6542 2518 +6412 2924 +1993 87 +5198 2758 +3155 3272 +-2791 -54 +-1489 1489 +2621 -868 +10527 -2625 +3125 -650 +2752 -1289 +2544 -5130 +-5719 -4942 +-1616 8167 +-4468 5522 +-3302 -9171 +3292 -4452 +-3520 -2936 +-1140 -4375 +-790 2602 +-3682 -712 +2099 -5064 +4468 0 +2099 5064 +-3682 712 +-790 -2602 +-1140 4375 +-3520 2936 +3292 4452 +-3302 9171 +-4468 -5522 +-1616 -8167 +-5719 4942 +2544 5130 +2752 1289 +3125 650 +10527 2625 +2621 868 +-1489 -1489 +4919 2166 +2343 -1494 +-5610 -3600 +-2752 -817 +1844 -202 +3367 5169 +2761 4157 +-4468 3415 +865 6273 +804 -1279 +-7362 -2048 +1140 2269 +1343 1921 +983 2551 +78 -433 +-7447 0 +78 433 +983 -2551 +1343 -1921 +1140 -2269 +-7362 2048 +804 1279 +865 -6273 +-4468 -3415 +2761 -4157 +3367 -5169 +1844 202 +-2752 817 +-5610 3600 +2343 1494 +4919 -2166 +-1489 1489 +2621 -868 +10527 -2625 +3125 -650 +2752 -1289 +2544 -5130 +-5719 -4942 +-1616 8167 +-4468 5522 +-3302 -9171 +3292 -4452 +-3520 -2936 +-1140 -4375 +-790 2602 +-3682 -712 +2099 -5064 +1489 -1489 +3949 4719 +896 -1926 +-2286 -2864 +-6522 1812 +751 4172 +3817 6319 +3538 1780 +3596 -2543 +79 -57 +-759 1315 +-4572 -131 +-5854 -1973 +-2185 -658 +1340 -1835 +4059 -6277 +4468 0 +4059 6277 +1340 1835 +-2185 658 +-5854 1973 +-4572 131 +-759 -1315 +79 57 +3596 2543 +3538 -1780 +3817 -6319 +751 -4172 +-6522 -1812 +-2286 2864 +896 1926 +3949 -4719 +1489 1489 +-6796 7319 +-896 -87 +1342 -5308 +3543 -940 +2986 3849 +-3817 -3986 +1878 -7615 +-617 -436 +-1283 -8896 +759 -9153 +-3378 5463 +2875 -3113 +-1084 -6713 +-1340 1568 +3001 -5144 +-1489 0 +3001 5144 +-1340 -1568 +-1084 6713 +2875 3113 +-3378 -5463 +759 9153 +-1283 8896 +-617 436 +1878 7615 +-3817 3986 +2986 -3849 +3543 940 +1342 5308 +-896 87 +-6796 -7319 +1489 -1489 +3949 4719 +896 -1926 +-2286 -2864 +-6522 1812 +751 4172 +3817 6319 +3538 1780 +3596 -2543 +79 -57 +-759 1315 +-4572 -131 +-5854 -1973 +-2185 -658 +1340 -1835 +4059 -6277 +2979 -2979 +3062 -2840 +3578 -2985 +-3316 -5238 +-3113 -2629 +917 7257 +-314 7734 +481 2192 +2543 873 +4216 4430 +-178 6177 +-2628 -2838 +-1812 4242 +-4180 6033 +5307 -10159 +8411 -3432 +1489 0 +8411 3432 +5307 10159 +-4180 -6033 +-1812 -4242 +-2628 2838 +-178 -6177 +4216 -4430 +2543 -873 +481 -2192 +-314 -7734 +917 -7257 +-3113 2629 +-3316 5238 +3578 2985 +3062 2840 +2979 2979 +1282 -1274 +-506 954 +2520 5041 +-1973 -349 +-1993 -1223 +-1147 -2434 +-4743 2117 +436 5085 +-3525 -3881 +-6787 867 +2715 981 +940 -1263 +-2460 6220 +45 -2043 +-757 -7932 +-1489 0 +-757 7932 +45 2043 +-2460 -6220 +940 1263 +2715 -981 +-6787 -867 +-3525 3881 +436 -5085 +-4743 -2117 +-1147 2434 +-1993 1223 +-1973 349 +2520 -5041 +-506 -954 +1282 1274 +2979 -2979 +3062 -2840 +3578 -2985 +-3316 -5238 +-3113 -2629 +917 7257 +-314 7734 +481 2192 +2543 873 +4216 4430 +-178 6177 +-2628 -2838 +-1812 4242 +-4180 6033 +5307 -10159 +8411 -3432 +1489 2979 +-7367 -4945 +-4717 -3657 +11 352 +779 -3625 +-1429 -2398 +1330 2641 +4992 -4546 +-1926 -2106 +-3142 5375 +2294 -3808 +4205 1876 +-200 3945 +-5160 -6306 +4500 -3293 +4000 -5019 +-5958 0 +4000 5019 +4500 3293 +-5160 6306 +-200 -3945 +4205 -1876 +2294 3808 +-3142 -5375 +-1926 2106 +4992 4546 +1330 -2641 +-1429 2398 +779 3625 +11 -352 +-4717 3657 +-7367 4945 +1489 -2979 +4961 449 +3158 3978 +3228 -87 +-5865 1880 +-4424 -1710 +15 -40 +-7880 4213 +-4032 2106 +526 2718 +-1894 -2017 +-632 2441 +-672 6225 +4200 -1855 +7229 -4812 +3910 -7902 +2979 0 +3910 7902 +7229 4812 +4200 1855 +-672 -6225 +-632 -2441 +-1894 2017 +526 -2718 +-4032 -2106 +-7880 -4213 +15 40 +-4424 1710 +-5865 -1880 +3228 87 +3158 -3978 +4961 -449 +1489 2979 +-7367 -4945 +-4717 -3657 +11 352 +779 -3625 +-1429 -2398 +1330 2641 +4992 -4546 +-1926 -2106 +-3142 5375 +2294 -3808 +4205 1876 +-200 3945 +-5160 -6306 +4500 -3293 +4000 -5019 +-7447 -5958 +-6642 654 +487 6208 +8902 -4955 +8601 -2296 +1110 6299 +4521 -134 +-787 -529 +-4032 2106 +2617 -2514 +-372 -5056 +4205 -3801 +5493 -457 +-2979 -3245 +426 -6326 +1365 -419 +-2979 0 +1365 419 +426 6326 +-2979 3245 +5493 457 +4205 3801 +-372 5056 +2617 2514 +-4032 -2106 +-787 529 +4521 134 +1110 -6299 +8601 2296 +8902 4955 +487 -6208 +-6642 -654 +-7447 5958 +644 720 +2964 810 +702 1469 +-6495 -683 +-2643 -2262 +5102 -2030 +-3352 273 +-1926 -2106 +-2692 -4235 +-3294 -3789 +1540 -1879 +-7600 3436 +-2413 -1533 +2081 -5252 +420 3726 +5958 0 +420 -3726 +2081 5252 +-2413 1533 +-7600 -3436 +1540 1879 +-3294 3789 +-2692 4235 +-1926 2106 +-3352 -273 +5102 2030 +-2643 2262 +-6495 683 +702 -1469 +2964 -810 +644 -720 +-7447 -5958 +-6642 654 +487 6208 +8902 -4955 +8601 -2296 +1110 6299 +4521 -134 +-787 -529 +-4032 2106 +2617 -2514 +-372 -5056 +4205 -3801 +5493 -457 +-2979 -3245 +426 -6326 +1365 -419 +2979 0 +4901 -694 +5517 -1081 +2729 -1795 +766 7822 +2974 5548 +-4333 606 +-1583 4505 +8500 2106 +342 2166 +2203 2180 +348 88 +-10723 -5693 +1509 -6877 +1149 185 +-6307 -2559 +-1489 0 +-6307 2559 +1149 -185 +1509 6877 +-10723 5693 +348 -88 +2203 -2180 +342 -2166 +8500 -2106 +-1583 -4505 +-4333 -606 +2974 -5548 +766 -7822 +2729 1795 +5517 1081 +4901 694 +2979 0 +257 -1031 +-3143 -704 +-4828 -4354 +2574 -630 +1739 618 +-5611 -112 +1719 -648 +6394 -2106 +-478 -588 +-6642 -5899 +-5061 574 +1425 6927 +591 -4777 +-1055 -6183 +1149 -1446 +1489 0 +1149 1446 +-1055 6183 +591 4777 +1425 -6927 +-5061 -574 +-6642 5899 +-478 588 +6394 2106 +1719 648 +-5611 112 +1739 -618 +2574 630 +-4828 4354 +-3143 704 +257 1031 +2979 0 +4901 -694 +5517 -1081 +2729 -1795 +766 7822 +2974 5548 +-4333 606 +-1583 4505 +8500 2106 +342 2166 +2203 2180 +348 88 +-10723 -5693 +1509 -6877 +1149 185 +-6307 -2559 +-7447 0 +-4472 -4014 +-3408 -8670 +-1776 -2769 +1274 1140 +1474 -482 +-2620 6311 +-4471 2714 +4905 -5958 +542 -3828 +-5055 -559 +2270 1490 +1586 -2752 +6266 -1704 +4585 1807 +-2218 -5936 +0 0 +-2218 5936 +4585 -1807 +6266 1704 +1586 2752 +2270 -1490 +-5055 559 +542 3828 +4905 5958 +-4471 -2714 +-2620 -6311 +1474 482 +1274 -1140 +-1776 2769 +-3408 8670 +-4472 4014 +-7447 0 +-3308 -1071 +483 2467 +265 3642 +-2146 -1140 +117 -391 +4878 4452 +4536 2372 +7011 -5958 +685 -1257 +-1416 5364 +2631 -618 +-6671 2752 +-2823 832 +2553 -2052 +281 11022 +2979 0 +281 -11022 +2553 2052 +-2823 -832 +-6671 -2752 +2631 618 +-1416 -5364 +685 1257 +7011 5958 +4536 -2372 +4878 -4452 +117 391 +-2146 1140 +265 -3642 +483 -2467 +-3308 1071 +-7447 0 +-4472 -4014 +-3408 -8670 +-1776 -2769 +1274 1140 +1474 -482 +-2620 6311 +-4471 2714 +4905 -5958 +542 -3828 +-5055 -559 +2270 1490 +1586 -2752 +6266 -1704 +4585 1807 +-2218 -5936 +5958 -5958 +3790 5905 +770 2664 +2542 1354 +2307 2296 +-729 -3340 +-7603 2536 +-5433 3528 +436 -5085 +-4991 -2603 +-4262 5742 +2096 1693 +3758 457 +7224 5663 +3351 16 +-5231 -3694 +-7447 0 +-5231 3694 +3351 -16 +7224 -5663 +3758 -457 +2096 -1693 +-4262 -5742 +-4991 2603 +436 5085 +-5433 -3528 +-7603 -2536 +-729 3340 +2307 -2296 +2542 -1354 +770 -2664 +3790 -5905 +5958 5958 +-411 -4419 +1603 -11887 +5804 2905 +2779 683 +2260 1126 +-2341 1530 +-4388 -2672 +2543 -873 +3162 -2045 +-178 2536 +-2986 -1626 +-2886 -3436 +641 875 +-3257 -5026 +-3349 -325 +1489 0 +-3349 325 +-3257 5026 +641 -875 +-2886 3436 +-2986 1626 +-178 -2536 +3162 2045 +2543 873 +-4388 2672 +-2341 -1530 +2260 -1126 +2779 -683 +5804 -2905 +1603 11887 +-411 4419 +5958 -5958 +3790 5905 +770 2664 +2542 1354 +2307 2296 +-729 -3340 +-7603 2536 +-5433 3528 +436 -5085 +-4991 -2603 +-4262 5742 +2096 1693 +3758 457 +7224 5663 +3351 16 +-5231 -3694 +2979 -2979 +2572 -413 +1530 -5407 +4436 -3791 +4745 5106 +-7279 2048 +-2946 2698 +4100 1951 +2287 -1489 +4149 2471 +7113 3093 +3436 3835 +-5306 2354 +169 -870 +5016 147 +-1743 -2038 +-4468 0 +-1743 2038 +5016 -147 +169 870 +-5306 -2354 +3436 -3835 +7113 -3093 +4149 -2471 +2287 1489 +4100 -1951 +-2946 -2698 +-7279 -2048 +4745 -5106 +4436 3791 +1530 5407 +2572 413 +2979 2979 +5455 -2898 +-6161 -3170 +-7059 3834 +-3511 1214 +-5529 -1183 +5751 -1879 +-2058 -2921 +-8245 -1489 +4514 4343 +252 6151 +-4558 254 +-1886 3966 +-467 4137 +1361 -298 +-138 6511 +-1489 0 +-138 -6511 +1361 298 +-467 -4137 +-1886 -3966 +-4558 -254 +252 -6151 +4514 -4343 +-8245 1489 +-2058 2921 +5751 1879 +-5529 1183 +-3511 -1214 +-7059 -3834 +-6161 3170 +5455 2898 +2979 -2979 +2572 -413 +1530 -5407 +4436 -3791 +4745 5106 +-7279 2048 +-2946 2698 +4100 1951 +2287 -1489 +4149 2471 +7113 3093 +3436 3835 +-5306 2354 +169 -870 +5016 147 +-1743 -2038 +0 -1489 +2722 5541 +-4433 961 +1768 -2429 +4925 2618 +5496 -914 +6028 2458 +-4259 4791 +-6319 -2181 +-4773 -1280 +-4368 -876 +2726 2623 +3785 -27 +1572 -3928 +454 2245 +-643 -2083 +0 0 +-643 2083 +454 -2245 +1572 3928 +3785 27 +2726 -2623 +-4368 876 +-4773 1280 +-6319 2181 +-4259 -4791 +6028 -2458 +5496 914 +4925 -2618 +1768 2429 +-4433 -961 +2722 -5541 +0 1489 +-5650 3450 +-826 -694 +-2002 1012 +1033 -1746 +114 -6700 +-3503 5380 +6284 957 +6319 -12713 +-1466 -2048 +-4116 -1457 +-4123 -6968 +2173 -5059 +2875 -2647 +-1153 -232 +-642 -6427 +0 0 +-642 6427 +-1153 232 +2875 2647 +2173 5059 +-4123 6968 +-4116 1457 +-1466 2048 +6319 12713 +6284 -957 +-3503 -5380 +114 6700 +1033 1746 +-2002 -1012 +-826 694 +-5650 -3450 +0 -1489 +2722 5541 +-4433 961 +1768 -2429 +4925 2618 +5496 -914 +6028 2458 +-4259 4791 +-6319 -2181 +-4773 -1280 +-4368 -876 +2726 2623 +3785 -27 +1572 -3928 +454 2245 +-643 -2083 +-1489 -5958 +7409 1095 +5658 1946 +453 429 +-570 1926 +716 4409 +2119 -4255 +-507 -3726 +-3415 4468 +-3740 -2478 +1845 2491 +4069 5100 +-1376 -4032 +-652 -574 +-225 122 +-1522 -2309 +0 0 +-1522 2309 +-225 -122 +-652 574 +-1376 4032 +4069 -5100 +1845 -2491 +-3740 2478 +-3415 -4468 +-507 3726 +2119 4255 +716 -4409 +-570 -1926 +453 -429 +5658 -1946 +7409 -1095 +-1489 5958 +-3713 4903 +-573 3465 +1891 9176 +570 1926 +-8916 -2876 +-1247 -2464 +5704 -412 +-5522 4468 +-2749 2552 +-972 5172 +-2361 646 +1376 -4032 +-3625 5966 +5310 7756 +7543 4094 +-2979 0 +7543 -4094 +5310 -7756 +-3625 -5966 +1376 4032 +-2361 -646 +-972 -5172 +-2749 -2552 +-5522 -4468 +5704 412 +-1247 2464 +-8916 2876 +570 -1926 +1891 -9176 +-573 -3465 +-3713 -4903 +-1489 -5958 +7409 1095 +5658 1946 +453 429 +-570 1926 +716 4409 +2119 -4255 +-507 -3726 +-3415 4468 +-3740 -2478 +1845 2491 +4069 5100 +-1376 -4032 +-652 -574 +-225 122 +-1522 -2309 +0 -10426 +-2993 -3606 +-1659 6597 +4046 -651 +-1461 -6199 +-401 -6870 +2127 -544 +-1694 -4042 +3851 -4649 +3594 6457 +-4284 -3004 +-66 -1020 +3073 5371 +-2663 -5064 +-3660 -2136 +702 -53 +2979 0 +702 53 +-3660 2136 +-2663 5064 +3073 -5371 +-66 1020 +-4284 3004 +3594 -6457 +3851 4649 +-1694 4042 +2127 544 +-401 6870 +-1461 6199 +4046 651 +-1659 -6597 +-2993 3606 +0 10426 +-2183 3201 +4184 2853 +2013 -98 +-6965 1113 +-2608 -76 +-2826 -5361 +-652 394 +8064 1670 +2323 -388 +-974 -1156 +4063 -3993 +5353 -4498 +4041 -2178 +-4823 1415 +-7522 940 +-2979 0 +-7522 -940 +-4823 -1415 +4041 2178 +5353 4498 +4063 3993 +-974 1156 +2323 388 +8064 -1670 +-652 -394 +-2826 5361 +-2608 76 +-6965 -1113 +2013 98 +4184 -2853 +-2183 -3201 +0 -10426 +-2993 -3606 +-1659 6597 +4046 -651 +-1461 -6199 +-401 -6870 +2127 -544 +-1694 -4042 +3851 -4649 +3594 6457 +-4284 -3004 +-66 -1020 +3073 5371 +-2663 -5064 +-3660 -2136 +702 -53 +-2979 -4468 +-1170 -208 +2723 885 +-3648 1452 +-704 -759 +2423 -11310 +-641 -522 +-1174 3127 +-3596 -6139 +-5323 377 +-4017 -7673 +-2349 -8511 +-209 3026 +1552 2531 +4548 3509 +4855 -919 +2979 0 +4855 919 +4548 -3509 +1552 -2531 +-209 -3026 +-2349 8511 +-4017 7673 +-5323 -377 +-3596 6139 +-1174 -3127 +-641 522 +2423 11310 +-704 759 +-3648 -1452 +2723 -885 +-1170 208 +-2979 4468 +7750 5284 +4242 1467 +-1725 -5693 +1576 1993 +-441 5280 +-2432 -2177 +-3533 -277 +617 181 +6459 -1287 +-1336 -5196 +-622 -5409 +5295 4166 +-3615 5678 +-3087 588 +562 -1255 +-2979 0 +562 1255 +-3087 -588 +-3615 -5678 +5295 -4166 +-622 5409 +-1336 5196 +6459 1287 +617 -181 +-3533 277 +-2432 2177 +-441 -5280 +1576 -1993 +-1725 5693 +4242 -1467 +7750 -5284 +-2979 -4468 +-1170 -208 +2723 885 +-3648 1452 +-704 -759 +2423 -11310 +-641 -522 +-1174 3127 +-3596 -6139 +-5323 377 +-4017 -7673 +-2349 -8511 +-209 3026 +1552 2531 +4548 3509 +4855 -919 +-2979 -2979 +1292 -1041 +1636 -2328 +-7587 -657 +-7354 -267 +7586 -3348 +4585 -149 +-1058 -4346 +436 -5085 +2564 3384 +2542 594 +-3910 -1243 +-2162 -2333 +-1248 -5806 +-2675 -730 +2186 1578 +4468 0 +2186 -1578 +-2675 730 +-1248 5806 +-2162 2333 +-3910 1243 +2542 -594 +2564 -3384 +436 5085 +-1058 4346 +4585 149 +7586 3348 +-7354 267 +-7587 657 +1636 2328 +1292 1041 +-2979 2979 +-3321 3876 +6201 5307 +605 2523 +-710 2012 +6755 4312 +-2573 -2830 +3153 -2875 +2543 -873 +-11152 -3168 +-2809 2385 +-714 -1365 +-1690 -7837 +6938 -4325 +5008 -2249 +-2090 268 +-4468 0 +-2090 -268 +5008 2249 +6938 4325 +-1690 7837 +-714 1365 +-2809 -2385 +-11152 3168 +2543 873 +3153 2875 +-2573 2830 +6755 -4312 +-710 -2012 +605 -2523 +6201 -5307 +-3321 -3876 +-2979 -2979 +1292 -1041 +1636 -2328 +-7587 -657 +-7354 -267 +7586 -3348 +4585 -149 +-1058 -4346 +436 -5085 +2564 3384 +2542 594 +-3910 -1243 +-2162 -2333 +-1248 -5806 +-2675 -730 +2186 1578 +-1489 7447 +-456 972 +-2364 -726 +-1618 890 +2354 2705 +-2168 1603 +3825 -3266 +3812 -5037 +0 -4032 +8717 1105 +4205 365 +-4758 -7577 +-1214 -3832 +1930 -497 +-508 -3470 +940 492 +4468 0 +940 -492 +-508 3470 +1930 497 +-1214 3832 +-4758 7577 +4205 -365 +8717 -1105 +0 4032 +3812 5037 +3825 3266 +-2168 -1603 +2354 -2705 +-1618 -890 +-2364 726 +-456 -972 +-1489 -7447 +1277 -116 +-4601 10082 +-1927 1595 +3966 -3939 +692 -3956 +-752 -7078 +-2019 -3856 +0 -1926 +-2726 1463 +1147 5419 +3010 -3014 +-5106 -3360 +4840 6660 +-953 5123 +-9545 -90 +-1489 0 +-9545 90 +-953 -5123 +4840 -6660 +-5106 3360 +3010 3014 +1147 -5419 +-2726 -1463 +0 1926 +-2019 3856 +-752 7078 +692 3956 +3966 3939 +-1927 -1595 +-4601 -10082 +1277 116 +-1489 7447 +-456 972 +-2364 -726 +-1618 890 +2354 2705 +-2168 1603 +3825 -3266 +3812 -5037 +0 -4032 +8717 1105 +4205 365 +-4758 -7577 +-1214 -3832 +1930 -497 +-508 -3470 +940 492 +0 1489 +2449 2784 +-1568 675 +2556 -1713 +-1737 -4219 +-4254 1284 +1645 -394 +-5645 -7341 +-617 3160 +541 5561 +-4881 -2325 +1911 849 +-2382 -2733 +-860 -3803 +1883 2644 +-368 -1446 +2979 0 +-368 1446 +1883 -2644 +-860 3803 +-2382 2733 +1911 -849 +-4881 2325 +541 -5561 +-617 -3160 +-5645 7341 +1645 394 +-4254 -1284 +-1737 4219 +2556 1713 +-1568 -675 +2449 -2784 +0 -1489 +-2443 1983 +-3464 732 +-4798 -4252 +-3349 -13143 +-3010 -6667 +2719 10984 +3039 5247 +3596 -3160 +6278 4796 +517 2744 +1140 -2472 +1510 3244 +-1110 5087 +3149 508 +4575 -1677 +2979 0 +4575 1677 +3149 -508 +-1110 -5087 +1510 -3244 +1140 2472 +517 -2744 +6278 -4796 +3596 3160 +3039 -5247 +2719 -10984 +-3010 6667 +-3349 13143 +-4798 4252 +-3464 -732 +-2443 -1983 +0 1489 +2449 2784 +-1568 675 +2556 -1713 +-1737 -4219 +-4254 1284 +1645 -394 +-5645 -7341 +-617 3160 +541 5561 +-4881 -2325 +1911 849 +-2382 -2733 +-860 -3803 +1883 2644 +-368 -1446 +1489 0 +3674 3131 +1041 2270 +-2511 -4913 +9160 -3320 +7551 2979 +-2885 -1056 +1156 -1304 +-436 617 +-1241 269 +2418 2852 +1054 -7687 +2654 -6072 +1122 1691 +-396 -6320 +842 -1467 +0 0 +842 1467 +-396 6320 +1122 -1691 +2654 6072 +1054 7687 +2418 -2852 +-1241 -269 +-436 -617 +1156 1304 +-2885 1056 +7551 -2979 +9160 3320 +-2511 4913 +1041 -2270 +3674 -3131 +1489 0 +776 -2345 +-3147 3536 +-6084 4171 +-9160 -7212 +-3637 1415 +4991 4343 +2657 -6208 +-2543 -3596 +-4852 1401 +-311 3925 +536 -1661 +-2654 -4460 +1969 -4260 +-1710 -8215 +-3012 -481 +2979 0 +-3012 481 +-1710 8215 +1969 4260 +-2654 4460 +536 1661 +-311 -3925 +-4852 -1401 +-2543 3596 +2657 6208 +4991 -4343 +-3637 -1415 +-9160 7212 +-6084 -4171 +-3147 -3536 +776 2345 +1489 0 +3674 3131 +1041 2270 +-2511 -4913 +9160 -3320 +7551 2979 +-2885 -1056 +1156 -1304 +-436 617 +-1241 269 +2418 2852 +1054 -7687 +2654 -6072 +1122 1691 +-396 -6320 +842 -1467 +-4468 -2979 +5219 -4008 +2679 -5382 +-3088 1659 +5393 4119 +4221 3306 +4202 -123 +3609 6 +-4032 2106 +4329 -4391 +551 -3102 +-6601 -4889 +7317 -5731 +5148 2731 +-1379 -2403 +-334 -6659 +-2979 0 +-334 6659 +-1379 2403 +5148 -2731 +7317 5731 +-6601 4889 +551 3102 +4329 4391 +-4032 -2106 +3609 -6 +4202 123 +4221 -3306 +5393 -4119 +-3088 -1659 +2679 5382 +5219 4008 +-4468 2979 +-4494 -1056 +-2034 -5382 +-262 310 +-307 1839 +-3100 5043 +-956 -123 +847 -8963 +-1926 -2106 +-2293 403 +415 -3102 +-4238 -4718 +-6444 -227 +-507 1624 +-3479 -2403 +1541 3074 +11916 0 +1541 -3074 +-3479 2403 +-507 -1624 +-6444 227 +-4238 4718 +415 3102 +-2293 -403 +-1926 2106 +847 8963 +-956 123 +-3100 -5043 +-307 -1839 +-262 -310 +-2034 5382 +-4494 1056 +-4468 -2979 +5219 -4008 +2679 -5382 +-3088 1659 +5393 4119 +4221 3306 +4202 -123 +3609 6 +-4032 2106 +4329 -4391 +551 -3102 +-6601 -4889 +7317 -5731 +5148 2731 +-1379 -2403 +-334 -6659 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +7 0 +6 0 +5 0 +4 0 +3 0 +2 0 +1 0 diff --git a/testing_inputs/simulated/ag_6M_len4000_pre100_post200_openwifi.txt b/testing_inputs/simulated/ag_6M_len4000_pre100_post200_openwifi.txt new file mode 100644 index 0000000..dda96cb --- /dev/null +++ b/testing_inputs/simulated/ag_6M_len4000_pre100_post200_openwifi.txt @@ -0,0 +1,107500 @@ +1 0 +2 0 +3 0 +4 0 +5 0 +6 0 +7 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1723 1723 +-4960 88 +-505 -2941 +5346 -474 +3445 0 +5346 -474 +-505 -2941 +-4960 88 +1723 1723 +88 -4960 +-2941 -505 +-474 5346 +0 3445 +-474 5346 +-2941 -505 +88 -4960 +1723 1723 +-4960 88 +-505 -2941 +5346 -474 +3445 0 +5346 -474 +-505 -2941 +-4960 88 +1723 1723 +88 -4960 +-2941 -505 +-474 5346 +0 3445 +-474 5346 +-2941 -505 +88 -4960 +1723 1723 +-4960 88 +-505 -2941 +5346 -474 +3445 0 +5346 -474 +-505 -2941 +-4960 88 +1723 1723 +88 -4960 +-2941 -505 +-474 5346 +0 3445 +-474 5346 +-2941 -505 +88 -4960 +1723 1723 +-4960 88 +-505 -2941 +5346 -474 +3445 0 +5346 -474 +-505 -2941 +-4960 88 +1723 1723 +88 -4960 +-2941 -505 +-474 5346 +0 3445 +-474 5346 +-2941 -505 +88 -4960 +1723 1723 +-4960 88 +-505 -2941 +5346 -474 +3445 0 +5346 -474 +-505 -2941 +-4960 88 +1723 1723 +88 -4960 +-2941 -505 +-474 5346 +0 3445 +-474 5346 +-2941 -505 +88 -4960 +1723 1723 +-4960 88 +-505 -2941 +5346 -474 +3445 0 +5346 -474 +-505 -2941 +-4960 88 +1723 1723 +88 -4960 +-2941 -505 +-474 5346 +0 3445 +-474 5346 +-2941 -505 +88 -4960 +1723 1723 +-4960 88 +-505 -2941 +5346 -474 +3445 0 +5346 -474 +-505 -2941 +-4960 88 +1723 1723 +88 -4960 +-2941 -505 +-474 5346 +0 3445 +-474 5346 +-2941 -505 +88 -4960 +1723 1723 +-4960 88 +-505 -2941 +5346 -474 +3445 0 +5346 -474 +-505 -2941 +-4960 88 +1723 1723 +88 -4960 +-2941 -505 +-474 5346 +0 3445 +-474 5346 +-2941 -505 +88 -4960 +1723 1723 +-4960 88 +-505 -2941 +5346 -474 +3445 0 +5346 -474 +-505 -2941 +-4960 88 +1723 1723 +88 -4960 +-2941 -505 +-474 5346 +0 3445 +-474 5346 +-2941 -505 +88 -4960 +1723 1723 +-4960 88 +-505 -2941 +5346 -474 +3445 0 +5346 -474 +-505 -2941 +-4960 88 +1723 1723 +88 -4960 +-2941 -505 +-474 5346 +0 3445 +-474 5346 +-2941 -505 +88 -4960 +-5851 0 +460 -3655 +3435 -3965 +-3441 -4311 +-105 -2014 +2811 2773 +-4768 768 +-4565 620 +-1312 5651 +-2114 817 +-2259 -3044 +2605 -529 +3079 -3459 +-4916 -2443 +-2142 -1472 +1383 -3683 +2341 2341 +4465 153 +-842 -6016 +2197 559 +917 2192 +-5123 1774 +37 4307 +1997 -153 +3653 969 +-1435 3976 +-4312 2066 +2240 3285 +791 -1044 +3626 -3101 +1489 4163 +-192 4506 +5851 0 +-192 -4506 +1489 -4163 +3626 3101 +791 1044 +2240 -3285 +-4312 -2066 +-1435 -3976 +3653 -969 +1997 153 +37 -4307 +-5123 -1774 +917 -2192 +2197 -559 +-842 6016 +4465 -153 +2341 -2341 +1383 3683 +-2142 1472 +-4916 2443 +3079 3459 +2605 529 +-2259 3044 +-2114 -817 +-1312 -5651 +-4565 -620 +-4768 -768 +2811 -2773 +-105 2014 +-3441 4311 +3435 3965 +460 3655 +-5851 0 +460 -3655 +3435 -3965 +-3441 -4311 +-105 -2014 +2811 2773 +-4768 768 +-4565 620 +-1312 5651 +-2114 817 +-2259 -3044 +2605 -529 +3079 -3459 +-4916 -2443 +-2142 -1472 +1383 -3683 +2341 2341 +4465 153 +-842 -6016 +2197 559 +917 2192 +-5123 1774 +37 4307 +1997 -153 +3653 969 +-1435 3976 +-4312 2066 +2240 3285 +791 -1044 +3626 -3101 +1489 4163 +-192 4506 +5851 0 +-192 -4506 +1489 -4163 +3626 3101 +791 1044 +2240 -3285 +-4312 -2066 +-1435 -3976 +3653 -969 +1997 153 +37 -4307 +-5123 -1774 +917 -2192 +2197 -559 +-842 6016 +4465 -153 +2341 -2341 +1383 3683 +-2142 1472 +-4916 2443 +3079 3459 +2605 529 +-2259 3044 +-2114 -817 +-1312 -5651 +-4565 -620 +-4768 -768 +2811 -2773 +-105 2014 +-3441 4311 +3435 3965 +460 3655 +-5851 0 +460 -3655 +3435 -3965 +-3441 -4311 +-105 -2014 +2811 2773 +-4768 768 +-4565 620 +-1312 5651 +-2114 817 +-2259 -3044 +2605 -529 +3079 -3459 +-4916 -2443 +-2142 -1472 +1383 -3683 +2341 2341 +4465 153 +-842 -6016 +2197 559 +917 2192 +-5123 1774 +37 4307 +1997 -153 +3653 969 +-1435 3976 +-4312 2066 +2240 3285 +791 -1044 +3626 -3101 +1489 4163 +-192 4506 +-1170 3511 +-4296 281 +-1441 -242 +-2692 3458 +-6876 -1657 +173 -48 +9564 3991 +690 1368 +-3511 343 +1732 -953 +3284 -1425 +3273 951 +1284 3301 +3146 2407 +-378 534 +-1581 1715 +3511 0 +-1581 -1715 +-378 -534 +3146 -2407 +1284 -3301 +3273 -951 +3284 1425 +1732 953 +-3511 -343 +690 -1368 +9564 -3991 +173 48 +-6876 1657 +-2692 -3458 +-1441 242 +-4296 -281 +-1170 -3511 +2881 835 +-1708 63 +1695 3739 +3565 6622 +2029 -505 +5124 -2546 +-3778 -2217 +-3511 1998 +2371 3415 +-3361 -1812 +-373 1806 +2026 1664 +-630 1480 +-1722 3969 +-4639 -3909 +-5851 0 +-4639 3909 +-1722 -3969 +-630 -1480 +2026 -1664 +-373 -1806 +-3361 1812 +2371 -3415 +-3511 -1998 +-3778 2217 +5124 2546 +2029 505 +3565 -6622 +1695 -3739 +-1708 -63 +2881 -835 +-1170 3511 +-4296 281 +-1441 -242 +-2692 3458 +-6876 -1657 +173 -48 +9564 3991 +690 1368 +-3511 343 +1732 -953 +3284 -1425 +3273 951 +1284 3301 +3146 2407 +-378 534 +-1581 1715 +-1170 0 +1484 -2516 +-1452 1490 +-3639 4564 +254 -3207 +1253 -3717 +-2928 -531 +-2346 -5886 +2483 -3996 +1716 3587 +-3059 -1026 +-3332 -385 +380 2563 +2686 -3986 +2790 -4465 +2454 -1905 +2341 0 +2454 1905 +2790 4465 +2686 3986 +380 -2563 +-3332 385 +-3059 1026 +1716 -3587 +2483 3996 +-2346 5886 +-2928 531 +1253 3717 +254 3207 +-3639 -4564 +-1452 -1490 +1484 2516 +-1170 0 +-1600 3404 +2600 -4159 +2150 -7290 +-1909 4176 +-1720 2730 +-1278 3978 +-3969 1965 +-2483 -686 +2066 8119 +644 1162 +-2318 -454 +1275 3088 +4919 -2470 +2683 -1515 +195 -4184 +0 0 +195 4184 +2683 1515 +4919 2470 +1275 -3088 +-2318 454 +644 -1162 +2066 -8119 +-2483 686 +-3969 -1965 +-1278 -3978 +-1720 -2730 +-1909 -4176 +2150 7290 +2600 4159 +-1600 -3404 +-1170 0 +1484 -2516 +-1452 1490 +-3639 4564 +254 -3207 +1253 -3717 +-2928 -531 +-2346 -5886 +2483 -3996 +1716 3587 +-3059 -1026 +-3332 -385 +380 2563 +2686 -3986 +2790 -4465 +2454 -1905 +-4681 -2341 +346 -2730 +-4123 -6589 +-2249 308 +1498 -2014 +1690 -403 +3524 3064 +1765 -3976 +-1312 -2341 +-2239 -492 +4274 -1472 +5574 -1348 +-6263 -2192 +-5153 -490 +3914 1644 +826 4006 +-3511 0 +826 -4006 +3914 -1644 +-5153 490 +-6263 2192 +5574 1348 +4274 1472 +-2239 492 +-1312 2341 +1765 3976 +3524 -3064 +1690 403 +1498 2014 +-2249 -308 +-4123 6589 +346 2730 +-4681 2341 +-2069 5007 +6092 253 +491 1933 +1127 1044 +-578 1566 +-6404 -38 +1798 -4831 +3653 -2341 +1210 -3990 +3287 -1554 +-570 720 +-1043 -3459 +795 939 +-1203 4692 +-1636 2596 +-1170 0 +-1636 -2596 +-1203 -4692 +795 -939 +-1043 3459 +-570 -720 +3287 1554 +1210 3990 +3653 2341 +1798 4831 +-6404 38 +-578 -1566 +1127 -1044 +491 -1933 +6092 -253 +-2069 -5007 +-4681 -2341 +346 -2730 +-4123 -6589 +-2249 308 +1498 -2014 +1690 -403 +3524 3064 +1765 -3976 +-1312 -2341 +-2239 -492 +4274 -1472 +5574 -1348 +-6263 -2192 +-5153 -490 +3914 1644 +826 4006 +1170 0 +3509 -6101 +761 -671 +-5784 577 +-6538 -1170 +-4795 -4835 +3174 -1612 +5557 1689 +-4823 -5651 +-3037 -1047 +961 3874 +-1460 988 +2119 1170 +1001 -769 +596 -513 +4422 700 +4681 0 +4422 -700 +596 513 +1001 769 +2119 -1170 +-1460 -988 +961 -3874 +-3037 1047 +-4823 5651 +5557 -1689 +3174 1612 +-4795 4835 +-6538 1170 +-5784 -577 +761 671 +3509 6101 +1170 0 +-59 -45 +-835 4415 +-1565 -4744 +-3109 -1170 +-1489 2571 +314 -1117 +529 1473 +142 969 +261 2690 +6852 1389 +4434 -2238 +-1835 1170 +3038 4237 +-2461 2885 +-4562 -1745 +2341 0 +-4562 1745 +-2461 -2885 +3038 -4237 +-1835 -1170 +4434 2238 +6852 -1389 +261 -2690 +142 -969 +529 -1473 +314 1117 +-1489 -2571 +-3109 1170 +-1565 4744 +-835 -4415 +-59 45 +1170 0 +3509 -6101 +761 -671 +-5784 577 +-6538 -1170 +-4795 -4835 +3174 -1612 +5557 1689 +-4823 -5651 +-3037 -1047 +961 3874 +-1460 988 +2119 1170 +1001 -769 +596 -513 +4422 700 +0 -1170 +-4022 433 +-3676 907 +6419 -1893 +-1998 -5488 +-4245 -1155 +2957 -1092 +-1028 -1824 +-2140 2483 +-4719 -1509 +-1962 722 +6383 6262 +-343 5225 +-4673 1996 +-566 -1066 +1289 2352 +2341 0 +1289 -2352 +-566 1066 +-4673 -1996 +-343 -5225 +6383 -6262 +-1962 -722 +-4719 1509 +-2140 -2483 +-1028 1824 +2957 1092 +-4245 1155 +-1998 5488 +6419 1893 +-3676 -907 +-4022 -433 +0 1170 +-4088 -2660 +-278 -1237 +-1357 5565 +-1998 -1534 +2547 -2251 +472 2198 +2862 -1632 +4480 -2483 +-425 -1528 +-1467 1755 +-1374 2649 +-343 1796 +2921 -1990 +4520 -7254 +3511 -1416 +2341 0 +3511 1416 +4520 7254 +2921 1990 +-343 -1796 +-1374 -2649 +-1467 -1755 +-425 1528 +4480 2483 +2862 1632 +472 -2198 +2547 2251 +-1998 1534 +-1357 -5565 +-278 1237 +-4088 2660 +0 -1170 +-4022 433 +-3676 907 +6419 -1893 +-1998 -5488 +-4245 -1155 +2957 -1092 +-1028 -1824 +-2140 2483 +-4719 -1509 +-1962 722 +6383 6262 +-343 5225 +-4673 1996 +-566 -1066 +1289 2352 +-4681 -2341 +2987 -5049 +4620 1332 +677 -2175 +1618 2231 +2242 1620 +-3609 -3241 +-1389 2539 +4138 2825 +-2189 2398 +-1223 1045 +3004 -2083 +2251 -2094 +7413 -2431 +2565 -1465 +-2422 -2038 +1170 0 +-2422 2038 +2565 1465 +7413 2431 +2251 2094 +3004 2083 +-1223 -1045 +-2189 -2398 +4138 -2825 +-1389 -2539 +-3609 3241 +2242 -1620 +1618 -2231 +677 2175 +4620 -1332 +2987 5049 +-4681 2341 +-3475 -4192 +-922 3171 +-2368 2618 +722 -3886 +-2326 -8115 +-4415 5 +-939 7562 +-4138 -485 +-3118 -287 +-684 400 +-1401 -3041 +89 439 +-621 1503 +3667 1287 +3925 788 +-1170 0 +3925 -788 +3667 -1287 +-621 -1503 +89 -439 +-1401 3041 +-684 -400 +-3118 287 +-4138 485 +-939 -7562 +-4415 -5 +-2326 8115 +722 3886 +-2368 -2618 +-922 -3171 +-3475 4192 +-4681 -2341 +2987 -5049 +4620 1332 +677 -2175 +1618 2231 +2242 1620 +-3609 -3241 +-1389 2539 +4138 2825 +-2189 2398 +-1223 1045 +3004 -2083 +2251 -2094 +7413 -2431 +2565 -1465 +-2422 -2038 +0 -1170 +1427 2147 +-2074 4040 +-3466 -1209 +880 -60 +-632 2399 +-361 -2990 +2666 -1906 +-6135 3168 +-2153 897 +1286 1532 +-1182 3337 +4697 -322 +-5261 1229 +-3989 3125 +3183 -1106 +-2341 0 +3183 1106 +-3989 -3125 +-5261 -1229 +4697 322 +-1182 -3337 +1286 -1532 +-2153 -897 +-6135 -3168 +2666 1906 +-361 2990 +-632 -2399 +880 60 +-3466 1209 +-2074 -4040 +1427 -2147 +0 1170 +-6283 -1632 +-3069 -1700 +3129 1305 +2146 3370 +2518 3784 +3881 650 +6047 -511 +3795 1513 +1074 -4 +443 808 +-2223 6156 +1639 3632 +497 -4443 +-5479 -5466 +658 -1689 +7022 0 +658 1689 +-5479 5466 +497 4443 +1639 -3632 +-2223 -6156 +443 -808 +1074 4 +3795 -1513 +6047 511 +3881 -650 +2518 -3784 +2146 -3370 +3129 -1305 +-3069 1700 +-6283 1632 +0 -1170 +1427 2147 +-2074 4040 +-3466 -1209 +880 -60 +-632 2399 +-361 -2990 +2666 -1906 +-6135 3168 +-2153 897 +1286 1532 +-1182 3337 +4697 -322 +-5261 1229 +-3989 3125 +3183 -1106 +1170 4681 +-1272 -3969 +-2366 -1080 +-3088 3344 +-3781 417 +-468 694 +4055 2159 +90 4933 +1998 -1170 +3515 -3776 +-1436 6541 +-1931 7099 +-1356 5815 +2209 3087 +-499 -1460 +-2120 4757 +2341 0 +-2120 -4757 +-499 1460 +2209 -3087 +-1356 -5815 +-1931 -7099 +-1436 -6541 +3515 3776 +1998 1170 +90 -4933 +4055 -2159 +-468 -694 +-3781 -417 +-3088 -3344 +-2366 1080 +-1272 3969 +1170 -4681 +2695 -1111 +575 2661 +4997 -1682 +1440 2208 +-4372 1156 +270 -3992 +-4481 -2784 +343 -1170 +7769 -274 +-2888 -383 +-5189 447 +-985 1490 +1223 1529 +2291 1670 +425 -55 +0 0 +425 55 +2291 -1670 +1223 -1529 +-985 -1490 +-5189 -447 +-2888 383 +7769 274 +343 1170 +-4481 2784 +270 3992 +-4372 -1156 +1440 -2208 +4997 1682 +575 -2661 +2695 1111 +1170 4681 +-1272 -3969 +-2366 -1080 +-3088 3344 +-3781 417 +-468 694 +4055 2159 +90 4933 +1998 -1170 +3515 -3776 +-1436 6541 +-1931 7099 +-1356 5815 +2209 3087 +-499 -1460 +-2120 4757 +-2341 -4681 +365 -112 +2238 5579 +2114 570 +4043 1090 +-2857 109 +-6331 -1160 +-3989 492 +-2483 -1856 +413 673 +-6009 2234 +-2808 873 +5047 -2864 +-3715 -3016 +944 2641 +1903 -226 +-8192 0 +1903 226 +944 -2641 +-3715 3016 +5047 2864 +-2808 -873 +-6009 -2234 +413 -673 +-2483 1856 +-3989 -492 +-6331 1160 +-2857 -109 +4043 -1090 +2114 -570 +2238 -5579 +365 112 +-2341 4681 +3604 1722 +7052 1983 +2363 1479 +-1702 565 +2640 -2804 +3157 -810 +-2249 -263 +2483 -5166 +4811 -1815 +-747 477 +-2076 4423 +-2707 4519 +-2281 -2926 +-303 239 +1763 3207 +3511 0 +1763 -3207 +-303 -239 +-2281 2926 +-2707 -4519 +-2076 -4423 +-747 -477 +4811 1815 +2483 5166 +-2249 263 +3157 810 +2640 2804 +-1702 -565 +2363 -1479 +7052 -1983 +3604 -1722 +-2341 -4681 +365 -112 +2238 5579 +2114 570 +4043 1090 +-2857 109 +-6331 -1160 +-3989 492 +-2483 -1856 +413 673 +-6009 2234 +-2808 873 +5047 -2864 +-3715 -3016 +944 2641 +1903 -226 +-4681 -7022 +1209 2482 +3684 3304 +916 -3244 +2462 4519 +-151 3924 +-2676 1858 +-4295 6482 +-828 1170 +1757 -2660 +1841 -749 +2928 372 +-1111 1090 +-2195 -1614 +-5166 -3005 +-4475 -796 +1170 0 +-4475 796 +-5166 3005 +-2195 1614 +-1111 -1090 +2928 -372 +1841 749 +1757 2660 +-828 -1170 +-4295 -6482 +-2676 -1858 +-151 -3924 +2462 -4519 +916 3244 +3684 -3304 +1209 -2482 +-4681 7022 +3579 2313 +2103 902 +-3992 -1391 +-1492 -2864 +-2278 5199 +-963 3615 +798 1269 +828 1170 +-52 -2326 +3170 -399 +3826 -402 +-4540 565 +946 1065 +7370 591 +1479 6096 +-1170 0 +1479 -6096 +7370 -591 +946 -1065 +-4540 -565 +3826 402 +3170 399 +-52 2326 +828 -1170 +798 -1269 +-963 -3615 +-2278 -5199 +-1492 2864 +-3992 1391 +2103 -902 +3579 -2313 +-4681 -7022 +1209 2482 +3684 3304 +916 -3244 +2462 4519 +-151 3924 +-2676 1858 +-4295 6482 +-828 1170 +1757 -2660 +1841 -749 +2928 372 +-1111 1090 +-2195 -1614 +-5166 -3005 +-4475 -796 +-3511 -1170 +3791 -3130 +952 -1011 +-8122 3138 +-2579 -945 +-2208 -1896 +-989 -278 +1839 -538 +-4965 -828 +-1910 -1893 +1630 3121 +3054 -1757 +6711 -6428 +-861 3369 +-1244 4366 +-1594 405 +-8192 0 +-1594 -405 +-1244 -4366 +-861 -3369 +6711 6428 +3054 1757 +1630 -3121 +-1910 1893 +-4965 828 +1839 538 +-989 278 +-2208 1896 +-2579 945 +-8122 -3138 +952 1011 +3791 3130 +-3511 1170 +554 -4919 +1598 -5907 +-1389 523 +-46 -2365 +-1913 -900 +-2828 2367 +3351 -14 +4965 828 +-1761 -534 +-1122 907 +2083 2866 +595 3118 +2738 1454 +2003 18 +2350 5653 +5851 0 +2350 -5653 +2003 -18 +2738 -1454 +595 -3118 +2083 -2866 +-1122 -907 +-1761 534 +4965 -828 +3351 14 +-2828 -2367 +-1913 900 +-46 2365 +-1389 -523 +1598 5907 +554 4919 +-3511 -1170 +3791 -3130 +952 -1011 +-8122 3138 +-2579 -945 +-2208 -1896 +-989 -278 +1839 -538 +-4965 -828 +-1910 -1893 +1630 3121 +3054 -1757 +6711 -6428 +-861 3369 +-1244 4366 +-1594 405 +-1170 -1170 +-672 4719 +3965 795 +1569 -2337 +-2615 2320 +-2508 53 +-1467 169 +-5024 5228 +-2140 3653 +2924 3420 +-2381 1589 +-6691 -5254 +-1348 -3712 +4927 128 +-592 705 +-1904 2869 +3511 0 +-1904 -2869 +-592 -705 +4927 -128 +-1348 3712 +-6691 5254 +-2381 -1589 +2924 -3420 +-2140 -3653 +-5024 -5228 +-1467 -169 +-2508 -53 +-2615 -2320 +1569 2337 +3965 -795 +-672 -4719 +-1170 1170 +1284 1520 +-358 489 +999 2471 +-4407 -1634 +-2166 308 +6865 6706 +3220 2054 +4480 -1312 +2463 2070 +1663 1976 +2715 1290 +-5673 -283 +1155 -4318 +1666 -2731 +-2290 1580 +3511 0 +-2290 -1580 +1666 2731 +1155 4318 +-5673 283 +2715 -1290 +1663 -1976 +2463 -2070 +4480 1312 +3220 -2054 +6865 -6706 +-2166 -308 +-4407 1634 +999 -2471 +-358 -489 +1284 -1520 +-1170 -1170 +-672 4719 +3965 795 +1569 -2337 +-2615 2320 +-2508 53 +-1467 169 +-5024 5228 +-2140 3653 +2924 3420 +-2381 1589 +-6691 -5254 +-1348 -3712 +4927 128 +-592 705 +-1904 2869 +-3511 4681 +-1056 2537 +-2038 -786 +-3191 1872 +612 -3817 +-1647 -828 +1083 1219 +3432 -808 +-828 -969 +505 -3330 +51 2519 +-1868 551 +-157 -2551 +2030 -1146 +8037 -3082 +4980 4327 +-2341 0 +4980 -4327 +8037 3082 +2030 1146 +-157 2551 +-1868 -551 +51 -2519 +505 3330 +-828 969 +3432 808 +1083 -1219 +-1647 828 +612 3817 +-3191 -1872 +-2038 786 +-1056 -2537 +-3511 -4681 +6833 2054 +7182 -3539 +-5760 -5764 +-4608 507 +-2946 3429 +-4604 573 +1151 -105 +828 5651 +-2793 2416 +-1779 -728 +-1951 2050 +-528 -759 +2093 -2746 +1431 -1243 +188 264 +0 0 +188 -264 +1431 1243 +2093 2746 +-528 759 +-1951 -2050 +-1779 728 +-2793 -2416 +828 -5651 +1151 105 +-4604 -573 +-2946 -3429 +-4608 -507 +-5760 5764 +7182 3539 +6833 -2054 +-3511 4681 +-1056 2537 +-2038 -786 +-3191 1872 +612 -3817 +-1647 -828 +1083 1219 +3432 -808 +-828 -969 +505 -3330 +51 2519 +-1868 551 +-157 -2551 +2030 -1146 +8037 -3082 +4980 4327 +2341 5851 +2266 4929 +1373 -1856 +-173 -2305 +-246 2526 +1072 -2611 +1195 -2639 +627 -205 +-1170 -2483 +1317 3652 +2737 2233 +-2463 -839 +-6226 270 +-2889 -3528 +5375 -506 +2539 2524 +-4681 0 +2539 -2524 +5375 506 +-2889 3528 +-6226 -270 +-2463 839 +2737 -2233 +1317 -3652 +-1170 2483 +627 205 +1195 2639 +1072 2611 +-246 -2526 +-173 2305 +1373 1856 +2266 -4929 +2341 -5851 +-1302 -144 +460 -905 +1424 -2965 +-2780 2155 +1634 -5195 +-1405 -7337 +-6740 2290 +-1170 2483 +-2839 1743 +-1155 -907 +1276 -3656 +-110 -4951 +6740 -5052 +783 -316 +-2489 -1049 +4681 0 +-2489 1049 +783 316 +6740 5052 +-110 4951 +1276 3656 +-1155 907 +-2839 -1743 +-1170 -2483 +-6740 -2290 +-1405 7337 +1634 5195 +-2780 -2155 +1424 2965 +460 905 +-1302 144 +2341 5851 +2266 4929 +1373 -1856 +-173 -2305 +-246 2526 +1072 -2611 +1195 -2639 +627 -205 +-1170 -2483 +1317 3652 +2737 2233 +-2463 -839 +-6226 270 +-2889 -3528 +5375 -506 +2539 2524 +-5851 -1170 +-1359 -2692 +2321 -1116 +-2450 2293 +-3236 5533 +1507 419 +-577 -777 +-2683 -167 +-2140 -1998 +-5745 1107 +-1033 1151 +204 600 +-4503 -4631 +3199 -3880 +3621 4197 +326 -1801 +3511 0 +326 1801 +3621 -4197 +3199 3880 +-4503 4631 +204 -600 +-1033 -1151 +-5745 -1107 +-2140 1998 +-2683 167 +-577 777 +1507 -419 +-3236 -5533 +-2450 -2293 +2321 1116 +-1359 2692 +-5851 1170 +1425 -2462 +1675 -2120 +-6452 1614 +-1445 4113 +2398 1523 +1262 955 +3936 -1652 +4480 -343 +6010 2917 +1719 -5654 +-3094 -1464 +-178 4915 +-1931 -1640 +375 -2752 +4710 -4130 +3511 0 +4710 4130 +375 2752 +-1931 1640 +-178 -4915 +-3094 1464 +1719 5654 +6010 -2917 +4480 343 +3936 1652 +1262 -955 +2398 -1523 +-1445 -4113 +-6452 -1614 +1675 2120 +1425 2462 +-5851 -1170 +-1359 -2692 +2321 -1116 +-2450 2293 +-3236 5533 +1507 419 +-577 -777 +-2683 -167 +-2140 -1998 +-5745 1107 +-1033 1151 +204 600 +-4503 -4631 +3199 -3880 +3621 4197 +326 -1801 +-2341 0 +-3696 -1508 +-2688 2508 +3185 463 +5105 2773 +-214 2600 +-2205 84 +1405 2494 +1998 -686 +1166 3755 +3125 668 +275 -6874 +-6981 6009 +-5542 4595 +1064 -5589 +-1338 1783 +-5851 0 +-1338 -1783 +1064 5589 +-5542 -4595 +-6981 -6009 +275 6874 +3125 -668 +1166 -3755 +1998 686 +1405 -2494 +-2205 -84 +-214 -2600 +5105 -2773 +3185 -463 +-2688 -2508 +-3696 1508 +-2341 0 +-2317 2434 +-742 4545 +2032 -1806 +2201 1506 +146 1941 +-1749 497 +-1948 -1746 +343 -3996 +2183 2689 +829 1285 +570 1633 +4356 2951 +6168 262 +2365 4651 +-2075 2097 +-3511 0 +-2075 -2097 +2365 -4651 +6168 -262 +4356 -2951 +570 -1633 +829 -1285 +2183 -2689 +343 3996 +-1948 1746 +-1749 -497 +146 -1941 +2201 -1506 +2032 1806 +-742 -4545 +-2317 -2434 +-2341 0 +-3696 -1508 +-2688 2508 +3185 463 +5105 2773 +-214 2600 +-2205 84 +1405 2494 +1998 -686 +1166 3755 +3125 668 +275 -6874 +-6981 6009 +-5542 4595 +1064 -5589 +-1338 1783 +1170 -7022 +2220 -7967 +2729 5167 +1301 1530 +-1424 -2036 +1315 2494 +159 -4474 +-2208 -1779 +-828 7991 +-2271 3419 +-1433 -1429 +-942 3490 +-1550 1393 +3103 -2827 +2845 -173 +-344 -1507 +0 0 +-344 1507 +2845 173 +3103 2827 +-1550 -1393 +-942 -3490 +-1433 1429 +-2271 -3419 +-828 -7991 +-2208 1779 +159 4474 +1315 -2494 +-1424 2036 +1301 -1530 +2729 -5167 +2220 7967 +1170 7022 +-3659 2113 +-1969 2765 +1706 3261 +738 5346 +1740 -3209 +348 -2717 +-309 2381 +828 1371 +-2847 4462 +-2384 -1080 +-594 204 +-2446 1917 +-1009 -3955 +-294 3423 +2798 5674 +7022 0 +2798 -5674 +-294 -3423 +-1009 3955 +-2446 -1917 +-594 -204 +-2384 1080 +-2847 -4462 +828 -1371 +-309 -2381 +348 2717 +1740 3209 +738 -5346 +1706 -3261 +-1969 -2765 +-3659 -2113 +1170 -7022 +2220 -7967 +2729 5167 +1301 1530 +-1424 -2036 +1315 2494 +159 -4474 +-2208 -1779 +-828 7991 +-2271 3419 +-1433 -1429 +-942 3490 +-1550 1393 +3103 -2827 +2845 -173 +-344 -1507 +-3511 -4681 +29 1381 +4933 1226 +4084 -687 +-544 -165 +-1653 3197 +1299 1531 +-1105 5183 +343 4480 +5800 -3408 +-1165 1500 +-3415 -2559 +3147 -1238 +-192 8787 +-2714 1087 +-2573 -969 +-4681 0 +-2573 969 +-2714 -1087 +-192 -8787 +3147 1238 +-3415 2559 +-1165 -1500 +5800 3408 +343 -4480 +-1105 -5183 +1299 -1531 +-1653 -3197 +-544 165 +4084 687 +4933 -1226 +29 -1381 +-3511 4681 +-1280 1772 +-609 -6117 +765 2333 +2885 4160 +1065 -484 +493 -3007 +87 -7142 +1998 -2140 +4644 -1505 +-626 -4348 +-1840 -928 +-807 553 +-5434 2642 +-1611 2013 +1019 -1574 +-2341 0 +1019 1574 +-1611 -2013 +-5434 -2642 +-807 -553 +-1840 928 +-626 4348 +4644 1505 +1998 2140 +87 7142 +493 3007 +1065 484 +2885 -4160 +765 -2333 +-609 6117 +-1280 -1772 +-3511 -4681 +29 1381 +4933 1226 +4084 -687 +-544 -165 +-1653 3197 +1299 1531 +-1105 5183 +343 4480 +5800 -3408 +-1165 1500 +-3415 -2559 +3147 -1238 +-192 8787 +-2714 1087 +-2573 -969 +1170 4681 +-7072 341 +-2657 -1714 +536 3087 +3147 -5182 +2365 -8338 +-2476 -1710 +3321 -1467 +343 -485 +-3534 -1135 +-2998 -113 +-3629 4305 +2885 -679 +-2008 1076 +-2956 4779 +3540 -3908 +2341 0 +3540 3908 +-2956 -4779 +-2008 -1076 +2885 679 +-3629 -4305 +-2998 113 +-3534 1135 +343 485 +3321 1467 +-2476 1710 +2365 8338 +3147 5182 +536 -3087 +-2657 1714 +-7072 -341 +1170 -4681 +5019 4103 +4642 5280 +3623 -1785 +-807 -2124 +1580 -226 +1926 426 +2031 2042 +1998 2825 +-1819 1473 +-1134 2139 +-317 1658 +-544 -1946 +-2151 1514 +-3709 2096 +-1487 -5127 +0 0 +-1487 5127 +-3709 -2096 +-2151 -1514 +-544 1946 +-317 -1658 +-1134 -2139 +-1819 -1473 +1998 -2825 +2031 -2042 +1926 -426 +1580 226 +-807 2124 +3623 1785 +4642 -5280 +5019 -4103 +1170 4681 +-7072 341 +-2657 -1714 +536 3087 +3147 -5182 +2365 -8338 +-2476 -1710 +3321 -1467 +343 -485 +-3534 -1135 +-2998 -113 +-3629 4305 +2885 -679 +-2008 1076 +-2956 4779 +3540 -3908 +-3511 3511 +1340 -846 +4208 -2739 +-1149 2613 +-5968 -2446 +-578 -3087 +2769 2091 +-1637 2171 +485 1028 +634 -2463 +-2560 486 +17 3134 +-1237 1424 +-5241 -706 +-4606 -367 +1307 6247 +5851 0 +1307 -6247 +-4606 367 +-5241 706 +-1237 -1424 +17 -3134 +-2560 -486 +634 2463 +485 -1028 +-1637 -2171 +2769 -2091 +-578 3087 +-5968 2446 +-1149 -2613 +4208 2739 +1340 846 +-3511 -3511 +-495 -3588 +117 -4685 +-240 -2789 +4998 -1550 +2335 2185 +-978 2548 +-2392 3224 +-2825 5993 +3122 1659 +769 2782 +3566 1660 +6888 -738 +-5330 3483 +281 933 +4740 -899 +-3511 0 +4740 899 +281 -933 +-5330 -3483 +6888 738 +3566 -1660 +769 -2782 +3122 -1659 +-2825 -5993 +-2392 -3224 +-978 -2548 +2335 -2185 +4998 1550 +-240 2789 +117 4685 +-495 3588 +-3511 3511 +1340 -846 +4208 -2739 +-1149 2613 +-5968 -2446 +-578 -3087 +2769 2091 +-1637 2171 +485 1028 +634 -2463 +-2560 486 +17 3134 +-1237 1424 +-5241 -706 +-4606 -367 +1307 6247 +3511 -1170 +649 104 +3830 -1452 +-273 -30 +-5472 3700 +-4658 -951 +-3741 -1145 +1245 975 +-1856 343 +-3655 1440 +2786 1412 +5138 679 +4206 -3049 +680 -1937 +6246 830 +5238 -4302 +-3511 0 +5238 4302 +6246 -830 +680 1937 +4206 3049 +5138 -679 +2786 -1412 +-3655 -1440 +-1856 -343 +1245 -975 +-3741 1145 +-4658 951 +-5472 -3700 +-273 30 +3830 1452 +649 -104 +3511 1170 +2208 -744 +-4159 2897 +-5457 3632 +-1148 -2045 +3185 -2005 +2636 -3358 +-1705 -2174 +-5166 1998 +-483 671 +-309 -1233 +-117 -325 +2414 4704 +-5118 2228 +2074 -4066 +3123 351 +-8192 0 +3123 -351 +2074 4066 +-5118 -2228 +2414 -4704 +-117 325 +-309 1233 +-483 -671 +-5166 -1998 +-1705 2174 +2636 3358 +3185 2005 +-1148 2045 +-5457 -3632 +-4159 -2897 +2208 744 +3511 -1170 +649 104 +3830 -1452 +-273 -30 +-5472 3700 +-4658 -951 +-3741 -1145 +1245 975 +-1856 343 +-3655 1440 +2786 1412 +5138 679 +4206 -3049 +680 -1937 +6246 830 +5238 -4302 +2341 -3511 +-1326 -962 +442 -3031 +-3653 -304 +-1506 202 +4442 -3216 +-2209 -1170 +-2264 110 +686 2683 +2985 4996 +756 889 +-1713 2587 +2951 5145 +-3507 -1594 +-1374 -2967 +3116 1875 +-2341 0 +3116 -1875 +-1374 2967 +-3507 1594 +2951 -5145 +-1713 -2587 +756 -889 +2985 -4996 +686 -2683 +-2264 -110 +-2209 1170 +4442 3216 +-1506 -202 +-3653 304 +442 3031 +-1326 962 +2341 3511 +1378 5646 +1931 5194 +2486 4259 +-2773 -3228 +-790 -4802 +-3053 275 +-2873 1899 +3996 4338 +361 1100 +-3485 -1785 +2385 2132 +6009 1191 +349 5045 +-2369 5129 +-1377 -6345 +-2341 0 +-1377 6345 +-2369 -5129 +349 -5045 +6009 -1191 +2385 -2132 +-3485 1785 +361 -1100 +3996 -4338 +-2873 -1899 +-3053 -275 +-790 4802 +-2773 3228 +2486 -4259 +1931 -5194 +1378 -5646 +2341 -3511 +-1326 -962 +442 -3031 +-3653 -304 +-1506 202 +4442 -3216 +-2209 -1170 +-2264 110 +686 2683 +2985 4996 +756 889 +-1713 2587 +2951 5145 +-3507 -1594 +-1374 -2967 +3116 1875 +0 2341 +-6808 2048 +-901 1373 +1172 -2400 +738 -747 +743 7818 +36 7201 +-1154 1220 +-1998 2341 +-2281 2431 +-397 -790 +-1181 130 +-2446 866 +2601 -1185 +-140 -1130 +987 197 +8192 0 +987 -197 +-140 1130 +2601 1185 +-2446 -866 +-1181 -130 +-397 790 +-2281 -2431 +-1998 -2341 +-1154 -1220 +36 -7201 +743 -7818 +738 747 +1172 2400 +-901 -1373 +-6808 -2048 +0 -2341 +6255 -961 +-247 -268 +1022 -2831 +-1424 -222 +106 3425 +4170 1120 +-6043 1475 +-343 2341 +6168 -157 +2812 1119 +3642 -1203 +-1550 -6517 +-1485 -380 +-5333 3606 +-3745 -2272 +5851 0 +-3745 2272 +-5333 -3606 +-1485 380 +-1550 6517 +3642 1203 +2812 -1119 +6168 157 +-343 -2341 +-6043 -1475 +4170 -1120 +106 -3425 +-1424 222 +1022 2831 +-247 268 +6255 961 +0 2341 +-6808 2048 +-901 1373 +1172 -2400 +738 -747 +743 7818 +36 7201 +-1154 1220 +-1998 2341 +-2281 2431 +-397 -790 +-1181 130 +-2446 866 +2601 -1185 +-140 -1130 +987 197 +1170 0 +-3998 -1913 +2771 6432 +2433 829 +-1699 -3459 +3542 6253 +-1476 3344 +-1181 858 +-828 3996 +-3492 -1614 +5086 -3447 +4421 -3255 +-558 -2014 +-1429 1276 +-4456 -2331 +-2768 -4099 +0 0 +-2768 4099 +-4456 2331 +-1429 -1276 +-558 2014 +4421 3255 +5086 3447 +-3492 1614 +-828 -3996 +-1181 -858 +-1476 -3344 +3542 -6253 +-1699 3459 +2433 -829 +2771 -6432 +-3998 1913 +1170 0 +1392 -6684 +3524 -3808 +3920 819 +-1328 -2192 +1252 -3198 +388 3961 +-663 3251 +828 686 +2026 622 +684 -3859 +-5905 -1324 +-5778 1044 +-1614 -643 +2843 -294 +2063 -2980 +-2341 0 +2063 2980 +2843 294 +-1614 643 +-5778 -1044 +-5905 1324 +684 3859 +2026 -622 +828 -686 +-663 -3251 +388 -3961 +1252 3198 +-1328 2192 +3920 -819 +3524 3808 +1392 6684 +1170 0 +-3998 -1913 +2771 6432 +2433 829 +-1699 -3459 +3542 6253 +-1476 3344 +-1181 858 +-828 3996 +-3492 -1614 +5086 -3447 +4421 -3255 +-558 -2014 +-1429 1276 +-4456 -2331 +-2768 -4099 +-1170 0 +2624 -2021 +2197 4760 +-2543 2709 +-3067 -3333 +-279 -47 +2506 -1629 +-1190 1334 +-828 4681 +1778 3925 +938 4536 +-1754 -2531 +-4578 275 +-382 6811 +-3287 -625 +-2706 -2309 +4681 0 +-2706 2309 +-3287 625 +-382 -6811 +-4578 -275 +-1754 2531 +938 -4536 +1778 -3925 +-828 -4681 +-1190 -1334 +2506 1629 +-279 47 +-3067 3333 +-2543 -2709 +2197 -4760 +2624 2021 +-1170 0 +-1334 4685 +-3345 5900 +1596 -2698 +1412 992 +-3633 2138 +1700 -3188 +-649 1240 +828 4681 +6177 -995 +1476 -3301 +3133 1732 +6234 2066 +3862 -328 +-2185 -1387 +-4701 -4033 +-2341 0 +-4701 4033 +-2185 1387 +3862 328 +6234 -2066 +3133 -1732 +1476 3301 +6177 995 +828 -4681 +-649 -1240 +1700 3188 +-3633 -2138 +1412 -992 +1596 2698 +-3345 -5900 +-1334 -4685 +-1170 0 +2624 -2021 +2197 4760 +-2543 2709 +-3067 -3333 +-279 -47 +2506 -1629 +-1190 1334 +-828 4681 +1778 3925 +938 4536 +-1754 -2531 +-4578 275 +-382 6811 +-3287 -625 +-2706 -2309 +-2341 4681 +-3781 -681 +523 1170 +1415 4021 +-5271 -718 +-5980 -126 +5118 -1170 +3142 -1244 +-343 0 +1641 836 +-1643 1170 +-2858 -4034 +-939 -1074 +2596 1285 +-1752 -1170 +-3543 4829 +1170 0 +-3543 -4829 +-1752 1170 +2596 -1285 +-939 1074 +-2858 4034 +-1643 -1170 +1641 -836 +-343 0 +3142 1244 +5118 1170 +-5980 126 +-5271 718 +1415 -4021 +523 -1170 +-3781 681 +-2341 -4681 +6137 1652 +2325 1170 +-3767 -1705 +-4375 5399 +-3729 248 +-226 -1170 +-3648 4233 +-1998 0 +3967 1376 +4743 1170 +4932 -5270 +1223 -3607 +771 -1775 +276 -1170 +2705 1985 +8192 0 +2705 -1985 +276 1170 +771 1775 +1223 3607 +4932 5270 +4743 -1170 +3967 -1376 +-1998 0 +-3648 -4233 +-226 1170 +-3729 -248 +-4375 -5399 +-3767 1705 +2325 -1170 +6137 -1652 +-2341 4681 +-3781 -681 +523 1170 +1415 4021 +-5271 -718 +-5980 -126 +5118 -1170 +3142 -1244 +-343 0 +1641 836 +-1643 1170 +-2858 -4034 +-939 -1074 +2596 1285 +-1752 -1170 +-3543 4829 +2341 0 +-1102 -513 +-2650 3774 +-903 3450 +-157 -1118 +-95 5152 +2003 5205 +2288 -3919 +4338 1655 +2742 7581 +-1667 -95 +-1394 -4157 +-4608 -4354 +-3363 -4693 +2282 1333 +3343 5633 +3511 0 +3343 -5633 +2282 -1333 +-3363 4693 +-4608 4354 +-1394 4157 +-1667 95 +2742 -7581 +4338 -1655 +2288 3919 +2003 -5205 +-95 -5152 +-157 1118 +-903 -3450 +-2650 -3774 +-1102 513 +2341 0 +-2950 1325 +-6703 939 +2553 -985 +-528 149 +-3608 -2193 +353 -2283 +-2396 1333 +2683 -1655 +-2635 -3308 +-5370 -293 +5097 -792 +612 -1296 +1713 -751 +2389 70 +709 2038 +5851 0 +709 -2038 +2389 -70 +1713 751 +612 1296 +5097 792 +-5370 293 +-2635 3308 +2683 1655 +-2396 -1333 +353 2283 +-3608 2193 +-528 -149 +2553 985 +-6703 -939 +-2950 -1325 +2341 0 +-1102 -513 +-2650 3774 +-903 3450 +-157 -1118 +-95 5152 +2003 5205 +2288 -3919 +4338 1655 +2742 7581 +-1667 -95 +-1394 -4157 +-4608 -4354 +-3363 -4693 +2282 1333 +3343 5633 +3511 4681 +-2895 -3920 +-4506 -7114 +1479 4490 +-1796 -1060 +-987 -3358 +1723 1982 +1354 274 +3653 3511 +-1491 3354 +-817 -435 +874 -1434 +-5488 924 +-102 1112 +2331 -932 +-95 3622 +2341 0 +-95 -3622 +2331 932 +-102 -1112 +-5488 -924 +874 1434 +-817 435 +-1491 -3354 +3653 -3511 +1354 -274 +1723 -1982 +-987 3358 +-1796 1060 +1479 -4490 +-4506 7114 +-2895 3920 +3511 -4681 +3739 598 +3788 2282 +-2475 3167 +-5225 5056 +-503 5662 +1884 2360 +2888 350 +-1312 3511 +-2750 4127 +1891 1467 +615 -4170 +-1534 -1609 +1097 -1363 +3068 -7210 +-749 -85 +-4681 0 +-749 85 +3068 7210 +1097 1363 +-1534 1609 +615 4170 +1891 -1467 +-2750 -4127 +-1312 -3511 +2888 -350 +1884 -2360 +-503 -5662 +-5225 -5056 +-2475 -3167 +3788 -2282 +3739 -598 +3511 4681 +-2895 -3920 +-4506 -7114 +1479 4490 +-1796 -1060 +-987 -3358 +1723 1982 +1354 274 +3653 3511 +-1491 3354 +-817 -435 +874 -1434 +-5488 924 +-102 1112 +2331 -932 +-95 3622 +2341 1170 +-1107 1407 +991 -4066 +-2830 -1377 +-2894 -3147 +1725 -2014 +-1359 2808 +-591 3563 +-1170 5509 +-3310 2227 +-1868 -1796 +-4932 -1158 +-2505 2885 +2592 567 +-866 -640 +334 8825 +4681 0 +334 -8825 +-866 640 +2592 -567 +-2505 -2885 +-4932 1158 +-1868 1796 +-3310 -2227 +-1170 -5509 +-591 -3563 +-1359 -2808 +1725 2014 +-2894 3147 +-2830 1377 +991 4066 +-1107 -1407 +2341 -1170 +-1005 346 +-2139 -4255 +5785 167 +-1102 807 +-2016 -2179 +5565 -331 +1232 3977 +-1170 3854 +-641 -887 +4282 2902 +8533 2661 +1820 -544 +-2237 1178 +-4607 311 +-1533 2711 +4681 0 +-1533 -2711 +-4607 -311 +-2237 -1178 +1820 544 +8533 -2661 +4282 -2902 +-641 887 +-1170 -3854 +1232 -3977 +5565 331 +-2016 2179 +-1102 -807 +5785 -167 +-2139 4255 +-1005 -346 +2341 1170 +-1107 1407 +991 -4066 +-2830 -1377 +-2894 -3147 +1725 -2014 +-1359 2808 +-591 3563 +-1170 5509 +-3310 2227 +-1868 -1796 +-4932 -1158 +-2505 2885 +2592 567 +-866 -640 +334 8825 +-4681 3511 +-2 573 +4383 -6237 +-2566 1064 +-2551 4482 +3201 -3326 +4685 -4736 +2258 -1240 +-3996 -5308 +-3843 -2667 +-4183 5683 +-6019 -2676 +-507 -2817 +1143 495 +1204 -4145 +506 4527 +-2341 0 +506 -4527 +1204 4145 +1143 -495 +-507 2817 +-6019 2676 +-4183 -5683 +-3843 2667 +-3996 5308 +2258 1240 +4685 4736 +3201 3326 +-2551 -4482 +-2566 -1064 +4383 6237 +-2 -573 +-4681 -3511 +-2299 -334 +491 -1608 +2382 -3430 +-759 -3797 +1206 -967 +3772 -2941 +-667 -942 +-686 2967 +-1058 -1033 +408 1252 +4922 -602 +3817 -1179 +2351 4774 +-1397 1549 +-1515 813 +2341 0 +-1515 -813 +-1397 -1549 +2351 -4774 +3817 1179 +4922 602 +408 -1252 +-1058 1033 +-686 -2967 +-667 942 +3772 2941 +1206 967 +-759 3797 +2382 3430 +491 1608 +-2299 334 +-4681 3511 +-2 573 +4383 -6237 +-2566 1064 +-2551 4482 +3201 -3326 +4685 -4736 +2258 -1240 +-3996 -5308 +-3843 -2667 +-4183 5683 +-6019 -2676 +-507 -2817 +1143 495 +1204 -4145 +506 4527 +3511 -4681 +2751 983 +3569 4429 +6801 -4144 +1909 -5621 +601 -3123 +3532 -2047 +-536 3219 +-7164 3026 +-2290 -1144 +2936 -308 +-1219 -1490 +-1275 -2909 +-1839 324 +-2197 -163 +169 -3044 +0 0 +169 3044 +-2197 163 +-1839 -324 +-1275 2909 +-1219 1490 +2936 308 +-2290 1144 +-7164 -3026 +-536 -3219 +3532 2047 +601 3123 +1909 5621 +6801 4144 +3569 -4429 +2751 -983 +3511 4681 +63 -761 +-332 833 +-1695 4554 +-254 -30 +-3664 -1185 +-3354 -1697 +4977 -309 +-2199 6336 +-1374 3039 +1567 -2065 +-5144 2284 +-380 1940 +-461 -1433 +3642 -2566 +2860 -4369 +-7022 0 +2860 4369 +3642 2566 +-461 1433 +-380 -1940 +-5144 -2284 +1567 2065 +-1374 -3039 +-2199 -6336 +4977 309 +-3354 1697 +-3664 1185 +-254 30 +-1695 -4554 +-332 -833 +63 761 +3511 -4681 +2751 983 +3569 4429 +6801 -4144 +1909 -5621 +601 -3123 +3532 -2047 +-536 3219 +-7164 3026 +-2290 -1144 +2936 -308 +-1219 -1490 +-1275 -2909 +-1839 324 +-2197 -163 +169 -3044 +-2341 0 +-1737 2280 +-6124 -2904 +-5756 -3772 +-3712 -485 +-2390 1862 +1634 754 +3204 -2554 +4338 -4280 +3552 -3186 +-3068 3837 +-3179 3067 +1634 -2825 +1082 -1624 +1609 -989 +2389 -48 +1170 0 +2389 48 +1609 989 +1082 1624 +1634 2825 +-3179 -3067 +-3068 -3837 +3552 3186 +4338 4280 +3204 2554 +1634 -754 +-2390 -1862 +-3712 485 +-5756 3772 +-6124 2904 +-1737 -2280 +-2341 0 +-2608 4514 +2170 3589 +1583 -3173 +-283 -485 +920 6590 +1795 -4750 +4299 -129 +2683 8961 +-1628 -2451 +-361 159 +-1195 -814 +-2320 -2825 +2315 4462 +2345 303 +-851 1146 +-1170 0 +-851 -1146 +2345 -303 +2315 -4462 +-2320 2825 +-1195 814 +-361 -159 +-1628 2451 +2683 -8961 +4299 129 +1795 4750 +920 -6590 +-283 485 +1583 3173 +2170 -3589 +-2608 -4514 +-2341 0 +-1737 2280 +-6124 -2904 +-5756 -3772 +-3712 -485 +-2390 1862 +1634 754 +3204 -2554 +4338 -4280 +3552 -3186 +-3068 3837 +-3179 3067 +1634 -2825 +1082 -1624 +1609 -989 +2389 -48 +3511 -4681 +3349 -3958 +1102 1662 +386 -1379 +6702 -3401 +-2475 -4205 +-7235 2079 +1355 2983 +-343 -4480 +2057 1643 +1819 1267 +-5428 -2492 +-3357 3265 +-4056 2248 +-1877 -989 +4303 -1865 +4681 0 +4303 1865 +-1877 989 +-4056 -2248 +-3357 -3265 +-5428 2492 +1819 -1267 +2057 -1643 +-343 4480 +1355 -2983 +-7235 -2079 +-2475 4205 +6702 3401 +386 1379 +1102 -1662 +3349 3958 +3511 4681 +5470 229 +1407 2156 +852 2080 +-1052 2715 +-4888 252 +-697 -1319 +-155 2039 +-1998 2140 +830 -946 +1432 -3818 +55 331 +2388 731 +2315 244 +-5313 1496 +-3968 -6189 +2341 0 +-3968 6189 +-5313 -1496 +2315 -244 +2388 -731 +55 -331 +1432 3818 +830 946 +-1998 -2140 +-155 -2039 +-697 1319 +-4888 -252 +-1052 -2715 +852 -2080 +1407 -2156 +5470 -229 +3511 -4681 +3349 -3958 +1102 1662 +386 -1379 +6702 -3401 +-2475 -4205 +-7235 2079 +1355 2983 +-343 -4480 +2057 1643 +1819 1267 +-5428 -2492 +-3357 3265 +-4056 2248 +-1877 -989 +4303 -1865 +-1170 1170 +4543 1436 +3385 1106 +-3649 2390 +-1364 -1207 +2049 -2825 +3832 2614 +-264 -991 +-3996 -3168 +-3714 3684 +-2442 1048 +2697 -4743 +-1872 -2736 +-5742 -1279 +3610 -2356 +5288 -2171 +1170 0 +5288 2171 +3610 2356 +-5742 1279 +-1872 2736 +2697 4743 +-2442 -1048 +-3714 -3684 +-3996 3168 +-264 991 +3832 -2614 +2049 2825 +-1364 1207 +-3649 -2390 +3385 -1106 +4543 -1436 +-1170 -1170 +-400 -4125 +982 -5431 +3359 -1186 +-2631 -2103 +-3318 -1893 +2075 -822 +-4641 -3512 +-686 -1513 +711 -1567 +-2093 743 +5431 6645 +1186 -574 +-826 -4137 +14 -1969 +-1524 -7138 +1170 0 +-1524 7138 +14 1969 +-826 4137 +1186 574 +5431 -6645 +-2093 -743 +711 1567 +-686 1513 +-4641 3512 +2075 822 +-3318 1893 +-2631 2103 +3359 1186 +982 5431 +-400 4125 +-1170 1170 +4543 1436 +3385 1106 +-3649 2390 +-1364 -1207 +2049 -2825 +3832 2614 +-264 -991 +-3996 -3168 +-3714 3684 +-2442 1048 +2697 -4743 +-1872 -2736 +-5742 -1279 +3610 -2356 +5288 -2171 +4681 -2341 +3138 -1036 +-2132 2612 +-1263 -983 +-1304 1335 +1351 4939 +1017 -1031 +71 4374 +1513 6135 +1999 1587 +-2869 6897 +-1022 2732 +6965 68 +93 2423 +-1939 -4822 +-825 -5068 +-5851 0 +-825 5068 +-1939 4822 +93 -2423 +6965 -68 +-1022 -2732 +-2869 -6897 +1999 -1587 +1513 -6135 +71 -4374 +1017 1031 +1351 -4939 +-1304 -1335 +-1263 983 +-2132 -2612 +3138 1036 +4681 2341 +-6790 2221 +-4922 1698 +-476 142 +334 -2990 +3786 -6542 +-435 1570 +1096 4650 +3168 -3795 +1158 -1214 +916 3005 +-2324 -751 +-1314 -1723 +-145 319 +1002 -230 +152 -2396 +-3511 0 +152 2396 +1002 230 +-145 -319 +-1314 1723 +-2324 751 +916 -3005 +1158 1214 +3168 3795 +1096 -4650 +-435 -1570 +3786 6542 +334 2990 +-476 -142 +-4922 -1698 +-6790 -2221 +4681 -2341 +3138 -1036 +-2132 2612 +-1263 -983 +-1304 1335 +1351 4939 +1017 -1031 +71 4374 +1513 6135 +1999 1587 +-2869 6897 +-1022 2732 +6965 68 +93 2423 +-1939 -4822 +-825 -5068 +-4681 0 +-1236 -7621 +-2067 -4446 +1486 990 +4563 1102 +-1088 795 +2596 -5891 +-1701 -4648 +-3168 1170 +4017 -1011 +-327 671 +-377 2303 +1123 1820 +-731 831 +1604 -2341 +859 -765 +-1170 0 +859 765 +1604 2341 +-731 -831 +1123 -1820 +-377 -2303 +-327 -671 +4017 1011 +-3168 -1170 +-1701 4648 +2596 5891 +-1088 -795 +4563 -1102 +1486 -990 +-2067 4446 +-1236 7621 +-4681 0 +3290 -1803 +2067 -1414 +-5646 221 +-3191 2894 +-2857 -2007 +-2596 -1237 +-3652 3949 +-1513 1170 +1336 -2578 +327 2132 +4322 5490 +6868 -2505 +4891 24 +-1604 6410 +-2913 -2186 +1170 0 +-2913 2186 +-1604 -6410 +4891 -24 +6868 2505 +4322 -5490 +327 -2132 +1336 2578 +-1513 -1170 +-3652 -3949 +-2596 1237 +-2857 2007 +-3191 -2894 +-5646 -221 +2067 1414 +3290 1803 +-4681 0 +-1236 -7621 +-2067 -4446 +1486 990 +4563 1102 +-1088 795 +2596 -5891 +-1701 -4648 +-3168 1170 +4017 -1011 +-327 671 +-377 2303 +1123 1820 +-731 831 +1604 -2341 +859 -765 +-1170 -2341 +-814 -5024 +-1482 -4666 +-883 724 +-2656 -1445 +-2943 -1098 +1357 438 +-2037 -4090 +1513 -3996 +4212 4010 +-1783 7013 +0 1808 +409 178 +1462 -2020 +2629 -3328 +80 2529 +0 0 +80 -2529 +2629 3328 +1462 2020 +409 -178 +0 -1808 +-1783 -7013 +4212 -4010 +1513 3996 +-2037 4090 +1357 -438 +-2943 1098 +-2656 1445 +-883 -724 +-1482 4666 +-814 5024 +-1170 2341 +671 5625 +-99 3399 +1181 -1084 +31 -3236 +-3622 117 +-3190 2620 +-4366 -4035 +3168 -686 +3983 601 +-4375 -3955 +2240 6365 +6896 4503 +2565 -2426 +-2419 2061 +-1729 -2431 +2341 0 +-1729 2431 +-2419 -2061 +2565 2426 +6896 -4503 +2240 -6365 +-4375 3955 +3983 -601 +3168 686 +-4366 4035 +-3190 -2620 +-3622 -117 +31 3236 +1181 1084 +-99 -3399 +671 -5625 +-1170 -2341 +-814 -5024 +-1482 -4666 +-883 724 +-2656 -1445 +-2943 -1098 +1357 438 +-2037 -4090 +1513 -3996 +4212 4010 +-1783 7013 +0 1808 +409 178 +1462 -2020 +2629 -3328 +80 2529 +0 -2341 +-1081 3607 +482 2283 +2533 231 +-3690 1403 +-4959 -3952 +1926 -3963 +1177 -322 +-3653 686 +2962 231 +1353 -3418 +-1674 -3956 +5219 -2922 +-1797 559 +-761 157 +3931 -7331 +-1170 0 +3931 7331 +-761 -157 +-1797 -559 +5219 2922 +-1674 3956 +1353 3418 +2962 -231 +-3653 -686 +1177 322 +1926 3963 +-4959 3952 +-3690 -1403 +2533 -231 +482 -2283 +-1081 -3607 +0 2341 +-2046 2437 +25 1027 +-2874 -3144 +-4585 -4713 +25 4807 +625 7273 +1926 4286 +1312 3996 +2347 2298 +-593 108 +-4337 -2404 +3056 -388 +-157 -1324 +-3057 -3467 +4026 2578 +5851 0 +4026 -2578 +-3057 3467 +-157 1324 +3056 388 +-4337 2404 +-593 -108 +2347 -2298 +1312 -3996 +1926 -4286 +625 -7273 +25 -4807 +-4585 4713 +-2874 3144 +25 -1027 +-2046 -2437 +0 -2341 +-1081 3607 +482 2283 +2533 231 +-3690 1403 +-4959 -3952 +1926 -3963 +1177 -322 +-3653 686 +2962 231 +1353 -3418 +-1674 -3956 +5219 -2922 +-1797 559 +-761 157 +3931 -7331 +1170 2341 +2753 600 +3558 2243 +-1174 -2644 +1634 2425 +5288 3240 +-1327 2315 +-189 4611 +3854 -2341 +1819 -1809 +1371 2455 +1943 -124 +-283 -2796 +-5444 1253 +-6038 4247 +-1804 -2813 +0 0 +-1804 2813 +-6038 -4247 +-5444 -1253 +-283 2796 +1943 124 +1371 -2455 +1819 1809 +3854 2341 +-189 -4611 +-1327 -2315 +5288 -3240 +1634 -2425 +-1174 2644 +3558 -2243 +2753 -600 +1170 -2341 +-2585 -4842 +-2333 5140 +1496 4133 +-2320 -2425 +-1170 -2245 +270 -2840 +1366 -5455 +5509 -2341 +-2254 965 +-1686 -2980 +4379 1119 +-3712 2796 +-5318 237 +-3178 3136 +894 -1429 +7022 0 +894 1429 +-3178 -3136 +-5318 -237 +-3712 -2796 +4379 -1119 +-1686 2980 +-2254 -965 +5509 2341 +1366 5455 +270 2840 +-1170 2245 +-2320 2425 +1496 -4133 +-2333 -5140 +-2585 4842 +1170 2341 +2753 600 +3558 2243 +-1174 -2644 +1634 2425 +5288 3240 +-1327 2315 +-189 4611 +3854 -2341 +1819 -1809 +1371 2455 +1943 -124 +-283 -2796 +-5444 1253 +-6038 4247 +-1804 -2813 +-4681 2341 +-453 -667 +-3736 -2151 +-447 -1143 +-464 -2288 +-7679 172 +-118 1407 +3425 3551 +1998 7991 +-3095 2606 +-4608 -2569 +4410 -877 +1454 -3184 +-941 -819 +1535 5010 +2811 2319 +5851 0 +2811 -2319 +1535 -5010 +-941 819 +1454 3184 +4410 877 +-4608 2569 +-3095 -2606 +1998 -7991 +3425 -3551 +-118 -1407 +-7679 -172 +-464 2288 +-447 1143 +-3736 2151 +-453 667 +-4681 -2341 +406 1274 +2155 -2233 +-148 -7812 +3490 -1022 +-1680 -732 +-1715 -5435 +-336 861 +343 1371 +5107 -4038 +-1550 480 +-2685 3123 +4883 -126 +2551 1290 +-1325 1908 +-1246 -935 +-1170 0 +-1246 935 +-1325 -1908 +2551 -1290 +4883 126 +-2685 -3123 +-1550 -480 +5107 4038 +343 -1371 +-336 -861 +-1715 5435 +-1680 732 +3490 1022 +-148 7812 +2155 2233 +406 -1274 +-4681 2341 +-453 -667 +-3736 -2151 +-447 -1143 +-464 -2288 +-7679 172 +-118 1407 +3425 3551 +1998 7991 +-3095 2606 +-4608 -2569 +4410 -877 +1454 -3184 +-941 -819 +1535 5010 +2811 2319 +2341 1170 +706 -142 +-3874 -423 +-4039 -1571 +-291 -3483 +3682 -2935 +3619 2366 +177 -1829 +-2825 -4138 +485 -331 +-1274 -6145 +-513 -6321 +3527 -1237 +-5172 -1635 +793 -1011 +4362 -1358 +-4681 0 +4362 1358 +793 1011 +-5172 1635 +3527 1237 +-513 6321 +-1274 6145 +485 331 +-2825 4138 +177 1829 +3619 -2366 +3682 2935 +-291 3483 +-4039 1571 +-3874 423 +706 142 +2341 -1170 +-49 -5064 +1712 -263 +-489 3226 +976 -796 +2773 -1587 +-4515 1630 +-3255 8128 +485 4138 +2320 -3153 +2170 2149 +-602 -1154 +469 -7724 +-2260 -2406 +1369 1697 +1874 2351 +-4681 0 +1874 -2351 +1369 -1697 +-2260 2406 +469 7724 +-602 1154 +2170 -2149 +2320 3153 +485 -4138 +-3255 -8128 +-4515 -1630 +2773 1587 +976 796 +-489 -3226 +1712 263 +-49 5064 +2341 1170 +706 -142 +-3874 -423 +-4039 -1571 +-291 -3483 +3682 -2935 +3619 2366 +177 -1829 +-2825 -4138 +485 -331 +-1274 -6145 +-513 -6321 +3527 -1237 +-5172 -1635 +793 -1011 +4362 -1358 +-1170 2341 +63 5580 +-2440 -3342 +-1839 -5021 +-1550 2162 +1741 -1359 +4103 471 +-532 3108 +2483 686 +600 2959 +-1255 185 +5059 -1427 +738 896 +784 -743 +2269 318 +-922 1835 +0 0 +-922 -1835 +2269 -318 +784 743 +738 -896 +5059 1427 +-1255 -185 +600 -2959 +2483 -686 +-532 -3108 +4103 -471 +1741 1359 +-1550 -2162 +-1839 5021 +-2440 3342 +63 -5580 +-1170 -2341 +-2323 -565 +3748 -1235 +6855 -2924 +-2446 -2162 +-2889 2391 +3070 -723 +-3183 1487 +-2483 3996 +-1714 -897 +-4546 6183 +-1615 8576 +-1424 -896 +5145 -1086 +4413 1725 +-5230 646 +-7022 0 +-5230 -646 +4413 -1725 +5145 1086 +-1424 896 +-1615 -8576 +-4546 -6183 +-1714 897 +-2483 -3996 +-3183 -1487 +3070 723 +-2889 -2391 +-2446 2162 +6855 2924 +3748 1235 +-2323 565 +-1170 2341 +63 5580 +-2440 -3342 +-1839 -5021 +-1550 2162 +1741 -1359 +4103 471 +-532 3108 +2483 686 +600 2959 +-1255 185 +5059 -1427 +738 896 +784 -743 +2269 318 +-922 1835 +-3511 0 +-4037 6923 +929 4887 +3702 1793 +1275 5883 +-3497 -3293 +-2821 -1660 +432 3883 +-828 2341 +-699 6163 +-254 -213 +-3338 -2370 +254 -782 +5251 1456 +637 6280 +-696 -3256 +2341 0 +-696 3256 +637 -6280 +5251 -1456 +254 782 +-3338 2370 +-254 213 +-699 -6163 +-828 -2341 +432 -3883 +-2821 1660 +-3497 3293 +1275 -5883 +3702 -1793 +929 -4887 +-4037 -6923 +-3511 0 +2056 -1570 +1234 1093 +-2517 2746 +380 -233 +3870 2380 +3717 1524 +2310 -2639 +828 2341 +1539 4591 +-641 -3233 +-5685 -929 +-1909 1751 +2213 -3180 +-2799 -3611 +-906 -4483 +4681 0 +-906 4483 +-2799 3611 +2213 3180 +-1909 -1751 +-5685 929 +-641 3233 +1539 -4591 +828 -2341 +2310 2639 +3717 -1524 +3870 -2380 +380 233 +-2517 -2746 +1234 -1093 +2056 1570 +-3511 0 +-4037 6923 +929 4887 +3702 1793 +1275 5883 +-3497 -3293 +-2821 -1660 +432 3883 +-828 2341 +-699 6163 +-254 -213 +-3338 -2370 +254 -782 +5251 1456 +637 6280 +-696 -3256 +-1170 4681 +-693 -1687 +-2023 -2020 +-5641 1095 +-3147 -469 +1512 -182 +270 -2331 +-1880 6569 +-343 9847 +1562 72 +2184 1867 +346 -2521 +-2885 -291 +-842 5255 +3842 -118 +1434 7107 +-2341 0 +1434 -7107 +3842 118 +-842 -5255 +-2885 291 +346 2521 +2184 -1867 +1562 -72 +-343 -9847 +-1880 -6569 +270 2331 +1512 182 +-3147 469 +-5641 -1095 +-2023 2020 +-693 1687 +-1170 -4681 +2485 -2062 +4363 -531 +1316 -5267 +807 -3527 +2812 3275 +2071 -1487 +88 -1859 +-1998 6537 +-3353 -191 +156 -2375 +3979 3319 +544 976 +-3483 1518 +-1502 877 +358 -2444 +0 0 +358 2444 +-1502 -877 +-3483 -1518 +544 -976 +3979 -3319 +156 2375 +-3353 191 +-1998 -6537 +88 1859 +2071 1487 +2812 -3275 +807 3527 +1316 5267 +4363 531 +2485 2062 +-1170 4681 +-693 -1687 +-2023 -2020 +-5641 1095 +-3147 -469 +1512 -182 +270 -2331 +-1880 6569 +-343 9847 +1562 72 +2184 1867 +346 -2521 +-2885 -291 +-842 5255 +3842 -118 +1434 7107 +0 -4681 +-413 781 +4189 1634 +-2119 -2910 +-5710 -3265 +-3847 2662 +731 3712 +-366 336 +-2483 1170 +2877 -602 +4300 -283 +1042 2625 +1291 -2715 +1298 -1201 +-4802 2320 +-1740 -4369 +5851 0 +-1740 4369 +-4802 -2320 +1298 1201 +1291 2715 +1042 -2625 +4300 283 +2877 602 +-2483 -1170 +-366 -336 +731 -3712 +-3847 -2662 +-5710 3265 +-2119 2910 +4189 -1634 +-413 -781 +0 4681 +4660 3842 +-3891 1634 +-2779 2567 +-2281 -731 +-1263 329 +7977 3712 +2129 710 +2483 1170 +4786 634 +-1707 -283 +-1775 5468 +-2662 3401 +2822 -661 +2566 2320 +-5312 1357 +-5851 0 +-5312 -1357 +2566 -2320 +2822 661 +-2662 -3401 +-1775 -5468 +-1707 283 +4786 -634 +2483 -1170 +2129 -710 +7977 -3712 +-1263 -329 +-2281 731 +-2779 -2567 +-3891 -1634 +4660 -3842 +0 -4681 +-413 781 +4189 1634 +-2119 -2910 +-5710 -3265 +-3847 2662 +731 3712 +-366 336 +-2483 1170 +2877 -602 +4300 -283 +1042 2625 +1291 -2715 +1298 -1201 +-4802 2320 +-1740 -4369 +-3511 -3511 +-1719 -921 +-2481 -972 +4420 -940 +3983 -1372 +-2495 -451 +1332 -3437 +134 -2877 +3511 1312 +3104 1031 +-5677 3836 +2171 1955 +5369 -3975 +-2849 -122 +-5154 2496 +-3085 -677 +-1170 0 +-3085 677 +-5154 -2496 +-2849 122 +5369 3975 +2171 -1955 +-5677 -3836 +3104 -1031 +3511 -1312 +134 2877 +1332 3437 +-2495 451 +3983 1372 +4420 940 +-2481 972 +-1719 921 +-3511 3511 +-2101 4098 +2674 213 +4685 5300 +1667 2057 +-331 -5055 +2443 3944 +1784 2186 +3511 -3653 +1094 574 +-2780 -19 +-1878 950 +-6339 -21 +-3722 -346 +280 55 +789 -7091 +3511 0 +789 7091 +280 -55 +-3722 346 +-6339 21 +-1878 -950 +-2780 19 +1094 -574 +3511 3653 +1784 -2186 +2443 -3944 +-331 5055 +1667 -2057 +4685 -5300 +2674 -213 +-2101 -4098 +-3511 -3511 +-1719 -921 +-2481 -972 +4420 -940 +3983 -1372 +-2495 -451 +1332 -3437 +134 -2877 +3511 1312 +3104 1031 +-5677 3836 +2171 1955 +5369 -3975 +-2849 -122 +-5154 2496 +-3085 -677 +-1170 -5851 +-2933 -4150 +2948 3471 +-1261 943 +1186 1133 +4377 1778 +210 -756 +2610 -2684 +1655 -1513 +778 -202 +3673 -2304 +281 34 +-1364 -5077 +2348 -4996 +4935 4879 +-1412 -986 +-8192 0 +-1412 986 +4935 -4879 +2348 4996 +-1364 5077 +281 -34 +3673 2304 +778 202 +1655 1513 +2610 2684 +210 756 +4377 -1778 +1186 -1133 +-1261 -943 +2948 -3471 +-2933 4150 +-1170 5851 +3640 -3115 +-2906 -3828 +-4041 9 +-1872 238 +2483 -127 +3904 3646 +-2554 224 +-1655 -3168 +-2625 275 +-6416 -4168 +-2817 -4499 +-2631 -2914 +-1371 -168 +3014 4127 +2497 -3746 +1170 0 +2497 3746 +3014 -4127 +-1371 168 +-2631 2914 +-2817 4499 +-6416 4168 +-2625 -275 +-1655 3168 +-2554 -224 +3904 -3646 +2483 127 +-1872 -238 +-4041 -9 +-2906 3828 +3640 3115 +-1170 -5851 +-2933 -4150 +2948 3471 +-1261 943 +1186 1133 +4377 1778 +210 -756 +2610 -2684 +1655 -1513 +778 -202 +3673 -2304 +281 34 +-1364 -5077 +2348 -4996 +4935 4879 +-1412 -986 +3511 1170 +3251 -2850 +-2881 -8278 +-4240 -629 +-3265 -322 +654 -3074 +3186 -3120 +2392 -3380 +-3026 828 +-2158 2630 +155 -1308 +-776 -1210 +2715 3370 +-752 -851 +-568 -6514 +1940 -1699 +-1170 0 +1940 1699 +-568 6514 +-752 851 +2715 -3370 +-776 1210 +155 1308 +-2158 -2630 +-3026 -828 +2392 3380 +3186 3120 +654 3074 +-3265 322 +-4240 629 +-2881 8278 +3251 2850 +3511 -1170 +-3034 4403 +4462 -897 +5592 343 +-731 3632 +746 -2639 +-1352 -680 +-3254 -280 +-6336 -828 +-1304 331 +6003 -2492 +-2416 2117 +-3401 -60 +1191 -6055 +358 -2660 +2169 -3368 +3511 0 +2169 3368 +358 2660 +1191 6055 +-3401 60 +-2416 -2117 +6003 2492 +-1304 -331 +-6336 828 +-3254 280 +-1352 680 +746 2639 +-731 -3632 +5592 -343 +4462 897 +-3034 -4403 +3511 1170 +3251 -2850 +-2881 -8278 +-4240 -629 +-3265 -322 +654 -3074 +3186 -3120 +2392 -3380 +-3026 828 +-2158 2630 +155 -1308 +-776 -1210 +2715 3370 +-752 -851 +-568 -6514 +1940 -1699 +3511 -3511 +543 2342 +1855 888 +-844 577 +-1735 1344 +6618 -2646 +1348 -2248 +-1038 -3116 +1655 -3168 +-842 -256 +346 1818 +1244 4904 +3349 -3244 +1863 -8364 +-1549 1273 +99 3116 +1170 0 +99 -3116 +-1549 -1273 +1863 8364 +3349 3244 +1244 -4904 +346 -1818 +-842 256 +1655 3168 +-1038 3116 +1348 2248 +6618 2646 +-1735 -1344 +-844 -577 +1855 -888 +543 -2342 +3511 3511 +-836 3053 +-1095 -1606 +-2313 -1318 +-2260 -1344 +3514 -2991 +-841 -1360 +-24 1893 +-1655 -1513 +-9314 -2338 +-4163 -745 +-1207 -2549 +-4034 3244 +-2255 -368 +4100 -6672 +4792 3650 +1170 0 +4792 -3650 +4100 6672 +-2255 368 +-4034 -3244 +-1207 2549 +-4163 745 +-9314 2338 +-1655 1513 +-24 -1893 +-841 1360 +3514 2991 +-2260 1344 +-2313 1318 +-1095 1606 +-836 -3053 +3511 -3511 +543 2342 +1855 888 +-844 577 +-1735 1344 +6618 -2646 +1348 -2248 +-1038 -3116 +1655 -3168 +-842 -256 +346 1818 +1244 4904 +3349 -3244 +1863 -8364 +-1549 1273 +99 3116 +4681 -4681 +3594 1153 +-3672 3755 +-8328 4716 +-3380 291 +-1927 -1273 +-765 4457 +-166 -2847 +-828 -2825 +-1630 5125 +-656 -781 +-2818 559 +-4636 3527 +3299 956 +-291 1898 +-1730 -1806 +5851 0 +-1730 1806 +-291 -1898 +3299 -956 +-4636 -3527 +-2818 -559 +-656 781 +-1630 -5125 +-828 2825 +-166 2847 +-765 -4457 +-1927 1273 +-3380 -291 +-8328 -4716 +-3672 -3755 +3594 -1153 +4681 4681 +4331 2126 +-1726 -487 +3383 1585 +6690 -976 +-2222 -175 +-309 2968 +851 4161 +828 485 +1722 -4826 +-2951 214 +-2459 3094 +1326 469 +4452 3827 +1009 2742 +-351 -2550 +3511 0 +-351 2550 +1009 -2742 +4452 -3827 +1326 -469 +-2459 -3094 +-2951 -214 +1722 4826 +828 -485 +851 -4161 +-309 -2968 +-2222 175 +6690 976 +3383 -1585 +-1726 487 +4331 -2126 +4681 -4681 +3594 1153 +-3672 3755 +-8328 4716 +-3380 291 +-1927 -1273 +-765 4457 +-166 -2847 +-828 -2825 +-1630 5125 +-656 -781 +-2818 559 +-4636 3527 +3299 956 +-291 1898 +-1730 -1806 +3511 -2341 +-3685 2769 +-1485 2725 +3656 -627 +380 4228 +1105 3880 +-6510 -3881 +-3683 841 +4823 4965 +-1471 -3730 +-852 -2739 +-700 3571 +-1909 -2437 +3432 -5780 +253 -2571 +-24 -2617 +4681 0 +-24 2617 +253 2571 +3432 5780 +-1909 2437 +-700 -3571 +-852 2739 +-1471 3730 +4823 -4965 +-3683 -841 +-6510 3881 +1105 -3880 +380 -4228 +3656 627 +-1485 -2725 +-3685 -2769 +3511 2341 +-1406 2054 +-3018 -521 +-2388 -1507 +1275 -1888 +7087 -1219 +3274 -1129 +2333 -2629 +-142 -4965 +-489 -5188 +-593 -900 +-4183 1659 +254 96 +-1390 -406 +-431 -3216 +1805 -6312 +-2341 0 +1805 6312 +-431 3216 +-1390 406 +254 -96 +-4183 -1659 +-593 900 +-489 5188 +-142 4965 +2333 2629 +3274 1129 +7087 1219 +1275 1888 +-2388 1507 +-3018 521 +-1406 -2054 +3511 -2341 +-3685 2769 +-1485 2725 +3656 -627 +380 4228 +1105 3880 +-6510 -3881 +-3683 841 +4823 4965 +-1471 -3730 +-852 -2739 +-700 3571 +-1909 -2437 +3432 -5780 +253 -2571 +-24 -2617 +1170 -2341 +-3980 1065 +2557 -628 +1796 -6298 +-3175 -149 +-2791 480 +-2037 -1381 +1050 2279 +-4138 -3310 +-1600 -1781 +1010 6852 +-3825 3477 +4333 1296 +1755 -2171 +-4637 -5246 +-3001 2076 +-4681 0 +-3001 -2076 +-4637 5246 +1755 2171 +4333 -1296 +-3825 -3477 +1010 -6852 +-1600 1781 +-4138 3310 +1050 -2279 +-2037 1381 +-2791 -480 +-3175 149 +1796 6298 +2557 628 +-3980 -1065 +1170 2341 +2081 -3306 +2664 -3729 +6232 2234 +1520 1118 +116 -4139 +1666 -4430 +4794 655 +4138 3310 +-662 1825 +-639 9 +-2150 1869 +-2678 4354 +-1134 -2249 +-584 -5164 +1317 2155 +2341 0 +1317 -2155 +-584 5164 +-1134 2249 +-2678 -4354 +-2150 -1869 +-639 -9 +-662 -1825 +4138 -3310 +4794 -655 +1666 4430 +116 4139 +1520 -1118 +6232 -2234 +2664 3729 +2081 3306 +1170 -2341 +-3980 1065 +2557 -628 +1796 -6298 +-3175 -149 +-2791 480 +-2037 -1381 +1050 2279 +-4138 -3310 +-1600 -1781 +1010 6852 +-3825 3477 +4333 1296 +1755 -2171 +-4637 -5246 +-3001 2076 +1170 -1170 +-3268 2746 +-2957 6169 +-2728 4637 +-3511 -1634 +2971 -2570 +4543 820 +5458 -3045 +-1170 343 +-3744 3254 +5000 -5786 +-227 -4033 +-3511 -283 +2304 -1286 +2901 1800 +4113 -10 +5851 0 +4113 10 +2901 -1800 +2304 1286 +-3511 283 +-227 4033 +5000 5786 +-3744 -3254 +-1170 -343 +5458 3045 +4543 -820 +2971 2570 +-3511 1634 +-2728 -4637 +-2957 -6169 +-3268 -2746 +1170 1170 +-2533 95 +721 -2352 +2000 -2018 +-3511 2320 +-3116 2437 +-1950 -61 +3224 5241 +-1170 1998 +-4938 -2850 +3709 3236 +372 -425 +-3511 -3712 +-1576 -420 +-2604 -1293 +1688 1061 +5851 0 +1688 -1061 +-2604 1293 +-1576 420 +-3511 3712 +372 425 +3709 -3236 +-4938 2850 +-1170 -1998 +3224 -5241 +-1950 61 +-3116 -2437 +-3511 -2320 +2000 2018 +721 2352 +-2533 -95 +1170 -1170 +-3268 2746 +-2957 6169 +-2728 4637 +-3511 -1634 +2971 -2570 +4543 820 +5458 -3045 +-1170 343 +-3744 3254 +5000 -5786 +-227 -4033 +-3511 -283 +2304 -1286 +2901 1800 +4113 -10 +-2341 -3511 +1167 547 +845 -4984 +-626 -2567 +-2864 626 +-4578 -3527 +1164 585 +68 3581 +-2140 4823 +-1954 -13 +-751 -6898 +2772 -678 +-565 -4317 +-125 -6456 +42 4592 +-3101 2196 +-2341 0 +-3101 -2196 +42 -4592 +-125 6456 +-565 4317 +2772 678 +-751 6898 +-1954 13 +-2140 -4823 +68 -3581 +1164 -585 +-4578 3527 +-2864 -626 +-626 2567 +845 4984 +1167 -547 +-2341 3511 +-1383 -752 +-3396 -7856 +-727 2225 +4519 4055 +3178 -5807 +2653 -4805 +794 1011 +4480 -142 +5417 -76 +244 -65 +419 -3975 +-1090 -364 +-314 1433 +-802 -1451 +-1008 2280 +2341 0 +-1008 -2280 +-802 1451 +-314 -1433 +-1090 364 +419 3975 +244 65 +5417 76 +4480 142 +794 -1011 +2653 4805 +3178 5807 +4519 -4055 +-727 -2225 +-3396 7856 +-1383 752 +-2341 -3511 +1167 547 +845 -4984 +-626 -2567 +-2864 626 +-4578 -3527 +1164 585 +68 3581 +-2140 4823 +-1954 -13 +-751 -6898 +2772 -678 +-565 -4317 +-125 -6456 +42 4592 +-3101 2196 +-2341 -2341 +-403 944 +4092 634 +2069 -410 +322 5511 +4114 3791 +-167 -1122 +-2924 -3191 +-2483 1170 +1975 1320 +5184 -3311 +1402 3843 +3370 3156 +2478 -3646 +221 -1141 +-630 -2458 +-3511 0 +-630 2458 +221 1141 +2478 3646 +3370 -3156 +1402 -3843 +5184 3311 +1975 -1320 +-2483 -1170 +-2924 3191 +-167 1122 +4114 -3791 +322 -5511 +2069 410 +4092 -634 +-403 -944 +-2341 2341 +-3397 -4887 +40 -424 +-1748 3347 +-3632 -6197 +167 1987 +-1817 7280 +-264 -932 +2483 1170 +4019 2192 +1481 1478 +-4906 3454 +-60 840 +3044 1483 +328 2722 +-4997 -470 +-10533 0 +-4997 470 +328 -2722 +3044 -1483 +-60 -840 +-4906 -3454 +1481 -1478 +4019 -2192 +2483 -1170 +-264 932 +-1817 -7280 +167 -1987 +-3632 6197 +-1748 -3347 +40 424 +-3397 4887 +-2341 -2341 +-403 944 +4092 634 +2069 -410 +322 5511 +4114 3791 +-167 -1122 +-2924 -3191 +-2483 1170 +1975 1320 +5184 -3311 +1402 3843 +3370 3156 +2478 -3646 +221 -1141 +-630 -2458 +3511 0 +-2876 -6639 +-2459 1664 +2952 4967 +-3849 3100 +-473 4825 +5711 3597 +-27 -2290 +-828 -2341 +109 2352 +2805 154 +1295 1552 +-4346 1477 +-683 865 +-3551 3466 +-2789 -3109 +4681 0 +-2789 3109 +-3551 -3466 +-683 -865 +-4346 -1477 +1295 -1552 +2805 -154 +109 -2352 +-828 2341 +-27 2290 +5711 -3597 +-473 -4825 +-3849 -3100 +2952 -4967 +-2459 -1664 +-2876 6639 +3511 0 +2621 -2426 +2967 320 +2812 -2925 +3163 4891 +1266 4219 +-3160 -3048 +-276 -1350 +828 -2341 +-1325 -2087 +-2045 -4286 +-3103 -6615 +350 -2848 +2555 3052 +-267 3199 +-2057 -4793 +-2341 0 +-2057 4793 +-267 -3199 +2555 -3052 +350 2848 +-3103 6615 +-2045 4286 +-1325 2087 +828 2341 +-276 1350 +-3160 3048 +1266 -4219 +3163 -4891 +2812 2925 +2967 -320 +2621 2426 +3511 0 +-2876 -6639 +-2459 1664 +2952 4967 +-3849 3100 +-473 4825 +5711 3597 +-27 -2290 +-828 -2341 +109 2352 +2805 154 +1295 1552 +-4346 1477 +-683 865 +-3551 3466 +-2789 -3109 +5851 0 +6214 -4045 +-1047 1948 +-8277 6141 +-1550 896 +4424 -1609 +2559 -335 +790 -3896 +-1513 -2341 +1624 -364 +719 -4971 +-3432 696 +738 -2162 +955 -6278 +768 2343 +4226 -1495 +4681 0 +4226 1495 +768 -2343 +955 6278 +738 2162 +-3432 -696 +719 4971 +1624 364 +-1513 2341 +790 3896 +2559 335 +4424 1609 +-1550 -896 +-8277 -6141 +-1047 -1948 +6214 4045 +5851 0 +-183 956 +-1504 1303 +2621 -3902 +-2446 -896 +-2626 -2037 +1259 -2174 +-3942 985 +-3168 -2341 +514 -3918 +-1227 -2219 +-3468 3649 +-1424 2162 +3182 526 +-1527 5589 +-2622 -222 +2341 0 +-2622 222 +-1527 -5589 +3182 -526 +-1424 -2162 +-3468 -3649 +-1227 2219 +514 3918 +-3168 2341 +-3942 -985 +1259 2174 +-2626 2037 +-2446 896 +2621 3902 +-1504 -1303 +-183 -956 +5851 0 +6214 -4045 +-1047 1948 +-8277 6141 +-1550 896 +4424 -1609 +2559 -335 +790 -3896 +-1513 -2341 +1624 -364 +719 -4971 +-3432 696 +738 -2162 +955 -6278 +768 2343 +4226 -1495 +-5851 4681 +-799 -3246 +2099 -3454 +-1025 235 +-2000 -3349 +-6409 1499 +986 2185 +3621 -1224 +-3653 485 +-4996 -892 +-1880 -1912 +-10 -2503 +-5299 -2260 +-5197 -1231 +933 -1392 +4730 1044 +7022 0 +4730 -1044 +933 1392 +-5197 1231 +-5299 2260 +-10 2503 +-1880 1912 +-4996 892 +-3653 -485 +3621 1224 +986 -2185 +-6409 -1499 +-2000 3349 +-1025 -235 +2099 3454 +-799 3246 +-5851 -4681 +6254 627 +3551 903 +-951 -639 +6279 4034 +473 -2550 +-1955 -6002 +1222 -3234 +1312 -2825 +657 758 +910 1404 +1858 -339 +-3661 -1735 +-1980 -965 +4718 2151 +2551 662 +0 0 +2551 -662 +4718 -2151 +-1980 965 +-3661 1735 +1858 339 +910 -1404 +657 -758 +1312 2825 +1222 3234 +-1955 6002 +473 2550 +6279 -4034 +-951 639 +3551 -903 +6254 -627 +-5851 4681 +-799 -3246 +2099 -3454 +-1025 235 +-2000 -3349 +-6409 1499 +986 2185 +3621 -1224 +-3653 485 +-4996 -892 +-1880 -1912 +-10 -2503 +-5299 -2260 +-5197 -1231 +933 -1392 +4730 1044 +1170 2341 +2410 623 +2873 2067 +-634 3963 +-1977 -2220 +-271 -1915 +-2533 3404 +3249 4038 +3653 201 +-2845 -1828 +-3288 1185 +-2556 -1276 +-1715 -6174 +-4782 -6327 +-2347 -3937 +546 288 +-2341 0 +546 -288 +-2347 3937 +-4782 6327 +-1715 6174 +-2556 1276 +-3288 -1185 +-2845 1828 +3653 -201 +3249 -4038 +-2533 -3404 +-271 1915 +-1977 2220 +-634 -3963 +2873 -2067 +2410 -623 +1170 -2341 +-2078 2104 +1840 3405 +3475 659 +1977 -2745 +2137 -2926 +-389 -989 +2203 -4 +-1312 6821 +-4399 1685 +2900 -5390 +5015 5203 +1715 1209 +-2384 -2886 +944 2789 +914 -5616 +-4681 0 +914 5616 +944 -2789 +-2384 2886 +1715 -1209 +5015 -5203 +2900 5390 +-4399 -1685 +-1312 -6821 +2203 4 +-389 989 +2137 2926 +1977 2745 +3475 -659 +1840 -3405 +-2078 -2104 +1170 2341 +2410 623 +2873 2067 +-634 3963 +-1977 -2220 +-271 -1915 +-2533 3404 +3249 4038 +3653 201 +-2845 -1828 +-3288 1185 +-2556 -1276 +-1715 -6174 +-4782 -6327 +-2347 -3937 +546 288 +-3511 -1170 +2206 971 +2437 791 +-4346 -2059 +1433 -3849 +-1866 3094 +156 4760 +5581 384 +-1170 4338 +-5930 3293 +-6358 -4397 +-379 -972 +4862 4346 +3144 1428 +3523 -1636 +4258 -1735 +3511 0 +4258 1735 +3523 1636 +3144 -1428 +4862 -4346 +-379 972 +-6358 4397 +-5930 -3293 +-1170 -4338 +5581 -384 +156 -4760 +-1866 -3094 +1433 3849 +-4346 2059 +2437 -791 +2206 -971 +-3511 1170 +6597 3329 +2140 -3639 +-2324 -5773 +908 3163 +-1850 2796 +-408 131 +-2097 4878 +-1170 2683 +-361 -985 +-10 1297 +3319 662 +-2521 -350 +-2318 521 +-1480 159 +-3635 339 +-1170 0 +-3635 -339 +-1480 -159 +-2318 -521 +-2521 350 +3319 -662 +-10 -1297 +-361 985 +-1170 -2683 +-2097 -4878 +-408 -131 +-1850 -2796 +908 -3163 +-2324 5773 +2140 3639 +6597 -3329 +-3511 -1170 +2206 971 +2437 791 +-4346 -2059 +1433 -3849 +-1866 3094 +156 4760 +5581 384 +-1170 4338 +-5930 3293 +-6358 -4397 +-379 -972 +4862 4346 +3144 1428 +3523 -1636 +4258 -1735 +1170 1170 +2047 -2147 +1125 -4902 +-1745 584 +-1238 -1081 +2333 983 +-1720 -2482 +-1733 -3218 +3026 3168 +-1110 493 +-1432 4290 +467 2905 +-4160 -448 +-3220 3531 +-815 279 +-2717 1306 +-3511 0 +-2717 -1306 +-815 -279 +-3220 -3531 +-4160 448 +467 -2905 +-1432 -4290 +-1110 -493 +3026 -3168 +-1733 3218 +-1720 2482 +2333 -983 +-1238 1081 +-1745 -584 +1125 4902 +2047 2147 +1170 -1170 +-345 1986 +1619 -1466 +685 3305 +553 1081 +5371 -7685 +1678 -2095 +299 1272 +6336 1513 +753 3676 +-6517 -2247 +-3847 -7073 +165 448 +-45 2890 +-3300 -27 +2806 4649 +10533 0 +2806 -4649 +-3300 27 +-45 -2890 +165 -448 +-3847 7073 +-6517 2247 +753 -3676 +6336 -1513 +299 -1272 +1678 2095 +5371 7685 +553 -1081 +685 -3305 +1619 1466 +-345 -1986 +1170 1170 +2047 -2147 +1125 -4902 +-1745 584 +-1238 -1081 +2333 983 +-1720 -2482 +-1733 -3218 +3026 3168 +-1110 493 +-1432 4290 +467 2905 +-4160 -448 +-3220 3531 +-815 279 +-2717 1306 +-1170 2341 +-1750 -372 +750 -2929 +-2456 -729 +-2103 68 +-4442 7181 +-9832 3560 +-2646 -4257 +1028 485 +67 -1595 +3954 -1096 +2449 777 +574 -2990 +975 -815 +-1278 343 +-1307 304 +0 0 +-1307 -304 +-1278 -343 +975 815 +574 2990 +2449 -777 +3954 1096 +67 1595 +1028 -485 +-2646 4257 +-9832 -3560 +-4442 -7181 +-2103 -68 +-2456 729 +750 2929 +-1750 372 +-1170 -2341 +607 -900 +356 469 +275 2025 +-1207 -1723 +2741 1584 +1511 6045 +-220 942 +5993 -2825 +1280 -2497 +-3625 -601 +-1763 -1437 +2736 1335 +8841 -696 +-1199 -4742 +-2651 4267 +7022 0 +-2651 -4267 +-1199 4742 +8841 696 +2736 -1335 +-1763 1437 +-3625 601 +1280 2497 +5993 2825 +-220 -942 +1511 -6045 +2741 -1584 +-1207 1723 +275 -2025 +356 -469 +607 900 +-1170 2341 +-1750 -372 +750 -2929 +-2456 -729 +-2103 68 +-4442 7181 +-9832 3560 +-2646 -4257 +1028 485 +67 -1595 +3954 -1096 +2449 777 +574 -2990 +975 -815 +-1278 343 +-1307 304 +0 0 +5421 -2214 +1759 -162 +-575 887 +961 759 +3370 1776 +6799 -1906 +638 -3863 +1312 -2341 +3153 -1183 +873 1449 +-3317 3527 +-8962 3817 +2356 1316 +4462 -1138 +-1767 214 +1170 0 +-1767 -214 +4462 1138 +2356 -1316 +-8962 -3817 +-3317 -3527 +873 -1449 +3153 1183 +1312 2341 +638 3863 +6799 1906 +3370 -1776 +961 -759 +-575 -887 +1759 162 +5421 2214 +0 0 +3585 1180 +1089 -808 +-6649 -3761 +-677 2551 +491 -427 +-1908 -3745 +-3368 3539 +-3653 -2341 +1095 -4985 +2227 4201 +471 628 +-684 -507 +-2767 5237 +-5939 2108 +-2138 -471 +3511 0 +-2138 471 +-5939 -2108 +-2767 -5237 +-684 507 +471 -628 +2227 -4201 +1095 4985 +-3653 2341 +-3368 -3539 +-1908 3745 +491 427 +-677 -2551 +-6649 3761 +1089 808 +3585 -1180 +0 0 +5421 -2214 +1759 -162 +-575 887 +961 759 +3370 1776 +6799 -1906 +638 -3863 +1312 -2341 +3153 -1183 +873 1449 +-3317 3527 +-8962 3817 +2356 1316 +4462 -1138 +-1767 214 +-3511 2341 +-3338 -3867 +-3239 -7243 +-1049 512 +266 3817 +1447 399 +-2239 199 +-3852 -1667 +4823 -1655 +-2888 3057 +-4186 408 +3420 -2064 +-4304 2551 +1998 -1042 +2280 -6982 +-3053 -3230 +2341 0 +-3053 3230 +2280 6982 +1998 1042 +-4304 -2551 +3420 2064 +-4186 -408 +-2888 -3057 +4823 1655 +-3852 1667 +-2239 -199 +1447 -399 +266 -3817 +-1049 -512 +-3239 7243 +-3338 3867 +-3511 -2341 +1030 -2787 +1658 -3450 +-1506 -2128 +420 -507 +2375 -3423 +406 -2985 +-4406 1011 +-142 1655 +2735 2403 +-1972 3427 +3702 1574 +8300 759 +2853 1960 +-2069 2909 +532 2692 +4681 0 +532 -2692 +-2069 -2909 +2853 -1960 +8300 -759 +3702 -1574 +-1972 -3427 +2735 -2403 +-142 -1655 +-4406 -1011 +406 2985 +2375 3423 +420 507 +-1506 2128 +1658 3450 +1030 2787 +-3511 2341 +-3338 -3867 +-3239 -7243 +-1049 512 +266 3817 +1447 399 +-2239 199 +-3852 -1667 +4823 -1655 +-2888 3057 +-4186 408 +3420 -2064 +-4304 2551 +1998 -1042 +2280 -6982 +-3053 -3230 +3511 0 +1833 -2988 +232 -1008 +2413 -200 +1851 -2990 +-37 203 +-1728 -6525 +-943 -2514 +4338 5450 +2720 -2774 +-4886 578 +-2378 -1208 +4003 -1723 +3284 8262 +1948 2903 +1217 8 +0 0 +1217 -8 +1948 -2903 +3284 -8262 +4003 1723 +-2378 1208 +-4886 -578 +2720 2774 +4338 -5450 +-943 2514 +-1728 6525 +-37 -203 +1851 2990 +2413 200 +232 1008 +1833 2988 +3511 0 +-1074 152 +-2709 -857 +-1905 2670 +-5161 1335 +-3780 -5240 +2057 -1288 +3494 161 +2683 -7790 +-169 -5422 +-3434 2911 +-1440 -1023 +-693 68 +-2777 3634 +-842 -2829 +-458 -2067 +-2341 0 +-458 2067 +-842 2829 +-2777 -3634 +-693 -68 +-1440 1023 +-3434 -2911 +-169 5422 +2683 7790 +3494 -161 +2057 1288 +-3780 5240 +-5161 -1335 +-1905 -2670 +-2709 857 +-1074 -152 +3511 0 +1833 -2988 +232 -1008 +2413 -200 +1851 -2990 +-37 203 +-1728 -6525 +-943 -2514 +4338 5450 +2720 -2774 +-4886 578 +-2378 -1208 +4003 -1723 +3284 8262 +1948 2903 +1217 8 +-1170 -3511 +4417 1648 +5777 6715 +3983 -4962 +5278 -3781 +-1377 2631 +-3575 349 +992 1953 +0 -828 +-221 -1890 +-2923 375 +-7646 1111 +-4907 1356 +-1503 -3413 +-380 -1154 +-291 5573 +-1170 0 +-291 -5573 +-380 1154 +-1503 3413 +-4907 -1356 +-7646 -1111 +-2923 -375 +-221 1890 +0 828 +992 -1953 +-3575 -349 +-1377 -2631 +5278 3781 +3983 4962 +5777 -6715 +4417 -1648 +-1170 3511 +1809 -914 +-304 -735 +-4128 2467 +-313 1440 +-939 -4228 +1161 -486 +4754 558 +0 828 +-1439 4045 +-1282 -3822 +-2774 182 +-58 985 +1144 -5554 +1528 3824 +3218 4167 +3511 0 +3218 -4167 +1528 -3824 +1144 5554 +-58 -985 +-2774 -182 +-1282 3822 +-1439 -4045 +0 -828 +4754 -558 +1161 486 +-939 4228 +-313 -1440 +-4128 -2467 +-304 735 +1809 914 +-1170 -3511 +4417 1648 +5777 6715 +3983 -4962 +5278 -3781 +-1377 2631 +-3575 349 +992 1953 +0 -828 +-221 -1890 +-2923 375 +-7646 1111 +-4907 1356 +-1503 -3413 +-380 -1154 +-291 5573 +3511 -2341 +6172 2264 +-1478 -4763 +-8765 -4134 +-3632 -194 +1151 -8629 +-320 -3961 +2142 398 +-343 -4480 +-268 423 +599 1048 +-2069 -748 +-60 702 +-3788 -3042 +146 -210 +5643 5590 +2341 0 +5643 -5590 +146 210 +-3788 3042 +-60 -702 +-2069 748 +599 -1048 +-268 -423 +-343 4480 +2142 -398 +-320 3961 +1151 8629 +-3632 194 +-8765 4134 +-1478 4763 +6172 -2264 +3511 2341 +-2612 1442 +-177 -3768 +1454 -3615 +322 -1461 +453 1133 +1975 280 +3519 3247 +-1998 2140 +-564 -3992 +1056 1891 +-1831 4049 +3370 -2357 +154 -3272 +-1801 -1700 +-791 264 +-4681 0 +-791 -264 +-1801 1700 +154 3272 +3370 2357 +-1831 -4049 +1056 -1891 +-564 3992 +-1998 -2140 +3519 -3247 +1975 -280 +453 -1133 +322 1461 +1454 3615 +-177 3768 +-2612 -1442 +3511 -2341 +6172 2264 +-1478 -4763 +-8765 -4134 +-3632 -194 +1151 -8629 +-320 -3961 +2142 398 +-343 -4480 +-268 423 +599 1048 +-2069 -748 +-60 702 +-3788 -3042 +146 -210 +5643 5590 +-4681 -5851 +157 -2605 +3582 4418 +-1168 -756 +-96 -4249 +-1540 2724 +4029 -1428 +3185 -5566 +-3996 1312 +281 -781 +1616 -1663 +2917 4994 +4228 1065 +-1093 -1334 +-3004 2673 +-4544 361 +-7022 0 +-4544 -361 +-3004 -2673 +-1093 1334 +4228 -1065 +2917 -4994 +1616 1663 +281 781 +-3996 -1312 +3185 5566 +4029 1428 +-1540 -2724 +-96 4249 +-1168 756 +3582 -4418 +157 2605 +-4681 5851 +3679 4255 +-363 4113 +-1834 649 +2437 -2087 +3844 1329 +6083 5109 +-2216 1863 +-686 -3653 +3075 -2922 +-3737 -1276 +-3429 -941 +-1888 1961 +2304 1227 +1156 -763 +-3618 1289 +-2341 0 +-3618 -1289 +1156 763 +2304 -1227 +-1888 -1961 +-3429 941 +-3737 1276 +3075 2922 +-686 3653 +-2216 -1863 +6083 -5109 +3844 -1329 +2437 2087 +-1834 -649 +-363 -4113 +3679 -4255 +-4681 -5851 +157 -2605 +3582 4418 +-1168 -756 +-96 -4249 +-1540 2724 +4029 -1428 +3185 -5566 +-3996 1312 +281 -781 +1616 -1663 +2917 4994 +4228 1065 +-1093 -1334 +-3004 2673 +-4544 361 +-4681 1170 +-3486 2414 +3567 389 +-2402 2241 +-1998 4802 +4473 1836 +-1477 944 +1408 187 +-1170 -2483 +-2514 -79 +7413 -1840 +4653 -6313 +-343 -1230 +-3498 443 +-877 -3288 +6198 -1054 +7022 0 +6198 1054 +-877 3288 +-3498 -443 +-343 1230 +4653 6313 +7413 1840 +-2514 79 +-1170 2483 +1408 -187 +-1477 -944 +4473 -1836 +-1998 -4802 +-2402 -2241 +3567 -389 +-3486 -2414 +-4681 -1170 +-2731 2078 +1461 2533 +1199 270 +-1998 848 +2211 2084 +-2670 -2347 +-3370 -1694 +-1170 2483 +-5727 -3220 +1415 -2873 +3933 5908 +-343 2199 +2672 -2256 +530 2900 +-3018 3754 +-2341 0 +-3018 -3754 +530 -2900 +2672 2256 +-343 -2199 +3933 -5908 +1415 2873 +-5727 3220 +-1170 -2483 +-3370 1694 +-2670 2347 +2211 -2084 +-1998 -848 +1199 -270 +1461 -2533 +-2731 -2078 +-4681 1170 +-3486 2414 +3567 389 +-2402 2241 +-1998 4802 +4473 1836 +-1477 944 +1408 187 +-1170 -2483 +-2514 -79 +7413 -1840 +4653 -6313 +-343 -1230 +-3498 443 +-877 -3288 +6198 -1054 +2341 -3511 +-1029 -1348 +2548 4564 +-918 -464 +262 31 +6765 1404 +-839 1095 +-2072 2418 +-1655 -1998 +-3878 -5636 +942 -2780 +2906 -2552 +3691 -6896 +-1913 -2775 +-6649 3581 +-1117 247 +2341 0 +-1117 -247 +-6649 -3581 +-1913 2775 +3691 6896 +2906 2552 +942 2780 +-3878 5636 +-1655 1998 +-2072 -2418 +-839 -1095 +6765 -1404 +262 -31 +-918 464 +2548 -4564 +-1029 1348 +2341 3511 +3169 -2107 +511 5370 +-1005 3953 +-262 -2656 +1156 2184 +-427 2198 +2038 2182 +1655 -343 +330 -2856 +325 2762 +-2177 -123 +-3691 -409 +-4815 3878 +3591 3042 +2559 5808 +-7022 0 +2559 -5808 +3591 -3042 +-4815 -3878 +-3691 409 +-2177 123 +325 -2762 +330 2856 +1655 343 +2038 -2182 +-427 -2198 +1156 -2184 +-262 2656 +-1005 -3953 +511 -5370 +3169 2107 +2341 -3511 +-1029 -1348 +2548 4564 +-918 -464 +262 31 +6765 1404 +-839 1095 +-2072 2418 +-1655 -1998 +-3878 -5636 +942 -2780 +2906 -2552 +3691 -6896 +-1913 -2775 +-6649 3581 +-1117 247 +2341 4681 +-2252 2300 +-1234 244 +-1024 -4773 +-1081 -2260 +466 -3546 +5732 3396 +7011 8726 +-828 -5166 +189 -4322 +1707 42 +-2243 -846 +448 4034 +-715 -2390 +-3045 -2653 +-1262 7141 +-1170 0 +-1262 -7141 +-3045 2653 +-715 2390 +448 -4034 +-2243 846 +1707 -42 +189 4322 +-828 5166 +7011 -8726 +5732 -3396 +466 3546 +-1081 2260 +-1024 4773 +-1234 -244 +-2252 -2300 +2341 -4681 +38 -4604 +-5135 -751 +1295 -4473 +1081 -1735 +-3222 -235 +-1155 -845 +289 1528 +828 -1856 +-1373 -1050 +337 -802 +2466 -3083 +-448 -3349 +2977 -3126 +2793 -1164 +-2640 -2469 +-3511 0 +-2640 2469 +2793 1164 +2977 3126 +-448 3349 +2466 3083 +337 802 +-1373 1050 +828 1856 +289 -1528 +-1155 845 +-3222 235 +1081 1735 +1295 4473 +-5135 751 +38 4604 +2341 4681 +-2252 2300 +-1234 244 +-1024 -4773 +-1081 -2260 +466 -3546 +5732 3396 +7011 8726 +-828 -5166 +189 -4322 +1707 42 +-2243 -846 +448 4034 +-715 -2390 +-3045 -2653 +-1262 7141 +1170 2341 +-299 -4424 +473 790 +-751 983 +4265 -2231 +5139 2817 +-456 6725 +1976 323 +-1998 485 +-6662 7355 +488 -933 +2337 -3529 +-1470 2094 +-3554 1313 +-3504 -307 +1182 -4784 +4681 0 +1182 4784 +-3504 307 +-3554 -1313 +-1470 -2094 +2337 3529 +488 933 +-6662 -7355 +-1998 -485 +1976 -323 +-456 -6725 +5139 -2817 +4265 2231 +-751 -983 +473 -790 +-299 4424 +1170 -2341 +1481 -3640 +930 358 +1549 -1421 +-955 3886 +2091 1364 +844 -2520 +-3779 -425 +-343 -2825 +53 -243 +2434 -1481 +1379 -3088 +-1841 -439 +5051 -3186 +-1209 -5166 +-7192 -5428 +-2341 0 +-7192 5428 +-1209 5166 +5051 3186 +-1841 439 +1379 3088 +2434 1481 +53 243 +-343 2825 +-3779 425 +844 2520 +2091 -1364 +-955 -3886 +1549 1421 +930 -358 +1481 3640 +1170 2341 +-299 -4424 +473 790 +-751 983 +4265 -2231 +5139 2817 +-456 6725 +1976 323 +-1998 485 +-6662 7355 +488 -933 +2337 -3529 +-1470 2094 +-3554 1313 +-3504 -307 +1182 -4784 +3511 -1170 +3905 990 +4060 373 +2657 -854 +-2425 5271 +-3564 1158 +1918 -2031 +-2047 -870 +-6821 -343 +-536 3719 +-718 3589 +-4339 5444 +-2796 -939 +204 -1484 +6074 7664 +723 -1819 +-8192 0 +723 1819 +6074 -7664 +204 1484 +-2796 939 +-4339 -5444 +-718 -3589 +-536 -3719 +-6821 343 +-2047 870 +1918 2031 +-3564 -1158 +-2425 -5271 +2657 854 +4060 -373 +3905 -990 +3511 1170 +-88 1122 +-6181 3878 +-3416 3809 +2425 4375 +1013 -867 +1301 -3249 +1540 1511 +-201 -1998 +29 232 +-1130 2433 +1788 -1843 +2796 1223 +-963 1129 +4037 -1474 +3095 620 +-3511 0 +3095 -620 +4037 1474 +-963 -1129 +2796 -1223 +1788 1843 +-1130 -2433 +29 -232 +-201 1998 +1540 -1511 +1301 3249 +1013 867 +2425 -4375 +-3416 -3809 +-6181 -3878 +-88 -1122 +3511 -1170 +3905 990 +4060 373 +2657 -854 +-2425 5271 +-3564 1158 +1918 -2031 +-2047 -870 +-6821 -343 +-536 3719 +-718 3589 +-4339 5444 +-2796 -939 +204 -1484 +6074 7664 +723 -1819 +3511 -5851 +-1279 -4100 +5168 -4527 +6190 -456 +-3555 3438 +-4268 2168 +-900 -1041 +-1439 660 +-2825 1998 +-1299 -244 +-1191 3770 +230 1686 +6242 642 +4171 3081 +-2073 -3817 +-2229 -3755 +-1170 0 +-2229 3755 +-2073 3817 +4171 -3081 +6242 -642 +230 -1686 +-1191 -3770 +-1299 244 +-2825 -1998 +-1439 -660 +-900 1041 +-4268 -2168 +-3555 -3438 +6190 456 +5168 4527 +-1279 4100 +3511 5851 +-1014 1660 +-3513 1097 +4180 5147 +245 -1783 +-395 2271 +-755 4995 +-5488 -3038 +485 343 +865 2547 +-464 183 +612 -1929 +-2932 1013 +2521 6291 +3728 387 +-1355 -3366 +-1170 0 +-1355 3366 +3728 -387 +2521 -6291 +-2932 -1013 +612 1929 +-464 -183 +865 -2547 +485 -343 +-5488 3038 +-755 -4995 +-395 -2271 +245 1783 +4180 -5147 +-3513 -1097 +-1014 -1660 +3511 -5851 +-1279 -4100 +5168 -4527 +6190 -456 +-3555 3438 +-4268 2168 +-900 -1041 +-1439 660 +-2825 1998 +-1299 -244 +-1191 3770 +230 1686 +6242 642 +4171 3081 +-2073 -3817 +-2229 -3755 +1170 -3511 +2141 -1304 +3536 1659 +7041 -3290 +165 -1767 +-808 -49 +2091 -2126 +-72 2280 +-1655 3168 +78 -1559 +1841 -3832 +-126 -1626 +-1238 3548 +-203 -541 +3212 -3807 +1266 3300 +-3511 0 +1266 -3300 +3212 3807 +-203 541 +-1238 -3548 +-126 1626 +1841 3832 +78 1559 +-1655 -3168 +-72 -2280 +2091 2126 +-808 49 +165 1767 +7041 3290 +3536 -1659 +2141 1304 +1170 3511 +-1387 -4418 +2622 -7775 +-4772 -3125 +-4160 396 +2698 -660 +-510 -407 +4671 1219 +1655 1513 +-2886 4554 +-2051 1298 +-6089 -3170 +553 4443 +2258 3279 +-1379 -2309 +-3811 3714 +-8192 0 +-3811 -3714 +-1379 2309 +2258 -3279 +553 -4443 +-6089 3170 +-2051 -1298 +-2886 -4554 +1655 -1513 +4671 -1219 +-510 407 +2698 660 +-4160 -396 +-4772 3125 +2622 7775 +-1387 4418 +1170 -3511 +2141 -1304 +3536 1659 +7041 -3290 +165 -1767 +-808 -49 +2091 -2126 +-72 2280 +-1655 3168 +78 -1559 +1841 -3832 +-126 -1626 +-1238 3548 +-203 -541 +3212 -3807 +1266 3300 +4681 0 +35 1240 +-4911 -1737 +-2574 -5191 +1760 275 +1285 -510 +100 679 +2701 1083 +5308 0 +4876 7043 +3425 971 +1271 -2353 +-2572 992 +-5073 -1672 +-3022 1630 +1378 1186 +3511 0 +1378 -1186 +-3022 -1630 +-5073 1672 +-2572 -992 +1271 2353 +3425 -971 +4876 -7043 +5308 0 +2701 -1083 +100 -679 +1285 510 +1760 -275 +-2574 5191 +-4911 1737 +35 -1240 +4681 0 +2649 2900 +-562 2423 +-193 -3378 +864 2066 +1418 -1894 +2314 -4674 +677 6887 +-2967 0 +-2411 -2027 +780 3024 +-1168 -6251 +-4734 -3333 +-1586 2885 +1874 -2315 +-3285 -2743 +-8192 0 +-3285 2743 +1874 2315 +-1586 -2885 +-4734 3333 +-1168 6251 +780 -3024 +-2411 2027 +-2967 0 +677 -6887 +2314 4674 +1418 1894 +864 -2066 +-193 3378 +-562 -2423 +2649 -2900 +4681 0 +35 1240 +-4911 -1737 +-2574 -5191 +1760 275 +1285 -510 +100 679 +2701 1083 +5308 0 +4876 7043 +3425 971 +1271 -2353 +-2572 992 +-5073 -1672 +-3022 1630 +1378 1186 +3511 0 +-108 2872 +-4981 -7054 +-233 -1060 +-2103 2780 +-5946 2405 +-90 5371 +2890 -1909 +2967 -1170 +3642 2011 +2563 -1067 +4027 -210 +574 -110 +-2464 1361 +5805 1223 +3586 -2410 +-4681 0 +3586 2410 +5805 -1223 +-2464 -1361 +574 110 +4027 210 +2563 1067 +3642 -2011 +2967 1170 +2890 1909 +-90 -5371 +-5946 -2405 +-2103 -2780 +-233 1060 +-4981 7054 +-108 -2872 +3511 0 +-1103 4176 +-998 -1056 +-736 -121 +-1207 246 +2109 5255 +-46 3264 +-5194 -1077 +-5308 -1170 +-3131 -6432 +884 340 +4134 655 +2736 -6226 +-892 -394 +-3135 29 +-584 -1339 +2341 0 +-584 1339 +-3135 -29 +-892 394 +2736 6226 +4134 -655 +884 -340 +-3131 6432 +-5308 1170 +-5194 1077 +-46 -3264 +2109 -5255 +-1207 -246 +-736 121 +-998 1056 +-1103 -4176 +3511 0 +-108 2872 +-4981 -7054 +-233 -1060 +-2103 2780 +-5946 2405 +-90 5371 +2890 -1909 +2967 -1170 +3642 2011 +2563 -1067 +4027 -210 +574 -110 +-2464 1361 +5805 1223 +3586 -2410 +1170 -5851 +-1009 -2964 +-1206 2629 +1280 493 +1254 4101 +3938 2220 +3786 -2238 +-2465 -604 +-3511 -343 +2768 1653 +2461 -115 +404 -799 +1625 231 +-1215 284 +-468 -460 +-1558 -6311 +-5851 0 +-1558 6311 +-468 460 +-1215 -284 +1625 -231 +404 799 +2461 115 +2768 -1653 +-3511 343 +-2465 604 +3786 2238 +3938 -2220 +1254 -4101 +1280 -493 +-1206 -2629 +-1009 2964 +1170 5851 +-4634 1070 +-1555 -79 +2182 218 +-3595 3205 +5104 3727 +6189 6056 +-6082 4441 +-3511 -1998 +-841 393 +-1135 622 +-2825 2422 +-3966 2393 +4373 -3898 +1289 -299 +581 2626 +8192 0 +581 -2626 +1289 299 +4373 3898 +-3966 -2393 +-2825 -2422 +-1135 -622 +-841 -393 +-3511 1998 +-6082 -4441 +6189 -6056 +5104 -3727 +-3595 -3205 +2182 -218 +-1555 79 +-4634 -1070 +1170 -5851 +-1009 -2964 +-1206 2629 +1280 493 +1254 4101 +3938 2220 +3786 -2238 +-2465 -604 +-3511 -343 +2768 1653 +2461 -115 +404 -799 +1625 231 +-1215 284 +-468 -460 +-1558 -6311 +0 2341 +3781 5027 +4882 1923 +-1115 6016 +5698 702 +5522 -164 +-4444 -13 +-2433 -5002 +-828 -1170 +-1198 -1559 +228 3336 +1884 7086 +3392 -1461 +-4849 926 +-8749 3272 +372 -2502 +5851 0 +372 2502 +-8749 -3272 +-4849 -926 +3392 1461 +1884 -7086 +228 -3336 +-1198 1559 +-828 1170 +-2433 5002 +-4444 13 +5522 164 +5698 -702 +-1115 -6016 +4882 -1923 +3781 -5027 +0 -2341 +2926 3179 +549 -1027 +-1669 -61 +-47 -2357 +1154 -933 +-2085 2175 +-3360 -2657 +828 -1170 +-917 520 +-1690 -1173 +-1701 -1562 +-4362 -194 +774 -1591 +1948 -2377 +829 4088 +3511 0 +829 -4088 +1948 2377 +774 1591 +-4362 194 +-1701 1562 +-1690 1173 +-917 -520 +828 1170 +-3360 2657 +-2085 -2175 +1154 933 +-47 2357 +-1669 61 +549 1027 +2926 -3179 +0 2341 +3781 5027 +4882 1923 +-1115 6016 +5698 702 +5522 -164 +-4444 -13 +-2433 -5002 +-828 -1170 +-1198 -1559 +228 3336 +1884 7086 +3392 -1461 +-4849 926 +-8749 3272 +372 -2502 +0 0 +-898 -3741 +-766 -1606 +38 -2362 +-722 -4645 +-2759 3307 +-1880 -3059 +819 -4180 +828 -1170 +1367 -7689 +5097 5407 +4915 6233 +-89 -3378 +-716 1890 +1071 -3498 +-3650 -6621 +-8192 0 +-3650 6621 +1071 3498 +-716 -1890 +-89 3378 +4915 -6233 +5097 -5407 +1367 7689 +828 1170 +819 4180 +-1880 3059 +-2759 -3307 +-722 4645 +38 2362 +-766 1606 +-898 3741 +0 0 +1139 2721 +185 4125 +-2691 2599 +-1618 -320 +3305 -3297 +2818 -726 +-2253 2119 +-828 -1170 +4391 -3022 +1957 170 +-3670 -2641 +-2251 -1587 +1578 1929 +882 -3346 +-915 -3048 +-1170 0 +-915 3048 +882 3346 +1578 -1929 +-2251 1587 +-3670 2641 +1957 -170 +4391 3022 +-828 1170 +-2253 -2119 +2818 726 +3305 3297 +-1618 320 +-2691 -2599 +185 -4125 +1139 -2721 +0 0 +-898 -3741 +-766 -1606 +38 -2362 +-722 -4645 +-2759 3307 +-1880 -3059 +819 -4180 +828 -1170 +1367 -7689 +5097 5407 +4915 6233 +-89 -3378 +-716 1890 +1071 -3498 +-3650 -6621 +-2341 -3511 +-3266 1563 +2963 2971 +-821 -311 +-2386 775 +-4193 2036 +-6555 -4644 +-349 880 +-1170 5509 +-457 -2642 +6691 3665 +3938 2899 +-1746 -5614 +-2188 -1007 +455 972 +-1127 1822 +-4681 0 +-1127 -1822 +455 -972 +-2188 1007 +-1746 5614 +3938 -2899 +6691 -3665 +-457 2642 +-1170 -5509 +-349 -880 +-6555 4644 +-4193 -2036 +-2386 -775 +-821 311 +2963 -2971 +-3266 -1563 +-2341 3511 +2460 2929 +273 1321 +-281 2823 +-4920 2937 +-1349 1884 +6734 -4751 +887 -2387 +-1170 3854 +-82 -657 +-2188 -387 +1604 -3304 +4370 -4718 +3290 -806 +990 -2731 +1933 878 +4681 0 +1933 -878 +990 2731 +3290 806 +4370 4718 +1604 3304 +-2188 387 +-82 657 +-1170 -3854 +887 2387 +6734 4751 +-1349 -1884 +-4920 -2937 +-281 -2823 +273 -1321 +2460 -2929 +-2341 -3511 +-3266 1563 +2963 2971 +-821 -311 +-2386 775 +-4193 2036 +-6555 -4644 +-349 880 +-1170 5509 +-457 -2642 +6691 3665 +3938 2899 +-1746 -5614 +-2188 -1007 +455 972 +-1127 1822 +0 2341 +-3481 -1312 +-3471 649 +2800 2585 +3712 -3721 +536 -8673 +3068 -1377 +3743 1508 +-1998 686 +2999 2370 +1497 18 +-6539 646 +-1634 1678 +-2302 3541 +1062 4554 +2843 -1902 +-3511 0 +2843 1902 +1062 -4554 +-2302 -3541 +-1634 -1678 +-6539 -646 +1497 -18 +2999 -2370 +-1998 -686 +3743 -1508 +3068 1377 +536 8673 +3712 3721 +2800 -2585 +-3471 -649 +-3481 1312 +0 -2341 +-91 -2108 +1309 6153 +-517 2495 +283 -1930 +22 3160 +-3964 -85 +9 1034 +-343 3996 +142 592 +-601 6511 +-5978 6157 +2320 -2647 +5359 -2128 +1100 876 +456 1644 +-1170 0 +456 -1644 +1100 -876 +5359 2128 +2320 2647 +-5978 -6157 +-601 -6511 +142 -592 +-343 -3996 +9 -1034 +-3964 85 +22 -3160 +283 1930 +-517 -2495 +1309 -6153 +-91 2108 +0 2341 +-3481 -1312 +-3471 649 +2800 2585 +3712 -3721 +536 -8673 +3068 -1377 +3743 1508 +-1998 686 +2999 2370 +1497 18 +-6539 646 +-1634 1678 +-2302 3541 +1062 4554 +2843 -1902 +-1170 -1170 +3120 -799 +1498 -585 +5816 -3157 +1723 -418 +-2532 4765 +1538 -495 +1192 -2595 +1655 2483 +-6891 1309 +-3377 3196 +9255 4445 +1335 -859 +1937 5732 +1748 8159 +-1542 -3647 +3511 0 +-1542 3647 +1748 -8159 +1937 -5732 +1335 859 +9255 -4445 +-3377 -3196 +-6891 -1309 +1655 -2483 +1192 2595 +1538 495 +-2532 -4765 +1723 418 +5816 3157 +1498 585 +3120 799 +-1170 1170 +-5397 108 +-2394 -1322 +-362 1337 +-68 6069 +-346 2407 +625 -3203 +-1211 -2893 +-1655 -2483 +291 -324 +1214 3036 +243 2371 +-2990 1828 +-771 1454 +-853 -136 +-2801 67 +-1170 0 +-2801 -67 +-853 136 +-771 -1454 +-2990 -1828 +243 -2371 +1214 -3036 +291 324 +-1655 2483 +-1211 2893 +625 3203 +-346 -2407 +-68 -6069 +-362 -1337 +-2394 1322 +-5397 -108 +-1170 -1170 +3120 -799 +1498 -585 +5816 -3157 +1723 -418 +-2532 4765 +1538 -495 +1192 -2595 +1655 2483 +-6891 1309 +-3377 3196 +9255 4445 +1335 -859 +1937 5732 +1748 8159 +-1542 -3647 +2341 -5851 +-1002 247 +4853 1253 +870 -1087 +-5205 626 +-1173 -2692 +-4635 -525 +-1394 3356 +-2825 828 +-6723 -2352 +2133 -4367 +-1388 -4103 +-2906 -4317 +4820 1739 +1229 6194 +-1778 -1467 +0 0 +-1778 1467 +1229 -6194 +4820 -1739 +-2906 4317 +-1388 4103 +2133 4367 +-6723 2352 +-2825 -828 +-1394 -3356 +-4635 525 +-1173 2692 +-5205 -626 +870 1087 +4853 -1253 +-1002 -247 +2341 5851 +407 -1407 +-1228 -4371 +1142 2830 +2178 4055 +2738 -738 +100 -6561 +3119 -4186 +485 -828 +-2636 -1788 +3774 -780 +1341 -2638 +-3430 -364 +-1731 3314 +3138 1990 +3388 3616 +0 0 +3388 -3616 +3138 -1990 +-1731 -3314 +-3430 364 +1341 2638 +3774 780 +-2636 1788 +485 828 +3119 4186 +100 6561 +2738 738 +2178 -4055 +1142 -2830 +-1228 4371 +407 1407 +2341 -5851 +-1002 247 +4853 1253 +870 -1087 +-5205 626 +-1173 -2692 +-4635 -525 +-1394 3356 +-2825 828 +-6723 -2352 +2133 -4367 +-1388 -4103 +-2906 -4317 +4820 1739 +1229 6194 +-1778 -1467 +3511 0 +-909 -5436 +-750 373 +2141 -8 +-3632 -5593 +-1111 -1653 +3218 1959 +963 3160 +1312 3795 +-268 2167 +-2483 -2035 +-1628 542 +-60 4309 +2317 -95 +718 -2816 +-1007 -2526 +0 0 +-1007 2526 +718 2816 +2317 95 +-60 -4309 +-1628 -542 +-2483 2035 +-268 -2167 +1312 -3795 +963 -3160 +3218 -1959 +-1111 1653 +-3632 5593 +2141 8 +-750 -373 +-909 5436 +3511 0 +-3297 1395 +-2696 -1268 +3331 -7390 +322 -743 +2259 -4525 +2762 -4122 +-3377 -1724 +-3653 -6135 +-2147 1417 +-187 -127 +2776 -5285 +3370 -1283 +3155 3494 +-582 1920 +-3198 -8730 +-2341 0 +-3198 8730 +-582 -1920 +3155 -3494 +3370 1283 +2776 5285 +-187 127 +-2147 -1417 +-3653 6135 +-3377 1724 +2762 4122 +2259 4525 +322 743 +3331 7390 +-2696 1268 +-3297 -1395 +3511 0 +-909 -5436 +-750 373 +2141 -8 +-3632 -5593 +-1111 -1653 +3218 1959 +963 3160 +1312 3795 +-268 2167 +-2483 -2035 +-1628 542 +-60 4309 +2317 -95 +718 -2816 +-1007 -2526 +2341 0 +-1108 462 +390 1132 +499 -3266 +574 2768 +-4935 3560 +-2245 -3073 +-865 -2568 +-4823 -5166 +485 -3011 +2868 1581 +-1597 -5933 +-1207 -4794 +1497 2867 +-2577 1734 +-2881 1493 +1170 0 +-2881 -1493 +-2577 -1734 +1497 -2867 +-1207 4794 +-1597 5933 +2868 -1581 +485 3011 +-4823 5166 +-865 2568 +-2245 3073 +-4935 -3560 +574 -2768 +499 3266 +390 -1132 +-1108 -462 +2341 0 +7378 -5046 +-3448 -625 +-3789 1452 +2736 -2082 +2441 196 +3512 523 +-106 -2410 +142 -1856 +-2048 419 +-4135 -822 +-2025 -3403 +-2103 798 +7909 4829 +5635 2083 +-855 187 +3511 0 +-855 -187 +5635 -2083 +7909 -4829 +-2103 -798 +-2025 3403 +-4135 822 +-2048 -419 +142 1856 +-106 2410 +3512 -523 +2441 -196 +2736 2082 +-3789 -1452 +-3448 625 +7378 5046 +2341 0 +-1108 462 +390 1132 +499 -3266 +574 2768 +-4935 3560 +-2245 -3073 +-865 -2568 +-4823 -5166 +485 -3011 +2868 1581 +-1597 -5933 +-1207 -4794 +1497 2867 +-2577 1734 +-2881 1493 +3511 3511 +-2103 -4826 +-1446 -6005 +-2409 -98 +3184 1646 +6391 -49 +228 -2925 +4006 -3355 +1170 -343 +-1476 2499 +660 1950 +-2558 2472 +-1022 4967 +-2511 713 +2854 767 +4122 7681 +-3511 0 +4122 -7681 +2854 -767 +-2511 -713 +-1022 -4967 +-2558 -2472 +660 -1950 +-1476 -2499 +1170 343 +4006 3355 +228 2925 +6391 49 +3184 -1646 +-2409 98 +-1446 6005 +-2103 4826 +3511 -3511 +2702 -1936 +43 532 +810 -1682 +126 9 +2120 -2543 +-616 511 +102 7248 +1170 -1998 +-4423 -3791 +-3582 2255 +-1628 -4471 +-2288 -3312 +-215 1980 +1859 380 +-2930 2973 +-8192 0 +-2930 -2973 +1859 -380 +-215 -1980 +-2288 3312 +-1628 4471 +-3582 -2255 +-4423 3791 +1170 1998 +102 -7248 +-616 -511 +2120 2543 +126 -9 +810 1682 +43 -532 +2702 1936 +3511 3511 +-2103 -4826 +-1446 -6005 +-2409 -98 +3184 1646 +6391 -49 +228 -2925 +4006 -3355 +1170 -343 +-1476 2499 +660 1950 +-2558 2472 +-1022 4967 +-2511 713 +2854 767 +4122 7681 +-4681 2341 +1306 5072 +2523 4437 +-3512 3345 +-642 4062 +318 418 +1652 -2957 +60 -2726 +-2683 2341 +-3589 616 +-1886 -5805 +1973 2553 +-1783 5483 +-1396 -2801 +3096 -2499 +4402 -902 +5851 0 +4402 902 +3096 2499 +-1396 2801 +-1783 -5483 +1973 -2553 +-1886 5805 +-3589 -616 +-2683 -2341 +60 2726 +1652 2957 +318 -418 +-642 -4062 +-3512 -3345 +2523 -4437 +1306 -5072 +-4681 -2341 +3497 179 +577 3495 +-2674 -1340 +-1013 -4062 +-4086 -316 +1196 -4233 +-1820 -1332 +-4338 2341 +751 -1364 +409 3296 +5343 859 +3438 -5483 +-2586 1496 +1795 5750 +2013 2843 +-1170 0 +2013 -2843 +1795 -5750 +-2586 -1496 +3438 5483 +5343 -859 +409 -3296 +751 1364 +-4338 -2341 +-1820 1332 +1196 4233 +-4086 316 +-1013 4062 +-2674 1340 +577 -3495 +3497 -179 +-4681 2341 +1306 5072 +2523 4437 +-3512 3345 +-642 4062 +318 418 +1652 -2957 +60 -2726 +-2683 2341 +-3589 616 +-1886 -5805 +1973 2553 +-1783 5483 +-1396 -2801 +3096 -2499 +4402 -902 +0 -3511 +-3557 -6044 +-628 -2137 +-2693 2945 +-2974 -2267 +1462 2812 +996 4343 +584 628 +-1655 5993 +-2314 627 +292 -4168 +-958 -3092 +-812 -1812 +1499 2736 +2372 -2726 +1359 -7632 +0 0 +1359 7632 +2372 2726 +1499 -2736 +-812 1812 +-958 3092 +292 4168 +-2314 -627 +-1655 -5993 +584 -628 +996 -4343 +1462 -2812 +-2974 2267 +-2693 -2945 +-628 2137 +-3557 6044 +0 3511 +1902 1582 +2968 -1977 +4348 50 +-1707 2953 +193 3151 +1345 3606 +-2239 6856 +1655 1028 +659 -6895 +2049 4126 +2613 5003 +-3870 -2183 +156 2827 +-31 -18 +-3014 -3960 +0 0 +-3014 3960 +-31 18 +156 -2827 +-3870 2183 +2613 -5003 +2049 -4126 +659 6895 +1655 -1028 +-2239 -6856 +1345 -3606 +193 -3151 +-1707 -2953 +4348 -50 +2968 1977 +1902 -1582 +0 -3511 +-3557 -6044 +-628 -2137 +-2693 2945 +-2974 -2267 +1462 2812 +996 4343 +584 628 +-1655 5993 +-2314 627 +292 -4168 +-958 -3092 +-812 -1812 +1499 2736 +2372 -2726 +1359 -7632 +-4681 2341 +1091 1006 +1043 4060 +1918 5330 +1424 -2699 +1823 -1399 +6139 3296 +-2806 -1944 +-1028 -3310 +2517 629 +-2791 2237 +2926 3076 +1550 1804 +-1746 1531 +500 3109 +1576 1682 +3511 0 +1576 -1682 +500 -3109 +-1746 -1531 +1550 -1804 +2926 -3076 +-2791 -2237 +2517 -629 +-1028 3310 +-2806 1944 +6139 -3296 +1823 1399 +1424 2699 +1918 -5330 +1043 -4060 +1091 -1006 +-4681 -2341 +2157 2564 +4979 3711 +-45 -227 +-738 359 +-5254 -690 +-1888 893 +-1203 6213 +-5993 3310 +-4624 -685 +-3399 -1359 +3039 -3373 +2446 537 +-2660 5363 +4779 1352 +1292 -2436 +-8192 0 +1292 2436 +4779 -1352 +-2660 -5363 +2446 -537 +3039 3373 +-3399 1359 +-4624 685 +-5993 -3310 +-1203 -6213 +-1888 -893 +-5254 690 +-738 -359 +-45 227 +4979 -3711 +2157 -2564 +-4681 2341 +1091 1006 +1043 4060 +1918 5330 +1424 -2699 +1823 -1399 +6139 3296 +-2806 -1944 +-1028 -3310 +2517 629 +-2791 2237 +2926 3076 +1550 1804 +-1746 1531 +500 3109 +1576 1682 +1170 -2341 +429 -2676 +-153 9437 +255 5745 +2297 359 +1307 3453 +1118 -2331 +534 2381 +2483 5651 +1248 -1554 +133 2699 +2115 3303 +128 537 +1610 692 +-3093 -2194 +-5265 379 +0 0 +-5265 -379 +-3093 2194 +1610 -692 +128 -537 +2115 -3303 +133 -2699 +1248 1554 +2483 -5651 +534 -2381 +1118 2331 +1307 -3453 +2297 -359 +255 -5745 +-153 -9437 +429 2676 +1170 2341 +250 -70 +-8378 4282 +-3770 1087 +2668 -2699 +-544 -2495 +2563 -1220 +-567 -1853 +-2483 -969 +1319 1725 +2806 -198 +3239 544 +-5093 1804 +-4211 -333 +5003 3241 +2052 5881 +-2341 0 +2052 -5881 +5003 -3241 +-4211 333 +-5093 -1804 +3239 -544 +2806 198 +1319 -1725 +-2483 969 +-567 1853 +2563 1220 +-544 2495 +2668 2699 +-3770 -1087 +-8378 -4282 +250 70 +1170 -2341 +429 -2676 +-153 9437 +255 5745 +2297 359 +1307 3453 +1118 -2331 +534 2381 +2483 5651 +1248 -1554 +133 2699 +2115 3303 +128 537 +1610 692 +-3093 -2194 +-5265 379 +3511 3511 +3944 1684 +-696 -4729 +-2697 -394 +908 3027 +1736 128 +-3830 -156 +-2164 -3143 +485 -2282 +-2517 -811 +1737 -2542 +2361 1294 +-2521 5630 +249 -1301 +55 -4867 +123 3531 +3511 0 +123 -3531 +55 4867 +249 1301 +-2521 -5630 +2361 -1294 +1737 2542 +-2517 811 +485 2282 +-2164 3143 +-3830 156 +1736 -128 +908 -3027 +-2697 394 +-696 4729 +3944 -1684 +3511 -3511 +-950 -4035 +147 1163 +1988 4429 +1433 -402 +1002 5466 +-2835 1440 +-3558 30 +-2825 9303 +-4497 2023 +247 516 +4055 2509 +4862 1676 +4546 3544 +-4187 -2008 +-3621 -1557 +3511 0 +-3621 1557 +-4187 2008 +4546 -3544 +4862 -1676 +4055 -2509 +247 -516 +-4497 -2023 +-2825 -9303 +-3558 -30 +-2835 -1440 +1002 -5466 +1433 402 +1988 -4429 +147 -1163 +-950 4035 +3511 3511 +3944 1684 +-696 -4729 +-2697 -394 +908 3027 +1736 128 +-3830 -156 +-2164 -3143 +485 -2282 +-2517 -811 +1737 -2542 +2361 1294 +-2521 5630 +249 -1301 +55 -4867 +123 3531 +-1170 2341 +800 -2546 +-260 -3993 +965 -857 +4592 605 +-645 -742 +-4232 -3357 +-5234 908 +1312 -1170 +5540 -6259 +1234 -809 +3685 -3962 +3063 -5689 +-1572 2459 +-3685 -2198 +-2638 -8218 +0 0 +-2638 8218 +-3685 2198 +-1572 -2459 +3063 5689 +3685 3962 +1234 809 +5540 6259 +1312 1170 +-5234 -908 +-4232 3357 +-645 742 +4592 -605 +965 857 +-260 3993 +800 2546 +-1170 -2341 +-775 -340 +-5390 1442 +-345 2553 +2430 80 +-1801 -4700 +5202 -460 +8 -128 +-3653 -1170 +2220 2714 +-264 302 +4878 310 +3959 1694 +-5164 1029 +-1966 2957 +80 1007 +-2341 0 +80 -1007 +-1966 -2957 +-5164 -1029 +3959 -1694 +4878 -310 +-264 -302 +2220 -2714 +-3653 1170 +8 128 +5202 460 +-1801 4700 +2430 -80 +-345 -2553 +-5390 -1442 +-775 340 +-1170 2341 +800 -2546 +-260 -3993 +965 -857 +4592 605 +-645 -742 +-4232 -3357 +-5234 908 +1312 -1170 +5540 -6259 +1234 -809 +3685 -3962 +3063 -5689 +-1572 2459 +-3685 -2198 +-2638 -8218 +1170 8192 +1100 7474 +-1411 1308 +-5272 1340 +-2990 -596 +1694 792 +2292 2567 +1615 -4077 +0 -5509 +-3464 708 +-2660 -2163 +1694 -1900 +1723 2377 +-24 493 +936 -1912 +349 -4703 +-1170 0 +349 4703 +936 1912 +-24 -493 +1723 -2377 +1694 1900 +-2660 2163 +-3464 -708 +0 5509 +1615 4077 +2292 -2567 +1694 -792 +-2990 596 +-5272 -1340 +-1411 -1308 +1100 -7474 +1170 -8192 +3258 6420 +3826 1613 +1710 -6002 +1335 1566 +30 -4298 +-3439 -3970 +-2727 -1319 +0 -3854 +-1540 872 +-2812 -2550 +-884 2124 +-68 3273 +1053 -5303 +3269 1524 +1409 2970 +-1170 0 +1409 -2970 +3269 -1524 +1053 5303 +-68 -3273 +-884 -2124 +-2812 2550 +-1540 -872 +0 3854 +-2727 1319 +-3439 3970 +30 4298 +1335 -1566 +1710 6002 +3826 -1613 +3258 -6420 +1170 8192 +1100 7474 +-1411 1308 +-5272 1340 +-2990 -596 +1694 792 +2292 2567 +1615 -4077 +0 -5509 +-3464 708 +-2660 -2163 +1694 -1900 +1723 2377 +-24 493 +936 -1912 +349 -4703 +0 -1170 +-831 2778 +-474 6860 +4407 -5337 +3623 -1893 +651 4587 +1399 429 +2401 4222 +2825 828 +2763 534 +3104 3670 +2199 -1316 +-3252 1259 +-2577 576 +5109 -4206 +653 -440 +-7022 0 +653 440 +5109 4206 +-2577 -576 +-3252 -1259 +2199 1316 +3104 -3670 +2763 -534 +2825 -828 +2401 -4222 +1399 -429 +651 -4587 +3623 1893 +4407 5337 +-474 -6860 +-831 -2778 +0 1170 +-5034 2960 +-5135 7247 +-1250 512 +-1968 -2788 +138 -1752 +3685 734 +1411 -1477 +-485 -828 +-2250 6892 +-4878 234 +-1196 -530 +1597 3422 +-2371 -721 +-2810 2331 +888 1498 +2341 0 +888 -1498 +-2810 -2331 +-2371 721 +1597 -3422 +-1196 530 +-4878 -234 +-2250 -6892 +-485 828 +1411 1477 +3685 -734 +138 1752 +-1968 2788 +-1250 -512 +-5135 -7247 +-5034 -2960 +0 -1170 +-831 2778 +-474 6860 +4407 -5337 +3623 -1893 +651 4587 +1399 429 +2401 4222 +2825 828 +2763 534 +3104 3670 +2199 -1316 +-3252 1259 +-2577 576 +5109 -4206 +653 -440 +1170 1170 +-1432 -1429 +1167 1335 +-771 3140 +-2825 -21 +6495 3029 +5137 5833 +1807 -434 +-1170 4338 +-5187 8431 +-1739 -2189 +-565 -4192 +485 -1372 +-1429 2544 +-3652 5154 +2030 -2502 +5851 0 +2030 2502 +-3652 -5154 +-1429 -2544 +485 1372 +-565 4192 +-1739 2189 +-5187 -8431 +-1170 -4338 +1807 434 +5137 -5833 +6495 -3029 +-2825 21 +-771 -3140 +1167 -1335 +-1432 1429 +1170 -1170 +-1057 409 +3158 3200 +2072 -4940 +-2825 -3975 +1018 3808 +-3346 -1466 +-3919 -119 +-1170 2683 +-2127 -3287 +-52 -1436 +-1104 1247 +485 2057 +904 1856 +-673 752 +3266 4436 +5851 0 +3266 -4436 +-673 -752 +904 -1856 +485 -2057 +-1104 -1247 +-52 1436 +-2127 3287 +-1170 -2683 +-3919 119 +-3346 1466 +1018 -3808 +-2825 3975 +2072 4940 +3158 -3200 +-1057 -409 +1170 1170 +-1432 -1429 +1167 1335 +-771 3140 +-2825 -21 +6495 3029 +5137 5833 +1807 -434 +-1170 4338 +-5187 8431 +-1739 -2189 +-565 -4192 +485 -1372 +-1429 2544 +-3652 5154 +2030 -2502 +2341 3511 +5325 -273 +1852 1046 +-3099 -275 +1449 -299 +491 4958 +-5530 1699 +-3489 -1475 +1856 2483 +-1742 1793 +-2067 4026 +5275 6029 +2327 -215 +-1762 3181 +-1339 6023 +55 -2791 +2341 0 +55 2791 +-1339 -6023 +-1762 -3181 +2327 215 +5275 -6029 +-2067 -4026 +-1742 -1793 +1856 -2483 +-3489 1475 +-5530 -1699 +491 -4958 +1449 299 +-3099 275 +1852 -1046 +5325 273 +2341 -3511 +325 -3378 +13 2148 +3214 2014 +-2134 -670 +-4272 180 +-2283 2238 +-4116 308 +5166 -2483 +3231 1364 +-1421 3220 +1040 -2683 +-6323 -5436 +-887 -3233 +1413 481 +411 3464 +7022 0 +411 -3464 +1413 -481 +-887 3233 +-6323 5436 +1040 2683 +-1421 -3220 +3231 -1364 +5166 2483 +-4116 -308 +-2283 -2238 +-4272 -180 +-2134 670 +3214 -2014 +13 -2148 +325 3378 +2341 3511 +5325 -273 +1852 1046 +-3099 -275 +1449 -299 +491 4958 +-5530 1699 +-3489 -1475 +1856 2483 +-1742 1793 +-2067 4026 +5275 6029 +2327 -215 +-1762 3181 +-1339 6023 +55 -2791 +1170 -4681 +1431 2895 +-1133 -277 +2021 1727 +-2720 8434 +-1863 4319 +-869 -4137 +-1412 -969 +5509 2341 +-696 -2052 +-4000 1221 +3132 -52 +-432 -1289 +-2340 2266 +-2996 -2674 +-3173 -2587 +0 0 +-3173 2587 +-2996 2674 +-2340 -2266 +-432 1289 +3132 52 +-4000 -1221 +-696 2052 +5509 -2341 +-1412 969 +-869 4137 +-1863 -4319 +-2720 -8434 +2021 -1727 +-1133 277 +1431 -2895 +1170 4681 +-5789 2719 +237 -4436 +1542 472 +-3616 527 +140 2281 +3032 1216 +2524 -2106 +3854 2341 +5700 -667 +1838 -832 +-3942 3762 +-2594 5569 +1311 6405 +3891 1271 +1416 -804 +-2341 0 +1416 804 +3891 -1271 +1311 -6405 +-2594 -5569 +-3942 -3762 +1838 832 +5700 667 +3854 -2341 +2524 2106 +3032 -1216 +140 -2281 +-3616 -527 +1542 -472 +237 4436 +-5789 -2719 +1170 -4681 +1431 2895 +-1133 -277 +2021 1727 +-2720 8434 +-1863 4319 +-869 -4137 +-1412 -969 +5509 2341 +-696 -2052 +-4000 1221 +3132 -52 +-432 -1289 +-2340 2266 +-2996 -2674 +-3173 -2587 +4681 -2341 +1269 457 +1698 -199 +1177 1150 +-4666 6860 +36 3163 +962 -2445 +2486 7308 +828 6821 +-6334 -1054 +-2172 5685 +4992 5330 +4750 -1251 +-1565 -3995 +-2187 -5045 +160 317 +-1170 0 +160 -317 +-2187 5045 +-1565 3995 +4750 1251 +4992 -5330 +-2172 -5685 +-6334 1054 +828 -6821 +2486 -7308 +962 2445 +36 -3163 +-4666 -6860 +1177 -1150 +1698 199 +1269 -457 +4681 2341 +-4431 3367 +-2087 377 +72 1171 +-4295 -523 +4131 -3102 +3751 1000 +-1441 221 +-828 201 +188 1096 +769 -2449 +-1524 188 +-470 -1775 +-699 -4208 +-735 542 +1484 -1238 +1170 0 +1484 1238 +-735 -542 +-699 4208 +-470 1775 +-1524 -188 +769 2449 +188 -1096 +-828 -201 +-1441 -221 +3751 -1000 +4131 3102 +-4295 523 +72 -1171 +-2087 -377 +-4431 -3367 +4681 -2341 +1269 457 +1698 -199 +1177 1150 +-4666 6860 +36 3163 +962 -2445 +2486 7308 +828 6821 +-6334 -1054 +-2172 5685 +4992 5330 +4750 -1251 +-1565 -3995 +-2187 -5045 +160 317 +-3511 0 +2542 -2422 +4876 1885 +1034 741 +-243 1888 +-896 -687 +3169 -1916 +1324 1614 +-3168 2341 +2485 5493 +-1646 3747 +111 1026 +7889 -96 +-4654 1291 +-5014 6177 +1440 1981 +-2341 0 +1440 -1981 +-5014 -6177 +-4654 -1291 +7889 96 +111 -1026 +-1646 -3747 +2485 -5493 +-3168 -2341 +1324 -1614 +3169 1916 +-896 687 +-243 -1888 +1034 -741 +4876 -1885 +2542 2422 +-3511 0 +-2187 -4651 +893 -2822 +-394 -5601 +-4722 -4228 +-1215 708 +3125 3868 +-1615 2309 +-1513 2341 +1116 5561 +33 -3165 +-1309 -3573 +-2923 2437 +704 -2099 +3926 877 +1515 4697 +0 0 +1515 -4697 +3926 -877 +704 2099 +-2923 -2437 +-1309 3573 +33 3165 +1116 -5561 +-1513 -2341 +-1615 -2309 +3125 -3868 +-1215 -708 +-4722 4228 +-394 5601 +893 2822 +-2187 4651 +-3511 0 +2542 -2422 +4876 1885 +1034 741 +-243 1888 +-896 -687 +3169 -1916 +1324 1614 +-3168 2341 +2485 5493 +-1646 3747 +111 1026 +7889 -96 +-4654 1291 +-5014 6177 +1440 1981 +-2341 2341 +3382 -796 +3968 -596 +65 3627 +-985 -2728 +-366 1825 +-1402 -799 +-1632 -4732 +-828 3511 +869 -1005 +4289 2093 +3044 3034 +-3781 -5415 +-3931 1953 +1480 2054 +-1213 -5485 +-5851 0 +-1213 5485 +1480 -2054 +-3931 -1953 +-3781 5415 +3044 -3034 +4289 -2093 +869 1005 +-828 -3511 +-1632 4732 +-1402 799 +-366 -1825 +-985 2728 +65 -3627 +3968 596 +3382 796 +-2341 -2341 +-5859 1165 +-5816 -300 +-4092 3468 +-1356 1073 +-412 -3683 +-719 -1363 +596 -1368 +828 3511 +909 1525 +5823 -4255 +8175 1728 +1440 3760 +-2483 -1478 +1739 -2950 +2949 -767 +1170 0 +2949 767 +1739 2950 +-2483 1478 +1440 -3760 +8175 -1728 +5823 4255 +909 -1525 +828 -3511 +596 1368 +-719 1363 +-412 3683 +-1356 -1073 +-4092 -3468 +-5816 300 +-5859 -1165 +-2341 2341 +3382 -796 +3968 -596 +65 3627 +-985 -2728 +-366 1825 +-1402 -799 +-1632 -4732 +-828 3511 +869 -1005 +4289 2093 +3044 3034 +-3781 -5415 +-3931 1953 +1480 2054 +-1213 -5485 +-2341 1170 +-3742 -1834 +-1858 -4060 +1528 3700 +1998 4592 +-3603 961 +2167 1835 +3219 899 +-2825 -142 +-3692 -1766 +-6219 -436 +-2636 2977 +343 -3063 +-1936 -5254 +208 -786 +-1 -2507 +-2341 0 +-1 2507 +208 786 +-1936 5254 +343 3063 +-2636 -2977 +-6219 436 +-3692 1766 +-2825 142 +3219 -899 +2167 -1835 +-3603 -961 +1998 -4592 +1528 -3700 +-1858 4060 +-3742 1834 +-2341 -1170 +5226 2394 +3495 1331 +1078 56 +1998 2430 +5069 802 +6112 -4208 +-176 -1075 +485 4823 +-2662 -1572 +-2059 -3308 +4480 2452 +343 -3959 +2640 -3306 +-1845 6048 +-4794 2646 +2341 0 +-4794 -2646 +-1845 -6048 +2640 3306 +343 3959 +4480 -2452 +-2059 3308 +-2662 1572 +485 -4823 +-176 1075 +6112 4208 +5069 -802 +1998 -2430 +1078 -56 +3495 -1331 +5226 -2394 +-2341 1170 +-3742 -1834 +-1858 -4060 +1528 3700 +1998 4592 +-3603 961 +2167 1835 +3219 899 +-2825 -142 +-3692 -1766 +-6219 -436 +-2636 2977 +343 -3063 +-1936 -5254 +208 -786 +-1 -2507 +-4681 -4681 +3152 -4073 +2824 -2515 +384 -2452 +516 -2162 +-4256 -866 +-917 -2933 +-704 -5049 +-1028 -2341 +4122 -2799 +-108 332 +-175 5561 +4071 -896 +1462 -593 +-1030 5082 +-2462 -465 +-3511 0 +-2462 465 +-1030 -5082 +1462 593 +4071 896 +-175 -5561 +-108 -332 +4122 2799 +-1028 2341 +-704 5049 +-917 2933 +-4256 866 +516 2162 +384 2452 +2824 2515 +3152 4073 +-4681 4681 +-3757 -378 +1290 -1869 +2976 2922 +-2171 2162 +1453 1046 +8867 -1094 +-1355 -6507 +-5993 -2341 +-3581 670 +150 -2420 +1963 462 +-2416 896 +2812 287 +-1713 1835 +-2035 -1180 +8192 0 +-2035 1180 +-1713 -1835 +2812 -287 +-2416 -896 +1963 -462 +150 2420 +-3581 -670 +-5993 2341 +-1355 6507 +8867 1094 +1453 -1046 +-2171 -2162 +2976 -2922 +1290 1869 +-3757 378 +-4681 -4681 +3152 -4073 +2824 -2515 +384 -2452 +516 -2162 +-4256 -866 +-917 -2933 +-704 -5049 +-1028 -2341 +4122 -2799 +-108 332 +-175 5561 +4071 -896 +1462 -593 +-1030 5082 +-2462 -465 +5851 -3511 +1795 -6144 +-1496 -1803 +-2514 -4237 +-5056 -1218 +721 565 +1267 6278 +-3528 1851 +0 -4823 +1807 4545 +1319 -438 +1180 -1515 +-1609 5532 +-225 22 +1662 1268 +-200 2865 +-1170 0 +-200 -2865 +1662 -1268 +-225 -22 +-1609 -5532 +1180 1515 +1319 438 +1807 -4545 +0 4823 +-3528 -1851 +1267 -6278 +721 -565 +-5056 1218 +-2514 4237 +-1496 1803 +1795 6144 +5851 3511 +-186 -7262 +989 1254 +5604 7208 +1060 4528 +-767 3025 +-3818 387 +-5831 1218 +0 142 +-83 -818 +-2079 2422 +385 2894 +924 -2222 +2237 -2005 +2156 2864 +-395 370 +-1170 0 +-395 -370 +2156 -2864 +2237 2005 +924 2222 +385 -2894 +-2079 -2422 +-83 818 +0 -142 +-5831 -1218 +-3818 -387 +-767 -3025 +1060 -4528 +5604 -7208 +989 -1254 +-186 7262 +5851 -3511 +1795 -6144 +-1496 -1803 +-2514 -4237 +-5056 -1218 +721 565 +1267 6278 +-3528 1851 +0 -4823 +1807 4545 +1319 -438 +1180 -1515 +-1609 5532 +-225 22 +1662 1268 +-200 2865 +-3511 -1170 +516 -4723 +-731 -1340 +-2434 1074 +-1090 -141 +-2319 -2015 +-3395 2765 +-841 1344 +2625 -1513 +1096 6911 +980 1635 +1182 -1356 +-2864 7143 +-2260 3856 +-1578 513 +-2321 1013 +-1170 0 +-2321 -1013 +-1578 -513 +-2260 -3856 +-2864 -7143 +1182 1356 +980 -1635 +1096 -6911 +2625 1513 +-841 -1344 +-3395 -2765 +-2319 2015 +-1090 141 +-2434 -1074 +-731 1340 +516 4723 +-3511 1170 +1328 979 +7025 2445 +3918 -3484 +-565 -3570 +-4035 -599 +2307 5555 +1545 5414 +-7306 -3168 +1510 -1672 +4790 -1306 +1861 -243 +4519 3189 +-2534 1369 +-35 1964 +3787 344 +-1170 0 +3787 -344 +-35 -1964 +-2534 -1369 +4519 -3189 +1861 243 +4790 1306 +1510 1672 +-7306 3168 +1545 -5414 +2307 -5555 +-4035 599 +-565 3570 +3918 3484 +7025 -2445 +1328 -979 +-3511 -1170 +516 -4723 +-731 -1340 +-2434 1074 +-1090 -141 +-2319 -2015 +-3395 2765 +-841 1344 +2625 -1513 +1096 6911 +980 1635 +1182 -1356 +-2864 7143 +-2260 3856 +-1578 513 +-2321 1013 +-4681 -4681 +1872 -2031 +3992 -1022 +45 -3408 +4967 -3184 +5111 -3732 +-1753 -4736 +39 -4146 +-3653 1655 +-2589 1599 +3815 -2472 +1131 2072 +-9 -1022 +-2967 -3801 +-319 696 +1292 -2971 +-3511 0 +1292 2971 +-319 -696 +-2967 3801 +-9 1022 +1131 -2072 +3815 2472 +-2589 -1599 +-3653 -1655 +39 4146 +-1753 4736 +5111 3732 +4967 3184 +45 3408 +3992 1022 +1872 2031 +-4681 4681 +-2181 -1129 +2691 -3006 +-805 200 +-3312 -126 +-6201 -1592 +-4049 -2182 +6612 -916 +1312 -1655 +-2543 2765 +3358 6856 +973 -1553 +-1646 -2288 +-3908 -183 +1627 -2784 +4118 2617 +-1170 0 +4118 -2617 +1627 2784 +-3908 183 +-1646 2288 +973 1553 +3358 -6856 +-2543 -2765 +1312 1655 +6612 916 +-4049 2182 +-6201 1592 +-3312 126 +-805 -200 +2691 3006 +-2181 1129 +-4681 -4681 +1872 -2031 +3992 -1022 +45 -3408 +4967 -3184 +5111 -3732 +-1753 -4736 +39 -4146 +-3653 1655 +-2589 1599 +3815 -2472 +1131 2072 +-9 -1022 +-2967 -3801 +-319 696 +1292 -2971 +3511 -2341 +-3600 1410 +-3605 -581 +3360 1528 +4071 -2699 +1934 -3987 +-704 4087 +4865 -1667 +6478 -3310 +1980 1627 +772 -244 +74 4479 +-2171 1804 +-7307 -1101 +-1203 2629 +3852 -4062 +0 0 +3852 4062 +-1203 -2629 +-7307 1101 +-2171 -1804 +74 -4479 +772 244 +1980 -1627 +6478 3310 +4865 1667 +-704 -4087 +1934 3987 +4071 2699 +3360 -1528 +-3605 581 +-3600 -1410 +3511 2341 +144 3674 +3427 -3415 +5036 -3827 +-2416 359 +-2503 -1622 +-741 -3401 +547 3441 +-1797 3310 +-4081 -3401 +-4008 -442 +-2815 1130 +516 537 +-4400 3400 +-3300 1366 +2914 -1022 +2341 0 +2914 1022 +-3300 -1366 +-4400 -3400 +516 -537 +-2815 -1130 +-4008 442 +-4081 3401 +-1797 -3310 +547 -3441 +-741 3401 +-2503 1622 +-2416 -359 +5036 3827 +3427 3415 +144 -3674 +3511 -2341 +-3600 1410 +-3605 -581 +3360 1528 +4071 -2699 +1934 -3987 +-704 4087 +4865 -1667 +6478 -3310 +1980 1627 +772 -244 +74 4479 +-2171 1804 +-7307 -1101 +-1203 2629 +3852 -4062 +-3511 1170 +3083 4833 +3336 -1193 +2035 -3944 +1849 -722 +-1 2744 +1923 1939 +-641 -1902 +686 -4138 +3424 -1005 +2377 1525 +2858 -1793 +-953 89 +-153 1241 +13 -2891 +-2515 -865 +-1170 0 +-2515 865 +13 2891 +-153 -1241 +-953 -89 +2858 1793 +2377 -1525 +3424 1005 +686 4138 +-641 1902 +1923 -1939 +-1 -2744 +1849 722 +2035 3944 +3336 1193 +3083 -4833 +-3511 -1170 +-3200 582 +-1173 -6158 +3016 -3232 +3116 -1618 +-2718 341 +-1027 6189 +909 -268 +3996 4138 +2424 7841 +-3272 -6070 +-2672 -1594 +-4012 2251 +-2366 -5527 +-2175 1593 +-3485 5924 +-1170 0 +-3485 -5924 +-2175 -1593 +-2366 5527 +-4012 -2251 +-2672 1594 +-3272 6070 +2424 -7841 +3996 -4138 +909 268 +-1027 -6189 +-2718 -341 +3116 1618 +3016 3232 +-1173 6158 +-3200 -582 +-3511 1170 +3083 4833 +3336 -1193 +2035 -3944 +1849 -722 +-1 2744 +1923 1939 +-641 -1902 +686 -4138 +3424 -1005 +2377 1525 +2858 -1793 +-953 89 +-153 1241 +13 -2891 +-2515 -865 +0 3511 +-541 660 +-3019 -136 +4334 -273 +96 1149 +-4673 -1196 +1654 -1167 +-3927 1246 +-3026 -2967 +-1072 946 +-2205 4681 +2219 -3417 +-4228 -2542 +-5149 -1615 +-1343 -4892 +4174 947 +11703 0 +4174 -947 +-1343 4892 +-5149 1615 +-4228 2542 +2219 3417 +-2205 -4681 +-1072 -946 +-3026 2967 +-3927 -1246 +1654 1167 +-4673 1196 +96 -1149 +4334 273 +-3019 136 +-541 -660 +0 -3511 +-1160 -1961 +646 2654 +1351 -2023 +-2437 -2804 +-4624 739 +3609 -2619 +707 700 +-6336 5308 +-32 1000 +4934 896 +5287 2961 +1888 887 +1255 -680 +5087 -1951 +1851 -2247 +-2341 0 +1851 2247 +5087 1951 +1255 680 +1888 -887 +5287 -2961 +4934 -896 +-32 -1000 +-6336 -5308 +707 -700 +3609 2619 +-4624 -739 +-2437 2804 +1351 2023 +646 -2654 +-1160 1961 +0 3511 +-541 660 +-3019 -136 +4334 -273 +96 1149 +-4673 -1196 +1654 -1167 +-3927 1246 +-3026 -2967 +-1072 946 +-2205 4681 +2219 -3417 +-4228 -2542 +-5149 -1615 +-1343 -4892 +4174 947 +-2341 1170 +3034 -73 +3044 2610 +1828 4296 +537 -1275 +-6052 39 +-1659 3220 +-204 -3059 +-2341 -2967 +503 1196 +-3344 2453 +-1952 4770 +2699 254 +641 -2131 +-39 2439 +374 1283 +0 0 +374 -1283 +-39 -2439 +641 2131 +2699 -254 +-1952 -4770 +-3344 -2453 +503 -1196 +-2341 2967 +-204 3059 +-1659 -3220 +-6052 -39 +537 1275 +1828 -4296 +3044 -2610 +3034 73 +-2341 -1170 +570 -2558 +5994 -2788 +8376 -757 +1804 -380 +-1261 -1739 +529 -1775 +-5912 2444 +-2341 5308 +1561 -3182 +1165 -5690 +2134 1522 +-359 -1909 +2907 -2322 +-5689 2064 +-6545 -2544 +4681 0 +-6545 2544 +-5689 -2064 +2907 2322 +-359 1909 +2134 -1522 +1165 5690 +1561 3182 +-2341 -5308 +-5912 -2444 +529 1775 +-1261 1739 +1804 380 +8376 757 +5994 2788 +570 2558 +-2341 1170 +3034 -73 +3044 2610 +1828 4296 +537 -1275 +-6052 39 +-1659 3220 +-204 -3059 +-2341 -2967 +503 1196 +-3344 2453 +-1952 4770 +2699 254 +641 -2131 +-39 2439 +374 1283 +1170 3511 +4857 1766 +2339 -3528 +-1096 -775 +-880 1618 +929 6577 +-872 4719 +-52 2332 +969 3168 +-31 1331 +2616 3501 +-1469 -2334 +-4697 -2251 +2230 685 +705 -851 +-1824 9350 +1170 0 +-1824 -9350 +705 851 +2230 -685 +-4697 2251 +-1469 2334 +2616 -3501 +-31 -1331 +969 -3168 +-52 -2332 +-872 -4719 +929 -6577 +-880 -1618 +-1096 775 +2339 3528 +4857 -1766 +1170 -3511 +-3300 765 +2689 -1734 +5353 -280 +-2146 722 +-4628 978 +-3275 -975 +-4170 -3403 +-5651 1513 +-1590 -1388 +6212 -1128 +2362 4787 +-1639 -89 +2940 -222 +-1052 3580 +-511 816 +5851 0 +-511 -816 +-1052 -3580 +2940 222 +-1639 89 +2362 -4787 +6212 1128 +-1590 1388 +-5651 -1513 +-4170 3403 +-3275 975 +-4628 -978 +-2146 -722 +5353 280 +2689 1734 +-3300 -765 +1170 3511 +4857 1766 +2339 -3528 +-1096 -775 +-880 1618 +929 6577 +-872 4719 +-52 2332 +969 3168 +-31 1331 +2616 3501 +-1469 -2334 +-4697 -2251 +2230 685 +705 -851 +-1824 9350 +0 3511 +-229 5652 +1382 -882 +-595 -717 +-2467 5504 +4305 -1744 +2202 -10111 +1191 -2008 +-1655 -343 +-5818 -1712 +386 4569 +1349 255 +-52 -2691 +1887 -820 +2658 -41 +-725 5771 +-4681 0 +-725 -5771 +2658 41 +1887 820 +-52 2691 +1349 -255 +386 -4569 +-5818 1712 +-1655 343 +1191 2008 +2202 10111 +4305 1744 +-2467 -5504 +-595 717 +1382 882 +-229 -5652 +0 -3511 +-4474 -1107 +2226 -5696 +2168 -2580 +-5525 -1508 +451 -5015 +3197 -624 +3997 -1995 +1655 -1998 +-2680 2811 +-1104 -693 +-2796 621 +-1319 2005 +-150 -1462 +-1584 -1288 +2119 -2745 +4681 0 +2119 2745 +-1584 1288 +-150 1462 +-1319 -2005 +-2796 -621 +-1104 693 +-2680 -2811 +1655 1998 +3997 1995 +3197 624 +451 5015 +-5525 1508 +2168 2580 +2226 5696 +-4474 1107 +0 3511 +-229 5652 +1382 -882 +-595 -717 +-2467 5504 +4305 -1744 +2202 -10111 +1191 -2008 +-1655 -343 +-5818 -1712 +386 4569 +1349 255 +-52 -2691 +1887 -820 +2658 -41 +-725 5771 +1170 0 +-6824 -395 +-3018 2383 +3265 -2898 +-3011 -3019 +-3107 -2751 +-550 -2585 +-3932 -1084 +-5308 -485 +707 3047 +214 3505 +-2266 1051 +3095 217 +1551 601 +3386 1056 +1143 -470 +-7022 0 +1143 470 +3386 -1056 +1551 -601 +3095 -217 +-2266 -1051 +214 -3505 +707 -3047 +-5308 485 +-3932 1084 +-550 2585 +-3107 2751 +-3011 3019 +3265 2898 +-3018 -2383 +-6824 395 +1170 0 +1738 -6475 +1034 1539 +-3759 2634 +-2640 -4286 +5592 -1672 +1100 -1589 +534 -433 +2967 2825 +2691 5877 +3918 4994 +-219 -4732 +-2126 -2841 +-1057 -123 +3279 -3186 +3942 4040 +0 0 +3942 -4040 +3279 3186 +-1057 123 +-2126 2841 +-219 4732 +3918 -4994 +2691 -5877 +2967 -2825 +534 433 +1100 1589 +5592 1672 +-2640 4286 +-3759 -2634 +1034 -1539 +1738 6475 +1170 0 +-6824 -395 +-3018 2383 +3265 -2898 +-3011 -3019 +-3107 -2751 +-550 -2585 +-3932 -1084 +-5308 -485 +707 3047 +214 3505 +-2266 1051 +3095 217 +1551 601 +3386 1056 +1143 -470 +0 3511 +-3792 307 +-3627 3792 +3780 2998 +5056 3907 +1591 -1610 +1822 -2592 +3704 8508 +2140 1513 +-4000 -3705 +-5767 -633 +38 -3393 +1609 933 +1341 -380 +5680 -3983 +2946 2295 +-2341 0 +2946 -2295 +5680 3983 +1341 380 +1609 -933 +38 3393 +-5767 633 +-4000 3705 +2140 -1513 +3704 -8508 +1822 2592 +1591 1610 +5056 -3907 +3780 -2998 +-3627 -3792 +-3792 -307 +0 -3511 +-1566 -275 +-327 4529 +1928 -534 +-1060 1744 +-43 -811 +1607 115 +-3709 6706 +-4480 3168 +695 487 +2338 -473 +1725 1601 +-924 37 +-3739 731 +-1726 4312 +-898 -4713 +-2341 0 +-898 4713 +-1726 -4312 +-3739 -731 +-924 -37 +1725 -1601 +2338 473 +695 -487 +-4480 -3168 +-3709 -6706 +1607 -115 +-43 811 +-1060 -1744 +1928 534 +-327 -4529 +-1566 275 +0 3511 +-3792 307 +-3627 3792 +3780 2998 +5056 3907 +1591 -1610 +1822 -2592 +3704 8508 +2140 1513 +-4000 -3705 +-5767 -633 +38 -3393 +1609 933 +1341 -380 +5680 -3983 +2946 2295 +3511 -1170 +727 -3234 +1048 -2292 +870 -3030 +-7054 390 +-2301 -2837 +-186 -2296 +-3142 2291 +1170 -1998 +-3813 1017 +-2401 4476 +3202 -1310 +-1952 -6360 +43 -747 +-1214 2889 +-1822 -5984 +3511 0 +-1822 5984 +-1214 -2889 +43 747 +-1952 6360 +3202 1310 +-2401 -4476 +-3813 -1017 +1170 1998 +-3142 -2291 +-186 2296 +-2301 2837 +-7054 -390 +870 3030 +1048 2292 +727 3234 +3511 1170 +-1589 -1247 +-1437 1144 +563 -1176 +-938 -5355 +2060 -9017 +4899 -1909 +2596 4670 +1170 -343 +2568 -1271 +997 -2061 +1363 254 +581 1394 +-5802 -2024 +-1708 2584 +4474 3651 +3511 0 +4474 -3651 +-1708 -2584 +-5802 2024 +581 -1394 +1363 -254 +997 2061 +2568 1271 +1170 343 +2596 -4670 +4899 1909 +2060 9017 +-938 5355 +563 1176 +-1437 -1144 +-1589 1247 +3511 -1170 +727 -3234 +1048 -2292 +870 -3030 +-7054 390 +-2301 -2837 +-186 -2296 +-3142 2291 +1170 -1998 +-3813 1017 +-2401 4476 +3202 -1310 +-1952 -6360 +43 -747 +-1214 2889 +-1822 -5984 +-7022 -2341 +-2648 -2078 +3143 -1545 +-3925 -9126 +-3370 -1238 +409 -1121 +-3435 -2652 +-1816 3472 +-1513 485 +-3922 -1921 +3050 -3096 +6442 2454 +3632 4160 +2658 -2326 +-1640 -885 +-879 -1850 +3511 0 +-879 1850 +-1640 885 +2658 2326 +3632 -4160 +6442 -2454 +3050 3096 +-3922 1921 +-1513 -485 +-1816 -3472 +-3435 2652 +409 1121 +-3370 1238 +-3925 9126 +3143 1545 +-2648 2078 +-7022 2341 +1789 -5020 +2255 68 +-2987 261 +60 553 +4727 1996 +4509 -448 +-141 -121 +-3168 -2825 +-1014 3753 +557 7988 +381 -565 +-322 -165 +-1086 1095 +923 -1963 +2010 -146 +1170 0 +2010 146 +923 1963 +-1086 -1095 +-322 165 +381 565 +557 -7988 +-1014 -3753 +-3168 2825 +-141 121 +4509 448 +4727 -1996 +60 -553 +-2987 -261 +2255 -68 +1789 5020 +-7022 -2341 +-2648 -2078 +3143 -1545 +-3925 -9126 +-3370 -1238 +409 -1121 +-3435 -2652 +-1816 3472 +-1513 485 +-3922 -1921 +3050 -3096 +6442 2454 +3632 4160 +2658 -2326 +-1640 -885 +-879 -1850 +0 -3511 +6210 -691 +3271 3147 +289 -1590 +-96 1401 +-679 -2191 +1005 -3035 +-2298 2036 +-2341 -3653 +2175 -2093 +-751 3681 +-3294 4898 +4228 2035 +7357 -2352 +-3257 76 +-5405 422 +0 0 +-5405 -422 +-3257 -76 +7357 2352 +4228 -2035 +-3294 -4898 +-751 -3681 +2175 2093 +-2341 3653 +-2298 -2036 +1005 3035 +-679 2191 +-96 -1401 +289 1590 +3271 -3147 +6210 691 +0 3511 +-24 -2785 +176 89 +-2076 4134 +2437 3564 +-4144 1980 +-6985 2857 +-363 1591 +-2341 1312 +3054 4349 +3421 822 +-5635 2883 +-1888 2930 +1562 -3095 +3120 -1521 +3271 -2526 +0 0 +3271 2526 +3120 1521 +1562 3095 +-1888 -2930 +-5635 -2883 +3421 -822 +3054 -4349 +-2341 -1312 +-363 -1591 +-6985 -2857 +-4144 -1980 +2437 -3564 +-2076 -4134 +176 -89 +-24 2785 +0 -3511 +6210 -691 +3271 3147 +289 -1590 +-96 1401 +-679 -2191 +1005 -3035 +-2298 2036 +-2341 -3653 +2175 -2093 +-751 3681 +-3294 4898 +4228 2035 +7357 -2352 +-3257 76 +-5405 422 +2341 4681 +-1454 4554 +4505 -493 +1241 1278 +-5436 -439 +407 810 +-655 3205 +-1488 2368 +142 1170 +1333 -2073 +2013 -2121 +-1260 -1189 +299 -2231 +-1861 -1150 +-3831 2349 +138 4756 +1170 0 +138 -4756 +-3831 -2349 +-1861 1150 +299 2231 +-1260 1189 +2013 2121 +1333 2073 +142 -1170 +-1488 -2368 +-655 -3205 +407 -810 +-5436 439 +1241 -1278 +4505 493 +-1454 -4554 +2341 -4681 +2854 2066 +5607 -5084 +7493 -5015 +-215 2094 +-2862 -1301 +-1193 -687 +-1814 1500 +-4823 1170 +-2356 -2708 +1206 -4722 +1924 4281 +670 3886 +-5081 996 +1711 1436 +2786 -6785 +-5851 0 +2786 6785 +1711 -1436 +-5081 -996 +670 -3886 +1924 -4281 +1206 4722 +-2356 2708 +-4823 -1170 +-1814 -1500 +-1193 687 +-2862 1301 +-215 -2094 +7493 5015 +5607 5084 +2854 -2066 +2341 4681 +-1454 4554 +4505 -493 +1241 1278 +-5436 -439 +407 810 +-655 3205 +-1488 2368 +142 1170 +1333 -2073 +2013 -2121 +-1260 -1189 +299 -2231 +-1861 -1150 +-3831 2349 +138 4756 +4681 4681 +-884 1568 +801 -1297 +-784 -4289 +-2862 -1238 +1707 3427 +1308 3814 +-177 -294 +-142 -1170 +-3012 2201 +-5621 -1570 +-1365 758 +4391 4160 +869 2200 +-2115 2509 +270 -2417 +1170 0 +270 2417 +-2115 -2509 +869 -2200 +4391 -4160 +-1365 -758 +-5621 1570 +-3012 -2201 +-142 1170 +-177 294 +1308 -3814 +1707 -3427 +-2862 1238 +-784 4289 +801 1297 +-884 -1568 +4681 -4681 +3471 -1094 +2450 -536 +3928 -3615 +-3758 553 +-1047 -6182 +1201 -4024 +-2322 7324 +4823 -1170 +2201 -5339 +-1569 -11 +4015 1084 +2229 -165 +-703 1114 +-5818 3649 +-6167 -658 +-1170 0 +-6167 658 +-5818 -3649 +-703 -1114 +2229 165 +4015 -1084 +-1569 11 +2201 5339 +4823 1170 +-2322 -7324 +1201 4024 +-1047 6182 +-3758 -553 +3928 3615 +2450 536 +3471 1094 +4681 4681 +-884 1568 +801 -1297 +-784 -4289 +-2862 -1238 +1707 3427 +1308 3814 +-177 -294 +-142 -1170 +-3012 2201 +-5621 -1570 +-1365 758 +4391 4160 +869 2200 +-2115 2509 +270 -2417 +3511 0 +-2346 -627 +-5113 2640 +552 -4149 +-1328 -7920 +-695 -3225 +-1384 -1482 +-4181 -3984 +828 -3996 +643 -1773 +2538 -1975 +1668 3299 +-5778 2175 +1538 -1137 +4637 2164 +-469 -2778 +0 0 +-469 2778 +4637 -2164 +1538 1137 +-5778 -2175 +1668 -3299 +2538 1975 +643 1773 +828 3996 +-4181 3984 +-1384 1482 +-695 3225 +-1328 7920 +552 4149 +-5113 -2640 +-2346 627 +3511 0 +2637 62 +4025 -688 +647 -2659 +-1699 5579 +54 -196 +-229 -5572 +1226 2808 +-828 -686 +-997 -2952 +3756 2912 +2283 4498 +-558 166 +573 -1073 +1132 -1583 +-3132 -7956 +-7022 0 +-3132 7956 +1132 1583 +573 1073 +-558 -166 +2283 -4498 +3756 -2912 +-997 2952 +-828 686 +1226 -2808 +-229 5572 +54 196 +-1699 -5579 +647 2659 +4025 688 +2637 -62 +3511 0 +-2346 -627 +-5113 2640 +552 -4149 +-1328 -7920 +-695 -3225 +-1384 -1482 +-4181 -3984 +828 -3996 +643 -1773 +2538 -1975 +1668 3299 +-5778 2175 +1538 -1137 +4637 2164 +-469 -2778 +4681 -3511 +-1726 -6054 +-1724 -6501 +961 -5319 +3691 283 +1562 4465 +-10972 -1238 +-3498 833 +3310 3653 +-1483 -1307 +2583 942 +-538 730 +-262 -2320 +4286 -1422 +-1588 -1338 +695 817 +7022 0 +695 -817 +-1588 1338 +4286 1422 +-262 2320 +-538 -730 +2583 -942 +-1483 1307 +3310 -3653 +-3498 -833 +-10972 1238 +1562 -4465 +3691 -283 +961 5319 +-1724 6501 +-1726 6054 +4681 3511 +-1147 1285 +-3150 -2716 +-1333 -139 +-3691 3712 +433 1225 +2515 1552 +1071 -1151 +-3310 -1312 +-1933 2003 +1192 -1999 +-4263 -141 +262 1634 +5512 -2517 +1781 113 +1401 2050 +2341 0 +1401 -2050 +1781 -113 +5512 2517 +262 -1634 +-4263 141 +1192 1999 +-1933 -2003 +-3310 1312 +1071 1151 +2515 -1552 +433 -1225 +-3691 -3712 +-1333 139 +-3150 2716 +-1147 -1285 +4681 -3511 +-1726 -6054 +-1724 -6501 +961 -5319 +3691 283 +1562 4465 +-10972 -1238 +-3498 833 +3310 3653 +-1483 -1307 +2583 942 +-538 730 +-262 -2320 +4286 -1422 +-1588 -1338 +695 817 +2341 -1170 +2582 -1640 +1539 -728 +6116 1577 +4781 1291 +-5120 909 +-508 -41 +2975 -3373 +1170 -828 +1366 2058 +-1685 3878 +2641 7719 +2602 2281 +-569 -2949 +5658 -158 +93 -517 +-9362 0 +93 517 +5658 158 +-569 2949 +2602 -2281 +2641 -7719 +-1685 -3878 +1366 -2058 +1170 828 +2975 3373 +-508 41 +-5120 -909 +4781 -1291 +6116 -1577 +1539 728 +2582 1640 +2341 1170 +-885 -1938 +-5105 -539 +-2571 3651 +-3126 -2662 +-2090 -3585 +-776 3099 +-6627 341 +1170 828 +4077 2965 +-341 -820 +244 3440 +-4257 5710 +1349 -591 +1218 -1109 +-3581 1116 +0 0 +-3581 -1116 +1218 1109 +1349 591 +-4257 -5710 +244 -3440 +-341 820 +4077 -2965 +1170 -828 +-6627 -341 +-776 -3099 +-2090 3585 +-3126 2662 +-2571 -3651 +-5105 539 +-885 1938 +2341 -1170 +2582 -1640 +1539 -728 +6116 1577 +4781 1291 +-5120 909 +-508 -41 +2975 -3373 +1170 -828 +1366 2058 +-1685 3878 +2641 7719 +2602 2281 +-569 -2949 +5658 -158 +93 -517 +2341 0 +5374 2917 +3616 -479 +1321 -1194 +418 3042 +763 -3778 +-4385 -1373 +-4825 5506 +1513 -2825 +6830 -5001 +-136 264 +-7734 -1525 +-859 -3801 +-122 -1230 +-794 180 +-1318 -1740 +-5851 0 +-1318 1740 +-794 -180 +-122 1230 +-859 3801 +-7734 1525 +-136 -264 +6830 5001 +1513 2825 +-4825 -5506 +-4385 1373 +763 3778 +418 -3042 +1321 1194 +3616 479 +5374 -2917 +2341 0 +-3702 -419 +-169 1924 +-3414 2759 +-6069 -16 +-688 -2178 +-1595 -3130 +2785 1853 +3168 485 +312 -1747 +2806 -86 +24 -5594 +1828 -2535 +3230 -1109 +658 -3416 +1164 6113 +1170 0 +1164 -6113 +658 3416 +3230 1109 +1828 2535 +24 5594 +2806 86 +312 1747 +3168 -485 +2785 -1853 +-1595 3130 +-688 2178 +-6069 16 +-3414 -2759 +-169 -1924 +-3702 419 +2341 0 +5374 2917 +3616 -479 +1321 -1194 +418 3042 +763 -3778 +-4385 -1373 +-4825 5506 +1513 -2825 +6830 -5001 +-136 264 +-7734 -1525 +-859 -3801 +-122 -1230 +-794 180 +-1318 -1740 +-1170 -3511 +3145 -4821 +2501 -27 +-2458 -96 +-2631 -2103 +2921 1726 +-491 -3511 +-2567 -2662 +1371 -828 +-2053 -8880 +-2406 -1578 +1438 706 +1186 -574 +2711 3200 +1697 -2518 +-1201 -515 +-1170 0 +-1201 515 +1697 2518 +2711 -3200 +1186 574 +1438 -706 +-2406 1578 +-2053 8880 +1371 828 +-2567 2662 +-491 3511 +2921 -1726 +-2631 2103 +-2458 96 +2501 27 +3145 4821 +-1170 3511 +-5662 -3568 +-1741 -1047 +922 7508 +-1364 -1207 +87 -3749 +998 2793 +5021 82 +7991 828 +614 -1690 +-1411 -3821 +656 -1359 +-1872 -2736 +344 2841 +854 6126 +-3917 118 +-5851 0 +-3917 -118 +854 -6126 +344 -2841 +-1872 2736 +656 1359 +-1411 3821 +614 1690 +7991 -828 +5021 -82 +998 -2793 +87 3749 +-1364 1207 +922 -7508 +-1741 1047 +-5662 3568 +-1170 -3511 +3145 -4821 +2501 -27 +-2458 -96 +-2631 -2103 +2921 1726 +-491 -3511 +-2567 -2662 +1371 -828 +-2053 -8880 +-2406 -1578 +1438 706 +1186 -574 +2711 3200 +1697 -2518 +-1201 -515 +3511 0 +1361 5694 +2413 846 +-664 707 +4249 -149 +2431 -3219 +-3322 2813 +-2332 5891 +-828 1655 +-1006 -2332 +1541 -2076 +4329 1917 +1065 1296 +146 247 +-878 1391 +-5499 -876 +-7022 0 +-5499 876 +-878 -1391 +146 -247 +1065 -1296 +4329 -1917 +1541 2076 +-1006 2332 +-828 -1655 +-2332 -5891 +-3322 -2813 +2431 3219 +4249 149 +-664 -707 +2413 -846 +1361 -5694 +3511 0 +7987 2365 +-2339 -4589 +-1510 -1437 +2087 1118 +-398 -327 +-166 -84 +-4875 -4540 +828 -1655 +-1214 2668 +-9354 -3187 +-519 -361 +1961 4354 +2805 -2495 +2743 -3764 +-1043 1300 +0 0 +-1043 -1300 +2743 3764 +2805 2495 +1961 -4354 +-519 361 +-9354 3187 +-1214 -2668 +828 1655 +-4875 4540 +-166 84 +-398 327 +2087 -1118 +-1510 1437 +-2339 4589 +7987 -2365 +3511 0 +1361 5694 +2413 846 +-664 707 +4249 -149 +2431 -3219 +-3322 2813 +-2332 5891 +-828 1655 +-1006 -2332 +1541 -2076 +4329 1917 +1065 1296 +146 247 +-878 1391 +-5499 -876 +2341 -3511 +4960 521 +1702 -1992 +2663 -3244 +1940 -380 +227 -2847 +-124 -4364 +1155 -478 +3996 1312 +3052 996 +-3662 778 +-1541 -530 +5621 -1909 +-1381 -2140 +-2787 341 +2838 2799 +2341 0 +2838 -2799 +-2787 -341 +-1381 2140 +5621 1909 +-1541 530 +-3662 -778 +3052 -996 +3996 -1312 +1155 478 +-124 4364 +227 2847 +1940 380 +2663 3244 +1702 1992 +4960 -521 +2341 3511 +-1400 1201 +-2388 -6539 +-9975 -5054 +-2909 -1275 +1377 -518 +-3872 683 +4506 -2542 +686 -3653 +-3884 2100 +-334 2161 +-2358 -301 +30 254 +-2253 -3625 +2101 -2251 +2013 5039 +-7022 0 +2013 -5039 +2101 2251 +-2253 3625 +30 -254 +-2358 301 +-334 -2161 +-3884 -2100 +686 3653 +4506 2542 +-3872 -683 +1377 518 +-2909 1275 +-9975 5054 +-2388 6539 +-1400 -1201 +2341 -3511 +4960 521 +1702 -1992 +2663 -3244 +1940 -380 +227 -2847 +-124 -4364 +1155 -478 +3996 1312 +3052 996 +-3662 778 +-1541 -530 +5621 -1909 +-1381 -2140 +-2787 341 +2838 2799 +4681 1170 +-429 -2483 +-967 -1341 +2474 1002 +3675 -2348 +2447 -1957 +-1145 -3018 +-2294 -1825 +-2825 -3854 +1521 -8216 +396 12 +-2988 677 +2272 -3506 +640 5013 +3034 4511 +197 -3879 +-9362 0 +197 3879 +3034 -4511 +640 -5013 +2272 3506 +-2988 -677 +396 -12 +1521 8216 +-2825 3854 +-2294 1825 +-1145 3018 +2447 1957 +3675 2348 +2474 -1002 +-967 1341 +-429 2483 +4681 -1170 +1456 1755 +-1881 -1000 +-1781 -1964 +-649 2348 +-1213 1326 +-3746 5358 +-1155 2719 +485 -5509 +913 -2849 +-3496 -2353 +-3348 -1035 +4064 3506 +-2852 918 +-1557 -2171 +6410 -2189 +4681 0 +6410 2189 +-1557 2171 +-2852 -918 +4064 -3506 +-3348 1035 +-3496 2353 +913 2849 +485 5509 +-1155 -2719 +-3746 -5358 +-1213 -1326 +-649 -2348 +-1781 1964 +-1881 1000 +1456 -1755 +4681 1170 +-429 -2483 +-967 -1341 +2474 1002 +3675 -2348 +2447 -1957 +-1145 -3018 +-2294 -1825 +-2825 -3854 +1521 -8216 +396 12 +-2988 677 +2272 -3506 +640 5013 +3034 4511 +197 -3879 +1170 -1170 +5119 -4907 +3388 3964 +-1993 -187 +2425 -2678 +2564 -331 +27 1100 +1407 865 +485 -2683 +3108 312 +17 -1309 +-3365 -965 +2796 3175 +83 -2393 +-2975 1497 +564 9197 +1170 0 +564 -9197 +-2975 -1497 +83 2393 +2796 -3175 +-3365 965 +17 1309 +3108 -312 +485 2683 +1407 -865 +27 -1100 +2564 331 +2425 2678 +-1993 187 +3388 -3964 +5119 4907 +1170 1170 +3042 -6020 +4383 -3068 +783 -3690 +-2425 4333 +-2052 6231 +-4215 1062 +-4762 650 +-2825 -4338 +-4077 -1449 +861 3471 +1062 1344 +-2796 -1520 +2919 -3127 +-1486 -601 +-4400 -173 +1170 0 +-4400 173 +-1486 601 +2919 3127 +-2796 1520 +1062 -1344 +861 -3471 +-4077 1449 +-2825 4338 +-4762 -650 +-4215 -1062 +-2052 -6231 +-2425 -4333 +783 3690 +4383 3068 +3042 6020 +1170 -1170 +5119 -4907 +3388 3964 +-1993 -187 +2425 -2678 +2564 -331 +27 1100 +1407 865 +485 -2683 +3108 312 +17 -1309 +-3365 -965 +2796 3175 +83 -2393 +-2975 1497 +564 9197 +2341 -3511 +-6117 -3932 +-2437 -2180 +2313 2152 +-1044 887 +-1007 -6672 +-542 -346 +5810 1915 +2341 -1998 +-4548 4976 +-202 3884 +-2858 -1671 +-3459 1149 +-2432 3286 +-6397 2753 +-1226 708 +4681 0 +-1226 -708 +-6397 -2753 +-2432 -3286 +-3459 -1149 +-2858 1671 +-202 -3884 +-4548 -4976 +2341 1998 +5810 -1915 +-542 346 +-1007 6672 +-1044 -887 +2313 -2152 +-2437 2180 +-6117 3932 +2341 3511 +3168 -1421 +4557 -234 +4009 -1473 +2014 -2542 +2790 4105 +-2677 -802 +438 -4517 +2341 -343 +91 -1461 +2050 1588 +-3250 1637 +-2192 -2804 +435 -73 +-3715 1453 +2384 55 +9362 0 +2384 -55 +-3715 -1453 +435 73 +-2192 2804 +-3250 -1637 +2050 -1588 +91 1461 +2341 343 +438 4517 +-2677 802 +2790 -4105 +2014 2542 +4009 1473 +4557 234 +3168 1421 +2341 -3511 +-6117 -3932 +-2437 -2180 +2313 2152 +-1044 887 +-1007 -6672 +-542 -346 +5810 1915 +2341 -1998 +-4548 4976 +-202 3884 +-2858 -1671 +-3459 1149 +-2432 3286 +-6397 2753 +-1226 708 +-1170 1170 +935 683 +6518 -856 +536 1744 +-2757 -903 +4777 -1366 +3443 6268 +2666 3984 +0 -2483 +-513 1607 +1941 790 +1589 -5802 +3475 -3684 +-3062 -943 +-2712 -665 +581 1813 +-3511 0 +581 -1813 +-2712 665 +-3062 943 +3475 3684 +1589 5802 +1941 -790 +-513 -1607 +0 2483 +2666 -3984 +3443 -6268 +4777 1366 +-2757 903 +536 -1744 +6518 856 +935 -683 +-1170 -1170 +-1054 1942 +5756 856 +2557 2228 +-4549 5584 +1996 -167 +-4395 -6268 +-6415 -2650 +0 2483 +-4149 2260 +382 -790 +2584 -1848 +-850 -997 +2263 -1202 +-1572 665 +-5291 3346 +-3511 0 +-5291 -3346 +-1572 -665 +2263 1202 +-850 997 +2584 1848 +382 790 +-4149 -2260 +0 -2483 +-6415 2650 +-4395 6268 +1996 167 +-4549 -5584 +2557 -2228 +5756 -856 +-1054 -1942 +-1170 1170 +935 683 +6518 -856 +536 1744 +-2757 -903 +4777 -1366 +3443 6268 +2666 3984 +0 -2483 +-513 1607 +1941 790 +1589 -5802 +3475 -3684 +-3062 -943 +-2712 -665 +581 1813 +1170 -4681 +-3117 3425 +-2192 2140 +2878 60 +2930 -3543 +3313 269 +2758 1900 +-1522 -2088 +-4138 0 +-2435 -239 +-2785 -1594 +-2295 -3870 +-1401 -1559 +-2399 1710 +3676 -2761 +3545 -1198 +-2341 0 +3545 1198 +3676 2761 +-2399 -1710 +-1401 1559 +-2295 3870 +-2785 1594 +-2435 239 +-4138 0 +-1522 2088 +2758 -1900 +3313 -269 +2930 3543 +2878 -60 +-2192 -2140 +-3117 -3425 +1170 4681 +-2901 7732 +1297 -1752 +8726 -1680 +2035 2573 +734 4200 +-596 2813 +-1628 2565 +4138 0 +-1035 -5043 +622 2997 +4868 2916 +-3564 -4092 +-2586 609 +-2780 -161 +-4148 -2767 +0 0 +-4148 2767 +-2780 161 +-2586 -609 +-3564 4092 +4868 -2916 +622 -2997 +-1035 5043 +4138 0 +-1628 -2565 +-596 -2813 +734 -4200 +2035 -2573 +8726 1680 +1297 1752 +-2901 -7732 +1170 -4681 +-3117 3425 +-2192 2140 +2878 60 +2930 -3543 +3313 269 +2758 1900 +-1522 -2088 +-4138 0 +-2435 -239 +-2785 -1594 +-2295 -3870 +-1401 -1559 +-2399 1710 +3676 -2761 +3545 -1198 +-2341 0 +-2312 6675 +3842 405 +-598 -173 +-1646 2341 +-730 3345 +-5196 -4458 +-1671 -9625 +343 0 +-3775 -220 +-4270 -1706 +1531 966 +4967 -2341 +-1176 -1547 +-2530 1050 +-105 1787 +-1170 0 +-105 -1787 +-2530 -1050 +-1176 1547 +4967 2341 +1531 -966 +-4270 1706 +-3775 220 +343 0 +-1671 9625 +-5196 4458 +-730 -3345 +-1646 -2341 +-598 173 +3842 -405 +-2312 -6675 +-2341 0 +151 2149 +-25 -2196 +4327 5545 +-9 2341 +33 -989 +4436 133 +-821 -3937 +1998 0 +4476 493 +1720 -2619 +3491 -2787 +-3312 -2341 +-6878 -1136 +2023 -2842 +4058 -1731 +1170 0 +4058 1731 +2023 2842 +-6878 1136 +-3312 2341 +3491 2787 +1720 2619 +4476 -493 +1998 0 +-821 3937 +4436 -133 +33 989 +-9 -2341 +4327 -5545 +-25 2196 +151 -2149 +-2341 0 +-2312 6675 +3842 405 +-598 -173 +-1646 2341 +-730 3345 +-5196 -4458 +-1671 -9625 +343 0 +-3775 -220 +-4270 -1706 +1531 966 +4967 -2341 +-1176 -1547 +-2530 1050 +-105 1787 +-1170 -2341 +-1789 1227 +772 -3442 +76 -1952 +-1207 828 +-1692 5278 +-4109 2662 +-3747 -3558 +1998 5166 +5909 369 +5271 -6280 +4009 2185 +2736 828 +1290 2903 +852 2627 +465 -8664 +0 0 +465 8664 +852 -2627 +1290 -2903 +2736 -828 +4009 -2185 +5271 6280 +5909 -369 +1998 -5166 +-3747 3558 +-4109 -2662 +-1692 -5278 +-1207 -828 +76 1952 +772 3442 +-1789 -1227 +-1170 2341 +2335 1580 +-384 -1883 +-4641 2260 +-2103 828 +258 3377 +-604 1900 +1094 -271 +343 1856 +-5048 -5633 +-3867 -5140 +1749 -744 +574 828 +-1049 -447 +2070 -5210 +780 673 +-2341 0 +780 -673 +2070 5210 +-1049 447 +574 -828 +1749 744 +-3867 5140 +-5048 5633 +343 -1856 +1094 271 +-604 -1900 +258 -3377 +-2103 -828 +-4641 -2260 +-384 1883 +2335 -1580 +-1170 -2341 +-1789 1227 +772 -3442 +76 -1952 +-1207 828 +-1692 5278 +-4109 2662 +-3747 -3558 +1998 5166 +5909 369 +5271 -6280 +4009 2185 +2736 828 +1290 2903 +852 2627 +465 -8664 +-3511 -4681 +-1671 -1363 +1622 -1312 +-382 591 +2251 6795 +591 853 +-3633 -665 +2272 788 +343 -2825 +13 -674 +3557 -1016 +-168 -3767 +722 -410 +-320 4383 +997 159 +-613 -7847 +-7022 0 +-613 7847 +997 -159 +-320 -4383 +722 410 +-168 3767 +3557 1016 +13 674 +343 2825 +2272 -788 +-3633 665 +591 -853 +2251 -6795 +-382 -591 +1622 1312 +-1671 1363 +-3511 4681 +1413 -569 +170 1522 +-6170 1549 +89 -4171 +3564 4344 +-692 6823 +3896 652 +1998 485 +-337 -840 +767 -818 +-1181 2765 +1618 7715 +-2554 7540 +-2788 1422 +1647 219 +0 0 +1647 -219 +-2788 -1422 +-2554 -7540 +1618 -7715 +-1181 -2765 +767 818 +-337 840 +1998 -485 +3896 -652 +-692 -6823 +3564 -4344 +89 4171 +-6170 -1549 +170 -1522 +1413 569 +-3511 -4681 +-1671 -1363 +1622 -1312 +-382 591 +2251 6795 +591 853 +-3633 -665 +2272 788 +343 -2825 +13 -674 +3557 -1016 +-168 -3767 +722 -410 +-320 4383 +997 159 +-613 -7847 +-3511 -2341 +142 5076 +1246 3188 +-213 -4121 +1550 -644 +-1817 -3374 +-2232 2086 +2563 2411 +3168 -4965 +-136 1364 +-4751 -3995 +-3169 -2627 +-738 6739 +-3785 -1251 +459 -1547 +5492 2863 +4681 0 +5492 -2863 +459 1547 +-3785 1251 +-738 -6739 +-3169 2627 +-4751 3995 +-136 -1364 +3168 4965 +2563 -2411 +-2232 -2086 +-1817 3374 +1550 644 +-213 4121 +1246 -3188 +142 -5076 +-3511 2341 +1627 -1678 +3541 -3188 +1851 1636 +2446 7264 +-2496 3868 +-4178 -2086 +234 2675 +1513 4965 +-366 3722 +-3451 3995 +-929 3121 +1424 -119 +-2682 -1234 +3 1547 +3683 535 +2341 0 +3683 -535 +3 -1547 +-2682 1234 +1424 119 +-929 -3121 +-3451 -3995 +-366 -3722 +1513 -4965 +234 -2675 +-4178 2086 +-2496 -3868 +2446 -7264 +1851 -1636 +3541 3188 +1627 1678 +-3511 -2341 +142 5076 +1246 3188 +-213 -4121 +1550 -644 +-1817 -3374 +-2232 2086 +2563 2411 +3168 -4965 +-136 1364 +-4751 -3995 +-3169 -2627 +-738 6739 +-3785 -1251 +459 -1547 +5492 2863 +2341 2341 +2618 736 +547 2532 +4249 1691 +2309 -1170 +-3265 -2157 +419 -1410 +-1992 -832 +-343 -1655 +1251 235 +559 6074 +2690 6564 +-4556 1170 +-1956 1082 +2668 5200 +-2338 4683 +-3511 0 +-2338 -4683 +2668 -5200 +-1956 -1082 +-4556 -1170 +2690 -6564 +559 -6074 +1251 -235 +-343 1655 +-1992 832 +419 1410 +-3265 2157 +2309 1170 +4249 -1691 +547 -2532 +2618 -736 +2341 -2341 +1978 -3395 +-4501 2479 +-2119 4686 +4996 -1170 +158 -4049 +3010 -4377 +857 -4349 +-1998 1655 +3194 3654 +-3988 -3870 +-2893 -4036 +1931 1170 +-3484 -1955 +1286 -1561 +1051 4470 +-5851 0 +1051 -4470 +1286 1561 +-3484 1955 +1931 -1170 +-2893 4036 +-3988 3870 +3194 -3654 +-1998 -1655 +857 4349 +3010 4377 +158 4049 +4996 1170 +-2119 -4686 +-4501 -2479 +1978 3395 +2341 2341 +2618 736 +547 2532 +4249 1691 +2309 -1170 +-3265 -2157 +419 -1410 +-1992 -832 +-343 -1655 +1251 235 +559 6074 +2690 6564 +-4556 1170 +-1956 1082 +2668 5200 +-2338 4683 +-7022 3511 +94 4525 +5213 -1727 +2547 2043 +-2825 4967 +-2742 4019 +1835 2188 +1964 -2797 +2341 343 +4225 128 +2446 2404 +2230 7049 +485 9 +-3157 1491 +-868 2896 +-2538 -5929 +-7022 0 +-2538 5929 +-868 -2896 +-3157 -1491 +485 -9 +2230 -7049 +2446 -2404 +4225 -128 +2341 -343 +1964 2797 +1835 -2188 +-2742 -4019 +-2825 -4967 +2547 -2043 +5213 1727 +94 -4525 +-7022 -3511 +-2256 -2050 +3107 2622 +-3443 6245 +-2825 -3312 +3637 122 +642 -26 +199 -4710 +2341 1998 +-2063 -1016 +-3552 -241 +-1334 3712 +485 1646 +2262 177 +539 -2000 +376 1784 +2341 0 +376 -1784 +539 2000 +2262 -177 +485 -1646 +-1334 -3712 +-3552 241 +-2063 1016 +2341 -1998 +199 4710 +642 26 +3637 -122 +-2825 3312 +-3443 -6245 +3107 -2622 +-2256 2050 +-7022 3511 +94 4525 +5213 -1727 +2547 2043 +-2825 4967 +-2742 4019 +1835 2188 +1964 -2797 +2341 343 +4225 128 +2446 2404 +2230 7049 +485 9 +-3157 1491 +-868 2896 +-2538 -5929 +2341 -3511 +-1544 99 +-3272 6123 +2302 -5155 +1872 -6195 +2223 -857 +-1173 -1314 +-3753 2204 +-1856 -3168 +-730 -8354 +13 -1091 +-1055 -939 +2631 -4117 +4116 -2662 +-1027 -796 +-1642 2323 +0 0 +-1642 -2323 +-1027 796 +4116 2662 +2631 4117 +-1055 939 +13 1091 +-730 8354 +-1856 3168 +-3753 -2204 +-1173 1314 +2223 857 +1872 6195 +2302 5155 +-3272 -6123 +-1544 -99 +2341 3511 +-1436 -4335 +2377 931 +1029 3188 +-1186 -2766 +1422 -374 +3336 1895 +5378 358 +-5166 -1513 +-6739 -1400 +-2175 3043 +-5396 2870 +1364 -163 +1979 1115 +1923 -141 +3846 -2892 +0 0 +3846 2892 +1923 141 +1979 -1115 +1364 163 +-5396 -2870 +-2175 -3043 +-6739 1400 +-5166 1513 +5378 -358 +3336 -1895 +1422 374 +-1186 2766 +1029 -3188 +2377 -931 +-1436 4335 +2341 -3511 +-1544 99 +-3272 6123 +2302 -5155 +1872 -6195 +2223 -857 +-1173 -1314 +-3753 2204 +-1856 -3168 +-730 -8354 +13 -1091 +-1055 -939 +2631 -4117 +4116 -2662 +-1027 -796 +-1642 2323 +-1170 -1170 +-1204 1152 +5390 -1221 +3561 -7112 +-485 3975 +-3946 4685 +-6042 -7833 +2222 -3968 +-2825 -1998 +-6894 -3542 +-1141 3392 +17 1184 +2825 -2057 +-1765 2071 +-115 1973 +2121 721 +-3511 0 +2121 -721 +-115 -1973 +-1765 -2071 +2825 2057 +17 -1184 +-1141 -3392 +-6894 3542 +-2825 1998 +2222 3968 +-6042 7833 +-3946 -4685 +-485 -3975 +3561 7112 +5390 1221 +-1204 -1152 +-1170 1170 +-2853 -2115 +-2332 -1032 +-149 -910 +-485 21 +5950 1550 +4775 -4693 +-281 -4912 +485 -343 +1643 -2176 +2408 -1307 +1289 1385 +2825 1372 +1663 2223 +-2943 1022 +-1373 -1264 +1170 0 +-1373 1264 +-2943 -1022 +1663 -2223 +2825 -1372 +1289 -1385 +2408 1307 +1643 2176 +485 343 +-281 4912 +4775 4693 +5950 -1550 +-485 -21 +-149 910 +-2332 1032 +-2853 2115 +-1170 -1170 +-1204 1152 +5390 -1221 +3561 -7112 +-485 3975 +-3946 4685 +-6042 -7833 +2222 -3968 +-2825 -1998 +-6894 -3542 +-1141 3392 +17 1184 +2825 -2057 +-1765 2071 +-115 1973 +2121 721 +-1170 -1170 +2319 101 +3761 -1277 +-229 1649 +3585 -4734 +157 -6986 +-3329 1549 +4157 -693 +2140 -2967 +-3532 188 +-456 1626 +-558 186 +-4302 -1760 +-4225 -1676 +-971 -2533 +2304 -1631 +3511 0 +2304 1631 +-971 2533 +-4225 1676 +-4302 1760 +-558 -186 +-456 -1626 +-3532 -188 +2140 2967 +4157 693 +-3329 -1549 +157 6986 +3585 4734 +-229 -1649 +3761 1277 +2319 -101 +-1170 1170 +2966 614 +-1970 -1466 +-2504 -1762 +5376 -2572 +1867 2129 +-996 -1591 +-1542 26 +-4480 5308 +643 -435 +4781 6324 +3873 7272 +23 -864 +-5001 -2103 +-820 -1582 +-696 5508 +-5851 0 +-696 -5508 +-820 1582 +-5001 2103 +23 864 +3873 -7272 +4781 -6324 +643 435 +-4480 -5308 +-1542 -26 +-996 1591 +1867 -2129 +5376 2572 +-2504 1762 +-1970 1466 +2966 -614 +-1170 -1170 +2319 101 +3761 -1277 +-229 1649 +3585 -4734 +157 -6986 +-3329 1549 +4157 -693 +2140 -2967 +-3532 188 +-456 1626 +-558 186 +-4302 -1760 +-4225 -1676 +-971 -2533 +2304 -1631 +-2341 0 +-2645 -1521 +-823 -4244 +-1955 3628 +1767 5182 +2903 -2322 +770 -564 +1628 2997 +828 485 +9982 -328 +4822 -2302 +-5424 -3469 +3548 679 +-2751 1111 +-2398 349 +5282 2899 +1170 0 +5282 -2899 +-2398 -349 +-2751 -1111 +3548 -679 +-5424 3469 +4822 2302 +9982 328 +828 -485 +1628 -2997 +770 564 +2903 2322 +1767 -5182 +-1955 -3628 +-823 4244 +-2645 1521 +-2341 0 +-2052 2979 +-3036 -648 +-3252 -1481 +-396 2124 +985 -58 +-4126 -913 +-2105 631 +-828 -2825 +-3662 -3678 +473 -545 +4342 -430 +4443 1946 +-1468 6138 +-5044 2751 +192 -2455 +3511 0 +192 2455 +-5044 -2751 +-1468 -6138 +4443 -1946 +4342 430 +473 545 +-3662 3678 +-828 2825 +-2105 -631 +-4126 913 +985 58 +-396 -2124 +-3252 1481 +-3036 648 +-2052 -2979 +-2341 0 +-2645 -1521 +-823 -4244 +-1955 3628 +1767 5182 +2903 -2322 +770 -564 +1628 2997 +828 485 +9982 -328 +4822 -2302 +-5424 -3469 +3548 679 +-2751 1111 +-2398 349 +5282 2899 +-2341 -2341 +-2277 -3758 +-637 5066 +4114 4394 +791 -604 +-7051 1308 +-4420 -1650 +2652 -2956 +1312 -686 +-1604 -4562 +1695 -4732 +4663 1129 +3079 -3469 +823 -2437 +-200 3151 +-859 -4952 +-1170 0 +-859 4952 +-200 -3151 +823 2437 +3079 3469 +4663 -1129 +1695 4732 +-1604 4562 +1312 686 +2652 2956 +-4420 1650 +-7051 -1308 +791 604 +4114 -4394 +-637 -5066 +-2277 3758 +-2341 2341 +-4378 76 +-1525 -1427 +2521 851 +-105 6254 +-812 1450 +3525 3854 +1619 1936 +-3653 -3996 +-2394 381 +-799 -1055 +-2140 5295 +917 4439 +4502 -4634 +2363 1859 +621 850 +1170 0 +621 -850 +2363 -1859 +4502 4634 +917 -4439 +-2140 -5295 +-799 1055 +-2394 -381 +-3653 3996 +1619 -1936 +3525 -3854 +-812 -1450 +-105 -6254 +2521 -851 +-1525 1427 +-4378 -76 +-2341 -2341 +-2277 -3758 +-637 5066 +4114 4394 +791 -604 +-7051 1308 +-4420 -1650 +2652 -2956 +1312 -686 +-1604 -4562 +1695 -4732 +4663 1129 +3079 -3469 +823 -2437 +-200 3151 +-859 -4952 +-2341 1170 +-881 4767 +669 -1938 +-5211 -2462 +-3252 819 +615 6483 +935 2892 +3292 -5776 +1170 -828 +1468 1011 +2724 430 +-61 3546 +-1968 4139 +-2608 2424 +2971 2071 +2962 5662 +-2341 0 +2962 -5662 +2971 -2071 +-2608 -2424 +-1968 -4139 +-61 -3546 +2724 -430 +1468 -1011 +1170 828 +3292 5776 +935 -2892 +615 -6483 +-3252 -819 +-5211 2462 +669 1938 +-881 -4767 +-2341 -1170 +-1785 873 +-4486 -2744 +-1956 -93 +1597 -819 +3305 3963 +-176 1789 +-3377 -3971 +1170 828 +-641 -6076 +-173 -5112 +6582 2219 +3623 -4139 +-666 -298 +-2464 2610 +-1038 -4703 +2341 0 +-1038 4703 +-2464 -2610 +-666 298 +3623 4139 +6582 -2219 +-173 5112 +-641 6076 +1170 -828 +-3377 3971 +-176 -1789 +3305 -3963 +1597 819 +-1956 93 +-4486 2744 +-1785 -873 +-2341 1170 +-881 4767 +669 -1938 +-5211 -2462 +-3252 819 +615 6483 +935 2892 +3292 -5776 +1170 -828 +1468 1011 +2724 430 +-61 3546 +-1968 4139 +-2608 2424 +2971 2071 +2962 5662 +-4681 0 +-2735 -248 +1822 1163 +3179 -113 +105 992 +-1226 -4200 +-94 -2454 +-1349 2956 +1028 0 +-2140 -542 +-750 2994 +5361 4183 +-917 2066 +1087 4205 +3860 3419 +1447 -4893 +3511 0 +1447 4893 +3860 -3419 +1087 -4205 +-917 -2066 +5361 -4183 +-750 -2994 +-2140 542 +1028 0 +-1349 -2956 +-94 2454 +-1226 4200 +105 -992 +3179 113 +1822 -1163 +-2735 248 +-4681 0 +624 -7065 +1859 1314 +-224 4279 +-791 -3333 +935 3279 +2004 2783 +1990 -3015 +5993 0 +-1811 904 +-7781 5327 +-1760 7212 +-3079 275 +-732 -3705 +-921 -2313 +-2645 743 +1170 0 +-2645 -743 +-921 2313 +-732 3705 +-3079 -275 +-1760 -7212 +-7781 -5327 +-1811 -904 +5993 0 +1990 3015 +2004 -2783 +935 -3279 +-791 3333 +-224 -4279 +1859 -1314 +624 7065 +-4681 0 +-2735 -248 +1822 1163 +3179 -113 +105 992 +-1226 -4200 +-94 -2454 +-1349 2956 +1028 0 +-2140 -542 +-750 2994 +5361 4183 +-917 2066 +1087 4205 +3860 3419 +1447 -4893 +2341 1170 +2785 2824 +-453 -2622 +-3383 1963 +-2848 1812 +2034 916 +-206 -2901 +-4033 1017 +-3310 5308 +-2846 -3966 +2090 859 +2429 3104 +-3100 -2953 +127 -2626 +1493 -5053 +-4363 -1288 +-7022 0 +-4363 1288 +1493 5053 +127 2626 +-3100 2953 +2429 -3104 +2090 -859 +-2846 3966 +-3310 -5308 +-4033 -1017 +-206 2901 +2034 -916 +-2848 -1812 +-3383 -1963 +-453 2622 +2785 -2824 +2341 -1170 +-1688 6347 +2794 -2927 +5684 -1884 +1477 2183 +2868 -900 +2546 3636 +539 2091 +3310 -2967 +3030 -56 +251 1247 +-4020 -520 +-4891 2267 +883 -1347 +847 -8488 +-44 -3292 +2341 0 +-44 3292 +847 8488 +883 1347 +-4891 -2267 +-4020 520 +251 -1247 +3030 56 +3310 2967 +539 -2091 +2546 -3636 +2868 900 +1477 -2183 +5684 1884 +2794 2927 +-1688 -6347 +2341 1170 +2785 2824 +-453 -2622 +-3383 1963 +-2848 1812 +2034 916 +-206 -2901 +-4033 1017 +-3310 5308 +-2846 -3966 +2090 859 +2429 3104 +-3100 -2953 +127 -2626 +1493 -5053 +-4363 -1288 +2341 1170 +909 995 +-3473 -1516 +-5475 -790 +-1506 -5019 +712 -2875 +-1437 -2082 +455 95 +0 343 +-4228 -3643 +-2597 874 +292 254 +2951 5516 +4925 3367 +827 -8923 +987 1645 +4681 0 +987 -1645 +827 8923 +4925 -3367 +2951 -5516 +292 -254 +-2597 -874 +-4228 3643 +0 -343 +455 -95 +-1437 2082 +712 2875 +-1506 5019 +-5475 790 +-3473 1516 +909 -995 +2341 -1170 +-1310 5813 +-523 977 +4240 -1462 +-2773 1993 +-7960 -722 +751 -2970 +2926 69 +0 1998 +2639 1274 +1912 3437 +2631 2266 +6009 820 +636 497 +-4822 -978 +-2377 2630 +0 0 +-2377 -2630 +-4822 978 +636 -497 +6009 -820 +2631 -2266 +1912 -3437 +2639 -1274 +0 -1998 +2926 -69 +751 2970 +-7960 722 +-2773 -1993 +4240 1462 +-523 -977 +-1310 -5813 +2341 1170 +909 995 +-3473 -1516 +-5475 -790 +-1506 -5019 +712 -2875 +-1437 -2082 +455 95 +0 343 +-4228 -3643 +-2597 874 +292 254 +2951 5516 +4925 3367 +827 -8923 +987 1645 +1170 1170 +2566 5736 +-2968 7713 +-5049 2859 +12 -2953 +2172 -3474 +-1345 524 +965 -3708 +2825 -4338 +-4305 709 +-2049 -6850 +-536 -3597 +-4684 2183 +1643 -2888 +31 281 +-2982 861 +1170 0 +-2982 -861 +31 -281 +1643 2888 +-4684 -2183 +-536 3597 +-2049 6850 +-4305 -709 +2825 4338 +965 3708 +-1345 -524 +2172 3474 +12 2953 +-5049 -2859 +-2968 -7713 +2566 -5736 +1170 -1170 +35 2604 +628 681 +458 -2579 +2328 2267 +-1258 2981 +-996 487 +2927 -1915 +-485 -2683 +413 -1503 +-292 -2069 +-377 5399 +7024 1812 +2948 -7777 +-2372 -1817 +382 -932 +1170 0 +382 932 +-2372 1817 +2948 7777 +7024 -1812 +-377 -5399 +-292 2069 +413 1503 +-485 2683 +2927 1915 +-996 -487 +-1258 -2981 +2328 -2267 +458 2579 +628 -681 +35 -2604 +1170 1170 +2566 5736 +-2968 7713 +-5049 2859 +12 -2953 +2172 -3474 +-1345 524 +965 -3708 +2825 -4338 +-4305 709 +-2049 -6850 +-536 -3597 +-4684 2183 +1643 -2888 +31 281 +-2982 861 +1170 -2341 +-1303 -4574 +-1721 335 +3305 -655 +1754 -1102 +398 -74 +-901 -5600 +101 1158 +2683 5166 +3114 207 +-767 2511 +2167 -805 +8231 -1820 +-2173 -940 +-3941 -5507 +1988 -1350 +0 0 +1988 1350 +-3941 5507 +-2173 940 +8231 1820 +2167 805 +-767 -2511 +3114 -207 +2683 -5166 +101 -1158 +-901 5600 +398 74 +1754 1102 +3305 655 +-1721 -335 +-1303 4574 +1170 2341 +-5002 2600 +-619 3314 +714 -1588 +-2724 -2894 +175 -3729 +-1440 -3913 +2311 -2370 +4338 1856 +590 2906 +-1573 -5972 +-5272 -4789 +-2581 2505 +687 -3095 +1601 -3516 +-1800 3702 +-7022 0 +-1800 -3702 +1601 3516 +687 3095 +-2581 -2505 +-5272 4789 +-1573 5972 +590 -2906 +4338 -1856 +2311 2370 +-1440 3913 +175 3729 +-2724 2894 +714 1588 +-619 -3314 +-5002 -2600 +1170 -2341 +-1303 -4574 +-1721 335 +3305 -655 +1754 -1102 +398 -74 +-901 -5600 +101 1158 +2683 5166 +3114 207 +-767 2511 +2167 -805 +8231 -1820 +-2173 -940 +-3941 -5507 +1988 -1350 +-3511 1170 +138 -5018 +428 -3643 +328 244 +-3110 -128 +-5112 267 +4397 -302 +4730 1818 +5166 343 +7251 734 +1234 2608 +-2340 -2529 +-6791 2668 +-2196 1881 +5078 -6763 +-1992 918 +-8192 0 +-1992 -918 +5078 6763 +-2196 -1881 +-6791 -2668 +-2340 2529 +1234 -2608 +7251 -734 +5166 -343 +4730 -1818 +4397 302 +-5112 -267 +-3110 128 +328 -244 +428 3643 +138 5018 +-3511 -1170 +844 224 +510 -504 +-2035 -949 +1739 5093 +111 1577 +-2445 649 +83 4536 +1856 1998 +-4429 2309 +-1815 2419 +5822 1063 +-1200 2297 +-1198 725 +1976 -2065 +-5 -2402 +1170 0 +-5 2402 +1976 2065 +-1198 -725 +-1200 -2297 +5822 -1063 +-1815 -2419 +-4429 -2309 +1856 -1998 +83 -4536 +-2445 -649 +111 -1577 +1739 -5093 +-2035 949 +510 504 +844 -224 +-3511 1170 +138 -5018 +428 -3643 +328 244 +-3110 -128 +-5112 267 +4397 -302 +4730 1818 +5166 343 +7251 734 +1234 2608 +-2340 -2529 +-6791 2668 +-2196 1881 +5078 -6763 +-1992 918 +1170 1170 +1292 3867 +-1054 4785 +-2016 3334 +-381 -1783 +-1358 113 +-2338 6880 +-444 248 +5166 -2967 +3329 694 +3638 -1654 +4681 1462 +-3048 1013 +-376 -3299 +-525 -2222 +-546 -4115 +5851 0 +-546 4115 +-525 2222 +-376 3299 +-3048 -1013 +4681 -1462 +3638 1654 +3329 -694 +5166 2967 +-444 -248 +-2338 -6880 +-1358 -113 +-381 1783 +-2016 -3334 +-1054 -4785 +1292 -3867 +1170 -1170 +1841 1303 +-2165 1761 +595 888 +7002 3438 +584 1444 +-7773 -3748 +-4708 3451 +1856 5308 +-3279 199 +-1517 2847 +3727 872 +-3572 642 +782 1678 +2372 -2533 +-4105 -141 +-3511 0 +-4105 141 +2372 2533 +782 -1678 +-3572 -642 +3727 -872 +-1517 -2847 +-3279 -199 +1856 -5308 +-4708 -3451 +-7773 3748 +584 -1444 +7002 -3438 +595 -888 +-2165 -1761 +1841 -1303 +1170 1170 +1292 3867 +-1054 4785 +-2016 3334 +-381 -1783 +-1358 113 +-2338 6880 +-444 248 +5166 -2967 +3329 694 +3638 -1654 +4681 1462 +-3048 1013 +-376 -3299 +-525 -2222 +-546 -4115 +-2341 -1170 +678 1836 +4415 -6688 +-2218 692 +-4891 3975 +-950 -3070 +-1004 -745 +980 228 +2341 2683 +527 2052 +2654 -759 +578 362 +-2848 -2057 +4362 -1222 +8704 630 +2212 -1668 +-2341 0 +2212 1668 +8704 -630 +4362 1222 +-2848 2057 +578 -362 +2654 759 +527 -2052 +2341 -2683 +980 -228 +-1004 745 +-950 3070 +-4891 -3975 +-2218 -692 +4415 6688 +678 -1836 +-2341 1170 +-1285 -397 +-5133 -5586 +-6737 2315 +-3100 21 +1509 15 +4611 -207 +3431 -2745 +2341 4338 +905 3066 +-1581 -1565 +1668 -1898 +1477 1372 +-4834 -872 +-3306 -4913 +-829 4121 +-2341 0 +-829 -4121 +-3306 4913 +-4834 872 +1477 -1372 +1668 1898 +-1581 1565 +905 -3066 +2341 -4338 +3431 2745 +4611 207 +1509 -15 +-3100 -21 +-6737 -2315 +-5133 5586 +-1285 397 +-2341 -1170 +678 1836 +4415 -6688 +-2218 692 +-4891 3975 +-950 -3070 +-1004 -745 +980 228 +2341 2683 +527 2052 +2654 -759 +578 362 +-2848 -2057 +4362 -1222 +8704 630 +2212 -1668 +1170 2341 +-1991 2165 +-5239 3393 +-789 -2227 +-544 3265 +-2562 2156 +3461 -1466 +5009 340 +343 -485 +326 1978 +4019 -1022 +7384 598 +3147 2715 +-4540 -1837 +-2364 -1230 +992 -3603 +0 0 +992 3603 +-2364 1230 +-4540 1837 +3147 -2715 +7384 -598 +4019 1022 +326 -1978 +343 485 +5009 -340 +3461 1466 +-2562 -2156 +-544 -3265 +-789 2227 +-5239 -3393 +-1991 -2165 +1170 -2341 +457 -2793 +1380 4854 +2170 -120 +2885 731 +-1025 734 +-6817 -4499 +-2397 4763 +1998 2825 +-404 -1199 +1275 7729 +2318 4083 +-807 -3401 +-2958 1281 +-5078 3424 +-1991 -1349 +2341 0 +-1991 1349 +-5078 -3424 +-2958 -1281 +-807 3401 +2318 -4083 +1275 -7729 +-404 1199 +1998 -2825 +-2397 -4763 +-6817 4499 +-1025 -734 +2885 -731 +2170 120 +1380 -4854 +457 2793 +1170 2341 +-1991 2165 +-5239 3393 +-789 -2227 +-544 3265 +-2562 2156 +3461 -1466 +5009 340 +343 -485 +326 1978 +4019 -1022 +7384 598 +3147 2715 +-4540 -1837 +-2364 -1230 +992 -3603 +0 5851 +-1528 4098 +-1244 -2143 +-1970 4711 +-1849 448 +5139 644 +7241 1399 +1644 -1665 +-1856 2483 +-1426 481 +274 -22 +739 -1280 +953 -1081 +641 3078 +-1779 1820 +-461 4310 +2341 0 +-461 -4310 +-1779 -1820 +641 -3078 +953 1081 +739 1280 +274 22 +-1426 -481 +-1856 -2483 +1644 1665 +7241 -1399 +5139 -644 +-1849 -448 +-1970 -4711 +-1244 2143 +-1528 -4098 +0 -5851 +-4585 4749 +-5334 -197 +783 -2357 +-3116 -448 +-3888 1215 +3494 942 +-1593 -4795 +-5166 -2483 +2390 -3631 +3603 -2318 +3112 6449 +4012 1081 +2065 -4034 +3108 520 +-1060 1227 +-7022 0 +-1060 -1227 +3108 -520 +2065 4034 +4012 -1081 +3112 -6449 +3603 2318 +2390 3631 +-5166 2483 +-1593 4795 +3494 -942 +-3888 -1215 +-3116 448 +783 2357 +-5334 197 +-4585 -4749 +0 5851 +-1528 4098 +-1244 -2143 +-1970 4711 +-1849 448 +5139 644 +7241 1399 +1644 -1665 +-1856 2483 +-1426 481 +274 -22 +739 -1280 +953 -1081 +641 3078 +-1779 1820 +-461 4310 +1170 -2341 +-882 1765 +-3021 709 +312 3649 +2057 0 +2127 -8427 +469 1089 +2591 4055 +6478 -3996 +810 185 +1464 -362 +4990 -671 +21 0 +-935 -3567 +-3841 -2232 +-1858 -4249 +4681 0 +-1858 4249 +-3841 2232 +-935 3567 +21 0 +4990 671 +1464 362 +810 -185 +6478 3996 +2591 -4055 +469 -1089 +2127 8427 +2057 0 +312 -3649 +-3021 -709 +-882 -1765 +1170 2341 +-247 8005 +-79 558 +937 -4813 +-1372 0 +-7419 -3669 +-3317 -4148 +2862 -789 +-1797 -686 +-1938 1052 +12 -2696 +2094 -1222 +3975 0 +-2105 -635 +-1051 3499 +-1337 -1250 +-7022 0 +-1337 1250 +-1051 -3499 +-2105 635 +3975 0 +2094 1222 +12 2696 +-1938 -1052 +-1797 686 +2862 789 +-3317 4148 +-7419 3669 +-1372 0 +937 4813 +-79 -558 +-247 -8005 +1170 -2341 +-882 1765 +-3021 709 +312 3649 +2057 0 +2127 -8427 +469 1089 +2591 4055 +6478 -3996 +810 185 +1464 -362 +4990 -671 +21 0 +-935 -3567 +-3841 -2232 +-1858 -4249 +-3511 2341 +-345 -3540 +-126 587 +1877 -6189 +1482 -5952 +452 2162 +-2211 -2288 +-3063 -8547 +3653 -5166 +2458 2198 +-776 -49 +-257 -752 +-3728 3772 +-702 5106 +2517 3497 +337 -2462 +0 0 +337 2462 +2517 -3497 +-702 -5106 +-3728 -3772 +-257 752 +-776 49 +2458 -2198 +3653 5166 +-3063 8547 +-2211 2288 +452 -2162 +1482 5952 +1877 6189 +-126 -587 +-345 3540 +-3511 -2341 +-2154 -1368 +2169 6586 +773 220 +4169 1956 +2692 -1280 +-4157 -4395 +-2834 3826 +-1312 -1856 +129 -3756 +524 1358 +422 -2033 +2759 -3086 +1362 1241 +2060 2304 +-1148 -2027 +-7022 0 +-1148 2027 +2060 -2304 +1362 -1241 +2759 3086 +422 2033 +524 -1358 +129 3756 +-1312 1856 +-2834 -3826 +-4157 4395 +2692 1280 +4169 -1956 +773 -220 +2169 -6586 +-2154 1368 +-3511 2341 +-345 -3540 +-126 587 +1877 -6189 +1482 -5952 +452 2162 +-2211 -2288 +-3063 -8547 +3653 -5166 +2458 2198 +-776 -49 +-257 -752 +-3728 3772 +-702 5106 +2517 3497 +337 -2462 +-4681 8192 +-3124 797 +-1370 -4370 +-2390 1887 +-1529 -2656 +-1323 -1939 +2847 1647 +18 -563 +-3996 -343 +-3713 -3119 +-2860 -3079 +-1535 313 +-633 -409 +4613 -193 +819 -2168 +-3435 -5075 +0 0 +-3435 5075 +819 2168 +4613 193 +-633 409 +-1535 -313 +-2860 3079 +-3713 3119 +-3996 343 +18 563 +2847 -1647 +-1323 1939 +-1529 2656 +-2390 -1887 +-1370 4370 +-3124 -797 +-4681 -8192 +5559 2026 +4978 1522 +297 -1510 +1529 31 +-351 -3049 +2551 3244 +3215 4236 +-686 -1998 +-2829 -340 +2143 -21 +6519 -2732 +633 -6896 +789 -3483 +255 691 +-2311 -5854 +0 0 +-2311 5854 +255 -691 +789 3483 +633 6896 +6519 2732 +2143 21 +-2829 340 +-686 1998 +3215 -4236 +2551 -3244 +-351 3049 +1529 -31 +297 1510 +4978 -1522 +5559 -2026 +-4681 8192 +-3124 797 +-1370 -4370 +-2390 1887 +-1529 -2656 +-1323 -1939 +2847 1647 +18 -563 +-3996 -343 +-3713 -3119 +-2860 -3079 +-1535 313 +-633 -409 +4613 -193 +819 -2168 +-3435 -5075 +0 -1170 +1612 -293 +-3508 2225 +-3266 -2260 +-16 -955 +-887 3855 +532 -1152 +-1480 -6688 +-485 -7164 +2823 -2495 +-3635 -2180 +-1415 -1627 +2535 1841 +-3558 -1919 +2683 -1174 +4578 3807 +-2341 0 +4578 -3807 +2683 1174 +-3558 1919 +2535 -1841 +-1415 1627 +-3635 2180 +2823 2495 +-485 7164 +-1480 6688 +532 1152 +-887 -3855 +-16 955 +-3266 2260 +-3508 -2225 +1612 293 +0 1170 +-362 3885 +1927 11 +1744 -3778 +3042 4265 +938 2017 +-2365 3744 +293 6892 +2825 -2199 +-117 -6727 +-2523 -6529 +2379 1655 +3801 1470 +-2555 -3341 +-2472 1471 +-727 -3021 +-2341 0 +-727 3021 +-2472 -1471 +-2555 3341 +3801 -1470 +2379 -1655 +-2523 6529 +-117 6727 +2825 2199 +293 -6892 +-2365 -3744 +938 -2017 +3042 -4265 +1744 3778 +1927 -11 +-362 -3885 +0 -1170 +1612 -293 +-3508 2225 +-3266 -2260 +-16 -955 +-887 3855 +532 -1152 +-1480 -6688 +-485 -7164 +2823 -2495 +-3635 -2180 +-1415 -1627 +2535 1841 +-3558 -1919 +2683 -1174 +4578 3807 +0 -3511 +1102 -2900 +2590 1018 +460 -657 +1044 -1275 +-2262 1761 +-4982 -1726 +-1492 1228 +-3996 3653 +-1199 -1934 +2167 556 +1342 2159 +3459 254 +-5022 643 +-5235 929 +-911 3236 +-4681 0 +-911 -3236 +-5235 -929 +-5022 -643 +3459 -254 +1342 -2159 +2167 -556 +-1199 1934 +-3996 -3653 +-1492 -1228 +-4982 1726 +-2262 -1761 +1044 1275 +460 657 +2590 -1018 +1102 2900 +0 3511 +8103 851 +8239 -1196 +-5893 1799 +-2014 -380 +5793 -4743 +-473 3171 +1596 4068 +-686 -1312 +-3503 -762 +-22 -3793 +-1325 -3769 +2192 -1909 +287 -872 +-2285 3574 +2924 2706 +4681 0 +2924 -2706 +-2285 -3574 +287 872 +2192 1909 +-1325 3769 +-22 3793 +-3503 762 +-686 1312 +1596 -4068 +-473 -3171 +5793 4743 +-2014 380 +-5893 -1799 +8239 1196 +8103 -851 +0 -3511 +1102 -2900 +2590 1018 +460 -657 +1044 -1275 +-2262 1761 +-4982 -1726 +-1492 1228 +-3996 3653 +-1199 -1934 +2167 556 +1342 2159 +3459 254 +-5022 643 +-5235 929 +-911 3236 +2341 -1170 +-1603 3284 +-7141 3703 +2579 5452 +3378 2736 +1655 -4231 +6313 -473 +1468 -768 +485 -828 +2413 7017 +-1546 -689 +-2077 -3035 +-320 2103 +431 -1469 +-658 -919 +2491 -1601 +7022 0 +2491 1601 +-658 919 +431 1469 +-320 -2103 +-2077 3035 +-1546 689 +2413 -7017 +485 828 +1468 768 +6313 473 +1655 4231 +3378 -2736 +2579 -5452 +-7141 -3703 +-1603 -3284 +2341 1170 +-2505 5415 +-3044 -645 +-3018 -4565 +1587 574 +1536 159 +-977 1740 +1995 1960 +-2825 828 +-1550 5476 +6140 1956 +677 903 +-4645 1207 +-1783 418 +913 3977 +-2708 -1001 +-7022 0 +-2708 1001 +913 -3977 +-1783 -418 +-4645 -1207 +677 -903 +6140 -1956 +-1550 -5476 +-2825 -828 +1995 -1960 +-977 -1740 +1536 -159 +1587 -574 +-3018 4565 +-3044 645 +-2505 -5415 +2341 -1170 +-1603 3284 +-7141 3703 +2579 5452 +3378 2736 +1655 -4231 +6313 -473 +1468 -768 +485 -828 +2413 7017 +-1546 -689 +-2077 -3035 +-320 2103 +431 -1469 +-658 -919 +2491 -1601 +2341 2341 +1051 487 +-3701 -2981 +-2537 -305 +-2073 -702 +-6169 -1282 +2974 -938 +5220 -1099 +2483 1170 +-267 373 +-2444 -628 +2011 2394 +2514 1461 +3467 -110 +-1354 1382 +-2555 642 +3511 0 +-2555 -642 +-1354 -1382 +3467 110 +2514 -1461 +2011 -2394 +-2444 628 +-267 -373 +2483 -1170 +5220 1099 +2974 938 +-6169 1282 +-2073 702 +-2537 305 +-3701 2981 +1051 -487 +2341 -2341 +11487 3075 +4030 -1224 +-5194 -4699 +4414 2357 +698 -476 +-1869 -4534 +1238 1909 +-2483 1170 +-1363 -2096 +-33 1775 +1165 1964 +-173 194 +-6681 1222 +-6966 1032 +-1571 387 +1170 0 +-1571 -387 +-6966 -1032 +-6681 -1222 +-173 -194 +1165 -1964 +-33 -1775 +-1363 2096 +-2483 -1170 +1238 -1909 +-1869 4534 +698 476 +4414 -2357 +-5194 4699 +4030 1224 +11487 -3075 +2341 2341 +1051 487 +-3701 -2981 +-2537 -305 +-2073 -702 +-6169 -1282 +2974 -938 +5220 -1099 +2483 1170 +-267 373 +-2444 -628 +2011 2394 +2514 1461 +3467 -110 +-1354 1382 +-2555 642 +0 1170 +-1128 2743 +-1805 -682 +-1303 -1276 +2357 -2999 +381 -1556 +249 -1883 +3887 -4073 +1170 -3168 +-1027 -1455 +-3801 507 +-3239 -2337 +-194 1589 +-2628 2655 +1868 1005 +-690 11213 +-9362 0 +-690 -11213 +1868 -1005 +-2628 -2655 +-194 -1589 +-3239 2337 +-3801 -507 +-1027 1455 +1170 3168 +3887 4073 +249 1883 +381 1556 +2357 2999 +-1303 1276 +-1805 682 +-1128 -2743 +0 -1170 +1567 1561 +1297 -2628 +441 -3384 +-702 -311 +-165 1616 +-2800 -1427 +-2487 -2059 +1170 -1513 +-2164 -500 +3042 2803 +7348 -6371 +-1461 -4899 +-835 6520 +1950 2305 +2043 1147 +4681 0 +2043 -1147 +1950 -2305 +-835 -6520 +-1461 4899 +7348 6371 +3042 -2803 +-2164 500 +1170 1513 +-2487 2059 +-2800 1427 +-165 -1616 +-702 311 +441 3384 +1297 2628 +1567 -1561 +0 1170 +-1128 2743 +-1805 -682 +-1303 -1276 +2357 -2999 +381 -1556 +249 -1883 +3887 -4073 +1170 -3168 +-1027 -1455 +-3801 507 +-3239 -2337 +-194 1589 +-2628 2655 +1868 1005 +-690 11213 +1170 0 +-1086 637 +-3078 2394 +1615 -3107 +1007 -2357 +3713 -925 +-1733 -3448 +-8820 251 +343 -485 +4446 -2132 +-3487 3256 +-947 2242 +7365 -194 +2262 1963 +-2521 2767 +-3926 2982 +-7022 0 +-3926 -2982 +-2521 -2767 +2262 -1963 +7365 194 +-947 -2242 +-3487 -3256 +4446 2132 +343 485 +-8820 -251 +-1733 3448 +3713 925 +1007 2357 +1615 3107 +-3078 -2394 +-1086 -637 +1170 0 +-2356 -3404 +1126 -1202 +-4373 642 +-2946 702 +2240 -982 +-5320 -3098 +-725 -353 +1998 2825 +-3 4836 +2549 1499 +2629 -4926 +3936 -1461 +-518 1415 +3103 365 +5850 3678 +0 0 +5850 -3678 +3103 -365 +-518 -1415 +3936 1461 +2629 4926 +2549 -1499 +-3 -4836 +1998 -2825 +-725 353 +-5320 3098 +2240 982 +-2946 -702 +-4373 -642 +1126 1202 +-2356 3404 +1170 0 +-1086 637 +-3078 2394 +1615 -3107 +1007 -2357 +3713 -925 +-1733 -3448 +-8820 251 +343 -485 +4446 -2132 +-3487 3256 +-947 2242 +7365 -194 +2262 1963 +-2521 2767 +-3926 2982 +4681 4681 +-489 2300 +-3447 -4957 +3152 824 +5161 -165 +3176 -1304 +1825 2046 +3961 -327 +3168 -2140 +889 -455 +-5986 -917 +-9048 -2790 +693 -1238 +-624 -1733 +-3104 -2127 +1319 2446 +1170 0 +1319 -2446 +-3104 2127 +-624 1733 +693 1238 +-9048 2790 +-5986 917 +889 455 +3168 2140 +3961 327 +1825 -2046 +3176 1304 +5161 165 +3152 -824 +-3447 4957 +-489 -2300 +4681 -4681 +-787 6439 +1107 3375 +1077 1961 +-1851 4160 +-1318 -1480 +-4165 -212 +247 1712 +1513 4480 +1796 3359 +3646 -5241 +-4770 -1008 +-4003 553 +1735 -3118 +763 1917 +-315 1191 +-1170 0 +-315 -1191 +763 -1917 +1735 3118 +-4003 -553 +-4770 1008 +3646 5241 +1796 -3359 +1513 -4480 +247 -1712 +-4165 212 +-1318 1480 +-1851 -4160 +1077 -1961 +1107 -3375 +-787 -6439 +4681 4681 +-489 2300 +-3447 -4957 +3152 824 +5161 -165 +3176 -1304 +1825 2046 +3961 -327 +3168 -2140 +889 -455 +-5986 -917 +-9048 -2790 +693 -1238 +-624 -1733 +-3104 -2127 +1319 2446 +-1170 1170 +3013 -1977 +722 -3164 +-3635 261 +-4571 -3221 +1620 -3020 +2251 5185 +-5559 -357 +0 -4823 +2054 530 +89 -262 +3311 -1404 +-4435 -4928 +-4469 -6052 +1618 -2833 +3273 -5587 +5851 0 +3273 5587 +1618 2833 +-4469 6052 +-4435 4928 +3311 1404 +89 262 +2054 -530 +0 4823 +-5559 357 +2251 -5185 +1620 3020 +-4571 3221 +-3635 -261 +722 3164 +3013 1977 +-1170 -1170 +-3531 2771 +722 5892 +5100 -40 +1545 -1059 +1151 1125 +2251 -2813 +-3080 -752 +0 142 +-307 -120 +89 4005 +5878 -1506 +-1901 -4033 +-2336 -1361 +1618 -2429 +-2482 1279 +-3511 0 +-2482 -1279 +1618 2429 +-2336 1361 +-1901 4033 +5878 1506 +89 -4005 +-307 120 +0 -142 +-3080 752 +2251 2813 +1151 -1125 +1545 1059 +5100 40 +722 -5892 +-3531 -2771 +-1170 1170 +3013 -1977 +722 -3164 +-3635 261 +-4571 -3221 +1620 -3020 +2251 5185 +-5559 -357 +0 -4823 +2054 530 +89 -262 +3311 -1404 +-4435 -4928 +-4469 -6052 +1618 -2833 +3273 -5587 +1170 0 +4541 338 +1217 -4207 +4699 -2483 +-2446 3817 +-8667 -1885 +-3168 -3844 +-2959 1282 +-828 -2341 +-4580 -3440 +-1106 1089 +6549 2136 +-1424 2551 +2686 -1556 +3304 -5165 +781 -931 +7022 0 +781 931 +3304 5165 +2686 1556 +-1424 -2551 +6549 -2136 +-1106 -1089 +-4580 3440 +-828 2341 +-2959 -1282 +-3168 3844 +-8667 1885 +-2446 -3817 +4699 2483 +1217 4207 +4541 -338 +1170 0 +-5142 -1024 +-1724 2223 +1917 6479 +-1550 -507 +-4682 -2111 +617 3295 +2466 -597 +828 -2341 +1021 2754 +346 3043 +-330 1860 +738 759 +4448 -2439 +514 -1500 +-2748 1617 +0 0 +-2748 -1617 +514 1500 +4448 2439 +738 -759 +-330 -1860 +346 -3043 +1021 -2754 +828 2341 +2466 597 +617 -3295 +-4682 2111 +-1550 507 +1917 -6479 +-1724 -2223 +-5142 1024 +1170 0 +4541 338 +1217 -4207 +4699 -2483 +-2446 3817 +-8667 -1885 +-3168 -3844 +-2959 1282 +-828 -2341 +-4580 -3440 +-1106 1089 +6549 2136 +-1424 2551 +2686 -1556 +3304 -5165 +781 -931 +-2341 -1170 +-128 2439 +-548 -632 +4671 -4338 +5735 4294 +2026 -985 +524 -4877 +767 977 +0 1998 +-82 242 +3033 -4510 +2201 4111 +-514 8688 +822 1928 +-1063 -126 +-2017 -6841 +0 0 +-2017 6841 +-1063 126 +822 -1928 +-514 -8688 +2201 -4111 +3033 4510 +-82 -242 +0 -1998 +767 -977 +524 4877 +2026 985 +5735 -4294 +4671 4338 +-548 632 +-128 -2439 +-2341 1170 +-5891 763 +296 2658 +2309 -1194 +885 -1669 +3613 1973 +1519 1311 +738 791 +0 343 +-1927 1882 +1544 -2366 +-3753 -6012 +-6106 -1382 +1352 -987 +-5305 -1158 +-4701 1038 +4681 0 +-4701 -1038 +-5305 1158 +1352 987 +-6106 1382 +-3753 6012 +1544 2366 +-1927 -1882 +0 -343 +738 -791 +1519 -1311 +3613 -1973 +885 1669 +2309 1194 +296 -2658 +-5891 -763 +-2341 -1170 +-128 2439 +-548 -632 +4671 -4338 +5735 4294 +2026 -985 +524 -4877 +767 977 +0 1998 +-82 242 +3033 -4510 +2201 4111 +-514 8688 +822 1928 +-1063 -126 +-2017 -6841 +0 -2341 +502 -7164 +543 1734 +-2521 929 +1218 -1872 +1548 -2977 +-14 -822 +609 2165 +-828 -1170 +1288 175 +-4064 -3073 +-6558 -3637 +5532 2631 +5500 -938 +-847 -625 +433 4086 +1170 0 +433 -4086 +-847 625 +5500 938 +5532 -2631 +-6558 3637 +-4064 3073 +1288 -175 +-828 1170 +609 -2165 +-14 822 +1548 2977 +1218 1872 +-2521 -929 +543 -1734 +502 7164 +0 2341 +3929 -1949 +1500 2083 +-4016 -3299 +-4528 1186 +-3396 4386 +-6354 1581 +-4331 3269 +828 -1170 +-99 -1717 +3812 523 +2290 1315 +-2222 1364 +7153 -1284 +5423 1132 +-2331 2427 +-1170 0 +-2331 -2427 +5423 -1132 +7153 1284 +-2222 -1364 +2290 -1315 +3812 -523 +-99 1717 +828 1170 +-4331 -3269 +-6354 -1581 +-3396 -4386 +-4528 -1186 +-4016 3299 +1500 -2083 +3929 1949 +0 -2341 +502 -7164 +543 1734 +-2521 929 +1218 -1872 +1548 -2977 +-14 -822 +609 2165 +-828 -1170 +1288 175 +-4064 -3073 +-6558 -3637 +5532 2631 +5500 -938 +-847 -625 +433 4086 +-2341 -1170 +-2962 -3310 +2306 -1574 +1975 1417 +-621 -5904 +1105 -640 +4101 2909 +2350 1751 +1655 3653 +6458 -2739 +4853 -3414 +-1290 -2336 +-3155 -590 +-2841 -2559 +441 -5866 +1656 5200 +0 0 +1656 -5200 +441 5866 +-2841 2559 +-3155 590 +-1290 2336 +4853 3414 +6458 2739 +1655 -3653 +2350 -1751 +4101 -2909 +1105 640 +-621 5904 +1975 -1417 +2306 1574 +-2962 3310 +-2341 1170 +-146 3449 +-3961 -2677 +66 -5924 +2962 -3742 +-2778 609 +-2446 2371 +-2425 -964 +-1655 -1312 +1252 -4465 +-3198 -2608 +1444 3676 +5495 306 +-4301 -3320 +-2096 -324 +437 2930 +-4681 0 +437 -2930 +-2096 324 +-4301 3320 +5495 -306 +1444 -3676 +-3198 2608 +1252 4465 +-1655 1312 +-2425 964 +-2446 -2371 +-2778 -609 +2962 3742 +66 5924 +-3961 2677 +-146 -3449 +-2341 -1170 +-2962 -3310 +2306 -1574 +1975 1417 +-621 -5904 +1105 -640 +4101 2909 +2350 1751 +1655 3653 +6458 -2739 +4853 -3414 +-1290 -2336 +-3155 -590 +-2841 -2559 +441 -5866 +1656 5200 +-3511 -1170 +-2428 5155 +-381 -2014 +971 -590 +-469 -448 +-2794 -1958 +4091 4193 +3646 2384 +-2341 -142 +-414 -406 +-3813 -3075 +-4432 -2203 +291 1081 +-1762 -1033 +1941 -3933 +4504 34 +1170 0 +4504 -34 +1941 3933 +-1762 1033 +291 -1081 +-4432 2203 +-3813 3075 +-414 406 +-2341 142 +3646 -2384 +4091 -4193 +-2794 1958 +-469 448 +971 590 +-381 2014 +-2428 -5155 +-3511 1170 +5955 4820 +7806 747 +-2929 3307 +-3527 448 +884 -2022 +548 -1135 +-1198 4891 +-2341 4823 +262 -969 +545 6133 +-2069 1806 +-976 -1081 +-1109 7334 +-1375 2667 +2915 1291 +5851 0 +2915 -1291 +-1375 -2667 +-1109 -7334 +-976 1081 +-2069 -1806 +545 -6133 +262 969 +-2341 -4823 +-1198 -4891 +548 1135 +884 2022 +-3527 -448 +-2929 -3307 +7806 -747 +5955 -4820 +-3511 -1170 +-2428 5155 +-381 -2014 +971 -590 +-469 -448 +-2794 -1958 +4091 4193 +3646 2384 +-2341 -142 +-414 -406 +-3813 -3075 +-4432 -2203 +291 1081 +-1762 -1033 +1941 -3933 +4504 34 +2341 2341 +3970 2189 +-1639 2369 +-3555 -2573 +-803 -1022 +2978 3293 +2670 -6016 +-5205 -3097 +-627 5651 +3099 -902 +1850 -1914 +6483 252 +1605 -126 +-1482 2739 +-1183 1854 +-1465 212 +1170 0 +-1465 -212 +-1183 -1854 +-1482 -2739 +1605 126 +6483 -252 +1850 1914 +3099 902 +-627 -5651 +-5205 3097 +2670 6016 +2978 -3293 +-803 1022 +-3555 2573 +-1639 -2369 +3970 -2189 +2341 -2341 +2760 151 +2146 1956 +-3234 233 +-2223 -2288 +2844 -952 +-120 4225 +-1160 757 +7648 -969 +4007 3243 +-1091 123 +-1864 -2592 +-7941 -3184 +-2171 -399 +-2635 2471 +-6007 -2552 +-1170 0 +-6007 2552 +-2635 -2471 +-2171 399 +-7941 3184 +-1864 2592 +-1091 -123 +4007 -3243 +7648 969 +-1160 -757 +-120 -4225 +2844 952 +-2223 2288 +-3234 -233 +2146 -1956 +2760 -151 +2341 2341 +3970 2189 +-1639 2369 +-3555 -2573 +-803 -1022 +2978 3293 +2670 -6016 +-5205 -3097 +-627 5651 +3099 -902 +1850 -1914 +6483 252 +1605 -126 +-1482 2739 +-1183 1854 +-1465 212 +1170 0 +-68 3348 +-650 6455 +-3137 83 +-5310 -217 +-818 3850 +-1148 2998 +-1646 -3147 +3653 -7506 +2976 2088 +-228 1275 +-2301 -3980 +-1989 4286 +2336 -1065 +973 -6684 +-101 2360 +2341 0 +-101 -2360 +973 6684 +2336 1065 +-1989 -4286 +-2301 3980 +-228 -1275 +2976 -2088 +3653 7506 +-1646 3147 +-1148 -2998 +-818 -3850 +-5310 217 +-3137 -83 +-650 -6455 +-68 -3348 +1170 0 +-674 1087 +650 -45 +2192 93 +2969 2841 +6366 -2948 +1148 -2536 +-4601 -2248 +-1312 -4196 +-40 -1284 +228 -6062 +64 -814 +-351 3019 +1919 -1713 +-973 -1517 +-2467 -7708 +0 0 +-2467 7708 +-973 1517 +1919 1713 +-351 -3019 +64 814 +228 6062 +-40 1284 +-1312 4196 +-4601 2248 +1148 2536 +6366 2948 +2969 -2841 +2192 -93 +650 45 +-674 -1087 +1170 0 +-68 3348 +-650 6455 +-3137 83 +-5310 -217 +-818 3850 +-1148 2998 +-1646 -3147 +3653 -7506 +2976 2088 +-228 1275 +-2301 -3980 +-1989 4286 +2336 -1065 +973 -6684 +-101 2360 +7022 -2341 +-678 -242 +-5040 1218 +4379 -1967 +-2691 -2773 +-6743 253 +1939 -2701 +-912 -3031 +343 3310 +-2596 1995 +-1414 -837 +7719 -912 +1508 -6009 +2258 -4285 +1533 3271 +-191 2539 +5851 0 +-191 -2539 +1533 -3271 +2258 4285 +1508 6009 +7719 912 +-1414 837 +-2596 -1995 +343 -3310 +-912 3031 +1939 2701 +-6743 -253 +-2691 2773 +4379 1967 +-5040 -1218 +-678 242 +7022 2341 +2134 564 +-1255 -2873 +1818 -2222 +2005 -1506 +-1014 -2756 +-851 1046 +-1305 1363 +1998 -3310 +2280 662 +-4356 2492 +-6079 -3381 +-5504 -2951 +-2340 -1696 +81 -1616 +1269 2108 +3511 0 +1269 -2108 +81 1616 +-2340 1696 +-5504 2951 +-6079 3381 +-4356 -2492 +2280 -662 +1998 3310 +-1305 -1363 +-851 -1046 +-1014 2756 +2005 1506 +1818 2222 +-1255 2873 +2134 -564 +7022 -2341 +-678 -242 +-5040 1218 +4379 -1967 +-2691 -2773 +-6743 253 +1939 -2701 +-912 -3031 +343 3310 +-2596 1995 +-1414 -837 +7719 -912 +1508 -6009 +2258 -4285 +1533 3271 +-191 2539 +2341 0 +-309 -2046 +3617 -1225 +1344 -1570 +-6538 2551 +-7047 2023 +-1172 -2655 +5623 365 +343 0 +-1846 788 +-411 7130 +606 3076 +2119 -507 +-6251 4278 +841 4937 +1671 2543 +-10533 0 +1671 -2543 +841 -4937 +-6251 -4278 +2119 507 +606 -3076 +-411 -7130 +-1846 -788 +343 0 +5623 -365 +-1172 2655 +-7047 -2023 +-6538 -2551 +1344 1570 +3617 1225 +-309 2046 +2341 0 +0 -910 +-517 3313 +-2104 2244 +-3109 759 +5958 768 +4020 -1729 +1028 -1418 +1998 0 +-3286 964 +-1066 -213 +1498 -1062 +-1835 3817 +-624 2239 +4050 -910 +3739 3927 +1170 0 +3739 -3927 +4050 910 +-624 -2239 +-1835 -3817 +1498 1062 +-1066 213 +-3286 -964 +1998 0 +1028 1418 +4020 1729 +5958 -768 +-3109 -759 +-2104 -2244 +-517 -3313 +0 910 +2341 0 +-309 -2046 +3617 -1225 +1344 -1570 +-6538 2551 +-7047 2023 +-1172 -2655 +5623 365 +343 0 +-1846 788 +-411 7130 +606 3076 +2119 -507 +-6251 4278 +841 4937 +1671 2543 +0 -2341 +534 4064 +3193 4480 +3183 796 +-186 1364 +-2335 -1068 +901 680 +2242 -813 +-2483 -201 +-3027 2276 +-1014 -2819 +-1852 3095 +2610 -1872 +4785 -5171 +-2672 7383 +-1954 -318 +3511 0 +-1954 318 +-2672 -7383 +4785 5171 +2610 1872 +-1852 -3095 +-1014 2819 +-3027 -2276 +-2483 201 +2242 813 +901 -680 +-2335 1068 +-186 -1364 +3183 -796 +3193 -4480 +534 -4064 +0 2341 +275 1936 +-3193 604 +-3450 1824 +186 2631 +-1076 -1766 +-901 -2979 +3442 -2470 +2483 -6821 +927 -5411 +1014 -2790 +-3386 1047 +-2610 1186 +4131 -7835 +2672 -5609 +-2438 2587 +-3511 0 +-2438 -2587 +2672 5609 +4131 7835 +-2610 -1186 +-3386 -1047 +1014 2790 +927 5411 +2483 6821 +3442 2470 +-901 2979 +-1076 1766 +186 -2631 +-3450 -1824 +-3193 -604 +275 -1936 +0 -2341 +534 4064 +3193 4480 +3183 796 +-186 1364 +-2335 -1068 +901 680 +2242 -813 +-2483 -201 +-3027 2276 +-1014 -2819 +-1852 3095 +2610 -1872 +4785 -5171 +-2672 7383 +-1954 -318 +-1170 0 +2173 -1581 +1839 666 +2857 -2391 +5810 -1380 +964 1721 +2559 -1078 +1378 860 +-828 2341 +2716 86 +-1260 622 +-3437 -250 +-3371 -663 +-2683 -958 +3349 -1966 +3315 275 +0 0 +3315 -275 +3349 1966 +-2683 958 +-3371 663 +-3437 250 +-1260 -622 +2716 -86 +-828 -2341 +1378 -860 +2559 1078 +964 -1721 +5810 1380 +2857 2391 +1839 -666 +2173 1581 +-1170 0 +2776 -1158 +5974 20 +4932 -2911 +-5526 411 +-11021 877 +-2633 -2917 +812 3603 +828 2341 +-4129 -3257 +-605 3374 +4068 1330 +-6275 -4988 +-2300 756 +140 1280 +-2422 -4029 +2341 0 +-2422 4029 +140 -1280 +-2300 -756 +-6275 4988 +4068 -1330 +-605 -3374 +-4129 3257 +828 -2341 +812 -3603 +-2633 2917 +-11021 -877 +-5526 -411 +4932 2911 +5974 -20 +2776 1158 +-1170 0 +2173 -1581 +1839 666 +2857 -2391 +5810 -1380 +964 1721 +2559 -1078 +1378 860 +-828 2341 +2716 86 +-1260 622 +-3437 -250 +-3371 -663 +-2683 -958 +3349 -1966 +3315 275 +0 3511 +-973 1320 +161 -2232 +4128 -2169 +4370 -5351 +2205 -4001 +-2991 -2043 +-2346 -199 +1170 -3168 +-2366 -6899 +-1251 911 +867 -803 +-2386 -754 +-476 41 +1328 -5765 +1149 3355 +2341 0 +1149 -3355 +1328 5765 +-476 -41 +-2386 754 +867 803 +-1251 -911 +-2366 6899 +1170 3168 +-2346 199 +-2991 2043 +2205 4001 +4370 5351 +4128 2169 +161 2232 +-973 -1320 +0 -3511 +-3472 -2407 +-2324 1903 +-1021 7400 +-1746 -4980 +3235 -7243 +2095 3149 +-2250 -2495 +1170 -1513 +3652 4624 +2147 1566 +-2997 1876 +-4920 4466 +680 1524 +834 -2556 +-14 -1280 +2341 0 +-14 1280 +834 2556 +680 -1524 +-4920 -4466 +-2997 -1876 +2147 -1566 +3652 -4624 +1170 1513 +-2250 2495 +2095 -3149 +3235 7243 +-1746 4980 +-1021 -7400 +-2324 -1903 +-3472 2407 +0 3511 +-973 1320 +161 -2232 +4128 -2169 +4370 -5351 +2205 -4001 +-2991 -2043 +-2346 -199 +1170 -3168 +-2366 -6899 +-1251 911 +867 -803 +-2386 -754 +-476 41 +1328 -5765 +1149 3355 +-1170 -1170 +1120 -5559 +-1704 70 +3368 3101 +1296 -283 +-6260 -4802 +1835 1701 +2621 9799 +485 6679 +1675 2285 +-2431 1918 +-1756 3762 +-1118 2320 +1117 -608 +2408 819 +-630 1158 +-1170 0 +-630 -1158 +2408 -819 +1117 608 +-1118 -2320 +-1756 -3762 +-2431 -1918 +1675 -2285 +485 -6679 +2621 -9799 +1835 -1701 +-6260 4802 +1296 283 +3368 -3101 +-1704 -70 +1120 5559 +-1170 1170 +-3077 -2472 +-1354 2778 +3455 -3627 +4354 -3712 +-651 2144 +-568 -6593 +-1489 -2001 +-2825 5024 +-2534 -687 +1165 1182 +3328 -725 +149 -1634 +4019 3036 +651 658 +-4306 594 +-1170 0 +-4306 -594 +651 -658 +4019 -3036 +149 1634 +3328 725 +1165 -1182 +-2534 687 +-2825 -5024 +-1489 2001 +-568 6593 +-651 -2144 +4354 3712 +3455 3627 +-1354 -2778 +-3077 2472 +-1170 -1170 +1120 -5559 +-1704 70 +3368 3101 +1296 -283 +-6260 -4802 +1835 1701 +2621 9799 +485 6679 +1675 2285 +-2431 1918 +-1756 3762 +-1118 2320 +1117 -608 +2408 819 +-630 1158 +2341 -1170 +3928 4599 +112 3873 +-1575 5801 +-1157 1081 +-4634 -961 +-4899 4440 +-4708 2981 +-1170 2483 +7842 702 +3568 358 +-2717 2399 +3304 448 +2880 1152 +-2269 -1245 +-1367 -5337 +0 0 +-1367 5337 +-2269 1245 +2880 -1152 +3304 -448 +-2717 -2399 +3568 -358 +7842 -702 +-1170 -2483 +-4708 -2981 +-4899 -4440 +-4634 961 +-1157 -1081 +-1575 -5801 +112 -3873 +3928 -4599 +2341 1170 +-2066 1521 +-4479 -756 +2621 3120 +7493 -1081 +10 -620 +-1007 2646 +1717 528 +-1170 -2483 +251 1 +967 4789 +-295 -3204 +-278 -448 +-2912 1925 +-1356 -6939 +1024 2032 +0 0 +1024 -2032 +-1356 6939 +-2912 -1925 +-278 448 +-295 3204 +967 -4789 +251 -1 +-1170 2483 +1717 -528 +-1007 -2646 +10 620 +7493 1081 +2621 -3120 +-4479 756 +-2066 -1521 +2341 -1170 +3928 4599 +112 3873 +-1575 5801 +-1157 1081 +-4634 -961 +-4899 4440 +-4708 2981 +-1170 2483 +7842 702 +3568 358 +-2717 2399 +3304 448 +2880 1152 +-2269 -1245 +-1367 -5337 +-1170 -2341 +-1647 1855 +-3219 1653 +-4438 -397 +254 5547 +-519 2978 +-5935 -3341 +-6313 1156 +-142 0 +1944 -4501 +660 919 +1830 907 +380 -4904 +1362 -1084 +440 4138 +-1521 2310 +0 0 +-1521 -2310 +440 -4138 +1362 1084 +380 4904 +1830 -907 +660 -919 +1944 4501 +-142 0 +-6313 -1156 +-5935 3341 +-519 -2978 +254 -5547 +-4438 397 +-3219 -1653 +-1647 -1855 +-1170 2341 +100 981 +-17 1735 +2390 1253 +-1909 -1836 +525 2596 +5757 3502 +606 -1008 +4823 0 +453 -452 +-5163 -2129 +1474 -2967 +1275 -5428 +3996 926 +-1885 7240 +-241 2045 +11703 0 +-241 -2045 +-1885 -7240 +3996 -926 +1275 5428 +1474 2967 +-5163 2129 +453 452 +4823 0 +606 1008 +5757 -3502 +525 -2596 +-1909 1836 +2390 -1253 +-17 -1735 +100 -981 +-1170 -2341 +-1647 1855 +-3219 1653 +-4438 -397 +254 5547 +-519 2978 +-5935 -3341 +-6313 1156 +-142 0 +1944 -4501 +660 919 +1830 907 +380 -4904 +1362 -1084 +440 4138 +-1521 2310 +1170 4681 +235 4868 +495 -3236 +-1329 -3140 +60 -964 +1696 -2302 +-358 -3799 +6502 -1738 +5993 -1170 +877 -4242 +-69 -3179 +-4459 1572 +-322 5152 +2741 1664 +652 11 +776 5497 +0 0 +776 -5497 +652 -11 +2741 -1664 +-322 -5152 +-4459 -1572 +-69 3179 +877 4242 +5993 1170 +6502 1738 +-358 3799 +1696 2302 +60 964 +-1329 3140 +495 3236 +235 -4868 +1170 -4681 +722 1193 +1877 -2043 +-998 786 +-3370 2619 +-4251 -2600 +-4905 -2391 +3537 -3348 +1028 -1170 +-5814 -68 +-2660 -1072 +-621 2952 +3632 -3497 +601 -1212 +-4396 6010 +-214 -5279 +2341 0 +-214 5279 +-4396 -6010 +601 1212 +3632 3497 +-621 -2952 +-2660 1072 +-5814 68 +1028 1170 +3537 3348 +-4905 2391 +-4251 2600 +-3370 -2619 +-998 -786 +1877 2043 +722 -1193 +1170 4681 +235 4868 +495 -3236 +-1329 -3140 +60 -964 +1696 -2302 +-358 -3799 +6502 -1738 +5993 -1170 +877 -4242 +-69 -3179 +-4459 1572 +-322 5152 +2741 1664 +652 11 +776 5497 +3511 -1170 +-4173 -1418 +-1904 582 +1208 -1297 +-2841 448 +2737 -2068 +-213 -5063 +-6423 1254 +-3996 -1513 +-1274 -6145 +3460 125 +4507 95 +3019 -1081 +271 2307 +-4697 -1372 +-5008 -4635 +-3511 0 +-5008 4635 +-4697 1372 +271 -2307 +3019 1081 +4507 -95 +3460 -125 +-1274 6145 +-3996 1513 +-6423 -1254 +-213 5063 +2737 2068 +-2841 -448 +1208 1297 +-1904 -582 +-4173 1418 +3511 1170 +2113 -3623 +1145 -4610 +580 -3621 +217 -448 +624 754 +-295 -1854 +1926 -3356 +-686 -3168 +669 2881 +358 4259 +-233 -3284 +4286 1081 +-3074 6882 +2146 -717 +5549 -4311 +-3511 0 +5549 4311 +2146 717 +-3074 -6882 +4286 -1081 +-233 3284 +358 -4259 +669 -2881 +-686 3168 +1926 3356 +-295 1854 +624 -754 +217 448 +580 3621 +1145 4610 +2113 3623 +3511 -1170 +-4173 -1418 +-1904 582 +1208 -1297 +-2841 448 +2737 -2068 +-213 -5063 +-6423 1254 +-3996 -1513 +-1274 -6145 +3460 125 +4507 95 +3019 -1081 +271 2307 +-4697 -1372 +-5008 -4635 +1170 1170 +-1734 1869 +-2462 -2522 +-3703 -778 +-80 2788 +-264 1980 +-4360 3049 +-160 3994 +-969 2483 +4759 407 +6155 3448 +-2793 4877 +1694 -3422 +-86 -2691 +-1198 3908 +771 83 +-3511 0 +771 -83 +-1198 -3908 +-86 2691 +1694 3422 +-2793 -4877 +6155 -3448 +4759 -407 +-969 -2483 +-160 -3994 +-4360 -3049 +-264 -1980 +-80 -2788 +-3703 778 +-2462 2522 +-1734 -1869 +1170 -1170 +124 -3049 +435 -5662 +613 1376 +-605 1893 +311 -890 +795 2098 +9519 -824 +5651 -2483 +-6484 1392 +720 3637 +1228 4204 +-5689 -1259 +-1926 -4703 +-86 -791 +-176 108 +1170 0 +-176 -108 +-86 791 +-1926 4703 +-5689 1259 +1228 -4204 +720 -3637 +-6484 -1392 +5651 2483 +9519 824 +795 -2098 +311 890 +-605 -1893 +613 -1376 +435 5662 +124 3049 +1170 1170 +-1734 1869 +-2462 -2522 +-3703 -778 +-80 2788 +-264 1980 +-4360 3049 +-160 3994 +-969 2483 +4759 407 +6155 3448 +-2793 4877 +1694 -3422 +-86 -2691 +-1198 3908 +771 83 +1170 5851 +-1768 1656 +-3270 1407 +1433 3161 +-3870 -6538 +-2199 -3544 +5609 4521 +2603 3911 +3795 343 +4315 -2909 +-720 2239 +-2799 635 +-2974 -2119 +1952 1866 +3816 1496 +1244 3947 +1170 0 +1244 -3947 +3816 -1496 +1952 -1866 +-2974 2119 +-2799 -635 +-720 -2239 +4315 2909 +3795 -343 +2603 -3911 +5609 -4521 +-2199 3544 +-3870 6538 +1433 -3161 +-3270 -1407 +-1768 -1656 +1170 -5851 +-719 1658 +2165 3621 +1827 -3821 +-812 -3109 +221 2248 +2712 -375 +-698 660 +-6135 1998 +-1119 1637 +391 6588 +-2858 875 +-1707 1835 +-4197 6900 +-1339 -1149 +2762 144 +1170 0 +2762 -144 +-1339 1149 +-4197 -6900 +-1707 -1835 +-2858 -875 +391 -6588 +-1119 -1637 +-6135 -1998 +-698 -660 +2712 375 +221 -2248 +-812 3109 +1827 3821 +2165 -3621 +-719 -1658 +1170 5851 +-1768 1656 +-3270 1407 +1433 3161 +-3870 -6538 +-2199 -3544 +5609 4521 +2603 3911 +3795 343 +4315 -2909 +-720 2239 +-2799 635 +-2974 -2119 +1952 1866 +3816 1496 +1244 3947 +3511 5851 +3597 -1155 +-3427 -7185 +-596 -5082 +-2699 -3341 +-3954 -5786 +2538 -770 +1762 1078 +1170 -4338 +462 -4477 +-193 -1647 +2118 5840 +-1804 3586 +-3186 -225 +345 3869 +1165 -2487 +1170 0 +1165 2487 +345 -3869 +-3186 225 +-1804 -3586 +2118 -5840 +-193 1647 +462 4477 +1170 4338 +1762 -1078 +2538 770 +-3954 5786 +-2699 3341 +-596 5082 +-3427 7185 +3597 1155 +3511 -5851 +-452 545 +2160 5351 +-215 -1332 +359 -654 +-1943 1326 +-5596 559 +888 -370 +1170 -2683 +197 -3044 +3251 66 +468 5598 +-537 -2901 +687 -6272 +921 2289 +-999 -3610 +-3511 0 +-999 3610 +921 -2289 +687 6272 +-537 2901 +468 -5598 +3251 -66 +197 3044 +1170 2683 +888 370 +-5596 -559 +-1943 -1326 +359 654 +-215 1332 +2160 -5351 +-452 -545 +3511 5851 +3597 -1155 +-3427 -7185 +-596 -5082 +-2699 -3341 +-3954 -5786 +2538 -770 +1762 1078 +1170 -4338 +462 -4477 +-193 -1647 +2118 5840 +-1804 3586 +-3186 -225 +345 3869 +1165 -2487 +-1170 5851 +-5190 -3139 +-3452 -3937 +-4975 1213 +-1170 -4227 +6312 1038 +-1259 1875 +-4425 -2156 +485 -343 +-2083 -950 +1386 4697 +3422 564 +-1170 -2519 +1713 3094 +897 -2358 +-1154 -2122 +1170 0 +-1154 2122 +897 2358 +1713 -3094 +-1170 2519 +3422 -564 +1386 -4697 +-2083 950 +485 343 +-4425 2156 +-1259 -1875 +6312 -1038 +-1170 4227 +-4975 -1213 +-3452 3937 +-5190 3139 +-1170 -5851 +3671 -2106 +5793 -1147 +3960 -5589 +-1170 -6389 +1323 -555 +3600 423 +-676 -3636 +-2825 -1998 +-3019 -3051 +955 912 +4212 4243 +-1170 -3415 +-2727 -3145 +1444 584 +-364 -1331 +-3511 0 +-364 1331 +1444 -584 +-2727 3145 +-1170 3415 +4212 -4243 +955 -912 +-3019 3051 +-2825 1998 +-676 3636 +3600 -423 +1323 555 +-1170 6389 +3960 5589 +5793 1147 +3671 2106 +-1170 5851 +-5190 -3139 +-3452 -3937 +-4975 1213 +-1170 -4227 +6312 1038 +-1259 1875 +-4425 -2156 +485 -343 +-2083 -950 +1386 4697 +3422 564 +-1170 -2519 +1713 3094 +897 -2358 +-1154 -2122 +2341 -4681 +220 598 +-4356 2118 +-1465 3468 +-1133 -553 +-1126 -32 +2722 3646 +3795 -2416 +1513 -2140 +1430 284 +2857 -1586 +-1900 -377 +-5077 165 +-113 -2290 +583 -2065 +-419 2083 +1170 0 +-419 -2083 +583 2065 +-113 2290 +-5077 -165 +-1900 377 +2857 1586 +1430 -284 +1513 2140 +3795 2416 +2722 -3646 +-1126 32 +-1133 553 +-1465 -3468 +-4356 -2118 +220 -598 +2341 4681 +-2434 2198 +-221 181 +1736 4171 +-238 1238 +-1630 451 +-2470 -1871 +3505 1841 +3168 4480 +-2614 -1215 +3511 6670 +2123 3686 +-2914 -4160 +2375 3456 +-2626 7674 +-3483 9719 +3511 0 +-3483 -9719 +-2626 -7674 +2375 -3456 +-2914 4160 +2123 -3686 +3511 -6670 +-2614 1215 +3168 -4480 +3505 -1841 +-2470 1871 +-1630 -451 +-238 -1238 +1736 -4171 +-221 -181 +-2434 -2198 +2341 -4681 +220 598 +-4356 2118 +-1465 3468 +-1133 -553 +-1126 -32 +2722 3646 +3795 -2416 +1513 -2140 +1430 284 +2857 -1586 +-1900 -377 +-5077 165 +-113 -2290 +583 -2065 +-419 2083 +-1170 3511 +-2717 -784 +2246 -1360 +-1862 6926 +-3459 612 +4117 -3272 +3070 -1338 +441 -7062 +-2825 -2683 +1507 2305 +3284 -1428 +-968 1428 +2014 157 +-3434 -3169 +-216 447 +6877 131 +3511 0 +6877 -131 +-216 -447 +-3434 3169 +2014 -157 +-968 -1428 +3284 1428 +1507 -2305 +-2825 2683 +441 7062 +3070 1338 +4117 3272 +-3459 -612 +-1862 -6926 +2246 1360 +-2717 784 +-1170 -3511 +-2640 5071 +-157 5177 +2552 -3671 +-2192 -4608 +2270 668 +1313 2097 +-4062 -3109 +485 -4338 +4647 -1530 +3633 -1123 +698 797 +-1044 528 +-3372 -1988 +-3812 60 +-4054 1861 +-5851 0 +-4054 -1861 +-3812 -60 +-3372 1988 +-1044 -528 +698 -797 +3633 1123 +4647 1530 +485 4338 +-4062 3109 +1313 -2097 +2270 -668 +-2192 4608 +2552 3671 +-157 -5177 +-2640 -5071 +-1170 3511 +-2717 -784 +2246 -1360 +-1862 6926 +-3459 612 +4117 -3272 +3070 -1338 +441 -7062 +-2825 -2683 +1507 2305 +3284 -1428 +-968 1428 +2014 157 +-3434 -3169 +-216 447 +6877 131 +-3511 -1170 +394 -327 +3105 -1712 +-1992 286 +126 2171 +-3704 3030 +-3875 1259 +3691 -2704 +1170 1998 +-3662 5748 +-1614 707 +-1689 -2432 +-2288 -2416 +3744 1054 +2475 -152 +-2088 -6913 +-1170 0 +-2088 6913 +2475 152 +3744 -1054 +-2288 2416 +-1689 2432 +-1614 -707 +-3662 -5748 +1170 -1998 +3691 2704 +-3875 -1259 +-3704 -3030 +126 -2171 +-1992 -286 +3105 1712 +394 327 +-3511 1170 +-1441 2415 +-7567 4126 +-1083 5688 +3184 -516 +-792 -2242 +4753 -111 +2936 -3757 +1170 343 +-1173 1626 +-2574 -6180 +1860 -957 +-1022 4071 +3655 -3135 +5296 -4053 +1344 234 +3511 0 +1344 -234 +5296 4053 +3655 3135 +-1022 -4071 +1860 957 +-2574 6180 +-1173 -1626 +1170 -343 +2936 3757 +4753 111 +-792 2242 +3184 516 +-1083 -5688 +-7567 -4126 +-1441 -2415 +-3511 -1170 +394 -327 +3105 -1712 +-1992 286 +126 2171 +-3704 3030 +-3875 1259 +3691 -2704 +1170 1998 +-3662 5748 +-1614 707 +-1689 -2432 +-2288 -2416 +3744 1054 +2475 -152 +-2088 -6913 +-1170 2341 +-766 -1170 +2933 -4082 +11 -7265 +-3564 -908 +10 3741 +1485 -2635 +-1556 -1754 +-3854 2341 +-1060 -1040 +2950 -2427 +1626 1344 +2930 -2521 +4094 -4013 +-2843 54 +-1461 -3878 +4681 0 +-1461 3878 +-2843 -54 +4094 4013 +2930 2521 +1626 -1344 +2950 2427 +-1060 1040 +-3854 -2341 +-1556 1754 +1485 2635 +10 -3741 +-3564 908 +11 7265 +2933 4082 +-766 1170 +-1170 -2341 +-2341 -322 +-4798 1353 +-1298 -236 +-1401 -1433 +-1145 -4876 +6328 262 +3686 1338 +-5509 2341 +-4380 5726 +538 -1316 +2819 5157 +2035 4862 +502 -2473 +2769 5209 +1257 867 +-2341 0 +1257 -867 +2769 -5209 +502 2473 +2035 -4862 +2819 -5157 +538 1316 +-4380 -5726 +-5509 -2341 +3686 -1338 +6328 -262 +-1145 4876 +-1401 1433 +-1298 236 +-4798 -1353 +-2341 322 +-1170 2341 +-766 -1170 +2933 -4082 +11 -7265 +-3564 -908 +10 3741 +1485 -2635 +-1556 -1754 +-3854 2341 +-1060 -1040 +2950 -2427 +1626 1344 +2930 -2521 +4094 -4013 +-2843 54 +-1461 -3878 +-1170 3511 +-1075 -3684 +405 1970 +-412 -1786 +-740 -6315 +317 3580 +4458 3088 +3288 703 +485 1312 +-2473 346 +-1706 2994 +532 1658 +-2511 4398 +735 4262 +-1050 -1645 +-3169 2452 +1170 0 +-3169 -2452 +-1050 1645 +735 -4262 +-2511 -4398 +532 -1658 +-1706 -2994 +-2473 -346 +485 -1312 +3288 -703 +4458 -3088 +317 -3580 +-740 6315 +-412 1786 +405 -1970 +-1075 3684 +-1170 -3511 +1259 -2804 +-2196 2921 +5314 -2550 +9701 -2361 +692 -537 +-133 -1611 +-2190 -660 +-2825 -3653 +-1934 -6503 +-2619 -146 +1768 7082 +-1769 969 +-2326 -5644 +2842 -1455 +-325 843 +-3511 0 +-325 -843 +2842 1455 +-2326 5644 +-1769 -969 +1768 -7082 +-2619 146 +-1934 6503 +-2825 3653 +-2190 660 +-133 1611 +692 537 +9701 2361 +5314 2550 +-2196 -2921 +1259 2804 +-1170 3511 +-1075 -3684 +405 1970 +-412 -1786 +-740 -6315 +317 3580 +4458 3088 +3288 703 +485 1312 +-2473 346 +-1706 2994 +532 1658 +-2511 4398 +735 4262 +-1050 -1645 +-3169 2452 +-1170 -1170 +-1891 -2223 +1263 3324 +-2032 1478 +-2441 -2818 +-471 16 +-1584 3757 +2451 -898 +-1655 1513 +-2631 5793 +2490 -105 +-275 2555 +-261 5362 +-2536 1105 +-852 1790 +4301 936 +3511 0 +4301 -936 +-852 -1790 +-2536 -1105 +-261 -5362 +-275 -2555 +2490 105 +-2631 -5793 +-1655 -1513 +2451 898 +-1584 -3757 +-471 -16 +-2441 2818 +-2032 -1478 +1263 -3324 +-1891 2223 +-1170 1170 +-157 -5413 +350 -2218 +4430 -4801 +5467 -7514 +-1291 1962 +-4185 4563 +854 5055 +1655 3168 +2636 -3154 +-1402 434 +-1273 437 +6597 -1650 +-3172 3207 +-5443 687 +1057 -3471 +-1170 0 +1057 3471 +-5443 -687 +-3172 -3207 +6597 1650 +-1273 -437 +-1402 -434 +2636 3154 +1655 -3168 +854 -5055 +-4185 -4563 +-1291 -1962 +5467 7514 +4430 4801 +350 2218 +-157 5413 +-1170 -1170 +-1891 -2223 +1263 3324 +-2032 1478 +-2441 -2818 +-471 16 +-1584 3757 +2451 -898 +-1655 1513 +-2631 5793 +2490 -105 +-275 2555 +-261 5362 +-2536 1105 +-852 1790 +4301 936 +4681 -2341 +-1145 50 +-539 -2512 +2461 -3612 +-2736 5689 +-3968 -1203 +-4650 -6976 +-3267 -939 +-828 -4480 +2570 -5369 +1777 208 +-1652 1145 +2103 -80 +-49 -1616 +-484 -1112 +2691 602 +1170 0 +2691 -602 +-484 1112 +-49 1616 +2103 80 +-1652 -1145 +1777 -208 +2570 5369 +-828 4480 +-3267 939 +-4650 6976 +-3968 1203 +-2736 -5689 +2461 3612 +-539 2512 +-1145 -50 +4681 2341 +-937 -728 +1256 3569 +5290 1901 +-574 -1694 +-1309 3564 +1043 -2240 +3359 -4267 +828 2140 +-1886 1178 +-2851 -1433 +-2498 -3885 +1207 -605 +-4896 1424 +-4915 797 +5234 6355 +8192 0 +5234 -6355 +-4915 -797 +-4896 -1424 +1207 605 +-2498 3885 +-2851 1433 +-1886 -1178 +828 -2140 +3359 4267 +1043 2240 +-1309 -3564 +-574 1694 +5290 -1901 +1256 -3569 +-937 728 +4681 -2341 +-1145 50 +-539 -2512 +2461 -3612 +-2736 5689 +-3968 -1203 +-4650 -6976 +-3267 -939 +-828 -4480 +2570 -5369 +1777 208 +-1652 1145 +2103 -80 +-49 -1616 +-484 -1112 +2691 602 +0 4681 +3365 -2448 +3597 3666 +2236 2125 +-1207 375 +1749 544 +1832 -3216 +-4829 463 +-4138 -1170 +-133 -5305 +2087 1127 +4288 7050 +2736 3072 +-1300 -876 +-4167 -96 +-3161 -1801 +-1170 0 +-3161 1801 +-4167 96 +-1300 876 +2736 -3072 +4288 -7050 +2087 -1127 +-133 5305 +-4138 1170 +-4829 -463 +1832 3216 +1749 -544 +-1207 -375 +2236 -2125 +3597 -3666 +3365 2448 +0 -4681 +-2434 -5981 +3786 152 +2564 -5156 +-2103 -5741 +566 -912 +-1307 3976 +675 327 +4138 -1170 +-2333 3206 +-2612 -3678 +17 1587 +574 5605 +3120 -2511 +-3216 603 +-4390 -155 +1170 0 +-4390 155 +-3216 -603 +3120 2511 +574 -5605 +17 -1587 +-2612 3678 +-2333 -3206 +4138 1170 +675 -327 +-1307 -3976 +566 912 +-2103 5741 +2564 5156 +3786 -152 +-2434 5981 +0 4681 +3365 -2448 +3597 3666 +2236 2125 +-1207 375 +1749 544 +1832 -3216 +-4829 463 +-4138 -1170 +-133 -5305 +2087 1127 +4288 7050 +2736 3072 +-1300 -876 +-4167 -96 +-3161 -1801 +-1170 4681 +-3617 726 +-299 -319 +6138 2686 +-2349 -3721 +1911 -2222 +7265 1459 +-4237 -886 +-828 2341 +4130 419 +-1723 -4483 +-4635 -4549 +-5652 1678 +501 4020 +3997 823 +696 4759 +0 0 +696 -4759 +3997 -823 +501 -4020 +-5652 -1678 +-4635 4549 +-1723 4483 +4130 -419 +-828 -2341 +-4237 886 +7265 -1459 +1911 2222 +-2349 3721 +6138 -2686 +-299 319 +-3617 -726 +-1170 -4681 +438 -4049 +6320 -2158 +27 -48 +-3987 -1930 +471 -313 +-3014 -1788 +-1626 -2106 +828 2341 +-4110 -2397 +-4467 -3837 +-553 -3088 +2626 -2647 +2761 137 +1283 -1929 +1706 -447 +2341 0 +1706 447 +1283 1929 +2761 -137 +2626 2647 +-553 3088 +-4467 3837 +-4110 2397 +828 -2341 +-1626 2106 +-3014 1788 +471 313 +-3987 1930 +27 48 +6320 2158 +438 4049 +-1170 4681 +-3617 726 +-299 -319 +6138 2686 +-2349 -3721 +1911 -2222 +7265 1459 +-4237 -886 +-828 2341 +4130 419 +-1723 -4483 +-4635 -4549 +-5652 1678 +501 4020 +3997 823 +696 4759 +0 -1170 +546 428 +2643 -6627 +-4440 -2761 +-3196 -254 +688 -2225 +-4613 -1231 +117 -459 +0 3653 +-1388 2479 +1012 -2253 +1541 307 +5705 380 +-1174 1183 +-1444 874 +3363 -5643 +0 0 +3363 5643 +-1444 -874 +-1174 -1183 +5705 -380 +1541 -307 +1012 2253 +-1388 -2479 +0 -3653 +117 459 +-4613 1231 +688 2225 +-3196 254 +-4440 2761 +2643 6627 +546 -428 +0 1170 +-935 6509 +804 -934 +1462 -1710 +-2454 1909 +-322 1459 +-1367 3200 +-1222 -747 +0 -1312 +-2104 4307 +1658 -458 +1641 -2445 +-4736 1275 +-6017 -4282 +1308 -3754 +8245 4589 +9362 0 +8245 -4589 +1308 3754 +-6017 4282 +-4736 -1275 +1641 2445 +1658 458 +-2104 -4307 +0 1312 +-1222 747 +-1367 -3200 +-322 -1459 +-2454 -1909 +1462 1710 +804 934 +-935 -6509 +0 -1170 +546 428 +2643 -6627 +-4440 -2761 +-3196 -254 +688 -2225 +-4613 -1231 +117 -459 +0 3653 +-1388 2479 +1012 -2253 +1541 307 +5705 380 +-1174 1183 +-1444 874 +3363 -5643 +-2341 4681 +-1541 -4972 +-339 -8241 +3648 2430 +2720 718 +1136 -444 +893 1343 +7084 -5704 +5308 -2341 +-3832 2248 +-2163 -1265 +-657 2228 +432 1074 +2842 -3455 +491 -1461 +-30 -1829 +1170 0 +-30 1829 +491 1461 +2842 3455 +432 -1074 +-657 -2228 +-2163 1265 +-3832 -2248 +5308 2341 +7084 5704 +893 -1343 +1136 444 +2720 -718 +3648 -2430 +-339 8241 +-1541 4972 +-2341 -4681 +-4514 1166 +549 2843 +1388 -3798 +3616 -5399 +-488 -2128 +-7051 -269 +1295 -2605 +-2967 -2341 +-6065 -1130 +330 -2342 +-1006 1043 +2594 3607 +-243 1310 +-2072 744 +984 829 +-1170 0 +984 -829 +-2072 -744 +-243 -1310 +2594 -3607 +-1006 -1043 +330 2342 +-6065 1130 +-2967 2341 +1295 2605 +-7051 269 +-488 2128 +3616 5399 +1388 3798 +549 -2843 +-4514 -1166 +-2341 4681 +-1541 -4972 +-339 -8241 +3648 2430 +2720 718 +1136 -444 +893 1343 +7084 -5704 +5308 -2341 +-3832 2248 +-2163 -1265 +-657 2228 +432 1074 +2842 -3455 +491 -1461 +-30 -1829 +-3511 -3511 +2960 1741 +1410 -939 +1020 -4694 +1685 -2775 +-2184 3501 +-1924 487 +-2152 -5493 +485 1312 +-4301 1015 +-4385 -3908 +3651 978 +285 -1053 +2925 -1385 +847 2885 +-3255 -1906 +1170 0 +-3255 1906 +847 -2885 +2925 1385 +285 1053 +3651 -978 +-4385 3908 +-4301 -1015 +485 -1312 +-2152 5493 +-1924 -487 +-2184 -3501 +1685 2775 +1020 4694 +1410 939 +2960 -1741 +-3511 3511 +2039 2737 +3167 -5219 +-981 555 +7276 6770 +6863 2977 +1672 1095 +-158 -2878 +-2825 -3653 +-2815 2930 +-1983 4118 +-2486 2337 +-4565 367 +-2187 -3174 +1196 -1052 +1062 2717 +1170 0 +1062 -2717 +1196 1052 +-2187 3174 +-4565 -367 +-2486 -2337 +-1983 -4118 +-2815 -2930 +-2825 3653 +-158 2878 +1672 -1095 +6863 -2977 +7276 -6770 +-981 -555 +3167 5219 +2039 -2737 +-3511 -3511 +2960 1741 +1410 -939 +1020 -4694 +1685 -2775 +-2184 3501 +-1924 487 +-2152 -5493 +485 1312 +-4301 1015 +-4385 -3908 +3651 978 +285 -1053 +2925 -1385 +847 2885 +-3255 -1906 +1170 -3511 +401 -2529 +-3279 1023 +-3537 -3079 +-1228 819 +3065 -27 +3227 -426 +-1520 -585 +3310 -3168 +6347 3028 +-1585 127 +220 795 +4108 4139 +-641 -4384 +1072 -4626 +857 -1618 +-3511 0 +857 1618 +1072 4626 +-641 4384 +4108 -4139 +220 -795 +-1585 -127 +6347 -3028 +3310 3168 +-1520 585 +3227 426 +3065 27 +-1228 -819 +-3537 3079 +-3279 -1023 +401 2529 +1170 3511 +2087 772 +3069 4924 +-4741 -517 +-6078 -819 +3752 -2376 +2931 -7313 +-4001 236 +-3310 -1513 +-85 -3378 +3418 1496 +3404 -3198 +-1483 -4139 +-1522 788 +509 1212 +-4087 -139 +-8192 0 +-4087 139 +509 -1212 +-1522 -788 +-1483 4139 +3404 3198 +3418 -1496 +-85 3378 +-3310 1513 +-4001 -236 +2931 7313 +3752 2376 +-6078 819 +-4741 517 +3069 -4924 +2087 -772 +1170 -3511 +401 -2529 +-3279 1023 +-3537 -3079 +-1228 819 +3065 -27 +3227 -426 +-1520 -585 +3310 -3168 +6347 3028 +-1585 127 +220 795 +4108 4139 +-641 -4384 +1072 -4626 +857 -1618 +-1170 0 +-2562 1 +-5179 -2119 +3590 1095 +2930 -485 +-732 -1949 +5906 869 +-1858 474 +-3168 0 +1333 -2903 +1709 -3762 +5333 627 +-1401 -2825 +-3616 -4482 +-2790 -176 +-3350 -1663 +0 0 +-3350 1663 +-2790 176 +-3616 4482 +-1401 2825 +5333 -627 +1709 3762 +1333 2903 +-3168 0 +-1858 -474 +5906 -869 +-732 1949 +2930 485 +3590 -1095 +-5179 2119 +-2562 -1 +-1170 0 +4071 4166 +5105 1181 +-364 -2739 +2035 -485 +-248 2994 +-2417 1083 +-325 -1723 +-1513 0 +74 639 +6104 4343 +5074 5519 +-3564 -2825 +-2416 1320 +925 7230 +-4003 -1805 +-7022 0 +-4003 1805 +925 -7230 +-2416 -1320 +-3564 2825 +5074 -5519 +6104 -4343 +74 -639 +-1513 0 +-325 1723 +-2417 -1083 +-248 -2994 +2035 485 +-364 2739 +5105 -1181 +4071 -4166 +-1170 0 +-2562 1 +-5179 -2119 +3590 1095 +2930 -485 +-732 -1949 +5906 869 +-1858 474 +-3168 0 +1333 -2903 +1709 -3762 +5333 627 +-1401 -2825 +-3616 -4482 +-2790 -176 +-3350 -1663 +-3511 -1170 +-2723 3556 +-1891 4466 +872 -1766 +68 1566 +-3730 5913 +1028 -1539 +-4462 -2202 +-3996 142 +5155 1276 +399 5837 +-530 2955 +2990 3273 +3017 4386 +1631 -1191 +-1967 194 +-3511 0 +-1967 -194 +1631 1191 +3017 -4386 +2990 -3273 +-530 -2955 +399 -5837 +5155 -1276 +-3996 -142 +-4462 2202 +1028 1539 +-3730 -5913 +68 -1566 +872 1766 +-1891 -4466 +-2723 -3556 +-3511 1170 +3689 -690 +4602 -1989 +2336 2452 +-1723 -596 +-3056 -3732 +6533 1868 +2366 -1756 +-686 -4823 +3834 -133 +-3279 2483 +-4644 6861 +-1335 2377 +-886 -2685 +339 2297 +728 1153 +1170 0 +728 -1153 +339 -2297 +-886 2685 +-1335 -2377 +-4644 -6861 +-3279 -2483 +3834 133 +-686 4823 +2366 1756 +6533 -1868 +-3056 3732 +-1723 596 +2336 -2452 +4602 1989 +3689 690 +-3511 -1170 +-2723 3556 +-1891 4466 +872 -1766 +68 1566 +-3730 5913 +1028 -1539 +-4462 -2202 +-3996 142 +5155 1276 +399 5837 +-530 2955 +2990 3273 +3017 4386 +1631 -1191 +-1967 194 +1170 -2341 +1782 2425 +-2089 -198 +1366 -1190 +4654 1038 +-6658 -481 +-2740 -758 +781 387 +-343 485 +9112 3652 +4916 3833 +-1267 -602 +-66 2661 +-1648 8612 +2349 3694 +2162 -3062 +-2341 0 +2162 3062 +2349 -3694 +-1648 -8612 +-66 -2661 +-1267 602 +4916 -3833 +9112 -3652 +-343 -485 +781 -387 +-2740 758 +-6658 481 +4654 -1038 +1366 1190 +-2089 198 +1782 -2425 +1170 2341 +1278 4885 +-3891 1094 +-675 -156 +1967 -754 +-1567 -129 +2604 2920 +179 189 +-1998 -2825 +-1660 -928 +-1470 -1671 +-1453 1427 +-6554 6986 +-1339 839 +321 -2799 +-393 3157 +4681 0 +-393 -3157 +321 2799 +-1339 -839 +-6554 -6986 +-1453 -1427 +-1470 1671 +-1660 928 +-1998 2825 +179 -189 +2604 -2920 +-1567 129 +1967 754 +-675 156 +-3891 -1094 +1278 -4885 +1170 -2341 +1782 2425 +-2089 -198 +1366 -1190 +4654 1038 +-6658 -481 +-2740 -758 +781 387 +-343 485 +9112 3652 +4916 3833 +-1267 -602 +-66 2661 +-1648 8612 +2349 3694 +2162 -3062 +2341 1170 +3474 5928 +2690 -44 +-1239 3727 +1872 9389 +3381 2227 +1817 -4195 +-3921 1150 +-9161 1513 +-759 -3302 +581 4378 +-1796 2947 +2631 -3130 +471 736 +4489 1128 +2218 2535 +-7022 0 +2218 -2535 +4489 -1128 +471 -736 +2631 3130 +-1796 -2947 +581 -4378 +-759 3302 +-9161 -1513 +-3921 -1150 +1817 4195 +3381 -2227 +1872 -9389 +-1239 -3727 +2690 44 +3474 -5928 +2341 -1170 +41 1588 +-4304 -1611 +-1328 -677 +-1186 -3739 +-168 1037 +3952 2540 +-1432 -1041 +-2541 3168 +-4 -914 +-1670 -2723 +1117 2109 +1364 4099 +-438 4105 +1806 527 +383 656 +-2341 0 +383 -656 +1806 -527 +-438 -4105 +1364 -4099 +1117 -2109 +-1670 2723 +-4 914 +-2541 -3168 +-1432 1041 +3952 -2540 +-168 -1037 +-1186 3739 +-1328 677 +-4304 1611 +41 -1588 +2341 1170 +3474 5928 +2690 -44 +-1239 3727 +1872 9389 +3381 2227 +1817 -4195 +-3921 1150 +-9161 1513 +-759 -3302 +581 4378 +-1796 2947 +2631 -3130 +471 736 +4489 1128 +2218 2535 +0 4681 +-5633 -2300 +-2225 -4252 +2216 2608 +-2446 -2066 +-1522 -844 +1620 4557 +83 -753 +-1028 0 +369 -1321 +3834 -2558 +980 2257 +-1424 3333 +5176 5968 +5098 1742 +938 -6710 +1170 0 +938 6710 +5098 -1742 +5176 -5968 +-1424 -3333 +980 -2257 +3834 2558 +369 1321 +-1028 0 +83 753 +1620 -4557 +-1522 844 +-2446 2066 +2216 -2608 +-2225 4252 +-5633 2300 +0 -4681 +1294 -1420 +2403 5907 +2206 4066 +-1550 -275 +474 2852 +-175 -2902 +-5116 -2665 +-5993 0 +-4489 -6421 +-597 903 +572 1542 +738 -992 +3139 2498 +-595 -3397 +-686 -1335 +3511 0 +-686 1335 +-595 3397 +3139 -2498 +738 992 +572 -1542 +-597 -903 +-4489 6421 +-5993 0 +-5116 2665 +-175 2902 +474 -2852 +-1550 275 +2206 -4066 +2403 -5907 +1294 1420 +0 4681 +-5633 -2300 +-2225 -4252 +2216 2608 +-2446 -2066 +-1522 -844 +1620 4557 +83 -753 +-1028 0 +369 -1321 +3834 -2558 +980 2257 +-1424 3333 +5176 5968 +5098 1742 +938 -6710 +1170 -3511 +-2185 830 +-2632 -3070 +-530 114 +2780 -4624 +4099 -1372 +-475 1988 +-772 76 +2341 3168 +-68 -96 +-376 174 +1835 -864 +110 -2006 +-649 1230 +-552 -1096 +-3631 1173 +-5851 0 +-3631 -1173 +-552 1096 +-649 -1230 +110 2006 +1835 864 +-376 -174 +-68 96 +2341 -3168 +-772 -76 +-475 -1988 +4099 1372 +2780 4624 +-530 -114 +-2632 3070 +-2185 -830 +1170 3511 +413 10826 +2454 -926 +7236 -495 +246 3655 +-5163 -417 +-970 -1303 +-615 -1128 +2341 1513 +4261 -1971 +-2861 -859 +5 4177 +6226 -3644 +-215 -3130 +-3950 5092 +-4024 2847 +-5851 0 +-4024 -2847 +-3950 -5092 +-215 3130 +6226 3644 +5 -4177 +-2861 859 +4261 1971 +2341 -1513 +-615 1128 +-970 1303 +-5163 417 +246 -3655 +7236 495 +2454 926 +413 -10826 +1170 -3511 +-2185 830 +-2632 -3070 +-530 114 +2780 -4624 +4099 -1372 +-475 1988 +-772 76 +2341 3168 +-68 -96 +-376 174 +1835 -864 +110 -2006 +-649 1230 +-552 -1096 +-3631 1173 +8192 0 +5201 -1053 +2698 -3470 +1561 -2270 +31 3817 +-818 1743 +1503 -5441 +1262 -2757 +-4138 2341 +2309 309 +-2549 -184 +-6329 2530 +6896 2551 +-3987 1431 +-7872 -617 +-565 -2604 +-4681 0 +-565 2604 +-7872 617 +-3987 -1431 +6896 -2551 +-6329 -2530 +-2549 184 +2309 -309 +-4138 -2341 +1262 2757 +1503 5441 +-818 -1743 +31 -3817 +1561 2270 +2698 3470 +5201 1053 +8192 0 +-491 -2005 +-4531 -1631 +-762 1004 +-2656 -507 +-3354 -3010 +-1293 -2194 +3401 -301 +4138 2341 +1440 2749 +968 -830 +-444 -1264 +409 759 +893 -164 +1714 2135 +684 5663 +-2341 0 +684 -5663 +1714 -2135 +893 164 +409 -759 +-444 1264 +968 830 +1440 -2749 +4138 -2341 +3401 301 +-1293 2194 +-3354 3010 +-2656 507 +-762 -1004 +-4531 1631 +-491 2005 +8192 0 +5201 -1053 +2698 -3470 +1561 -2270 +31 3817 +-818 1743 +1503 -5441 +1262 -2757 +-4138 2341 +2309 309 +-2549 -184 +-6329 2530 +6896 2551 +-3987 1431 +-7872 -617 +-565 -2604 +3511 -3511 +-922 1746 +-831 -2943 +4813 -3625 +7829 -2377 +2277 1068 +-4268 4697 +-2155 3063 +-686 4823 +-574 -2042 +3412 -3966 +135 3265 +-4400 -1566 +719 -328 +3068 4459 +1178 -1327 +1170 0 +1178 1327 +3068 -4459 +719 328 +-4400 1566 +135 -3265 +3412 3966 +-574 2042 +-686 -4823 +-2155 -3063 +-4268 -4697 +2277 -1068 +7829 2377 +4813 3625 +-831 2943 +-922 -1746 +3511 3511 +1238 2111 +-1332 -83 +2943 2227 +446 -3273 +-2196 3129 +3372 1639 +699 -6488 +-3996 -142 +-504 -1027 +-2517 -2370 +-6333 -1957 +-3875 596 +-2359 5403 +-906 -1433 +1040 -3822 +1170 0 +1040 3822 +-906 1433 +-2359 -5403 +-3875 -596 +-6333 1957 +-2517 2370 +-504 1027 +-3996 142 +699 6488 +3372 -1639 +-2196 -3129 +446 3273 +2943 -2227 +-1332 83 +1238 -2111 +3511 -3511 +-922 1746 +-831 -2943 +4813 -3625 +7829 -2377 +2277 1068 +-4268 4697 +-2155 3063 +-686 4823 +-574 -2042 +3412 -3966 +135 3265 +-4400 -1566 +719 -328 +3068 4459 +1178 -1327 +0 -5851 +839 -383 +6949 2844 +4577 1787 +718 917 +213 -978 +2289 -355 +2153 -2101 +-1655 -1028 +4493 2628 +6419 -450 +-1144 2926 +-1074 -791 +-1284 -5391 +-2027 3320 +-1209 1080 +-2341 0 +-1209 -1080 +-2027 -3320 +-1284 5391 +-1074 791 +-1144 -2926 +6419 450 +4493 -2628 +-1655 1028 +2153 2101 +2289 355 +213 978 +718 -917 +4577 -1787 +6949 -2844 +839 383 +0 5851 +1156 2817 +-1802 -5944 +-2481 -3021 +-5399 3079 +-2640 -1233 +828 3203 +-2526 1073 +1655 -5993 +5305 3979 +1765 1927 +-2272 -3618 +-3607 105 +-1589 -945 +-5059 1572 +-3592 2369 +2341 0 +-3592 -2369 +-5059 -1572 +-1589 945 +-3607 -105 +-2272 3618 +1765 -1927 +5305 -3979 +1655 5993 +-2526 -1073 +828 -3203 +-2640 1233 +-5399 -3079 +-2481 3021 +-1802 5944 +1156 -2817 +0 -5851 +839 -383 +6949 2844 +4577 1787 +718 917 +213 -978 +2289 -355 +2153 -2101 +-1655 -1028 +4493 2628 +6419 -450 +-1144 2926 +-1074 -791 +-1284 -5391 +-2027 3320 +-1209 1080 +-1170 -1170 +-3800 -2411 +-1071 5286 +5556 1105 +-3390 448 +-5633 3321 +-8 -1542 +-1031 -342 +-1655 828 +1286 -317 +-189 3869 +-2135 1419 +5004 -1081 +7282 3064 +2111 -911 +-1603 -6324 +-3511 0 +-1603 6324 +2111 911 +7282 -3064 +5004 1081 +-2135 -1419 +-189 -3869 +1286 317 +-1655 -828 +-1031 342 +-8 1542 +-5633 -3321 +-3390 -448 +5556 -1105 +-1071 -5286 +-3800 2411 +-1170 1170 +-2449 -6073 +1385 -605 +-4073 1874 +-3915 -448 +903 -1331 +-1217 -3139 +4529 -1346 +1655 -828 +3124 -1371 +9405 812 +6 571 +-2379 1081 +-1907 -85 +-1054 -3770 +-55 -2160 +-3511 0 +-55 2160 +-1054 3770 +-1907 85 +-2379 -1081 +6 -571 +9405 -812 +3124 1371 +1655 828 +4529 1346 +-1217 3139 +903 1331 +-3915 448 +-4073 -1874 +1385 605 +-2449 6073 +-1170 -1170 +-3800 -2411 +-1071 5286 +5556 1105 +-3390 448 +-5633 3321 +-8 -1542 +-1031 -342 +-1655 828 +1286 -317 +-189 3869 +-2135 1419 +5004 -1081 +7282 3064 +2111 -911 +-1603 -6324 +8192 1170 +112 1698 +-1109 75 +-662 -366 +68 670 +2770 862 +-2082 4487 +3981 1816 +1655 -142 +-8017 152 +-1103 -5723 +667 -767 +2990 5436 +5844 219 +-2227 -1756 +690 -1135 +8192 0 +690 1135 +-2227 1756 +5844 -219 +2990 -5436 +667 767 +-1103 5723 +-8017 -152 +1655 142 +3981 -1816 +-2082 -4487 +2770 -862 +68 -670 +-662 366 +-1109 -75 +112 -1698 +8192 -1170 +1643 -3030 +-1603 -760 +2085 1062 +-1723 299 +-5206 543 +-5480 -491 +-715 4754 +-1655 4823 +-1093 -1217 +3983 1727 +-1037 653 +-1335 215 +2160 5578 +258 2441 +-3222 -1211 +-5851 0 +-3222 1211 +258 -2441 +2160 -5578 +-1335 -215 +-1037 -653 +3983 -1727 +-1093 1217 +-1655 -4823 +-715 -4754 +-5480 491 +-5206 -543 +-1723 -299 +2085 -1062 +-1603 760 +1643 3030 +8192 1170 +112 1698 +-1109 75 +-662 -366 +68 670 +2770 862 +-2082 4487 +3981 1816 +1655 -142 +-8017 152 +-1103 -5723 +667 -767 +2990 5436 +5844 219 +-2227 -1756 +690 -1135 +-4681 0 +-1146 645 +4763 -4609 +3049 -6733 +1065 896 +22 -4520 +646 -3746 +-833 -540 +-4338 -2341 +1046 5890 +5233 3204 +1639 -1988 +2087 -2162 +2637 675 +-451 7291 +-280 857 +1170 0 +-280 -857 +-451 -7291 +2637 -675 +2087 2162 +1639 1988 +5233 -3204 +1046 -5890 +-4338 2341 +-833 540 +646 3746 +22 4520 +1065 -896 +3049 6733 +4763 4609 +-1146 -645 +-4681 0 +-1051 -2474 +-1198 -1759 +1409 -2310 +1961 -896 +-2641 438 +638 -830 +-218 -1962 +-2683 -2341 +1796 2406 +-3207 -1161 +-3345 -4241 +4249 2162 +-2770 -2503 +-6425 -7039 +685 -1250 +3511 0 +685 1250 +-6425 7039 +-2770 2503 +4249 -2162 +-3345 4241 +-3207 1161 +1796 -2406 +-2683 2341 +-218 1962 +638 830 +-2641 -438 +1961 896 +1409 2310 +-1198 1759 +-1051 2474 +-4681 0 +-1146 645 +4763 -4609 +3049 -6733 +1065 896 +22 -4520 +646 -3746 +-833 -540 +-4338 -2341 +1046 5890 +5233 3204 +1639 -1988 +2087 -2162 +2637 675 +-451 7291 +-280 857 +0 -3511 +2267 -1615 +-11 348 +-833 -1250 +-1597 3506 +-2912 1646 +-1525 -753 +-3535 2506 +-485 2483 +1855 3469 +835 4004 +669 701 +-3623 -2348 +150 -1899 +1438 -1715 +-2913 -3842 +-2341 0 +-2913 3842 +1438 1715 +150 1899 +-3623 2348 +669 -701 +835 -4004 +1855 -3469 +-485 -2483 +-3535 -2506 +-1525 753 +-2912 -1646 +-1597 -3506 +-833 1250 +-11 -348 +2267 1615 +0 3511 +-5118 -1130 +-5598 1800 +4801 -597 +3252 -3506 +-1323 3180 +6609 2188 +1946 -1448 +2825 -2483 +7641 2270 +-2609 6794 +-3292 -557 +1968 2348 +2741 4734 +861 -5500 +-2143 -3584 +-2341 0 +-2143 3584 +861 5500 +2741 -4734 +1968 -2348 +-3292 557 +-2609 -6794 +7641 -2270 +2825 2483 +1946 1448 +6609 -2188 +-1323 -3180 +3252 3506 +4801 597 +-5598 -1800 +-5118 1130 +0 -3511 +2267 -1615 +-11 348 +-833 -1250 +-1597 3506 +-2912 1646 +-1525 -753 +-3535 2506 +-485 2483 +1855 3469 +835 4004 +669 701 +-3623 -2348 +150 -1899 +1438 -1715 +-2913 -3842 +-1170 -5851 +-4658 105 +-3421 3061 +-956 3846 +-1506 6053 +-261 -1704 +-1551 2702 +-5366 3410 +-1170 -1312 +3107 4979 +41 -1001 +453 -1751 +2951 -706 +1462 -5740 +1524 653 +2006 43 +1170 0 +2006 -43 +1524 -653 +1462 5740 +2951 706 +453 1751 +41 1001 +3107 -4979 +-1170 1312 +-5366 -3410 +-1551 -2702 +-261 1704 +-1506 -6053 +-956 -3846 +-3421 -3061 +-4658 -105 +-1170 5851 +1810 -1545 +3421 -3628 +1203 2419 +-2773 2624 +-191 -4182 +1551 -5970 +-2288 1875 +-1170 3653 +1237 -2857 +-41 -3639 +3308 -469 +6009 -4660 +1601 -311 +-1524 6772 +-2467 -1903 +-3511 0 +-2467 1903 +-1524 -6772 +1601 311 +6009 4660 +3308 469 +-41 3639 +1237 2857 +-1170 -3653 +-2288 -1875 +1551 5970 +-191 4182 +-2773 -2624 +1203 -2419 +3421 3628 +1810 1545 +-1170 -5851 +-4658 105 +-3421 3061 +-956 3846 +-1506 6053 +-261 -1704 +-1551 2702 +-5366 3410 +-1170 -1312 +3107 4979 +41 -1001 +453 -1751 +2951 -706 +1462 -5740 +1524 653 +2006 43 +1170 -2341 +-3255 -1851 +-3072 125 +3869 967 +2640 1872 +-1360 3628 +-3242 -3114 +-3229 -1345 +-4338 4480 +-463 -5000 +-366 -4460 +-3705 3831 +2126 -2631 +745 -7362 +-721 -2646 +557 380 +-2341 0 +557 -380 +-721 2646 +745 7362 +2126 2631 +-3705 -3831 +-366 4460 +-463 5000 +-4338 -4480 +-3229 1345 +-3242 3114 +-1360 -3628 +2640 -1872 +3869 -967 +-3072 -125 +-3255 1851 +1170 2341 +-3136 1954 +-1504 -439 +-305 1488 +3011 -1186 +9095 -3811 +3494 1889 +-67 -1126 +-2683 -2140 +449 -634 +6735 -4756 +-720 -348 +-3095 -1364 +-998 -2499 +-1322 3702 +2524 -697 +4681 0 +2524 697 +-1322 -3702 +-998 2499 +-3095 1364 +-720 348 +6735 4756 +449 634 +-2683 2140 +-67 1126 +3494 -1889 +9095 3811 +3011 1186 +-305 -1488 +-1504 439 +-3136 -1954 +1170 -2341 +-3255 -1851 +-3072 125 +3869 967 +2640 1872 +-1360 3628 +-3242 -3114 +-3229 -1345 +-4338 4480 +-463 -5000 +-366 -4460 +-3705 3831 +2126 -2631 +745 -7362 +-721 -2646 +557 380 +2341 0 +-1623 2238 +3212 3406 +652 584 +-3467 -537 +6087 -1633 +5214 1806 +-543 2347 +-343 -686 +3523 1947 +798 901 +-7354 -31 +-1298 2699 +1769 578 +-3141 -56 +-2274 1883 +-1170 0 +-2274 -1883 +-3141 56 +1769 -578 +-1298 -2699 +-7354 31 +798 -901 +3523 -1947 +-343 686 +-543 -2347 +5214 -1806 +6087 1633 +-3467 537 +652 -584 +3212 -3406 +-1623 -2238 +2341 0 +637 462 +2558 2678 +4775 -2397 +-3838 -1804 +-4870 1227 +1080 -6875 +1796 -5645 +-1998 -3996 +-1193 -3454 +-2411 6702 +-2513 3950 +3923 -359 +1454 1934 +2052 88 +-324 2608 +-8192 0 +-324 -2608 +2052 -88 +1454 -1934 +3923 359 +-2513 -3950 +-2411 -6702 +-1193 3454 +-1998 3996 +1796 5645 +1080 6875 +-4870 -1227 +-3838 1804 +4775 2397 +2558 -2678 +637 -462 +2341 0 +-1623 2238 +3212 3406 +652 584 +-3467 -537 +6087 -1633 +5214 1806 +-543 2347 +-343 -686 +3523 1947 +798 901 +-7354 -31 +-1298 2699 +1769 578 +-3141 -56 +-2274 1883 +2341 -2341 +2405 -3790 +235 -2550 +-4625 -127 +-8962 633 +4309 -2419 +6840 -2266 +1049 -580 +1312 -3310 +-356 -1499 +2424 2090 +1367 -432 +-677 1529 +2921 6251 +1041 2352 +-479 -2418 +1170 0 +-479 2418 +1041 -2352 +2921 -6251 +-677 -1529 +1367 432 +2424 -2090 +-356 1499 +1312 3310 +1049 580 +6840 2266 +4309 2419 +-8962 -633 +-4625 127 +235 2550 +2405 3790 +2341 2341 +-3354 3 +2613 -567 +979 -2101 +-684 -633 +4206 521 +-1949 -4820 +-2649 -1583 +-3653 3310 +-3146 -6509 +676 -7237 +-2248 1341 +961 -1529 +-289 947 +-2518 5832 +-91 -592 +-1170 0 +-91 592 +-2518 -5832 +-289 -947 +961 1529 +-2248 -1341 +676 7237 +-3146 6509 +-3653 -3310 +-2649 1583 +-1949 4820 +4206 -521 +-684 633 +979 2101 +2613 567 +-3354 -3 +2341 -2341 +2405 -3790 +235 -2550 +-4625 -127 +-8962 633 +4309 -2419 +6840 -2266 +1049 -580 +1312 -3310 +-356 -1499 +2424 2090 +1367 -432 +-677 1529 +2921 6251 +1041 2352 +-479 -2418 +3511 2341 +2094 1654 +2357 1909 +3111 890 +-8672 -553 +-1253 829 +9760 380 +4463 881 +-343 4196 +1648 2225 +4615 -1275 +-679 151 +-4204 165 +-1401 -1502 +-383 254 +2179 1862 +4681 0 +2179 -1862 +-383 -254 +-1401 1502 +-4204 -165 +-679 -151 +4615 1275 +1648 -2225 +-343 -4196 +4463 -881 +9760 -380 +-1253 -829 +-8672 553 +3111 -890 +2357 -1909 +2094 -1654 +3511 -2341 +-4497 693 +-269 1909 +-1355 -1669 +-1660 1238 +1603 4123 +-5376 380 +-867 3800 +-1998 7506 +-5244 665 +2303 -1275 +330 476 +492 -4160 +-356 -3602 +-3645 254 +223 -1306 +2341 0 +223 1306 +-3645 -254 +-356 3602 +492 4160 +330 -476 +2303 1275 +-5244 -665 +-1998 -7506 +-867 -3800 +-5376 -380 +1603 -4123 +-1660 -1238 +-1355 1669 +-269 -1909 +-4497 -693 +3511 2341 +2094 1654 +2357 1909 +3111 890 +-8672 -553 +-1253 829 +9760 380 +4463 881 +-343 4196 +1648 2225 +4615 -1275 +-679 151 +-4204 165 +-1401 -1502 +-383 254 +2179 1862 +2341 1170 +2286 707 +3824 -4542 +1343 661 +-4863 -3147 +15 -3739 +1744 3553 +2163 1308 +5166 -2483 +-2039 -801 +990 2779 +873 1004 +-10066 2885 +98 3280 +5879 -2614 +-1483 1093 +-2341 0 +-1483 -1093 +5879 2614 +98 -3280 +-10066 -2885 +873 -1004 +990 -2779 +-2039 801 +5166 2483 +2163 -1308 +1744 -3553 +15 3739 +-4863 3147 +1343 -661 +3824 4542 +2286 -707 +2341 -1170 +504 4396 +-336 354 +-2795 -717 +-3814 807 +-3800 2984 +-3609 908 +778 -1011 +1856 2483 +-902 2890 +-1064 4992 +2912 2566 +4700 -544 +1354 989 +1934 1735 +-1307 5802 +-7022 0 +-1307 -5802 +1934 -1735 +1354 -989 +4700 544 +2912 -2566 +-1064 -4992 +-902 -2890 +1856 -2483 +778 1011 +-3609 -908 +-3800 -2984 +-3814 -807 +-2795 717 +-336 -354 +504 -4396 +2341 1170 +2286 707 +3824 -4542 +1343 661 +-4863 -3147 +15 -3739 +1744 3553 +2163 1308 +5166 -2483 +-2039 -801 +990 2779 +873 1004 +-10066 2885 +98 3280 +5879 -2614 +-1483 1093 +0 0 +5998 -314 +3799 -1447 +1987 -2838 +5093 3236 +-2459 1086 +-3205 1147 +5499 3756 +3653 2341 +1228 1866 +2527 -2556 +221 -1141 +-2297 -4503 +-2057 -3920 +1071 2606 +-140 -7306 +-3511 0 +-140 7306 +1071 -2606 +-2057 3920 +-2297 4503 +221 1141 +2527 2556 +1228 -1866 +3653 -2341 +5499 -3756 +-3205 -1147 +-2459 -1086 +5093 -3236 +1987 2838 +3799 1447 +5998 314 +0 0 +-445 -1919 +-1249 2713 +-2184 4257 +-128 1445 +-3202 -6669 +-613 -4206 +3405 7291 +-1312 2341 +-1720 -1615 +-2020 -502 +-5504 -2295 +-2668 -178 +-42 -1876 +-312 -1339 +-585 3638 +-1170 0 +-585 -3638 +-312 1339 +-42 1876 +-2668 178 +-5504 2295 +-2020 502 +-1720 1615 +-1312 -2341 +3405 -7291 +-613 4206 +-3202 6669 +-128 -1445 +-2184 -4257 +-1249 -2713 +-445 1919 +0 0 +5998 -314 +3799 -1447 +1987 -2838 +5093 3236 +-2459 1086 +-3205 1147 +5499 3756 +3653 2341 +1228 1866 +2527 -2556 +221 -1141 +-2297 -4503 +-2057 -3920 +1071 2606 +-140 -7306 +-1170 -1170 +-4987 2003 +-3017 5605 +-1713 -3389 +-537 -4608 +4582 -7504 +2907 -925 +-2216 3083 +3511 -6963 +6598 -2663 +-1665 -3105 +-4871 -5337 +-2699 528 +-2339 -1588 +474 443 +2138 3207 +1170 0 +2138 -3207 +474 -443 +-2339 1588 +-2699 -528 +-4871 5337 +-1665 3105 +6598 2663 +3511 6963 +-2216 -3083 +2907 925 +4582 7504 +-537 4608 +-1713 3389 +-3017 -5605 +-4987 -2003 +-1170 1170 +3042 -3647 +1225 1820 +-2848 39 +-1804 612 +2552 1224 +1418 -3715 +-99 4026 +3511 4622 +1561 -2544 +-2660 -163 +543 2220 +359 157 +-2525 -1341 +1318 -1009 +584 -1185 +-3511 0 +584 1185 +1318 1009 +-2525 1341 +359 -157 +543 -2220 +-2660 163 +1561 2544 +3511 -4622 +-99 -4026 +1418 3715 +2552 -1224 +-1804 -612 +-2848 -39 +1225 -1820 +3042 3647 +-1170 -1170 +-4987 2003 +-3017 5605 +-1713 -3389 +-537 -4608 +4582 -7504 +2907 -925 +-2216 3083 +3511 -6963 +6598 -2663 +-1665 -3105 +-4871 -5337 +-2699 528 +-2339 -1588 +474 443 +2138 3207 +3511 0 +3251 -1564 +1671 -1374 +-1099 -1070 +-380 1380 +-3292 5827 +-4673 -3128 +-729 -2263 +-1513 4681 +1539 -6261 +2898 -3681 +-771 3580 +1909 663 +3147 1262 +2189 -2490 +-2038 -3795 +-7022 0 +-2038 3795 +2189 2490 +3147 -1262 +1909 -663 +-771 -3580 +2898 3681 +1539 6261 +-1513 -4681 +-729 2263 +-4673 3128 +-3292 -5827 +-380 -1380 +-1099 1070 +1671 1374 +3251 1564 +3511 0 +-39 621 +-776 -29 +3700 -2794 +-1275 -411 +-2062 5922 +2510 3516 +-1838 3144 +-3168 4681 +-1506 878 +-736 759 +8 -1341 +-254 4988 +368 7967 +-3085 -2223 +1359 467 +9362 0 +1359 -467 +-3085 2223 +368 -7967 +-254 -4988 +8 1341 +-736 -759 +-1506 -878 +-3168 -4681 +-1838 -3144 +2510 -3516 +-2062 -5922 +-1275 411 +3700 2794 +-776 29 +-39 -621 +3511 0 +3251 -1564 +1671 -1374 +-1099 -1070 +-380 1380 +-3292 5827 +-4673 -3128 +-729 -2263 +-1513 4681 +1539 -6261 +2898 -3681 +-771 3580 +1909 663 +3147 1262 +2189 -2490 +-2038 -3795 +-1170 1170 +-6136 -2966 +-306 -6888 +-3432 1585 +-4629 3228 +4693 560 +4307 -1719 +2459 -1853 +1856 1312 +-1035 -1930 +-110 -1435 +1022 41 +844 -1191 +-1070 -2923 +-1597 -4008 +4042 2219 +8192 0 +4042 -2219 +-1597 4008 +-1070 2923 +844 1191 +1022 -41 +-110 1435 +-1035 1930 +1856 -1312 +2459 1853 +4307 1719 +4693 -560 +-4629 -3228 +-3432 -1585 +-306 6888 +-6136 2966 +-1170 -1170 +727 -477 +1184 -1642 +5288 1294 +-3362 -202 +-1958 -2094 +3464 -1962 +-942 -1349 +5166 -3653 +3843 -5953 +-4351 4374 +-1965 3106 +-2215 -5145 +-2578 1121 +-2592 2098 +-2958 -981 +-1170 0 +-2958 981 +-2592 -2098 +-2578 -1121 +-2215 5145 +-1965 -3106 +-4351 -4374 +3843 5953 +5166 3653 +-942 1349 +3464 1962 +-1958 2094 +-3362 202 +5288 -1294 +1184 1642 +727 477 +-1170 1170 +-6136 -2966 +-306 -6888 +-3432 1585 +-4629 3228 +4693 560 +4307 -1719 +2459 -1853 +1856 1312 +-1035 -1930 +-110 -1435 +1022 41 +844 -1191 +-1070 -2923 +-1597 -4008 +4042 2219 +1170 2341 +2428 3670 +-4471 1635 +-1508 -2608 +985 4286 +2910 1321 +3579 -360 +-3440 5747 +-1312 -1170 +1667 165 +-587 4187 +2987 -441 +3781 2841 +-30 8830 +-3342 5939 +-2560 -1759 +0 0 +-2560 1759 +-3342 -5939 +-30 -8830 +3781 -2841 +2987 441 +-587 -4187 +1667 -165 +-1312 1170 +-3440 -5747 +3579 360 +2910 -1321 +985 -4286 +-1508 2608 +-4471 -1635 +2428 -3670 +1170 -2341 +-2781 -469 +-1180 1931 +-4151 616 +1356 3019 +4040 -1552 +-2609 -924 +115 4621 +3653 -1170 +4190 -741 +1557 -2161 +-3821 -4619 +-1440 -217 +-427 -2411 +-2309 936 +380 7256 +2341 0 +380 -7256 +-2309 -936 +-427 2411 +-1440 217 +-3821 4619 +1557 2161 +4190 741 +3653 1170 +115 -4621 +-2609 924 +4040 1552 +1356 -3019 +-4151 -616 +-1180 -1931 +-2781 469 +1170 2341 +2428 3670 +-4471 1635 +-1508 -2608 +985 4286 +2910 1321 +3579 -360 +-3440 5747 +-1312 -1170 +1667 165 +-587 4187 +2987 -441 +3781 2841 +-30 8830 +-3342 5939 +-2560 -1759 +-5851 2341 +-1511 -868 +-3386 -1785 +-2199 1069 +997 -3126 +501 -1406 +3232 3447 +-2972 -2539 +-1998 -2825 +-1089 3989 +-7229 1044 +-3599 -1624 +-903 4257 +4630 5982 +5592 -28 +-1122 -3671 +-2341 0 +-1122 3671 +5592 28 +4630 -5982 +-903 -4257 +-3599 1624 +-7229 -1044 +-1089 -3989 +-1998 2825 +-2972 2539 +3232 -3447 +501 1406 +997 3126 +-2199 -1069 +-3386 1785 +-1511 868 +-5851 -2341 +2678 563 +3501 -377 +-1147 939 +3684 4781 +-2241 -1150 +-2606 -2551 +2299 2412 +-343 485 +-2563 565 +-3328 -149 +3547 -5613 +5584 -2602 +507 707 +4222 -2134 +4280 -1315 +0 0 +4280 1315 +4222 2134 +507 -707 +5584 2602 +3547 5613 +-3328 149 +-2563 -565 +-343 -485 +2299 -2412 +-2606 2551 +-2241 1150 +3684 -4781 +-1147 -939 +3501 377 +2678 -563 +-5851 2341 +-1511 -868 +-3386 -1785 +-2199 1069 +997 -3126 +501 -1406 +3232 3447 +-2972 -2539 +-1998 -2825 +-1089 3989 +-7229 1044 +-3599 -1624 +-903 4257 +4630 5982 +5592 -28 +-1122 -3671 +5851 -3511 +4503 -2181 +374 1893 +-693 -3139 +-6585 2820 +-3511 1923 +-2271 -3667 +-5500 2740 +1371 4823 +1494 2092 +-671 -345 +-704 2346 +-2243 1648 +3141 -2799 +-463 1759 +-3526 2800 +1170 0 +-3526 -2800 +-463 -1759 +3141 2799 +-2243 -1648 +-704 -2346 +-671 345 +1494 -2092 +1371 -4823 +-5500 -2740 +-2271 3667 +-3511 -1923 +-6585 -2820 +-693 3139 +374 -1893 +4503 2181 +5851 3511 +-1696 -1372 +-3222 2432 +-1197 -1525 +2589 -4191 +1456 1896 +-2620 1875 +4746 -3314 +7991 -142 +1051 3451 +-2428 -1447 +-1566 4006 +1557 6344 +3074 667 +1940 2565 +-1073 -236 +-3511 0 +-1073 236 +1940 -2565 +3074 -667 +1557 -6344 +-1566 -4006 +-2428 1447 +1051 -3451 +7991 142 +4746 3314 +-2620 -1875 +1456 -1896 +2589 4191 +-1197 1525 +-3222 -2432 +-1696 1372 +5851 -3511 +4503 -2181 +374 1893 +-693 -3139 +-6585 2820 +-3511 1923 +-2271 -3667 +-5500 2740 +1371 4823 +1494 2092 +-671 -345 +-704 2346 +-2243 1648 +3141 -2799 +-463 1759 +-3526 2800 +-4681 0 +3259 6305 +951 1817 +3126 -1145 +1550 3333 +-3750 2125 +2902 980 +-2660 5501 +-1028 5651 +6667 1890 +1062 -218 +197 -1197 +-738 -275 +1440 605 +2766 -1138 +-4683 -2048 +-8192 0 +-4683 2048 +2766 1138 +1440 -605 +-738 275 +197 1197 +1062 218 +6667 -1890 +-1028 -5651 +-2660 -5501 +2902 -980 +-3750 -2125 +1550 -3333 +3126 1145 +951 -1817 +3259 -6305 +-4681 0 +-400 1964 +494 -1429 +-6184 1434 +2446 -992 +5347 -4856 +1601 3733 +-3232 6562 +-5993 -969 +-776 -268 +-884 1621 +-1793 -2275 +1424 -2066 +1617 -1058 +470 -1784 +1823 -124 +3511 0 +1823 124 +470 1784 +1617 1058 +1424 2066 +-1793 2275 +-884 -1621 +-776 268 +-5993 969 +-3232 -6562 +1601 -3733 +5347 4856 +2446 992 +-6184 -1434 +494 1429 +-400 -1964 +-4681 0 +3259 6305 +951 1817 +3126 -1145 +1550 3333 +-3750 2125 +2902 980 +-2660 5501 +-1028 5651 +6667 1890 +1062 -218 +197 -1197 +-738 -275 +1440 605 +2766 -1138 +-4683 -2048 +1170 2341 +-1978 2226 +701 -161 +5278 -604 +-1596 1335 +353 -3794 +-3039 -2991 +-5023 4319 +343 -485 +-3803 -4671 +-1604 1251 +3748 2372 +1333 68 +2145 626 +3344 1328 +-3610 1580 +-9362 0 +-3610 -1580 +3344 -1328 +2145 -626 +1333 -68 +3748 -2372 +-1604 -1251 +-3803 4671 +343 485 +-5023 -4319 +-3039 2991 +353 3794 +-1596 -1335 +5278 604 +701 161 +-1978 -2226 +1170 -2341 +-3678 -2621 +2997 2324 +1878 3401 +-5025 -2990 +-3588 -842 +-4985 2095 +1739 -678 +1998 2825 +-3858 3630 +-303 -2147 +4315 -2327 +5287 -1723 +-890 -2510 +2888 834 +6971 2706 +2341 0 +6971 -2706 +2888 -834 +-890 2510 +5287 1723 +4315 2327 +-303 2147 +-3858 -3630 +1998 -2825 +1739 678 +-4985 -2095 +-3588 842 +-5025 2990 +1878 -3401 +2997 -2324 +-3678 2621 +1170 2341 +-1978 2226 +701 -161 +5278 -604 +-1596 1335 +353 -3794 +-3039 -2991 +-5023 4319 +343 -485 +-3803 -4671 +-1604 1251 +3748 2372 +1333 68 +2145 626 +3344 1328 +-3610 1580 +-3511 0 +857 8531 +3954 2545 +762 767 +2171 2437 +-544 3950 +-710 -2558 +4030 -7196 +-142 969 +-1504 -1636 +-520 -3300 +-534 2502 +2416 1888 +1230 644 +2032 -1711 +-2207 -2409 +-9362 0 +-2207 2409 +2032 1711 +1230 -644 +2416 -1888 +-534 -2502 +-520 3300 +-1504 1636 +-142 -969 +4030 7196 +-710 2558 +-544 -3950 +2171 -2437 +762 -767 +3954 -2545 +857 -8531 +-3511 0 +443 2805 +251 -3948 +-3058 1307 +-516 -96 +1000 1107 +-4763 2946 +-2084 -5960 +4823 -5651 +-443 -2011 +-627 378 +77 169 +-4071 -4228 +1065 -4834 +382 -3002 +907 652 +7022 0 +907 -652 +382 3002 +1065 4834 +-4071 4228 +77 -169 +-627 -378 +-443 2011 +4823 5651 +-2084 5960 +-4763 -2946 +1000 -1107 +-516 96 +-3058 -1307 +251 3948 +443 -2805 +-3511 0 +857 8531 +3954 2545 +762 767 +2171 2437 +-544 3950 +-710 -2558 +4030 -7196 +-142 969 +-1504 -1636 +-520 -3300 +-534 2502 +2416 1888 +1230 644 +2032 -1711 +-2207 -2409 +2341 -1170 +2238 -306 +2283 -1777 +2466 439 +633 3671 +1201 -1036 +-2651 -5462 +-5181 -2680 +-2625 1028 +-3550 -2983 +-1947 -1454 +-163 2699 +-1529 -1109 +173 -4582 +-717 -5907 +-455 -3990 +2341 0 +-455 3990 +-717 5907 +173 4582 +-1529 1109 +-163 -2699 +-1947 1454 +-3550 2983 +-2625 -1028 +-5181 2680 +-2651 5462 +1201 1036 +633 -3671 +2466 -439 +2283 1777 +2238 306 +2341 1170 +-2626 -4550 +-1313 -1239 +2247 -102 +-633 -7666 +-2604 2922 +-3000 80 +2259 -6034 +7306 5993 +628 1904 +-3704 -2556 +-1240 705 +1529 1795 +4540 -183 +1686 -5101 +66 149 +2341 0 +66 -149 +1686 5101 +4540 183 +1529 -1795 +-1240 -705 +-3704 2556 +628 -1904 +7306 -5993 +2259 6034 +-3000 -80 +-2604 -2922 +-633 7666 +2247 102 +-1313 1239 +-2626 4550 +2341 -1170 +2238 -306 +2283 -1777 +2466 439 +633 3671 +1201 -1036 +-2651 -5462 +-5181 -2680 +-2625 1028 +-3550 -2983 +-1947 -1454 +-163 2699 +-1529 -1109 +173 -4582 +-717 -5907 +-455 -3990 +1170 3511 +927 178 +1426 10 +-389 874 +-68 3147 +-1533 1979 +-5024 -2468 +2601 350 +969 -3168 +-3835 -2735 +1321 2757 +-62 -6086 +-2990 -2885 +-6548 3981 +-5479 -167 +1487 4562 +3511 0 +1487 -4562 +-5479 167 +-6548 -3981 +-2990 2885 +-62 6086 +1321 -2757 +-3835 2735 +969 3168 +2601 -350 +-5024 2468 +-1533 -1979 +-68 -3147 +-389 -874 +1426 -10 +927 -178 +1170 -3511 +2024 19 +5586 1393 +2826 -3179 +1723 -807 +4450 1933 +329 2079 +-1606 1292 +-5651 -1513 +-3275 -1886 +3375 165 +-321 487 +1335 544 +1578 6807 +-1533 4880 +1678 -6750 +3511 0 +1678 6750 +-1533 -4880 +1578 -6807 +1335 -544 +-321 -487 +3375 -165 +-3275 1886 +-5651 1513 +-1606 -1292 +329 -2079 +4450 -1933 +1723 807 +2826 3179 +5586 -1393 +2024 -19 +1170 3511 +927 178 +1426 10 +-389 874 +-68 3147 +-1533 1979 +-5024 -2468 +2601 350 +969 -3168 +-3835 -2735 +1321 2757 +-62 -6086 +-2990 -2885 +-6548 3981 +-5479 -167 +1487 4562 +-3511 -1170 +2851 -1395 +2916 -5445 +1148 -493 +3035 3797 +-1259 -5988 +430 -3264 +4425 1719 +1856 -1998 +-6160 -2991 +-6073 -1331 +299 3645 +-2318 1179 +-573 -1565 +243 1416 +-2591 -2384 +-1170 0 +-2591 2384 +243 -1416 +-573 1565 +-2318 -1179 +299 -3645 +-6073 1331 +-6160 2991 +1856 1998 +4425 -1719 +430 3264 +-1259 5988 +3035 -3797 +1148 493 +2916 5445 +2851 1395 +-3511 1170 +-352 -4884 +-2664 -2504 +-3798 2515 +1244 -4482 +-1886 -1121 +-2474 521 +1472 -5068 +5166 -343 +3573 62 +1497 -2783 +-465 1562 +-6643 2817 +-86 -79 +6125 -1374 +3402 -733 +3511 0 +3402 733 +6125 1374 +-86 79 +-6643 -2817 +-465 -1562 +1497 2783 +3573 -62 +5166 343 +1472 5068 +-2474 -521 +-1886 1121 +1244 4482 +-3798 -2515 +-2664 2504 +-352 4884 +-3511 -1170 +2851 -1395 +2916 -5445 +1148 -493 +3035 3797 +-1259 -5988 +430 -3264 +4425 1719 +1856 -1998 +-6160 -2991 +-6073 -1331 +299 3645 +-2318 1179 +-573 -1565 +243 1416 +-2591 -2384 +-1170 8192 +-1521 1721 +-2090 -5986 +-1035 3327 +1930 2183 +289 -3003 +453 2104 +1162 4248 +1170 343 +-2145 -583 +-847 1716 +2330 1271 +-2647 2267 +-368 2809 +206 338 +-561 993 +3511 0 +-561 -993 +206 -338 +-368 -2809 +-2647 -2267 +2330 -1271 +-847 -1716 +-2145 583 +1170 -343 +1162 -4248 +453 -2104 +289 3003 +1930 -2183 +-1035 -3327 +-2090 5986 +-1521 -1721 +-1170 -8192 +1253 -1515 +-251 -7089 +5475 -6028 +3721 1812 +-6934 35 +-2794 1566 +183 398 +1170 1998 +800 7021 +-1493 2522 +4314 86 +1678 -2953 +-4072 -1185 +-2546 5880 +829 1005 +3511 0 +829 -1005 +-2546 -5880 +-4072 1185 +1678 2953 +4314 -86 +-1493 -2522 +800 -7021 +1170 -1998 +183 -398 +-2794 -1566 +-6934 -35 +3721 -1812 +5475 6028 +-251 7089 +1253 1515 +-1170 8192 +-1521 1721 +-2090 -5986 +-1035 3327 +1930 2183 +289 -3003 +453 2104 +1162 4248 +1170 343 +-2145 -583 +-847 1716 +2330 1271 +-2647 2267 +-368 2809 +206 338 +-561 993 +-1170 8192 +5547 3139 +1401 -5093 +-5371 707 +0 3564 +-1239 -354 +1047 3491 +-2512 6087 +-6821 2683 +616 1344 +-710 -1386 +-738 -1686 +0 2930 +-3024 1706 +4997 1224 +5447 5186 +-1170 0 +5447 -5186 +4997 -1224 +-3024 -1706 +0 -2930 +-738 1686 +-710 1386 +616 -1344 +-6821 -2683 +-2512 -6087 +1047 -3491 +-1239 354 +0 -3564 +-5371 -707 +1401 5093 +5547 -3139 +-1170 -8192 +3954 -445 +2594 1857 +-626 -148 +0 1401 +-1578 -636 +-361 -3313 +-2388 464 +-201 4338 +-314 1898 +1396 -3117 +7104 -2614 +0 2035 +-1147 2163 +-1002 220 +-3731 818 +-1170 0 +-3731 -818 +-1002 -220 +-1147 -2163 +0 -2035 +7104 2614 +1396 3117 +-314 -1898 +-201 -4338 +-2388 -464 +-361 3313 +-1578 636 +0 -1401 +-626 148 +2594 -1857 +3954 445 +-1170 8192 +5547 3139 +1401 -5093 +-5371 707 +0 3564 +-1239 -354 +1047 3491 +-2512 6087 +-6821 2683 +616 1344 +-710 -1386 +-738 -1686 +0 2930 +-3024 1706 +4997 1224 +5447 5186 +0 1170 +424 355 +4302 727 +1444 -7445 +649 -2736 +5269 1655 +778 3158 +27 -98 +485 -7164 +-5052 -4185 +2508 -457 +5488 3185 +-4064 -2103 +-398 -2376 +4285 6846 +975 -1063 +0 0 +975 1063 +4285 -6846 +-398 2376 +-4064 2103 +5488 -3185 +2508 457 +-5052 4185 +485 7164 +27 98 +778 -3158 +5269 -1655 +649 2736 +1444 7445 +4302 -727 +424 -355 +0 -1170 +-71 -7660 +-1202 -10 +-2761 1281 +-3675 -574 +2111 2188 +2070 450 +-4335 -1197 +-2825 -2199 +-1857 -420 +-3985 -617 +-2699 -2652 +-2272 -1207 +-1832 -478 +607 -1448 +3270 -2931 +4681 0 +3270 2931 +607 1448 +-1832 478 +-2272 1207 +-2699 2652 +-3985 617 +-1857 420 +-2825 2199 +-4335 1197 +2070 -450 +2111 -2188 +-3675 574 +-2761 -1281 +-1202 10 +-71 7660 +0 1170 +424 355 +4302 727 +1444 -7445 +649 -2736 +5269 1655 +778 3158 +27 -98 +485 -7164 +-5052 -4185 +2508 -457 +5488 3185 +-4064 -2103 +-398 -2376 +4285 6846 +975 -1063 +4681 2341 +1723 821 +1597 1280 +-687 6777 +-2678 0 +857 1439 +-2366 2211 +-1657 -2283 +3653 1655 +1838 5404 +3095 5852 +1296 -962 +-3175 0 +-1024 472 +-3955 -3356 +-1318 7256 +5851 0 +-1318 -7256 +-3955 3356 +-1024 -472 +-3175 0 +1296 962 +3095 -5852 +1838 -5404 +3653 -1655 +-1657 2283 +-2366 -2211 +857 -1439 +-2678 0 +-687 -6777 +1597 -1280 +1723 -821 +4681 -2341 +-1027 2508 +-2654 -1473 +6377 -1224 +4333 0 +-4796 105 +-6850 1565 +-3111 -2504 +-1312 -1655 +-4704 1769 +-1870 2605 +4162 2233 +1520 0 +435 -1812 +3640 -1518 +1636 1413 +-1170 0 +1636 -1413 +3640 1518 +435 1812 +1520 0 +4162 -2233 +-1870 -2605 +-4704 -1769 +-1312 1655 +-3111 2504 +-6850 -1565 +-4796 -105 +4333 0 +6377 1224 +-2654 1473 +-1027 -2508 +4681 2341 +1723 821 +1597 1280 +-687 6777 +-2678 0 +857 1439 +-2366 2211 +-1657 -2283 +3653 1655 +1838 5404 +3095 5852 +1296 -962 +-3175 0 +-1024 472 +-3955 -3356 +-1318 7256 +-3511 -1170 +-194 1735 +3162 7181 +-2419 2086 +-1713 722 +2768 626 +385 -7174 +-734 -2329 +-3996 828 +-2131 -5295 +1188 61 +2314 2843 +6933 -89 +1971 -637 +2242 -480 +3897 3087 +-1170 0 +3897 -3087 +2242 480 +1971 637 +6933 89 +2314 -2843 +1188 -61 +-2131 5295 +-3996 -828 +-734 2329 +385 7174 +2768 -626 +-1713 -722 +-2419 -2086 +3162 -7181 +-194 -1735 +-3511 1170 +1966 -2889 +4651 -2171 +-4288 2303 +-6562 1618 +-1705 1367 +-459 1387 +2121 -4735 +-686 -828 +-2061 5866 +-3053 2143 +-4154 669 +1342 -2251 +-1107 -75 +1246 4120 +3758 -3225 +-1170 0 +3758 3225 +1246 -4120 +-1107 75 +1342 2251 +-4154 -669 +-3053 -2143 +-2061 -5866 +-686 828 +2121 4735 +-459 -1387 +-1705 -1367 +-6562 -1618 +-4288 -2303 +4651 2171 +1966 2889 +-3511 -1170 +-194 1735 +3162 7181 +-2419 2086 +-1713 722 +2768 626 +385 -7174 +-734 -2329 +-3996 828 +-2131 -5295 +1188 61 +2314 2843 +6933 -89 +1971 -637 +2242 -480 +3897 3087 +2341 3511 +2110 2391 +-128 -1665 +-966 4553 +-1312 1356 +-1928 -2265 +4129 813 +7958 -1268 +5166 1513 +367 976 +-5367 -1102 +-968 1875 +3653 1440 +-2702 2375 +-2751 1822 +-2824 -1527 +-7022 0 +-2824 1527 +-2751 -1822 +-2702 -2375 +3653 -1440 +-968 -1875 +-5367 1102 +367 -976 +5166 -1513 +7958 1268 +4129 -813 +-1928 2265 +-1312 -1356 +-966 -4553 +-128 1665 +2110 -2391 +2341 -3511 +2840 -1224 +1217 -3048 +-888 -1207 +-1312 985 +-1698 525 +-2516 -3734 +-4730 1941 +1856 3168 +9141 -4628 +-927 1490 +-4559 -1823 +3653 -3781 +470 2763 +-3019 -3225 +-1623 -1630 +-2341 0 +-1623 1630 +-3019 3225 +470 -2763 +3653 3781 +-4559 1823 +-927 -1490 +9141 4628 +1856 -3168 +-4730 -1941 +-2516 3734 +-1698 -525 +-1312 -985 +-888 1207 +1217 3048 +2840 1224 +2341 3511 +2110 2391 +-128 -1665 +-966 4553 +-1312 1356 +-1928 -2265 +4129 813 +7958 -1268 +5166 1513 +367 976 +-5367 -1102 +-968 1875 +3653 1440 +-2702 2375 +-2751 1822 +-2824 -1527 +3511 4681 +-4445 2138 +-5376 1367 +-1710 3909 +-1812 1141 +-1304 -1954 +-3854 -67 +1695 4257 +1513 686 +-1565 -1004 +3282 2412 +-1840 -1042 +-2953 770 +1944 491 +860 -4448 +3601 1279 +7022 0 +3601 -1279 +860 4448 +1944 -491 +-2953 -770 +-1840 1042 +3282 -2412 +-1565 1004 +1513 -686 +1695 -4257 +-3854 67 +-1304 1954 +-1812 -1141 +-1710 -3909 +-5376 -1367 +-4445 -2138 +3511 -4681 +-306 1566 +1010 1943 +2689 -4481 +-2183 -4451 +-3298 469 +-2052 3377 +6582 2047 +3168 3996 +-4920 1193 +1253 -5722 +2118 -2977 +2267 -4080 +1403 -3597 +-4484 1138 +-642 -3692 +4681 0 +-642 3692 +-4484 -1138 +1403 3597 +2267 4080 +2118 2977 +1253 5722 +-4920 -1193 +3168 -3996 +6582 -2047 +-2052 -3377 +-3298 -469 +-2183 4451 +2689 4481 +1010 -1943 +-306 -1566 +3511 4681 +-4445 2138 +-5376 1367 +-1710 3909 +-1812 1141 +-1304 -1954 +-3854 -67 +1695 4257 +1513 686 +-1565 -1004 +3282 2412 +-1840 -1042 +-2953 770 +1944 491 +860 -4448 +3601 1279 +0 2341 +1883 -4297 +-393 -2056 +2550 6771 +-596 -582 +-348 -4278 +-214 -928 +-2282 1060 +4823 3511 +1942 1207 +-3079 4601 +-415 5361 +-2377 2105 +2030 2285 +5599 -2310 +2031 -2771 +1170 0 +2031 2771 +5599 2310 +2030 -2285 +-2377 -2105 +-415 -5361 +-3079 -4601 +1942 -1207 +4823 -3511 +-2282 -1060 +-214 928 +-348 4278 +-596 582 +2550 -6771 +-393 2056 +1883 4297 +0 -2341 +-2220 -174 +4091 4025 +996 14 +1566 -4383 +2597 3224 +-7809 3808 +-5777 1077 +-142 3511 +-1517 -440 +1172 2960 +-315 1576 +-3273 -7070 +-475 -3491 +633 -402 +-680 -329 +-1170 0 +-680 329 +633 402 +-475 3491 +-3273 7070 +-315 -1576 +1172 -2960 +-1517 440 +-142 -3511 +-5777 -1077 +-7809 -3808 +2597 -3224 +1566 4383 +996 -14 +4091 -4025 +-2220 174 +0 2341 +1883 -4297 +-393 -2056 +2550 6771 +-596 -582 +-348 -4278 +-214 -928 +-2282 1060 +4823 3511 +1942 1207 +-3079 4601 +-415 5361 +-2377 2105 +2030 2285 +5599 -2310 +2031 -2771 +0 -2341 +768 299 +-284 943 +-747 -4032 +1954 -372 +-3656 -129 +1389 -3882 +1819 3826 +-6478 6821 +-1894 -2420 +-716 -4828 +-2991 826 +-1870 3938 +-1542 2119 +835 -2163 +-499 -3492 +-3511 0 +-499 3492 +835 2163 +-1542 -2119 +-1870 -3938 +-2991 -826 +-716 4828 +-1894 2420 +-6478 -6821 +1819 -3826 +1389 3882 +-3656 129 +1954 372 +-747 4032 +-284 -943 +768 -299 +0 2341 +3486 -1328 +-822 2486 +2845 2920 +2325 -5964 +-2463 -4229 +6931 -72 +5139 -2102 +1797 201 +3348 1610 +387 874 +-1836 932 +-7091 -912 +-2852 2886 +1642 5592 +1075 -70 +3511 0 +1075 70 +1642 -5592 +-2852 -2886 +-7091 912 +-1836 -932 +387 -874 +3348 -1610 +1797 -201 +5139 2102 +6931 72 +-2463 4229 +2325 5964 +2845 -2920 +-822 -2486 +3486 1328 +0 -2341 +768 299 +-284 943 +-747 -4032 +1954 -372 +-3656 -129 +1389 -3882 +1819 3826 +-6478 6821 +-1894 -2420 +-716 -4828 +-2991 826 +-1870 3938 +-1542 2119 +835 -2163 +-499 -3492 +-2341 -4681 +26 -569 +4267 2446 +1655 -1360 +-1111 -2094 +-1666 5390 +-5894 352 +-3447 1355 +2483 4480 +5 -157 +-452 7984 +1551 3082 +-1492 -3886 +825 -1063 +6443 -4424 +1975 1968 +-3511 0 +1975 -1968 +6443 4424 +825 1063 +-1492 3886 +1551 -3082 +-452 -7984 +5 157 +2483 -4480 +-3447 -1355 +-5894 -352 +-1666 -5390 +-1111 2094 +1655 1360 +4267 -2446 +26 569 +-2341 4681 +-1459 3215 +-19 -4252 +-410 -2989 +-4540 439 +-987 -494 +4536 -2347 +-1118 -3568 +-2483 -2140 +235 -2056 +-3440 -616 +-689 1814 +2462 2231 +-279 -3286 +3921 -6745 +3784 677 +-1170 0 +3784 -677 +3921 6745 +-279 3286 +2462 -2231 +-689 -1814 +-3440 616 +235 2056 +-2483 2140 +-1118 3568 +4536 2347 +-987 494 +-4540 -439 +-410 2989 +-19 4252 +-1459 -3215 +-2341 -4681 +26 -569 +4267 2446 +1655 -1360 +-1111 -2094 +-1666 5390 +-5894 352 +-3447 1355 +2483 4480 +5 -157 +-452 7984 +1551 3082 +-1492 -3886 +825 -1063 +6443 -4424 +1975 1968 +3511 4681 +-373 -259 +-2099 -2801 +-1605 1375 +-1275 -1499 +-18 -2362 +1651 1263 +3177 -2083 +5509 -2341 +4880 5100 +-916 1573 +-3914 -3686 +-254 -1307 +1443 3449 +-1807 5436 +-3108 -471 +-2341 0 +-3108 471 +-1807 -5436 +1443 -3449 +-254 1307 +-3914 3686 +-916 -1573 +4880 -5100 +5509 2341 +3177 2083 +1651 -1263 +-18 2362 +-1275 1499 +-1605 -1375 +-2099 2801 +-373 259 +3511 -4681 +2673 2884 +-2404 597 +-2835 792 +-380 7150 +-2797 -2295 +-4887 3748 +-113 8589 +3854 -2341 +1483 392 +-529 2067 +885 4130 +1909 2276 +2222 -2801 +1629 351 +-1998 -4540 +-4681 0 +-1998 4540 +1629 -351 +2222 2801 +1909 -2276 +885 -4130 +-529 -2067 +1483 -392 +3854 2341 +-113 -8589 +-4887 -3748 +-2797 2295 +-380 -7150 +-2835 -792 +-2404 -597 +2673 -2884 +3511 4681 +-373 -259 +-2099 -2801 +-1605 1375 +-1275 -1499 +-18 -2362 +1651 1263 +3177 -2083 +5509 -2341 +4880 5100 +-916 1573 +-3914 -3686 +-254 -1307 +1443 3449 +-1807 5436 +-3108 -471 +0 -4681 +4512 -287 +-1497 2474 +-5271 2766 +-2610 2208 +-6920 -1392 +835 -1948 +3507 -803 +-4823 1170 +-4046 -287 +1696 513 +3997 7715 +-186 1490 +-1871 -2519 +-2194 5231 +-1577 2257 +1170 0 +-1577 -2257 +-2194 -5231 +-1871 2519 +-186 -1490 +3997 -7715 +1696 -513 +-4046 287 +-4823 -1170 +3507 803 +835 1948 +-6920 1392 +-2610 -2208 +-5271 -2766 +-1497 -2474 +4512 287 +0 4681 +2889 1103 +-546 -1966 +-1787 -938 +2610 417 +282 592 +5533 -603 +4690 2141 +142 1170 +2469 -1265 +-1444 246 +-3979 491 +186 5815 +2308 3991 +-2383 -1414 +797 5031 +8192 0 +797 -5031 +-2383 1414 +2308 -3991 +186 -5815 +-3979 -491 +-1444 -246 +2469 1265 +142 -1170 +4690 -2141 +5533 603 +282 -592 +2610 -417 +-1787 938 +-546 1966 +2889 -1103 +0 -4681 +4512 -287 +-1497 2474 +-5271 2766 +-2610 2208 +-6920 -1392 +835 -1948 +3507 -803 +-4823 1170 +-4046 -287 +1696 513 +3997 7715 +-186 1490 +-1871 -2519 +-2194 5231 +-1577 2257 +4681 -2341 +436 6369 +2131 1865 +456 -2122 +-4951 -1102 +-806 1390 +-1847 792 +-3170 -3378 +1513 -485 +506 -470 +2717 -3178 +3624 -3129 +-2526 -1820 +-3011 2392 +-2619 1141 +-423 -2388 +3511 0 +-423 2388 +-2619 -1141 +-3011 -2392 +-2526 1820 +3624 3129 +2717 3178 +506 470 +1513 485 +-3170 3378 +-1847 -792 +-806 -1390 +-4951 1102 +456 2122 +2131 -1865 +436 -6369 +4681 2341 +559 6006 +-864 5263 +3786 3100 +270 -2894 +1649 4332 +4905 3277 +1681 -1572 +3168 2825 +984 -1590 +-5775 -2683 +-4468 -156 +-2155 2505 +-1231 -1058 +1352 -3944 +-572 8289 +-3511 0 +-572 -8289 +1352 3944 +-1231 1058 +-2155 -2505 +-4468 156 +-5775 2683 +984 1590 +3168 -2825 +1681 1572 +4905 -3277 +1649 -4332 +270 2894 +3786 -3100 +-864 -5263 +559 -6006 +4681 -2341 +436 6369 +2131 1865 +456 -2122 +-4951 -1102 +-806 1390 +-1847 792 +-3170 -3378 +1513 -485 +506 -470 +2717 -3178 +3624 -3129 +-2526 -1820 +-3011 2392 +-2619 1141 +-423 -2388 +0 2341 +-2574 1567 +1442 2805 +771 -4933 +3758 -3086 +2910 -851 +-884 -3082 +-1053 -441 +-4138 -2825 +2182 -1324 +7467 2760 +35 -752 +-2229 -5952 +-2452 -1532 +-3483 3177 +-1683 -3350 +-1170 0 +-1683 3350 +-3483 -3177 +-2452 1532 +-2229 5952 +35 752 +7467 -2760 +2182 1324 +-4138 2825 +-1053 441 +-884 3082 +2910 851 +3758 3086 +771 4933 +1442 -2805 +-2574 -1567 +0 -2341 +4059 -1961 +-2960 1117 +-3183 -948 +2862 3772 +3394 82 +-131 -1092 +481 4612 +4138 485 +923 5851 +168 5739 +-224 -2907 +-4391 1956 +-1252 2123 +-1619 -5307 +-2336 -6049 +1170 0 +-2336 6049 +-1619 5307 +-1252 -2123 +-4391 -1956 +-224 2907 +168 -5739 +923 -5851 +4138 -485 +481 -4612 +-131 1092 +3394 -82 +2862 -3772 +-3183 948 +-2960 -1117 +4059 1961 +0 2341 +-2574 1567 +1442 2805 +771 -4933 +3758 -3086 +2910 -851 +-884 -3082 +-1053 -441 +-4138 -2825 +2182 -1324 +7467 2760 +35 -752 +-2229 -5952 +-2452 -1532 +-3483 3177 +-1683 -3350 +-1170 0 +-2582 -394 +-1524 1693 +-622 992 +2788 -1545 +-976 2876 +-262 7591 +2180 4036 +343 -1170 +-2533 1243 +-6163 2292 +-1961 -2443 +3422 -1901 +3237 -1871 +565 -4621 +-1971 -1748 +-2341 0 +-1971 1748 +565 4621 +3237 1871 +3422 1901 +-1961 2443 +-6163 -2292 +-2533 -1243 +343 1170 +2180 -4036 +-262 -7591 +-976 -2876 +2788 1545 +-622 -992 +-1524 -1693 +-2582 394 +-1170 0 +5116 147 +3372 -2782 +4934 -1273 +1893 4571 +-2016 -4007 +2383 -5978 +459 4380 +1998 -1170 +-1624 -2254 +-3949 4002 +3939 -4531 +1259 -4435 +86 2367 +-3784 -1148 +-5665 -1305 +0 0 +-5665 1305 +-3784 1148 +86 -2367 +1259 4435 +3939 4531 +-3949 -4002 +-1624 2254 +1998 1170 +459 -4380 +2383 5978 +-2016 4007 +1893 -4571 +4934 1273 +3372 2782 +5116 -147 +-1170 0 +-2582 -394 +-1524 1693 +-622 992 +2788 -1545 +-976 2876 +-262 7591 +2180 4036 +343 -1170 +-2533 1243 +-6163 2292 +-1961 -2443 +3422 -1901 +3237 -1871 +565 -4621 +-1971 -1748 +5851 1170 +-1109 678 +234 59 +-893 -975 +-4297 -500 +1848 -4715 +3806 686 +2769 -107 +1655 -2483 +-453 5932 +4692 -3188 +1655 -2904 +-5427 6606 +629 -1312 +1212 -4798 +-1252 -4146 +1170 0 +-1252 4146 +1212 4798 +629 1312 +-5427 -6606 +1655 2904 +4692 3188 +-453 -5932 +1655 2483 +2769 107 +3806 -686 +1848 4715 +-4297 500 +-893 975 +234 -59 +-1109 -678 +5851 -1170 +3489 -2691 +-2785 -776 +1336 -3166 +3611 -871 +1780 -375 +12 -4293 +-621 -1297 +-1655 2483 +-6796 6771 +-5199 4262 +2351 -1024 +1431 1385 +-2087 1076 +-1972 -601 +-2647 258 +-3511 0 +-2647 -258 +-1972 601 +-2087 -1076 +1431 -1385 +2351 1024 +-5199 -4262 +-6796 -6771 +-1655 -2483 +-621 1297 +12 4293 +1780 375 +3611 871 +1336 3166 +-2785 776 +3489 2691 +5851 1170 +-1109 678 +234 59 +-893 -975 +-4297 -500 +1848 -4715 +3806 686 +2769 -107 +1655 -2483 +-453 5932 +4692 -3188 +1655 -2904 +-5427 6606 +629 -1312 +1212 -4798 +-1252 -4146 +-1170 1170 +1579 645 +-2658 409 +148 2995 +-1380 -949 +-1027 412 +2655 -577 +1875 -2291 +2140 1028 +-3936 650 +-4799 3096 +3541 -1117 +663 -4279 +-2815 -28 +-633 -1196 +-844 1122 +-1170 0 +-844 -1122 +-633 1196 +-2815 28 +663 4279 +3541 1117 +-4799 -3096 +-3936 -650 +2140 -1028 +1875 2291 +2655 577 +-1027 -412 +-1380 949 +148 -2995 +-2658 -409 +1579 -645 +-1170 -1170 +-7744 2883 +-400 -1886 +4457 -4372 +411 3005 +-2967 3451 +-1389 -2523 +-1342 3802 +-4480 5993 +93 440 +3533 1795 +3764 -7336 +4988 -7708 +1520 2318 +3691 -1652 +3699 -757 +-1170 0 +3699 757 +3691 1652 +1520 -2318 +4988 7708 +3764 7336 +3533 -1795 +93 -440 +-4480 -5993 +-1342 -3802 +-1389 2523 +-2967 -3451 +411 -3005 +4457 4372 +-400 1886 +-7744 -2883 +-1170 1170 +1579 645 +-2658 409 +148 2995 +-1380 -949 +-1027 412 +2655 -577 +1875 -2291 +2140 1028 +-3936 650 +-4799 3096 +3541 -1117 +663 -4279 +-2815 -28 +-633 -1196 +-844 1122 +0 0 +-3204 3587 +-873 -629 +545 -2664 +516 1403 +2161 -4477 +-2715 -618 +2899 3925 +4338 -5651 +-3080 -5264 +-1953 3104 +-1771 2353 +4071 -2922 +9494 753 +3509 5667 +-856 746 +-1170 0 +-856 -746 +3509 -5667 +9494 -753 +4071 2922 +-1771 -2353 +-1953 -3104 +-3080 5264 +4338 5651 +2899 -3925 +-2715 618 +2161 4477 +516 -1403 +545 2664 +-873 629 +-3204 -3587 +0 0 +-2934 -3976 +-1975 -3230 +-2351 -2049 +-2171 -4713 +1536 3074 +-2176 3973 +2378 -1004 +2683 969 +-3715 2342 +-1147 2191 +-2940 -950 +-2416 -388 +-52 3960 +-2033 1775 +1892 -357 +5851 0 +1892 357 +-2033 -1775 +-52 -3960 +-2416 388 +-2940 950 +-1147 -2191 +-3715 -2342 +2683 -969 +2378 1004 +-2176 -3973 +1536 -3074 +-2171 4713 +-2351 2049 +-1975 3230 +-2934 3976 +0 0 +-3204 3587 +-873 -629 +545 -2664 +516 1403 +2161 -4477 +-2715 -618 +2899 3925 +4338 -5651 +-3080 -5264 +-1953 3104 +-1771 2353 +4071 -2922 +9494 753 +3509 5667 +-856 746 +0 -4681 +2840 -1895 +5141 2851 +1932 2258 +2804 -52 +401 -2259 +-4330 -3312 +-2042 1531 +-343 1655 +2351 -2809 +1459 -2064 +-1226 -3464 +887 5525 +-2135 8101 +-2426 -2274 +1239 3208 +1170 0 +1239 -3208 +-2426 2274 +-2135 -8101 +887 -5525 +-1226 3464 +1459 2064 +2351 2809 +-343 -1655 +-2042 -1531 +-4330 3312 +401 2259 +2804 52 +1932 -2258 +5141 -2851 +2840 1895 +0 4681 +-1978 -3344 +-2590 -808 +1259 7012 +-1149 -1319 +-1753 1191 +513 9680 +-2067 4736 +-1998 -1655 +-2567 -2225 +-952 1812 +787 458 +-2542 2467 +735 3108 +3185 -2303 +2224 2854 +3511 0 +2224 -2854 +3185 2303 +735 -3108 +-2542 -2467 +787 -458 +-952 -1812 +-2567 2225 +-1998 1655 +-2067 -4736 +513 -9680 +-1753 -1191 +-1149 1319 +1259 -7012 +-2590 808 +-1978 3344 +0 -4681 +2840 -1895 +5141 2851 +1932 2258 +2804 -52 +401 -2259 +-4330 -3312 +-2042 1531 +-343 1655 +2351 -2809 +1459 -2064 +-1226 -3464 +887 5525 +-2135 8101 +-2426 -2274 +1239 3208 +-3511 -7022 +1437 -2126 +1844 5006 +-359 2157 +-37 -4160 +2721 -1125 +5597 -3778 +961 -2545 +-1998 1856 +1105 -1831 +-1696 1911 +-18 108 +3907 -553 +-1925 -32 +-1633 -5338 +1532 2615 +0 0 +1532 -2615 +-1633 5338 +-1925 32 +3907 553 +-18 -108 +-1696 -1911 +1105 1831 +-1998 -1856 +961 2545 +5597 3778 +2721 1125 +-37 4160 +-359 -2157 +1844 -5006 +1437 2126 +-3511 7022 +-6134 229 +-6945 -1906 +-4848 7432 +-933 165 +1166 -5114 +2038 930 +-1437 879 +-343 5166 +5215 3119 +681 -3388 +-1064 -148 +1744 1238 +-2293 -161 +115 447 +3941 1184 +2341 0 +3941 -1184 +115 -447 +-2293 161 +1744 -1238 +-1064 148 +681 3388 +5215 -3119 +-343 -5166 +-1437 -879 +2038 -930 +1166 5114 +-933 -165 +-4848 -7432 +-6945 1906 +-6134 -229 +-3511 -7022 +1437 -2126 +1844 5006 +-359 2157 +-37 -4160 +2721 -1125 +5597 -3778 +961 -2545 +-1998 1856 +1105 -1831 +-1696 1911 +-18 108 +3907 -553 +-1925 -32 +-1633 -5338 +1532 2615 +3511 -3511 +-1716 -3831 +-2479 2814 +3571 -1137 +5182 -60 +-446 -2126 +-4472 -3260 +-4691 4873 +-4965 -828 +-3462 757 +724 6044 +1744 -1831 +-679 -322 +237 -589 +3493 -4296 +2903 1489 +1170 0 +2903 -1489 +3493 4296 +237 589 +-679 322 +1744 1831 +724 -6044 +-3462 -757 +-4965 828 +-4691 -4873 +-4472 3260 +-446 2126 +5182 60 +3571 1137 +-2479 -2814 +-1716 3831 +3511 3511 +2578 -692 +-1635 600 +-379 95 +2124 3370 +-907 2655 +-3477 1637 +583 -1710 +4965 828 +3245 11056 +-766 1695 +-2183 -1223 +-1946 3632 +-1636 -4037 +-749 -1651 +560 2638 +1170 0 +560 -2638 +-749 1651 +-1636 4037 +-1946 -3632 +-2183 1223 +-766 -1695 +3245 -11056 +4965 -828 +583 1710 +-3477 -1637 +-907 -2655 +2124 -3370 +-379 -95 +-1635 -600 +2578 692 +3511 -3511 +-1716 -3831 +-2479 2814 +3571 -1137 +5182 -60 +-446 -2126 +-4472 -3260 +-4691 4873 +-4965 -828 +-3462 757 +724 6044 +1744 -1831 +-679 -322 +237 -589 +3493 -4296 +2903 1489 +1170 -2341 +-624 -2003 +-3150 4024 +-1938 3953 +2336 -4920 +2787 3659 +-2053 3649 +191 -484 +1998 6135 +-1643 1715 +3740 -536 +6014 -4573 +1178 -4370 +1895 5417 +-1536 1570 +-4477 -1670 +-2341 0 +-4477 1670 +-1536 -1570 +1895 -5417 +1178 4370 +6014 4573 +3740 536 +-1643 -1715 +1998 -6135 +191 484 +-2053 -3649 +2787 -3659 +2336 4920 +-1938 -3953 +-3150 -4024 +-624 2003 +1170 2341 +-890 1477 +-2693 -3814 +-5463 -2355 +-4676 -2386 +733 -1907 +-753 2509 +-2686 -2553 +343 -3795 +3361 944 +5686 -1297 +-108 -3458 +-3518 1746 +2701 2381 +759 11 +148 4098 +4681 0 +148 -4098 +759 -11 +2701 -2381 +-3518 -1746 +-108 3458 +5686 1297 +3361 -944 +343 3795 +-2686 2553 +-753 -2509 +733 1907 +-4676 2386 +-5463 2355 +-2693 3814 +-890 -1477 +1170 -2341 +-624 -2003 +-3150 4024 +-1938 3953 +2336 -4920 +2787 3659 +-2053 3649 +191 -484 +1998 6135 +-1643 1715 +3740 -536 +6014 -4573 +1178 -4370 +1895 5417 +-1536 1570 +-4477 -1670 +-2341 -3511 +2766 4345 +5503 4087 +3658 -2045 +-3653 3518 +-6838 -1509 +282 -3469 +-72 3800 +485 -1513 +467 -3595 +-882 1986 +4830 2458 +1312 2336 +-1591 1722 +622 -2523 +-1441 -4795 +-2341 0 +-1441 4795 +622 2523 +-1591 -1722 +1312 -2336 +4830 -2458 +-882 -1986 +467 3595 +485 1513 +-72 -3800 +282 3469 +-6838 1509 +-3653 -3518 +3658 2045 +5503 -4087 +2766 -4345 +-2341 3511 +-174 -1527 +266 -809 +-2275 659 +-3653 -1178 +1790 2229 +6013 -824 +-4475 -2175 +-2825 -3168 +4080 -5221 +-731 -227 +218 -2479 +1312 -4676 +209 -3850 +-1711 -6872 +-1151 -2828 +2341 0 +-1151 2828 +-1711 6872 +209 3850 +1312 4676 +218 2479 +-731 227 +4080 5221 +-2825 3168 +-4475 2175 +6013 824 +1790 -2229 +-3653 1178 +-2275 -659 +266 809 +-174 1527 +-2341 -3511 +2766 4345 +5503 4087 +3658 -2045 +-3653 3518 +-6838 -1509 +282 -3469 +-72 3800 +485 -1513 +467 -3595 +-882 1986 +4830 2458 +1312 2336 +-1591 1722 +622 -2523 +-1441 -4795 +1170 -4681 +4020 1061 +2067 -935 +-765 -4028 +-173 553 +1100 3011 +-2596 -1448 +-1370 -4497 +-2683 -201 +-5200 4656 +327 1533 +1047 -2105 +-2073 -165 +-3743 -722 +-1604 -2985 +2008 -1391 +2341 0 +2008 1391 +-1604 2985 +-3743 722 +-2073 165 +1047 2105 +327 -1533 +-5200 -4656 +-2683 201 +-1370 4497 +-2596 1448 +1100 -3011 +-173 -553 +-765 4028 +2067 935 +4020 -1061 +1170 4681 +-3199 4906 +-2067 -290 +-1244 -955 +2514 -1238 +3103 -775 +2596 391 +2567 -4870 +-4338 -6821 +1196 -1707 +-327 -1219 +-6027 1179 +4414 4160 +-92 -4681 +1604 -6231 +6597 3692 +0 0 +6597 -3692 +1604 6231 +-92 4681 +4414 -4160 +-6027 -1179 +-327 1219 +1196 1707 +-4338 6821 +2567 4870 +2596 -391 +3103 775 +2514 1238 +-1244 955 +-2067 290 +-3199 -4906 +1170 -4681 +4020 1061 +2067 -935 +-765 -4028 +-173 553 +1100 3011 +-2596 -1448 +-1370 -4497 +-2683 -201 +-5200 4656 +327 1533 +1047 -2105 +-2073 -165 +-3743 -722 +-1604 -2985 +2008 -1391 +1170 2341 +1885 -3127 +-2381 -6468 +388 -341 +3147 -7344 +164 -2138 +-3686 5373 +-4499 316 +1312 -1170 +1635 -3415 +-3601 -589 +1797 -424 +2885 -1575 +-3711 162 +1422 -2586 +2787 976 +-2341 0 +2787 -976 +1422 2586 +-3711 -162 +2885 1575 +1797 424 +-3601 589 +1635 3415 +1312 1170 +-4499 -316 +-3686 -5373 +164 2138 +3147 7344 +388 341 +-2381 6468 +1885 3127 +1170 -2341 +-680 3152 +4424 3620 +2834 6383 +-807 39 +1495 -5543 +-2682 -481 +-7702 -1253 +-3653 -1170 +1140 -3218 +3349 -2510 +2387 2525 +-544 -1050 +1265 -321 +3154 1109 +-1186 -3905 +-4681 0 +-1186 3905 +3154 -1109 +1265 321 +-544 1050 +2387 -2525 +3349 2510 +1140 3218 +-3653 1170 +-7702 1253 +-2682 481 +1495 5543 +-807 -39 +2834 -6383 +4424 -3620 +-680 -3152 +1170 2341 +1885 -3127 +-2381 -6468 +388 -341 +3147 -7344 +164 -2138 +-3686 5373 +-4499 316 +1312 -1170 +1635 -3415 +-3601 -589 +1797 -424 +2885 -1575 +-3711 162 +1422 -2586 +2787 976 +3511 1170 +2483 1249 +1441 1285 +214 3404 +-411 -3037 +-477 2272 +-2216 2216 +1869 -267 +3511 4338 +-3850 -2362 +-5730 -1689 +-6033 2008 +-4988 2638 +1897 2416 +1475 -3711 +2957 -479 +8192 0 +2957 479 +1475 3711 +1897 -2416 +-4988 -2638 +-6033 -2008 +-5730 1689 +-3850 2362 +3511 -4338 +1869 267 +-2216 -2216 +-477 -2272 +-411 3037 +214 -3404 +1441 -1285 +2483 -1249 +3511 -1170 +1734 2214 +795 -6894 +655 -2004 +1380 7033 +1928 -2711 +-377 -7300 +2513 483 +3511 2683 +-3066 -1747 +-2978 -85 +-1534 -656 +-663 -3324 +3350 776 +-1772 1412 +-4641 -384 +-1170 0 +-4641 384 +-1772 -1412 +3350 -776 +-663 3324 +-1534 656 +-2978 85 +-3066 1747 +3511 -2683 +2513 -483 +-377 7300 +1928 2711 +1380 -7033 +655 2004 +795 6894 +1734 -2214 +3511 1170 +2483 1249 +1441 1285 +214 3404 +-411 -3037 +-477 2272 +-2216 2216 +1869 -267 +3511 4338 +-3850 -2362 +-5730 -1689 +-6033 2008 +-4988 2638 +1897 2416 +1475 -3711 +2957 -479 +-1170 1170 +-3839 301 +-1840 -1000 +-6511 -3100 +291 1207 +6524 1173 +3654 -1255 +2068 -2219 +0 -3168 +3069 -1141 +2428 -3824 +-2244 746 +-3527 2736 +-5689 -6544 +978 -2730 +1335 3535 +-5851 0 +1335 -3535 +978 2730 +-5689 6544 +-3527 -2736 +-2244 -746 +2428 3824 +3069 1141 +0 3168 +2068 2219 +3654 1255 +6524 -1173 +291 -1207 +-6511 3100 +-1840 1000 +-3839 -301 +-1170 -1170 +5827 1044 +2896 1000 +2679 -3544 +-976 2103 +-1474 3267 +5562 1255 +1424 1952 +0 -1513 +801 874 +-3653 3824 +1015 3694 +-469 574 +-7540 -101 +-663 2730 +2555 -2190 +-1170 0 +2555 2190 +-663 -2730 +-7540 101 +-469 -574 +1015 -3694 +-3653 -3824 +801 -874 +0 1513 +1424 -1952 +5562 -1255 +-1474 -3267 +-976 -2103 +2679 3544 +2896 -1000 +5827 -1044 +-1170 1170 +-3839 301 +-1840 -1000 +-6511 -3100 +291 1207 +6524 1173 +3654 -1255 +2068 -2219 +0 -3168 +3069 -1141 +2428 -3824 +-2244 746 +-3527 2736 +-5689 -6544 +978 -2730 +1335 3535 +-2341 1170 +-1736 3310 +1392 508 +3584 -1745 +-4012 -5920 +-282 -3611 +6466 3126 +3492 2865 +1170 1513 +1330 -2650 +-1059 -6716 +-2268 -3748 +1849 -3125 +1256 -577 +-130 2077 +2054 -2569 +2341 0 +2054 2569 +-130 -2077 +1256 577 +1849 3125 +-2268 3748 +-1059 6716 +1330 2650 +1170 -1513 +3492 -2865 +6466 -3126 +-282 3611 +-4012 5920 +3584 1745 +1392 -508 +-1736 -3310 +-2341 -1170 +-2373 1598 +2173 -3923 +-4023 -5983 +-953 -700 +3473 1757 +-5182 -1503 +-29 -1230 +1170 3168 +-468 316 +3085 -1023 +868 796 +3116 -3496 +-2608 1113 +-6746 3871 +-2271 -5853 +-2341 0 +-2271 5853 +-6746 -3871 +-2608 -1113 +3116 3496 +868 -796 +3085 1023 +-468 -316 +1170 -3168 +-29 1230 +-5182 1503 +3473 -1757 +-953 700 +-4023 5983 +2173 3923 +-2373 -1598 +-2341 1170 +-1736 3310 +1392 508 +3584 -1745 +-4012 -5920 +-282 -3611 +6466 3126 +3492 2865 +1170 1513 +1330 -2650 +-1059 -6716 +-2268 -3748 +1849 -3125 +1256 -577 +-130 2077 +2054 -2569 +-4681 0 +-1735 -2216 +5222 -217 +-2626 -2368 +-1275 718 +-2222 183 +-4424 -1506 +767 169 +-3653 0 +-1854 3695 +1389 3148 +-4529 1688 +-254 1074 +4419 1318 +2178 4259 +-2161 -205 +-5851 0 +-2161 205 +2178 -4259 +4419 -1318 +-254 -1074 +-4529 -1688 +1389 -3148 +-1854 -3695 +-3653 0 +767 -169 +-4424 1506 +-2222 -183 +-1275 -718 +-2626 2368 +5222 217 +-1735 2216 +-4681 0 +5552 -2345 +936 -333 +-2758 -5791 +-380 -5399 +1264 2539 +6005 8171 +3381 4159 +1312 0 +2808 3439 +-1599 -1163 +-2148 257 +1909 3607 +1980 -3633 +-345 -127 +-137 5070 +1170 0 +-137 -5070 +-345 127 +1980 3633 +1909 -3607 +-2148 -257 +-1599 1163 +2808 -3439 +1312 0 +3381 -4159 +6005 -8171 +1264 -2539 +-380 5399 +-2758 5791 +936 333 +5552 2345 +-4681 0 +-1735 -2216 +5222 -217 +-2626 -2368 +-1275 718 +-2222 183 +-4424 -1506 +767 169 +-3653 0 +-1854 3695 +1389 3148 +-4529 1688 +-254 1074 +4419 1318 +2178 4259 +-2161 -205 +1170 -1170 +-1828 -7650 +743 -3962 +2556 543 +-2944 -409 +-3088 -306 +-1852 -6645 +-1199 -925 +1170 5993 +1048 -3793 +3152 -1358 +3293 503 +1128 31 +3398 1827 +1553 -3556 +-493 849 +1170 0 +-493 -849 +1553 3556 +3398 -1827 +1128 -31 +3293 -503 +3152 1358 +1048 3793 +1170 -5993 +-1199 925 +-1852 6645 +-3088 306 +-2944 409 +2556 -543 +743 3962 +-1828 7650 +1170 1170 +-1584 -6265 +-2009 -3987 +2695 -40 +3914 -6896 +2341 -1701 +-1206 3901 +-106 -3439 +1170 1028 +-3053 1879 +-94 -2757 +765 -397 +-6779 -2656 +-5339 -1952 +-286 3598 +595 3668 +1170 0 +595 -3668 +-286 -3598 +-5339 1952 +-6779 2656 +765 397 +-94 2757 +-3053 -1879 +1170 -1028 +-106 3439 +-1206 -3901 +2341 1701 +3914 6896 +2695 40 +-2009 3987 +-1584 6265 +1170 -1170 +-1828 -7650 +743 -3962 +2556 543 +-2944 -409 +-3088 -306 +-1852 -6645 +-1199 -925 +1170 5993 +1048 -3793 +3152 -1358 +3293 503 +1128 31 +3398 1827 +1553 -3556 +-493 849 +-4681 2341 +1868 -1111 +-1514 -3529 +2011 -3615 +574 1186 +-3057 339 +-2017 -5495 +-4354 613 +3168 5851 +-19 -2005 +-3126 -1952 +5399 4597 +-1207 1364 +417 -292 +3847 2507 +-1552 787 +-1170 0 +-1552 -787 +3847 -2507 +417 292 +-1207 -1364 +5399 -4597 +-3126 1952 +-19 2005 +3168 -5851 +-4354 -613 +-2017 5495 +-3057 -339 +574 -1186 +2011 3615 +-1514 3529 +1868 1111 +-4681 -2341 +-5178 -1668 +3033 5303 +1299 5093 +2736 -1872 +6367 -2896 +3031 -114 +1044 3128 +1513 5851 +-3291 2856 +-4509 -347 +-2089 1852 +-2103 2631 +2893 1414 +1255 2577 +-1758 2905 +1170 0 +-1758 -2905 +1255 -2577 +2893 -1414 +-2103 -2631 +-2089 -1852 +-4509 347 +-3291 -2856 +1513 -5851 +1044 -3128 +3031 114 +6367 2896 +2736 1872 +1299 -5093 +3033 -5303 +-5178 1668 +-4681 2341 +1868 -1111 +-1514 -3529 +2011 -3615 +574 1186 +-3057 339 +-2017 -5495 +-4354 613 +3168 5851 +-19 -2005 +-3126 -1952 +5399 4597 +-1207 1364 +417 -292 +3847 2507 +-1552 787 +0 1170 +-5151 -3413 +-1376 -913 +4050 1887 +178 4734 +-6321 3700 +323 2380 +-882 -1724 +-7991 -3653 +798 4862 +-1623 2449 +-4631 -1453 +3236 1760 +-3054 860 +-919 21 +4330 -2493 +0 0 +4330 2493 +-919 -21 +-3054 -860 +3236 -1760 +-4631 1453 +-1623 -2449 +798 -4862 +-7991 3653 +-882 1724 +323 -2380 +-6321 -3700 +178 -4734 +4050 -1887 +-1376 913 +-5151 3413 +0 -1170 +3817 -543 +1376 4478 +3599 1861 +4503 2572 +2350 -1118 +-323 -3664 +-4277 430 +-1371 1312 +1828 2850 +1623 -423 +2486 -2438 +1445 864 +1521 5777 +919 6855 +-463 -1820 +0 0 +-463 1820 +919 -6855 +1521 -5777 +1445 -864 +2486 2438 +1623 423 +1828 -2850 +-1371 -1312 +-4277 -430 +-323 3664 +2350 1118 +4503 -2572 +3599 -1861 +1376 -4478 +3817 543 +0 1170 +-5151 -3413 +-1376 -913 +4050 1887 +178 4734 +-6321 3700 +323 2380 +-882 -1724 +-7991 -3653 +798 4862 +-1623 2449 +-4631 -1453 +3236 1760 +-3054 860 +-919 21 +4330 -2493 +2341 -4681 +1171 459 +1368 3536 +-391 -3218 +2514 3168 +780 -846 +-2912 -4671 +802 785 +828 -6821 +-1186 -2516 +-3809 4727 +-2313 -787 +4414 -1513 +874 -2824 +-2891 -3834 +-1002 -1059 +-1170 0 +-1002 1059 +-2891 3834 +874 2824 +4414 1513 +-2313 787 +-3809 -4727 +-1186 2516 +828 6821 +802 -785 +-2912 4671 +780 846 +2514 -3168 +-391 3218 +1368 -3536 +1171 -459 +2341 4681 +2185 -2109 +480 -1269 +2694 3325 +-173 3168 +430 -3207 +5033 -1157 +3035 2918 +-828 -201 +-6975 6219 +-6303 5426 +-689 -3266 +-2073 -1513 +-1386 2931 +-328 3358 +1971 -591 +5851 0 +1971 591 +-328 -3358 +-1386 -2931 +-2073 1513 +-689 3266 +-6303 -5426 +-6975 -6219 +-828 201 +3035 -2918 +5033 1157 +430 3207 +-173 -3168 +2694 -3325 +480 1269 +2185 2109 +2341 -4681 +1171 459 +1368 3536 +-391 -3218 +2514 3168 +780 -846 +-2912 -4671 +802 785 +828 -6821 +-1186 -2516 +-3809 4727 +-2313 -787 +4414 -1513 +874 -2824 +-2891 -3834 +-1002 -1059 +2341 -1170 +-16 -972 +910 6639 +-636 7129 +798 2006 +3526 3807 +-2318 -2269 +-151 -5026 +-2825 3168 +-6497 1830 +664 -791 +-1092 -1159 +-2768 -3655 +2220 158 +4619 1711 +-2086 -1945 +-9362 0 +-2086 1945 +4619 -1711 +2220 -158 +-2768 3655 +-1092 1159 +664 791 +-6497 -1830 +-2825 -3168 +-151 5026 +-2318 2269 +3526 -3807 +798 -2006 +-636 -7129 +910 -6639 +-16 972 +2341 1170 +-1086 -1632 +-731 -660 +97 5220 +-4794 3644 +-2720 235 +3763 2133 +5693 -2208 +485 1513 +955 4415 +2572 -2655 +286 3914 +2082 4624 +-1681 -2337 +-116 958 +3188 -421 +0 0 +3188 421 +-116 -958 +-1681 2337 +2082 -4624 +286 -3914 +2572 2655 +955 -4415 +485 -1513 +5693 2208 +3763 -2133 +-2720 -235 +-4794 -3644 +97 -5220 +-731 660 +-1086 1632 +2341 -1170 +-16 -972 +910 6639 +-636 7129 +798 2006 +3526 3807 +-2318 -2269 +-151 -5026 +-2825 3168 +-6497 1830 +664 -791 +-1092 -1159 +-2768 -3655 +2220 158 +4619 1711 +-2086 -1945 +0 0 +3965 -155 +-1163 -1042 +2407 -3502 +105 -1930 +-4606 -3152 +2815 -267 +4508 2591 +-1312 0 +-2934 -1379 +2700 -3248 +4329 404 +-917 -2647 +1596 -5474 +3452 1008 +-227 -2721 +-1170 0 +-227 2721 +3452 -1008 +1596 5474 +-917 2647 +4329 -404 +2700 3248 +-2934 1379 +-1312 0 +4508 -2591 +2815 267 +-4606 3152 +105 1930 +2407 3502 +-1163 1042 +3965 155 +0 0 +-3714 -2537 +2059 -1687 +568 -99 +-791 -3721 +-4809 -8161 +-4978 -2106 +5475 96 +3653 0 +-156 4486 +-538 -496 +-6873 599 +-3079 1678 +768 -1794 +-4348 4254 +-297 3191 +5851 0 +-297 -3191 +-4348 -4254 +768 1794 +-3079 -1678 +-6873 -599 +-538 496 +-156 -4486 +3653 0 +5475 -96 +-4978 2106 +-4809 8161 +-791 3721 +568 99 +2059 1687 +-3714 2537 +0 0 +3965 -155 +-1163 -1042 +2407 -3502 +105 -1930 +-4606 -3152 +2815 -267 +4508 2591 +-1312 0 +-2934 -1379 +2700 -3248 +4329 404 +-917 -2647 +1596 -5474 +3452 1008 +-227 -2721 +-2341 -1170 +2893 522 +19 -5001 +1118 -5685 +537 -1454 +-4033 4074 +-1155 371 +-3876 -1807 +-5651 1998 +-703 -4183 +-1096 -3607 +-908 2713 +2699 3490 +3574 -96 +2339 -6211 +3152 -3224 +4681 0 +3152 3224 +2339 6211 +3574 96 +2699 -3490 +-908 -2713 +-1096 3607 +-703 4183 +-5651 -1998 +-3876 1807 +-1155 -371 +-4033 -4074 +537 1454 +1118 5685 +19 5001 +2893 -522 +-2341 1170 +-70 2809 +369 -517 +-6119 -2660 +1804 -4883 +639 4818 +-3558 7967 +4859 -1092 +969 343 +-1294 2655 +2500 643 +-799 -1812 +-359 -464 +-92 -257 +582 -1245 +1661 5183 +0 0 +1661 -5183 +582 1245 +-92 257 +-359 464 +-799 1812 +2500 -643 +-1294 -2655 +969 -343 +4859 1092 +-3558 -7967 +639 -4818 +1804 4883 +-6119 2660 +369 517 +-70 -2809 +-2341 -1170 +2893 522 +19 -5001 +1118 -5685 +537 -1454 +-4033 4074 +-1155 371 +-3876 -1807 +-5651 1998 +-703 -4183 +-1096 -3607 +-908 2713 +2699 3490 +3574 -96 +2339 -6211 +3152 -3224 +1170 1170 +-1352 139 +-443 798 +-1484 1518 +-3035 738 +694 -2266 +2368 2933 +2411 -1212 +1170 -2683 +-4121 1847 +-4252 -10830 +1103 -5922 +2318 2446 +13 -2805 +-597 2779 +2910 1296 +5851 0 +2910 -1296 +-597 -2779 +13 2805 +2318 -2446 +1103 5922 +-4252 10830 +-4121 -1847 +1170 2683 +2411 1212 +2368 -2933 +694 2266 +-3035 -738 +-1484 -1518 +-443 -798 +-1352 -139 +1170 -1170 +-1401 -1539 +-3689 4706 +839 2591 +-1244 -1424 +-3940 3128 +-384 7084 +-572 2565 +1170 -4338 +2282 -2286 +-2413 1555 +2143 2460 +6643 1550 +632 2588 +48 2158 +-158 -4488 +-3511 0 +-158 4488 +48 -2158 +632 -2588 +6643 -1550 +2143 -2460 +-2413 -1555 +2282 2286 +1170 4338 +-572 -2565 +-384 -7084 +-3940 -3128 +-1244 1424 +839 -2591 +-3689 -4706 +-1401 1539 +1170 1170 +-1352 139 +-443 798 +-1484 1518 +-3035 738 +694 -2266 +2368 2933 +2411 -1212 +1170 -2683 +-4121 1847 +-4252 -10830 +1103 -5922 +2318 2446 +13 -2805 +-597 2779 +2910 1296 +0 1170 +-1599 637 +-288 -2623 +-606 2230 +-16 2652 +4524 -2061 +2984 121 +2444 -122 +2140 -3168 +-287 -614 +-1944 -2905 +-3354 -5722 +2535 2558 +3479 5382 +-3541 -3053 +-5364 -5771 +-4681 0 +-5364 5771 +-3541 3053 +3479 -5382 +2535 -2558 +-3354 5722 +-1944 2905 +-287 614 +2140 3168 +2444 122 +2984 -121 +4524 2061 +-16 -2652 +-606 -2230 +-288 2623 +-1599 -637 +0 -1170 +2354 3417 +4105 2623 +2876 3340 +3042 5339 +-2634 1229 +-3743 -121 +2154 1107 +-4480 -1513 +-2520 164 +-606 2905 +-2861 -2325 +3801 -3929 +-1424 2337 +3034 3053 +2818 -972 +-9362 0 +2818 972 +3034 -3053 +-1424 -2337 +3801 3929 +-2861 2325 +-606 -2905 +-2520 -164 +-4480 1513 +2154 -1107 +-3743 121 +-2634 -1229 +3042 -5339 +2876 -3340 +4105 -2623 +2354 -3417 +0 1170 +-1599 637 +-288 -2623 +-606 2230 +-16 2652 +4524 -2061 +2984 121 +2444 -122 +2140 -3168 +-287 -614 +-1944 -2905 +-3354 -5722 +2535 2558 +3479 5382 +-3541 -3053 +-5364 -5771 +4681 3511 +2141 -299 +3057 2591 +1743 -5556 +-3459 -8193 +-1801 3474 +-545 -317 +537 -1686 +-1655 -343 +-1322 -5437 +-1482 1104 +-4441 902 +2014 -3774 +-312 1306 +-6023 -1373 +408 -5394 +4681 0 +408 5394 +-6023 1373 +-312 -1306 +2014 3774 +-4441 -902 +-1482 -1104 +-1322 5437 +-1655 343 +537 1686 +-545 317 +-1801 -3474 +-3459 8193 +1743 5556 +3057 -2591 +2141 299 +4681 -3511 +-2027 -1452 +-4712 645 +-3075 -5550 +-2192 -4764 +736 -2644 +2200 139 +5260 617 +1655 -1998 +627 3206 +3138 3399 +-2128 -1947 +-1044 180 +2659 1695 +4368 -72 +997 -262 +-4681 0 +997 262 +4368 72 +2659 -1695 +-1044 -180 +-2128 1947 +3138 -3399 +627 -3206 +1655 1998 +5260 -617 +2200 -139 +736 2644 +-2192 4764 +-3075 5550 +-4712 -645 +-2027 1452 +4681 3511 +2141 -299 +3057 2591 +1743 -5556 +-3459 -8193 +-1801 3474 +-545 -317 +537 -1686 +-1655 -343 +-1322 -5437 +-1482 1104 +-4441 902 +2014 -3774 +-312 1306 +-6023 -1373 +408 -5394 +-1170 -2341 +-3147 476 +-5929 -1443 +-1069 3823 +2640 -1364 +2102 -2396 +5682 5522 +5284 -1527 +1998 -1170 +3545 3061 +-288 -1428 +-4442 -657 +2126 1872 +5071 1356 +-126 -3991 +-229 -7918 +2341 0 +-229 7918 +-126 3991 +5071 -1356 +2126 -1872 +-4442 657 +-288 1428 +3545 -3061 +1998 1170 +5284 1527 +5682 -5522 +2102 2396 +2640 1364 +-1069 -3823 +-5929 1443 +-3147 -476 +-1170 2341 +-2396 2055 +-4046 -331 +-2749 2376 +3011 -2631 +29 -358 +-6504 87 +-1731 -2411 +343 -1170 +-981 -4703 +3049 3727 +-223 6315 +-3095 -1186 +1281 15 +-1200 -1094 +-345 -496 +4681 0 +-345 496 +-1200 1094 +1281 -15 +-3095 1186 +-223 -6315 +3049 -3727 +-981 4703 +343 1170 +-1731 2411 +-6504 -87 +29 358 +3011 2631 +-2749 -2376 +-4046 331 +-2396 -2055 +-1170 -2341 +-3147 476 +-5929 -1443 +-1069 3823 +2640 -1364 +2102 -2396 +5682 5522 +5284 -1527 +1998 -1170 +3545 3061 +-288 -1428 +-4442 -657 +2126 1872 +5071 1356 +-126 -3991 +-229 -7918 +-2341 -1170 +-16 -1575 +1700 -2677 +-63 -2887 +-3886 25 +118 -2387 +2423 -1606 +-1603 1820 +-2825 -828 +2719 -995 +2935 -1284 +-2631 -3674 +-439 -777 +455 -1269 +697 -1757 +2918 3416 +2341 0 +2918 -3416 +697 1757 +455 1269 +-439 777 +-2631 3674 +2935 1284 +2719 995 +-2825 828 +-1603 -1820 +2423 1606 +118 2387 +-3886 -25 +-63 2887 +1700 2677 +-16 1575 +-2341 1170 +-2810 -4173 +-2460 -381 +-5447 6364 +2231 -1396 +3515 -4817 +-2930 340 +1919 -781 +485 828 +1793 3470 +882 17 +-3297 3685 +2094 8768 +-5890 2598 +-3248 -1301 +8319 1634 +7022 0 +8319 -1634 +-3248 1301 +-5890 -2598 +2094 -8768 +-3297 -3685 +882 -17 +1793 -3470 +485 -828 +1919 781 +-2930 -340 +3515 4817 +2231 1396 +-5447 -6364 +-2460 381 +-2810 4173 +-2341 -1170 +-16 -1575 +1700 -2677 +-63 -2887 +-3886 25 +118 -2387 +2423 -1606 +-1603 1820 +-2825 -828 +2719 -995 +2935 -1284 +-2631 -3674 +-439 -777 +455 -1269 +697 -1757 +2918 3416 +3511 -1170 +1629 5033 +4557 12 +3210 -3739 +-2974 1394 +3388 -1857 +2947 1668 +-1392 4119 +-2825 -343 +48 4590 +3922 4928 +-1199 17 +-812 390 +-848 595 +-5742 -706 +-1328 -2144 +3511 0 +-1328 2144 +-5742 706 +-848 -595 +-812 -390 +-1199 -17 +3922 -4928 +48 -4590 +-2825 343 +-1392 -4119 +2947 -1668 +3388 1857 +-2974 -1394 +3210 3739 +4557 -12 +1629 -5033 +3511 1170 +-5097 -786 +-4124 1958 +-47 4012 +-1707 -6360 +2758 -4846 +3092 1212 +3656 -1227 +485 -1998 +-1297 1612 +4650 2633 +154 -3410 +-3870 -5355 +-796 -3632 +59 -2006 +-2839 3081 +-5851 0 +-2839 -3081 +59 2006 +-796 3632 +-3870 5355 +154 3410 +4650 -2633 +-1297 -1612 +485 1998 +3656 1227 +3092 -1212 +2758 4846 +-1707 6360 +-47 -4012 +-4124 -1958 +-5097 786 +3511 -1170 +1629 5033 +4557 12 +3210 -3739 +-2974 1394 +3388 -1857 +2947 1668 +-1392 4119 +-2825 -343 +48 4590 +3922 4928 +-1199 17 +-812 390 +-848 595 +-5742 -706 +-1328 -2144 +-1170 2341 +-4277 684 +-1580 -1718 +3457 1970 +-2736 -7070 +-1724 -2973 +6649 4623 +-307 1504 +-3653 1170 +3295 -2093 +-740 539 +-2986 1476 +2103 -582 +889 1651 +1879 3667 +6206 8677 +7022 0 +6206 -8677 +1879 -3667 +889 -1651 +2103 582 +-2986 -1476 +-740 -539 +3295 2093 +-3653 -1170 +-307 -1504 +6649 -4623 +-1724 2973 +-2736 7070 +3457 -1970 +-1580 1718 +-4277 -684 +-1170 -2341 +-437 2517 +1443 -2067 +1269 1177 +-574 2105 +-1012 94 +-3979 2220 +-3462 -265 +1312 1170 +-268 -1350 +-5240 -3057 +-4719 326 +1207 -4383 +4826 -3186 +1567 1910 +-750 -794 +0 0 +-750 794 +1567 -1910 +4826 3186 +1207 4383 +-4719 -326 +-5240 3057 +-268 1350 +1312 -1170 +-3462 265 +-3979 -2220 +-1012 -94 +-574 -2105 +1269 -1177 +1443 2067 +-437 -2517 +-1170 2341 +-4277 684 +-1580 -1718 +3457 1970 +-2736 -7070 +-1724 -2973 +6649 4623 +-307 1504 +-3653 1170 +3295 -2093 +-740 539 +-2986 1476 +2103 -582 +889 1651 +1879 3667 +6206 8677 +0 4681 +-1952 3213 +-1319 4004 +2499 2624 +1356 -3116 +-2848 -2682 +-204 5656 +389 4556 +3168 -485 +-1527 1943 +-8307 782 +-1403 -2881 +-1440 -4012 +-2168 -2212 +1907 -182 +235 -2148 +-1170 0 +235 2148 +1907 182 +-2168 2212 +-1440 4012 +-1403 2881 +-8307 -782 +-1527 -1943 +3168 485 +389 -4556 +-204 -5656 +-2848 2682 +1356 3116 +2499 -2624 +-1319 -4004 +-1952 -3213 +0 -4681 +3493 947 +-1158 6674 +-2822 -1896 +985 -1849 +2258 3143 +533 -540 +-4531 4045 +1513 2825 +3878 541 +-13 7077 +6318 808 +3781 -953 +-1834 406 +-801 -5122 +15 192 +1170 0 +15 -192 +-801 5122 +-1834 -406 +3781 953 +6318 -808 +-13 -7077 +3878 -541 +1513 -2825 +-4531 -4045 +533 540 +2258 -3143 +985 1849 +-2822 1896 +-1158 -6674 +3493 -947 +0 4681 +-1952 3213 +-1319 4004 +2499 2624 +1356 -3116 +-2848 -2682 +-204 5656 +389 4556 +3168 -485 +-1527 1943 +-8307 782 +-1403 -2881 +-1440 -4012 +-2168 -2212 +1907 -182 +235 -2148 +-1170 -2341 +2474 -5012 +964 -2147 +9 -614 +1828 2757 +1667 6401 +618 720 +-3847 1935 +-1312 3795 +-336 -2520 +-359 -315 +3138 3104 +418 3475 +4202 2900 +4179 -554 +-1004 286 +0 0 +-1004 -286 +4179 554 +4202 -2900 +418 -3475 +3138 -3104 +-359 315 +-336 2520 +-1312 -3795 +-3847 -1935 +618 -720 +1667 -6401 +1828 -2757 +9 614 +964 2147 +2474 5012 +-1170 2341 +3089 -1335 +2346 -953 +-4974 5335 +-859 4549 +3308 -1492 +-3928 2128 +-4812 -1053 +3653 -6135 +-431 -264 +-2951 1792 +-2269 1384 +-6069 -850 +1539 -1342 +-869 5445 +-1753 5683 +7022 0 +-1753 -5683 +-869 -5445 +1539 1342 +-6069 850 +-2269 -1384 +-2951 -1792 +-431 264 +3653 6135 +-4812 1053 +-3928 -2128 +3308 1492 +-859 -4549 +-4974 -5335 +2346 953 +3089 1335 +-1170 -2341 +2474 -5012 +964 -2147 +9 -614 +1828 2757 +1667 6401 +618 720 +-3847 1935 +-1312 3795 +-336 -2520 +-359 -315 +3138 3104 +418 3475 +4202 2900 +4179 -554 +-1004 286 +3511 -2341 +3606 -882 +-937 -1001 +-4755 2848 +848 1946 +676 -4305 +-1112 -1169 +-3781 -2245 +-343 -2140 +5098 1804 +1987 -5716 +-549 -2872 +-2199 5182 +-3167 -2420 +-4973 -5306 +-147 -135 +7022 0 +-147 135 +-4973 5306 +-3167 2420 +-2199 -5182 +-549 2872 +1987 5716 +5098 -1804 +-343 2140 +-3781 2245 +-1112 1169 +676 4305 +848 -1946 +-4755 -2848 +-937 1001 +3606 882 +3511 2341 +7649 666 +1655 -1298 +3507 -1496 +4802 679 +-6467 2905 +-2495 -605 +-57 1383 +-1998 4480 +-756 1658 +-3061 632 +2253 -320 +1230 2124 +-4738 1981 +-426 -303 +1628 4244 +0 0 +1628 -4244 +-426 303 +-4738 -1981 +1230 -2124 +2253 320 +-3061 -632 +-756 -1658 +-1998 -4480 +-57 -1383 +-2495 605 +-6467 -2905 +4802 -679 +3507 1496 +1655 1298 +7649 -666 +3511 -2341 +3606 -882 +-937 -1001 +-4755 2848 +848 1946 +676 -4305 +-1112 -1169 +-3781 -2245 +-343 -2140 +5098 1804 +1987 -5716 +-549 -2872 +-2199 5182 +-3167 -2420 +-4973 -5306 +-147 -135 +0 -5851 +2905 -4387 +1855 3120 +-5664 2860 +-4354 -1275 +2758 1100 +-1487 2095 +-1178 1489 +3310 4338 +1177 3260 +-2191 181 +-4490 1493 +-149 254 +4247 -3792 +4854 -2745 +4030 378 +2341 0 +4030 -378 +4854 2745 +4247 3792 +-149 -254 +-4490 -1493 +-2191 -181 +1177 -3260 +3310 -4338 +-1178 -1489 +-1487 -2095 +2758 -1100 +-4354 1275 +-5664 -2860 +1855 -3120 +2905 4387 +0 5851 +2835 1598 +5451 -2224 +-1191 -4312 +-1296 -380 +-320 2685 +-1138 67 +981 1452 +-3310 2683 +1315 -319 +-434 1982 +-6360 2292 +1118 -1909 +-2221 2340 +2451 3641 +1175 -3167 +-11703 0 +1175 3167 +2451 -3641 +-2221 -2340 +1118 1909 +-6360 -2292 +-434 -1982 +1315 319 +-3310 -2683 +981 -1452 +-1138 -67 +-320 -2685 +-1296 380 +-1191 4312 +5451 2224 +2835 -1598 +0 -5851 +2905 -4387 +1855 3120 +-5664 2860 +-4354 -1275 +2758 1100 +-1487 2095 +-1178 1489 +3310 4338 +1177 3260 +-2191 181 +-4490 1493 +-149 254 +4247 -3792 +4854 -2745 +4030 378 +-1170 2341 +-1392 1483 +-128 739 +-1325 5169 +-3786 -759 +-3956 913 +936 2946 +4096 -2359 +-828 1655 +-1569 -573 +396 -884 +4715 923 +9447 -3817 +3366 1361 +-608 2236 +-4048 -4646 +-9362 0 +-4048 4646 +-608 -2236 +3366 -1361 +9447 3817 +4715 -923 +396 884 +-1569 573 +-828 -1655 +4096 2359 +936 -2946 +-3956 -913 +-3786 759 +-1325 -5169 +-128 -739 +-1392 -1483 +-1170 -2341 +-5023 -3164 +-2423 -4346 +5307 3068 +-2148 -2551 +-3360 -5752 +2882 2452 +-1259 1555 +828 -1655 +3329 -1602 +-904 1601 +-947 2229 +1169 507 +2821 -1115 +-151 -1162 +-755 4336 +2341 0 +-755 -4336 +-151 1162 +2821 1115 +1169 -507 +-947 -2229 +-904 -1601 +3329 1602 +828 1655 +-1259 -1555 +2882 -2452 +-3360 5752 +-2148 2551 +5307 -3068 +-2423 4346 +-5023 3164 +-1170 2341 +-1392 1483 +-128 739 +-1325 5169 +-3786 -759 +-3956 913 +936 2946 +4096 -2359 +-828 1655 +-1569 -573 +396 -884 +4715 923 +9447 -3817 +3366 1361 +-608 2236 +-4048 -4646 +-2341 1170 +1860 10 +-931 1997 +1632 5565 +1662 -544 +-6667 -1537 +-288 2691 +-420 1093 +-1170 -3168 +3028 320 +-5402 2611 +-3849 -4914 +4464 -3147 +2913 382 +-60 -2169 +710 2098 +2341 0 +710 -2098 +-60 2169 +2913 -382 +4464 3147 +-3849 4914 +-5402 -2611 +3028 -320 +-1170 3168 +-420 -1093 +-288 -2691 +-6667 1537 +1662 544 +1632 -5565 +-931 -1997 +1860 -10 +-2341 -1170 +1542 2376 +2019 -3400 +-516 -4246 +395 2885 +-1793 -542 +1901 -2303 +1557 -1192 +-1170 -1513 +-582 -2211 +-893 -5532 +3659 -1490 +7522 807 +4621 -3388 +-5709 -2544 +-7694 -1505 +-2341 0 +-7694 1505 +-5709 2544 +4621 3388 +7522 -807 +3659 1490 +-893 5532 +-582 2211 +-1170 1513 +1557 1192 +1901 2303 +-1793 542 +395 -2885 +-516 4246 +2019 3400 +1542 -2376 +-2341 1170 +1860 10 +-931 1997 +1632 5565 +1662 -544 +-6667 -1537 +-288 2691 +-420 1093 +-1170 -3168 +3028 320 +-5402 2611 +-3849 -4914 +4464 -3147 +2913 382 +-60 -2169 +710 2098 +0 -1170 +-2896 -428 +-6030 -3345 +-4279 1790 +-4176 1646 +977 -3367 +2300 2373 +-1441 -1134 +-1655 -5993 +3511 -3716 +2544 -2994 +206 5674 +3088 4967 +-894 -2995 +2289 -1435 +2594 -2768 +-4681 0 +2594 2768 +2289 1435 +-894 2995 +3088 -4967 +206 -5674 +2544 2994 +3511 3716 +-1655 5993 +-1441 1134 +2300 -2373 +977 3367 +-4176 -1646 +-4279 -1790 +-6030 3345 +-2896 428 +0 1170 +-6007 1126 +50 1900 +4545 4199 +3207 9 +4058 -968 +-2436 2130 +-1450 3355 +1655 -1028 +395 -4084 +903 2816 +-140 1565 +2563 -3312 +2148 4576 +381 4671 +-1326 -3813 +-4681 0 +-1326 3813 +381 -4671 +2148 -4576 +2563 3312 +-140 -1565 +903 -2816 +395 4084 +1655 1028 +-1450 -3355 +-2436 -2130 +4058 968 +3207 -9 +4545 -4199 +50 -1900 +-6007 -1126 +0 -1170 +-2896 -428 +-6030 -3345 +-4279 1790 +-4176 1646 +977 -3367 +2300 2373 +-1441 -1134 +-1655 -5993 +3511 -3716 +2544 -2994 +206 5674 +3088 4967 +-894 -2995 +2289 -1435 +2594 -2768 +3511 3511 +-1848 -1271 +-1154 -2120 +2344 -1516 +-4633 -1925 +-746 2016 +3424 2834 +1803 -2038 +3996 -7164 +3666 -5381 +-179 -299 +-2943 -2350 +-1305 -3810 +-132 -115 +33 1153 +-1224 648 +-3511 0 +-1224 -648 +33 -1153 +-132 115 +-1305 3810 +-2943 2350 +-179 299 +3666 5381 +3996 7164 +1803 2038 +3424 -2834 +-746 -2016 +-4633 1925 +2344 1516 +-1154 2120 +-1848 1271 +3511 -3511 +-994 1863 +-427 5179 +275 468 +2008 3296 +879 1071 +-5257 -1568 +393 4165 +686 -2199 +-4071 -5229 +-5979 1565 +-1515 -3716 +8611 -4181 +1837 3153 +177 1906 +2274 447 +-3511 0 +2274 -447 +177 -1906 +1837 -3153 +8611 4181 +-1515 3716 +-5979 -1565 +-4071 5229 +686 2199 +393 -4165 +-5257 1568 +879 -1071 +2008 -3296 +275 -468 +-427 -5179 +-994 -1863 +3511 3511 +-1848 -1271 +-1154 -2120 +2344 -1516 +-4633 -1925 +-746 2016 +3424 2834 +1803 -2038 +3996 -7164 +3666 -5381 +-179 -299 +-2943 -2350 +-1305 -3810 +-132 -115 +33 1153 +-1224 648 +-2341 0 +262 -4664 +-25 -2996 +-4651 2179 +1744 -1090 +-2730 -3282 +-3397 1196 +1689 1079 +-2199 -485 +-1555 2596 +-1060 1398 +-3152 -1634 +-37 2864 +795 5684 +-3216 -409 +1434 -4509 +8192 0 +1434 4509 +-3216 409 +795 -5684 +-37 -2864 +-3152 1634 +-1060 -1398 +-1555 -2596 +-2199 485 +1689 -1079 +-3397 -1196 +-2730 3282 +1744 1090 +-4651 -2179 +-25 2996 +262 4664 +-2341 0 +3206 -542 +-2897 -1507 +1489 -4578 +3907 -565 +-3416 4220 +1994 2040 +-3954 1095 +-7164 2825 +2804 949 +5773 -2843 +4197 -5419 +-933 -4519 +848 -92 +2827 587 +2733 -2068 +5851 0 +2733 2068 +2827 -587 +848 92 +-933 4519 +4197 5419 +5773 2843 +2804 -949 +-7164 -2825 +-3954 -1095 +1994 -2040 +-3416 -4220 +3907 565 +1489 4578 +-2897 1507 +3206 542 +-2341 0 +262 -4664 +-25 -2996 +-4651 2179 +1744 -1090 +-2730 -3282 +-3397 1196 +1689 1079 +-2199 -485 +-1555 2596 +-1060 1398 +-3152 -1634 +-37 2864 +795 5684 +-3216 -409 +1434 -4509 +4681 3511 +-3120 1294 +-6166 1552 +-265 1224 +633 -2953 +-3326 3809 +-2725 1438 +1233 -7350 +-2341 -3653 +-973 2866 +1279 5313 +-952 2583 +-1529 2183 +-8167 4433 +-1793 2535 +6023 1776 +2341 0 +6023 -1776 +-1793 -2535 +-8167 -4433 +-1529 -2183 +-952 -2583 +1279 -5313 +-973 -2866 +-2341 3653 +1233 7350 +-2725 -1438 +-3326 -3809 +633 2953 +-265 -1224 +-6166 -1552 +-3120 -1294 +4681 -3511 +1427 4203 +1589 746 +3303 -4077 +-633 2267 +-548 72 +2977 336 +1780 4006 +-2341 1312 +4580 263 +5089 -229 +-1795 942 +1529 1812 +-1491 1720 +-250 3074 +2291 832 +-2341 0 +2291 -832 +-250 -3074 +-1491 -1720 +1529 -1812 +-1795 -942 +5089 229 +4580 -263 +-2341 -1312 +1780 -4006 +2977 -336 +-548 -72 +-633 -2267 +3303 4077 +1589 -746 +1427 -4203 +4681 3511 +-3120 1294 +-6166 1552 +-265 1224 +633 -2953 +-3326 3809 +-2725 1438 +1233 -7350 +-2341 -3653 +-973 2866 +1279 5313 +-952 2583 +-1529 2183 +-8167 4433 +-1793 2535 +6023 1776 +0 1170 +-5688 -1800 +1444 986 +1847 -3293 +275 -2087 +1723 3316 +-1658 -43 +-1522 -4201 +-5651 -3653 +-601 3115 +4613 4110 +-2251 -2509 +-992 1961 +-3508 4676 +-804 408 +6274 2869 +4681 0 +6274 -2869 +-804 -408 +-3508 -4676 +-992 -1961 +-2251 2509 +4613 -4110 +-601 -3115 +-5651 3653 +-1522 4201 +-1658 43 +1723 -3316 +275 2087 +1847 3293 +1444 -986 +-5688 1800 +0 -1170 +1682 -761 +-1308 8367 +398 1170 +2066 -4249 +3933 4112 +-1012 2398 +-1674 -493 +969 1312 +-1304 -323 +1367 2926 +4229 4478 +3333 1065 +248 3726 +-2643 4263 +-3787 -686 +-4681 0 +-3787 686 +-2643 -4263 +248 -3726 +3333 -1065 +4229 -4478 +1367 -2926 +-1304 323 +969 -1312 +-1674 493 +-1012 -2398 +3933 -4112 +2066 4249 +398 -1170 +-1308 -8367 +1682 761 +0 1170 +-5688 -1800 +1444 986 +1847 -3293 +275 -2087 +1723 3316 +-1658 -43 +-1522 -4201 +-5651 -3653 +-601 3115 +4613 4110 +-2251 -2509 +-992 1961 +-3508 4676 +-804 408 +6274 2869 +-4681 -3511 +-1666 663 +2920 -1972 +5000 -8427 +7607 1492 +518 3963 +-2799 -2895 +-213 2531 +2825 -142 +3680 -4066 +-1094 4213 +-359 1070 +2117 -4540 +-269 -1409 +-3833 -3190 +-5287 -4602 +-4681 0 +-5287 4602 +-3833 3190 +-269 1409 +2117 4540 +-359 -1070 +-1094 -4213 +3680 4066 +2825 142 +-213 -2531 +-2799 2895 +518 -3963 +7607 -1492 +5000 8427 +2920 1972 +-1666 -663 +-4681 3511 +-106 3529 +-758 2657 +1706 -3109 +-301 -2462 +-1582 3577 +3694 -1101 +-1174 -1322 +-485 4823 +513 2322 +198 -218 +2199 269 +-4741 -1111 +-594 -344 +1671 2504 +-2368 3099 +0 0 +-2368 -3099 +1671 -2504 +-594 344 +-4741 1111 +2199 -269 +198 218 +513 -2322 +-485 -4823 +-1174 1322 +3694 1101 +-1582 -3577 +-301 2462 +1706 3109 +-758 -2657 +-106 -3529 +-4681 -3511 +-1666 663 +2920 -1972 +5000 -8427 +7607 1492 +518 3963 +-2799 -2895 +-213 2531 +2825 -142 +3680 -4066 +-1094 4213 +-359 1070 +2117 -4540 +-269 -1409 +-3833 -3190 +-5287 -4602 +-1170 2341 +-2689 4522 +-3308 4002 +-4133 264 +-3095 -343 +706 1241 +-44 4310 +-1015 4511 +4338 485 +3437 1371 +-2430 -726 +684 -620 +2640 1998 +-1951 -1126 +-1252 4733 +781 10568 +0 0 +781 -10568 +-1252 -4733 +-1951 1126 +2640 -1998 +684 620 +-2430 726 +3437 -1371 +4338 -485 +-1015 -4511 +-44 -4310 +706 -1241 +-3095 343 +-4133 -264 +-3308 -4002 +-2689 -4522 +-1170 -2341 +579 2339 +2234 1250 +2070 -1349 +2126 -343 +3841 -1186 +762 3664 +-1960 790 +2683 -2825 +2071 -394 +-2969 -3972 +885 2467 +3011 1998 +-2103 1832 +-2355 6572 +-1204 -8032 +-2341 0 +-1204 8032 +-2355 -6572 +-2103 -1832 +3011 -1998 +885 -2467 +-2969 3972 +2071 394 +2683 2825 +-1960 -790 +762 -3664 +3841 1186 +2126 343 +2070 1349 +2234 -1250 +579 -2339 +-1170 2341 +-2689 4522 +-3308 4002 +-4133 264 +-3095 -343 +706 1241 +-44 4310 +-1015 4511 +4338 485 +3437 1371 +-2430 -726 +684 -620 +2640 1998 +-1951 -1126 +-1252 4733 +781 10568 +7022 1170 +-4774 -912 +-3221 -579 +4861 2809 +-1022 -3712 +-347 -8836 +1045 -2160 +1519 -2616 +-969 -2967 +-3711 174 +260 -2787 +640 -1106 +126 -1634 +-622 -2515 +94 1165 +1356 -1807 +0 0 +1356 1807 +94 -1165 +-622 2515 +126 1634 +640 1106 +260 2787 +-3711 -174 +-969 2967 +1519 2616 +1045 2160 +-347 8836 +-1022 3712 +4861 -2809 +-3221 579 +-4774 912 +7022 -1170 +-429 865 +-387 1635 +4715 -2214 +-2288 -283 +-4726 -523 +-6443 -7056 +-1033 -473 +5651 5308 +3498 1838 +458 1562 +-907 -618 +3184 2320 +3006 4125 +-1168 -1480 +-3045 242 +-4681 0 +-3045 -242 +-1168 1480 +3006 -4125 +3184 -2320 +-907 618 +458 -1562 +3498 -1838 +5651 -5308 +-1033 473 +-6443 7056 +-4726 523 +-2288 283 +4715 2214 +-387 -1635 +-429 -865 +7022 1170 +-4774 -912 +-3221 -579 +4861 2809 +-1022 -3712 +-347 -8836 +1045 -2160 +1519 -2616 +-969 -2967 +-3711 174 +260 -2787 +640 -1106 +126 -1634 +-622 -2515 +94 1165 +1356 -1807 +1170 3511 +-2035 -783 +23 -854 +1314 1937 +439 2462 +2355 2673 +-5413 3463 +-3950 -552 +4965 -5509 +2384 -906 +-1600 -1267 +-5045 -6393 +-2231 1111 +2341 1773 +-766 -4074 +1362 1123 +5851 0 +1362 -1123 +-766 4074 +2341 -1773 +-2231 -1111 +-5045 6393 +-1600 1267 +2384 906 +4965 5509 +-3950 552 +-5413 -3463 +2355 -2673 +439 -2462 +1314 -1937 +23 854 +-2035 783 +1170 -3511 +2269 -1505 +4183 -549 +-400 -5697 +-2094 -1492 +775 2384 +-60 -3074 +-607 -4883 +-4965 -3854 +-360 -2143 +453 -1654 +-4201 -1643 +3886 4540 +2861 3977 +3180 -639 +938 2852 +-8192 0 +938 -2852 +3180 639 +2861 -3977 +3886 -4540 +-4201 1643 +453 1654 +-360 2143 +-4965 3854 +-607 4883 +-60 3074 +775 -2384 +-2094 1492 +-400 5697 +4183 549 +2269 1505 +1170 3511 +-2035 -783 +23 -854 +1314 1937 +439 2462 +2355 2673 +-5413 3463 +-3950 -552 +4965 -5509 +2384 -906 +-1600 -1267 +-5045 -6393 +-2231 1111 +2341 1773 +-766 -4074 +1362 1123 +0 2341 +2808 2988 +405 -1349 +-686 388 +-985 6562 +2467 -2181 +2254 -719 +-3965 5370 +-4138 -1170 +-3613 -1560 +714 -2006 +3632 -293 +-3781 1342 +-2649 -1750 +3679 395 +5039 -875 +5851 0 +5039 875 +3679 -395 +-2649 1750 +-3781 -1342 +3632 293 +714 2006 +-3613 1560 +-4138 1170 +-3965 -5370 +2254 719 +2467 2181 +-985 -6562 +-686 -388 +405 1349 +2808 -2988 +0 -2341 +-1441 -4974 +-1808 -4945 +-5888 1312 +-1356 1713 +302 -2271 +-2642 -370 +2510 -2738 +4138 -1170 +470 2821 +-3635 -3763 +-2853 3832 +1440 6933 +-946 -4541 +1034 -2008 +4811 260 +3511 0 +4811 -260 +1034 2008 +-946 4541 +1440 -6933 +-2853 -3832 +-3635 3763 +470 -2821 +4138 1170 +2510 2738 +-2642 370 +302 2271 +-1356 -1713 +-5888 -1312 +-1808 4945 +-1441 4974 +0 2341 +2808 2988 +405 -1349 +-686 388 +-985 6562 +2467 -2181 +2254 -719 +-3965 5370 +-4138 -1170 +-3613 -1560 +714 -2006 +3632 -293 +-3781 1342 +-2649 -1750 +3679 395 +5039 -875 +8192 -2341 +6155 -2440 +-7198 -2383 +-4923 3533 +89 -605 +1973 -3127 +356 2585 +924 -2472 +2967 -3511 +150 1326 +-1480 -3505 +173 -1075 +1618 5689 +1199 1259 +3798 -1056 +2286 1823 +-2341 0 +2286 -1823 +3798 1056 +1199 -1259 +1618 -5689 +173 1075 +-1480 3505 +150 -1326 +2967 3511 +924 2472 +356 -2585 +1973 3127 +89 605 +-4923 -3533 +-7198 2383 +6155 2440 +8192 2341 +-3743 3067 +533 -1539 +1817 -1185 +2251 -80 +2046 237 +-4488 1589 +-736 -2631 +-5308 -3511 +-6454 -2105 +931 -4994 +-1659 -3606 +722 -1694 +-626 -703 +-1814 3186 +1418 3129 +0 0 +1418 -3129 +-1814 -3186 +-626 703 +722 1694 +-1659 3606 +931 4994 +-6454 2105 +-5308 3511 +-736 2631 +-4488 -1589 +2046 -237 +2251 80 +1817 1185 +533 1539 +-3743 -3067 +8192 -2341 +6155 -2440 +-7198 -2383 +-4923 3533 +89 -605 +1973 -3127 +356 2585 +924 -2472 +2967 -3511 +150 1326 +-1480 -3505 +173 -1075 +1618 5689 +1199 1259 +3798 -1056 +2286 1823 +1170 -3511 +-3408 -2013 +-2095 2317 +-1469 -2390 +-1723 -5995 +-1294 404 +-834 5250 +4809 944 +-1655 828 +-5732 1115 +2324 -5866 +631 -1370 +-1335 5985 +-547 1933 +1251 898 +2820 2599 +1170 0 +2820 -2599 +1251 -898 +-547 -1933 +-1335 -5985 +631 1370 +2324 5866 +-5732 -1115 +-1655 -828 +4809 -944 +-834 -5250 +-1294 -404 +-1723 5995 +-1469 2390 +-2095 -2317 +-3408 2013 +1170 3511 +3150 1904 +2991 2396 +-65 -1827 +68 2283 +611 5487 +-1328 -2329 +4975 -521 +1655 -828 +-4052 -839 +-161 5477 +53 284 +2990 4347 +2081 9476 +-2147 505 +-2562 1023 +-3511 0 +-2562 -1023 +-2147 -505 +2081 -9476 +2990 -4347 +53 -284 +-161 -5477 +-4052 839 +1655 828 +4975 521 +-1328 2329 +611 -5487 +68 -2283 +-65 1827 +2991 -2396 +3150 -1904 +1170 -3511 +-3408 -2013 +-2095 2317 +-1469 -2390 +-1723 -5995 +-1294 404 +-834 5250 +4809 944 +-1655 828 +-5732 1115 +2324 -5866 +631 -1370 +-1335 5985 +-547 1933 +1251 898 +2820 2599 +-3511 -3511 +1133 1949 +2045 -5679 +463 -3888 +3886 985 +37 -831 +-763 -4906 +370 -6696 +-1655 828 +-1043 4871 +1131 1663 +4138 137 +439 -3781 +-3889 -1621 +-1570 788 +644 -5458 +1170 0 +644 5458 +-1570 -788 +-3889 1621 +439 3781 +4138 -137 +1131 -1663 +-1043 -4871 +-1655 -828 +370 6696 +-763 4906 +37 831 +3886 -985 +463 3888 +2045 5679 +1133 -1949 +-3511 3511 +-2210 8349 +-3192 -2092 +-382 -1124 +-2231 1356 +-1677 -4432 +4968 718 +-54 3702 +1655 -828 +3261 -889 +1283 -2541 +3618 -1670 +-2094 1440 +-2309 -3539 +-3903 -5249 +-2100 130 +5851 0 +-2100 -130 +-3903 5249 +-2309 3539 +-2094 -1440 +3618 1670 +1283 2541 +3261 889 +1655 828 +-54 -3702 +4968 -718 +-1677 4432 +-2231 -1356 +-382 1124 +-3192 2092 +-2210 -8349 +-3511 -3511 +1133 1949 +2045 -5679 +463 -3888 +3886 985 +37 -831 +-763 -4906 +370 -6696 +-1655 828 +-1043 4871 +1131 1663 +4138 137 +439 -3781 +-3889 -1621 +-1570 788 +644 -5458 +1170 -1170 +5081 -3897 +4511 -1509 +6562 2808 +1804 1508 +-2369 -2073 +4380 -3796 +3372 865 +-1170 1998 +19 -3451 +376 1137 +-239 -539 +-359 -2005 +829 7166 +138 4299 +-1402 -903 +-1170 0 +-1402 903 +138 -4299 +829 -7166 +-359 2005 +-239 539 +376 -1137 +19 3451 +-1170 -1998 +3372 -865 +4380 3796 +-2369 2073 +1804 -1508 +6562 -2808 +4511 1509 +5081 3897 +1170 1170 +-1621 -4931 +-3244 -324 +-4242 3742 +537 -5504 +-1853 -167 +-1322 3585 +2477 -2044 +-1170 343 +1025 -3928 +-3434 -2718 +-7498 3995 +2699 2691 +2191 2338 +-1405 1859 +-2331 1857 +-5851 0 +-2331 -1857 +-1405 -1859 +2191 -2338 +2699 -2691 +-7498 -3995 +-3434 2718 +1025 3928 +-1170 -343 +2477 2044 +-1322 -3585 +-1853 167 +537 5504 +-4242 -3742 +-3244 324 +-1621 4931 +1170 -1170 +5081 -3897 +4511 -1509 +6562 2808 +1804 1508 +-2369 -2073 +4380 -3796 +3372 865 +-1170 1998 +19 -3451 +376 1137 +-239 -539 +-359 -2005 +829 7166 +138 4299 +-1402 -903 +1170 -3511 +-149 -1252 +-1814 1242 +-3008 -4624 +-4423 2400 +-3917 -492 +-350 -3134 +1459 1640 +-686 -828 +-1479 4684 +332 5777 +-640 2210 +-3139 -2019 +-900 -7027 +2810 1494 +1257 6010 +-1170 0 +1257 -6010 +2810 -1494 +-900 7027 +-3139 2019 +-640 -2210 +332 -5777 +-1479 -4684 +-686 828 +1459 -1640 +-350 3134 +-3917 492 +-4423 -2400 +-3008 4624 +-1814 -1242 +-149 1252 +1170 3511 +859 -999 +589 3188 +962 -2370 +427 -1029 +231 3175 +1407 -3591 +164 495 +-3996 828 +-4468 -2548 +-17 3481 +2534 473 +2453 -5973 +4737 33 +6406 193 +2357 -8261 +-1170 0 +2357 8261 +6406 -193 +4737 -33 +2453 5973 +2534 -473 +-17 -3481 +-4468 2548 +-3996 -828 +164 -495 +1407 3591 +231 -3175 +427 1029 +962 2370 +589 -3188 +859 999 +1170 -3511 +-149 -1252 +-1814 1242 +-3008 -4624 +-4423 2400 +-3917 -492 +-350 -3134 +1459 1640 +-686 -828 +-1479 4684 +332 5777 +-640 2210 +-3139 -2019 +-900 -7027 +2810 1494 +1257 6010 +2341 -3511 +4152 -620 +-247 -2002 +-5487 631 +-2208 6069 +2632 -2387 +-3481 -1089 +-2803 2781 +-485 -4823 +-1893 -1780 +-2455 -1121 +-2689 -4933 +1490 1828 +-1294 531 +-2443 -5033 +2114 -1010 +2341 0 +2114 1010 +-2443 5033 +-1294 -531 +1490 -1828 +-2689 4933 +-2455 1121 +-1893 1780 +-485 4823 +-2803 -2781 +-3481 1089 +2632 2387 +-2208 -6069 +-5487 -631 +-247 2002 +4152 620 +2341 3511 +-3696 -222 +1860 -2459 +4186 -2924 +-417 -418 +-686 2822 +-2288 211 +508 3911 +2825 142 +4189 -8589 +3544 -3067 +743 -1994 +5815 -859 +2594 3054 +-3851 -2738 +-2570 -3653 +-2341 0 +-2570 3653 +-3851 2738 +2594 -3054 +5815 859 +743 1994 +3544 3067 +4189 8589 +2825 -142 +508 -3911 +-2288 -211 +-686 -2822 +-417 418 +4186 2924 +1860 2459 +-3696 222 +2341 -3511 +4152 -620 +-247 -2002 +-5487 631 +-2208 6069 +2632 -2387 +-3481 -1089 +-2803 2781 +-485 -4823 +-1893 -1780 +-2455 -1121 +-2689 -4933 +1490 1828 +-1294 531 +-2443 -5033 +2114 -1010 +1170 1170 +-2577 -1030 +1558 292 +1554 2861 +-411 -1931 +1381 -2011 +3269 -3 +5518 -1368 +-201 -1312 +2783 3761 +6434 4925 +-4116 -1036 +-4988 2309 +-3179 4158 +-878 678 +5089 4980 +5851 0 +5089 -4980 +-878 -678 +-3179 -4158 +-4988 -2309 +-4116 1036 +6434 -4925 +2783 -3761 +-201 1312 +5518 1368 +3269 3 +1381 2011 +-411 1931 +1554 -2861 +1558 -292 +-2577 1030 +1170 -1170 +238 242 +-3795 -4110 +-355 -1321 +1380 4556 +-2502 -1801 +-676 -756 +743 4525 +-6821 3653 +-2423 1187 +2275 -2374 +-1382 1548 +-663 4996 +-4640 1708 +1175 -1186 +3870 -3977 +-3511 0 +3870 3977 +1175 1186 +-4640 -1708 +-663 -4996 +-1382 -1548 +2275 2374 +-2423 -1187 +-6821 -3653 +743 -4525 +-676 756 +-2502 1801 +1380 -4556 +-355 1321 +-3795 4110 +238 -242 +1170 1170 +-2577 -1030 +1558 292 +1554 2861 +-411 -1931 +1381 -2011 +3269 -3 +5518 -1368 +-201 -1312 +2783 3761 +6434 4925 +-4116 -1036 +-4988 2309 +-3179 4158 +-878 678 +5089 4980 +-2341 -1170 +2686 2471 +1851 -667 +8 -2647 +6226 752 +394 4601 +-5218 -2813 +95 -2503 +-1170 1513 +-5151 -3614 +-3431 -1189 +856 -4597 +2780 -2029 +1310 5082 +1940 -2043 +-638 -28 +-4681 0 +-638 28 +1940 2043 +1310 -5082 +2780 2029 +856 4597 +-3431 1189 +-5151 3614 +-1170 -1513 +95 2503 +-5218 2813 +394 -4601 +6226 -752 +8 2647 +1851 667 +2686 -2471 +-2341 1170 +3106 1204 +1967 -1124 +-4816 7956 +110 7239 +3982 1711 +4459 -1512 +3358 -4997 +-1170 3168 +-94 3329 +880 -3135 +-907 111 +246 658 +-828 -1208 +-2447 252 +-3362 1555 +-4681 0 +-3362 -1555 +-2447 -252 +-828 1208 +246 -658 +-907 -111 +880 3135 +-94 -3329 +-1170 -3168 +3358 4997 +4459 1512 +3982 -1711 +110 -7239 +-4816 -7956 +1967 1124 +3106 -1204 +-2341 -1170 +2686 2471 +1851 -667 +8 -2647 +6226 752 +394 4601 +-5218 -2813 +95 -2503 +-1170 1513 +-5151 -3614 +-3431 -1189 +856 -4597 +2780 -2029 +1310 5082 +1940 -2043 +-638 -28 +-2341 0 +865 -1290 +-5414 -6736 +-6429 1544 +-4540 4435 +-1504 -2497 +4210 1625 +-1800 -432 +3168 -2140 +9145 2725 +-743 -157 +162 1157 +2462 1545 +272 -159 +1995 -1731 +3013 -8656 +3511 0 +3013 8656 +1995 1731 +272 159 +2462 -1545 +162 -1157 +-743 157 +9145 -2725 +3168 2140 +-1800 432 +4210 -1625 +-1504 2497 +-4540 -4435 +-6429 -1544 +-5414 6736 +865 1290 +-2341 0 +-2565 -256 +1967 -4135 +2471 -3036 +-1111 1901 +-1362 3722 +1770 -2966 +-381 -2461 +1513 4480 +671 2373 +-1927 756 +1185 -1304 +-1492 -4571 +-1415 39 +-1859 2161 +-2327 -882 +1170 0 +-2327 882 +-1859 -2161 +-1415 -39 +-1492 4571 +1185 1304 +-1927 -756 +671 -2373 +1513 -4480 +-381 2461 +1770 2966 +-1362 -3722 +-1111 -1901 +2471 3036 +1967 4135 +-2565 256 +-2341 0 +865 -1290 +-5414 -6736 +-6429 1544 +-4540 4435 +-1504 -2497 +4210 1625 +-1800 -432 +3168 -2140 +9145 2725 +-743 -157 +162 1157 +2462 1545 +272 -159 +1995 -1731 +3013 -8656 +-4681 0 +-3 -3657 +1327 741 +292 4807 +-2005 4354 +-1310 553 +-2862 -1956 +1409 2164 +3653 -686 +-423 -4415 +1486 3738 +2788 4373 +5504 -149 +6085 4264 +-1004 1298 +-3029 -6572 +-1170 0 +-3029 6572 +-1004 -1298 +6085 -4264 +5504 149 +2788 -4373 +1486 -3738 +-423 4415 +3653 686 +1409 -2164 +-2862 1956 +-1310 -553 +-2005 -4354 +292 -4807 +1327 -741 +-3 3657 +-4681 0 +-5813 -661 +2627 1736 +2092 69 +2691 1296 +2505 1264 +-567 2285 +5537 3500 +-1312 -3996 +-3716 -2237 +1943 4582 +-3206 607 +-1508 1118 +-2625 1032 +-2950 -192 +-582 5920 +-3511 0 +-582 -5920 +-2950 192 +-2625 -1032 +-1508 -1118 +-3206 -607 +1943 -4582 +-3716 2237 +-1312 3996 +5537 -3500 +-567 -2285 +2505 -1264 +2691 -1296 +2092 -69 +2627 -1736 +-5813 661 +-4681 0 +-3 -3657 +1327 741 +292 4807 +-2005 4354 +-1310 553 +-2862 -1956 +1409 2164 +3653 -686 +-423 -4415 +1486 3738 +2788 4373 +5504 -149 +6085 4264 +-1004 1298 +-3029 -6572 +-2341 -2341 +692 2614 +-207 3297 +-390 -4360 +1492 -953 +1245 4344 +-1927 2578 +1749 3027 +3168 1170 +-3262 -1461 +-4745 709 +1426 -861 +4540 -3116 +-2302 -45 +-2452 -304 +1952 -3059 +1170 0 +1952 3059 +-2452 304 +-2302 45 +4540 3116 +1426 861 +-4745 -709 +-3262 1461 +3168 -1170 +1749 -3027 +-1927 -2578 +1245 -4344 +1492 953 +-390 4360 +-207 -3297 +692 -2614 +-2341 2341 +-379 -12 +3846 3442 +-4170 4326 +-2462 -4012 +2199 -5023 +-277 -3222 +3266 -2265 +1513 1170 +3075 -3893 +-1042 -7973 +-7165 -2352 +1111 -1849 +-4084 -2522 +-2559 423 +6146 -456 +3511 0 +6146 456 +-2559 -423 +-4084 2522 +1111 1849 +-7165 2352 +-1042 7973 +3075 3893 +1513 -1170 +3266 2265 +-277 3222 +2199 5023 +-2462 4012 +-4170 -4326 +3846 -3442 +-379 12 +-2341 -2341 +692 2614 +-207 3297 +-390 -4360 +1492 -953 +1245 4344 +-1927 2578 +1749 3027 +3168 1170 +-3262 -1461 +-4745 709 +1426 -861 +4540 -3116 +-2302 -45 +-2452 -304 +1952 -3059 +-3511 -1170 +4275 -2189 +3221 -934 +1314 -431 +553 -574 +-897 4527 +-1452 -1534 +222 -4402 +1655 2483 +-3119 541 +-2042 3425 +1760 3326 +165 -1207 +2369 73 +1680 -409 +4431 2281 +10533 0 +4431 -2281 +1680 409 +2369 -73 +165 1207 +1760 -3326 +-2042 -3425 +-3119 -541 +1655 -2483 +222 4402 +-1452 1534 +-897 -4527 +553 574 +1314 431 +3221 934 +4275 2189 +-3511 1170 +-4242 2146 +-671 2008 +-4863 -1424 +-1238 -2736 +1288 3017 +-2365 2251 +-2181 -3011 +-1655 -2483 +4063 2067 +2549 1973 +-7252 -7356 +-4160 -2103 +-338 2481 +-921 -3199 +3171 4955 +5851 0 +3171 -4955 +-921 3199 +-338 -2481 +-4160 2103 +-7252 7356 +2549 -1973 +4063 -2067 +-1655 2483 +-2181 3011 +-2365 -2251 +1288 -3017 +-1238 2736 +-4863 1424 +-671 -2008 +-4242 -2146 +-3511 -1170 +4275 -2189 +3221 -934 +1314 -431 +553 -574 +-897 4527 +-1452 -1534 +222 -4402 +1655 2483 +-3119 541 +-2042 3425 +1760 3326 +165 -1207 +2369 73 +1680 -409 +4431 2281 +-2341 1170 +2154 -4168 +3240 -2049 +-389 2170 +-233 5338 +-911 -1382 +-255 -1848 +-3859 2460 +-2341 1998 +3050 4337 +3191 358 +1239 -1172 +-1751 5229 +-1454 384 +-5477 -2169 +-1902 4661 +7022 0 +-1902 -4661 +-5477 2169 +-1454 -384 +-1751 -5229 +1239 1172 +3191 -358 +3050 -4337 +-2341 -1998 +-3859 -2460 +-255 1848 +-911 1382 +-233 -5338 +-389 -2170 +3240 2049 +2154 4168 +-2341 -1170 +4997 -6448 +4531 3493 +2672 2253 +5883 -3683 +325 1187 +-3933 -2655 +-4398 -2332 +-2341 343 +-2428 -3551 +-2313 -180 +865 -1235 +782 -3574 +4272 -915 +1016 -1067 +-4235 1364 +-2341 0 +-4235 -1364 +1016 1067 +4272 915 +782 3574 +865 1235 +-2313 180 +-2428 3551 +-2341 -343 +-4398 2332 +-3933 2655 +325 -1187 +5883 3683 +2672 -2253 +4531 -3493 +4997 6448 +-2341 1170 +2154 -4168 +3240 -2049 +-389 2170 +-233 5338 +-911 -1382 +-255 -1848 +-3859 2460 +-2341 1998 +3050 4337 +3191 358 +1239 -1172 +-1751 5229 +-1454 384 +-5477 -2169 +-1902 4661 +-2341 -4681 +1729 -2892 +3443 3120 +-3915 -3425 +9 0 +842 -869 +-1523 -3774 +988 2900 +343 969 +2949 -2236 +388 449 +-1293 4346 +3312 0 +-1540 -4251 +127 3830 +2952 5389 +-1170 0 +2952 -5389 +127 -3830 +-1540 4251 +3312 0 +-1293 -4346 +388 -449 +2949 2236 +343 -969 +988 -2900 +-1523 3774 +842 869 +9 0 +-3915 3425 +3443 -3120 +1729 2892 +-2341 4681 +3283 -5403 +1641 -3372 +-455 -656 +1646 0 +3553 2697 +3821 1731 +888 -4729 +1998 -5651 +4 1842 +-5997 4127 +-5396 4697 +-4967 0 +-5035 -1978 +-1901 2538 +447 -2888 +1170 0 +447 2888 +-1901 -2538 +-5035 1978 +-4967 0 +-5396 -4697 +-5997 -4127 +4 -1842 +1998 5651 +888 4729 +3821 -1731 +3553 -2697 +1646 0 +-455 656 +1641 3372 +3283 5403 +-2341 -4681 +1729 -2892 +3443 3120 +-3915 -3425 +9 0 +842 -869 +-1523 -3774 +988 2900 +343 969 +2949 -2236 +388 449 +-1293 4346 +3312 0 +-1540 -4251 +127 3830 +2952 5389 +-2341 1170 +-3785 -5440 +-466 2138 +-1990 72 +-485 295 +2147 1942 +-2285 -2851 +-3409 2217 +-2341 1998 +-5240 -589 +-4373 2584 +-236 2653 +2825 2364 +1246 -363 +-4227 -1516 +180 1306 +7022 0 +180 -1306 +-4227 1516 +1246 363 +2825 -2364 +-236 -2653 +-4373 -2584 +-5240 589 +-2341 -1998 +-3409 -2217 +-2285 2851 +2147 -1942 +-485 -295 +-1990 -72 +-466 -2138 +-3785 5440 +-2341 -1170 +4292 -4592 +6446 -2211 +4540 -3590 +-485 6041 +-1388 2996 +2422 -637 +-408 5366 +-2341 343 +1422 180 +927 5229 +995 3657 +2825 -5390 +1305 -4527 +1557 3381 +327 -3347 +-2341 0 +327 3347 +1557 -3381 +1305 4527 +2825 5390 +995 -3657 +927 -5229 +1422 -180 +-2341 -343 +-408 -5366 +2422 637 +-1388 -2996 +-485 -6041 +4540 3590 +6446 2211 +4292 4592 +-2341 1170 +-3785 -5440 +-466 2138 +-1990 72 +-485 295 +2147 1942 +-2285 -2851 +-3409 2217 +-2341 1998 +-5240 -589 +-4373 2584 +-236 2653 +2825 2364 +1246 -363 +-4227 -1516 +180 1306 +1170 -9362 +-2953 -4602 +-1657 -2279 +4896 1816 +-791 4713 +-2635 475 +1569 1469 +2075 4279 +-142 2341 +-3502 -613 +-237 2725 +2135 3836 +-3079 388 +-2201 -212 +921 -288 +-2228 966 +-4681 0 +-2228 -966 +921 288 +-2201 212 +-3079 -388 +2135 -3836 +-237 -2725 +-3502 613 +-142 -2341 +2075 -4279 +1569 -1469 +-2635 -475 +-791 -4713 +4896 -1816 +-1657 2279 +-2953 4602 +1170 9362 +-6359 -1878 +-3952 -4386 +4241 3955 +105 -1403 +753 2238 +3515 2663 +1873 -778 +4823 2341 +4150 -3877 +-1537 -3274 +-3802 248 +-917 2922 +3233 4612 +1378 -1696 +322 545 +2341 0 +322 -545 +1378 1696 +3233 -4612 +-917 -2922 +-3802 -248 +-1537 3274 +4150 3877 +4823 -2341 +1873 778 +3515 -2663 +753 -2238 +105 1403 +4241 -3955 +-3952 4386 +-6359 1878 +1170 -9362 +-2953 -4602 +-1657 -2279 +4896 1816 +-791 4713 +-2635 475 +1569 1469 +2075 4279 +-142 2341 +-3502 -613 +-237 2725 +2135 3836 +-3079 388 +-2201 -212 +921 -288 +-2228 966 +4681 -1170 +3142 -2896 +-3781 -1997 +1069 -1390 +3430 2788 +-5179 2922 +-2360 2547 +571 5146 +-2825 -1513 +-1480 -1880 +-4571 2077 +-1951 -1693 +5205 -3422 +-1080 -2712 +-4020 -2732 +-1397 -2737 +-2341 0 +-1397 2737 +-4020 2732 +-1080 2712 +5205 3422 +-1951 1693 +-4571 -2077 +-1480 1880 +-2825 1513 +571 -5146 +-2360 -2547 +-5179 -2922 +3430 -2788 +1069 1390 +-3781 1997 +3142 2896 +4681 1170 +757 1615 +-1111 -1610 +390 -3288 +2906 1893 +1173 -117 +3837 2852 +6678 -22 +485 -3168 +-667 6227 +1724 -1359 +-1677 -4928 +-2178 -1259 +636 -4772 +920 3806 +-983 7299 +-2341 0 +-983 -7299 +920 -3806 +636 4772 +-2178 1259 +-1677 4928 +1724 1359 +-667 -6227 +485 3168 +6678 22 +3837 -2852 +1173 117 +2906 -1893 +390 3288 +-1111 1610 +757 -1615 +4681 -1170 +3142 -2896 +-3781 -1997 +1069 -1390 +3430 2788 +-5179 2922 +-2360 2547 +571 5146 +-2825 -1513 +-1480 -1880 +-4571 2077 +-1951 -1693 +5205 -3422 +-1080 -2712 +-4020 -2732 +-1397 -2737 +-3511 2341 +-962 -759 +-289 621 +3377 2028 +4055 -649 +128 887 +3926 3713 +-1592 2020 +-1028 -3795 +7267 856 +1648 8152 +-250 -586 +364 -4064 +-2707 -1536 +-1936 -724 +1895 5760 +4681 0 +1895 -5760 +-1936 724 +-2707 1536 +364 4064 +-250 586 +1648 -8152 +7267 -856 +-1028 3795 +-1592 -2020 +3926 -3713 +128 -887 +4055 649 +3377 -2028 +-289 -621 +-962 759 +-3511 -2341 +-131 -1396 +-100 -992 +1620 430 +626 3675 +-3553 2621 +787 1508 +-5001 2839 +-5993 6135 +1117 1855 +-3051 -2932 +-650 2658 +4317 -2272 +2035 -6804 +-985 353 +-2592 -701 +-2341 0 +-2592 701 +-985 -353 +2035 6804 +4317 2272 +-650 -2658 +-3051 2932 +1117 -1855 +-5993 -6135 +-5001 -2839 +787 -1508 +-3553 -2621 +626 -3675 +1620 -430 +-100 992 +-131 1396 +-3511 2341 +-962 -759 +-289 621 +3377 2028 +4055 -649 +128 887 +3926 3713 +-1592 2020 +-1028 -3795 +7267 856 +1648 8152 +-250 -586 +364 -4064 +-2707 -1536 +-1936 -724 +1895 5760 +-3511 -2341 +-4718 -1226 +2018 2016 +4385 -120 +-327 -1625 +-3532 -565 +-1557 1643 +-1245 4324 +-3168 2341 +7224 -1651 +987 -905 +-6830 -1005 +7433 -3595 +1227 -1760 +-2797 221 +-1508 -1203 +-9362 0 +-1508 1203 +-2797 -221 +1227 1760 +7433 3595 +-6830 1005 +987 905 +7224 1651 +-3168 -2341 +-1245 -4324 +-1557 -1643 +-3532 565 +-327 1625 +4385 120 +2018 -2016 +-4718 1226 +-3511 2341 +-3459 -3815 +-1122 -3419 +1741 4273 +44 3966 +1235 -1370 +-606 -1254 +-2308 1315 +-1513 2341 +-88 818 +1176 -2016 +477 -574 +2213 1254 +1297 -3093 +1901 -4934 +6103 -948 +7022 0 +6103 948 +1901 4934 +1297 3093 +2213 -1254 +477 574 +1176 2016 +-88 -818 +-1513 -2341 +-2308 -1315 +-606 1254 +1235 1370 +44 -3966 +1741 -4273 +-1122 3419 +-3459 3815 +-3511 -2341 +-4718 -1226 +2018 2016 +4385 -120 +-327 -1625 +-3532 -565 +-1557 1643 +-1245 4324 +-3168 2341 +7224 -1651 +987 -905 +-6830 -1005 +7433 -3595 +1227 -1760 +-2797 221 +-1508 -1203 +0 -1170 +4657 5616 +1455 7469 +-2942 -5069 +-1461 -311 +666 7719 +2154 -2311 +-3731 438 +-2825 3168 +1124 1115 +205 7361 +2569 -731 +2357 -4899 +3114 1980 +-111 -117 +-4040 486 +-2341 0 +-4040 -486 +-111 117 +3114 -1980 +2357 4899 +2569 731 +205 -7361 +1124 -1115 +-2825 -3168 +-3731 -438 +2154 2311 +666 -7719 +-1461 311 +-2942 5069 +1455 -7469 +4657 -5616 +0 1170 +588 744 +-948 18 +-1434 -976 +-194 -2999 +-1149 -5191 +397 -3146 +-2061 327 +485 1513 +2877 1798 +554 3164 +2238 4695 +-702 1589 +-3063 2773 +-3707 4862 +587 -1341 +7022 0 +587 1341 +-3707 -4862 +-3063 -2773 +-702 -1589 +2238 -4695 +554 -3164 +2877 -1798 +485 -1513 +-2061 -327 +397 3146 +-1149 5191 +-194 2999 +-1434 976 +-948 -18 +588 -744 +0 -1170 +4657 5616 +1455 7469 +-2942 -5069 +-1461 -311 +666 7719 +2154 -2311 +-3731 438 +-2825 3168 +1124 1115 +205 7361 +2569 -731 +2357 -4899 +3114 1980 +-111 -117 +-4040 486 +0 2341 +2133 1143 +-242 1297 +-4908 5559 +917 1118 +4325 110 +-2439 -1177 +-740 1109 +1312 2341 +-5701 -464 +-4022 4366 +2034 1635 +791 4354 +8 3873 +1059 -3383 +2282 8053 +3511 0 +2282 -8053 +1059 3383 +8 -3873 +791 -4354 +2034 -1635 +-4022 -4366 +-5701 464 +1312 -2341 +-740 -1109 +-2439 1177 +4325 -110 +917 -1118 +-4908 -5559 +-242 -1297 +2133 -1143 +0 -2341 +-1540 3211 +-1921 -611 +938 -2177 +3079 -149 +3601 -3389 +1544 -2818 +-5002 2538 +-3653 2341 +4550 -2089 +4918 -370 +2000 781 +-105 1296 +-1378 2462 +1103 2698 +-2602 6084 +-8192 0 +-2602 -6084 +1103 -2698 +-1378 -2462 +-105 -1296 +2000 -781 +4918 370 +4550 2089 +-3653 -2341 +-5002 -2538 +1544 2818 +3601 3389 +3079 149 +938 2177 +-1921 611 +-1540 -3211 +0 2341 +2133 1143 +-242 1297 +-4908 5559 +917 1118 +4325 110 +-2439 -1177 +-740 1109 +1312 2341 +-5701 -464 +-4022 4366 +2034 1635 +791 4354 +8 3873 +1059 -3383 +2282 8053 +1170 2341 +-3813 -133 +-7224 -2823 +2814 5334 +170 4018 +-5612 -1273 +1934 -3290 +-282 -2104 +-828 3026 +2463 1490 +-3306 -1573 +-2125 -5850 +-76 -4270 +-2335 1546 +2125 -584 +1867 412 +-2341 0 +1867 -412 +2125 584 +-2335 -1546 +-76 4270 +-2125 5850 +-3306 1573 +2463 -1490 +-828 -3026 +-282 2104 +1934 3290 +-5612 1273 +170 -4018 +2814 -5334 +-7224 2823 +-3813 133 +1170 -2341 +1416 -975 +-337 -4231 +-2819 -2424 +2857 -307 +254 1494 +-3904 2709 +1830 6412 +828 6336 +-701 -2284 +595 -380 +4173 -1563 +6412 -6062 +-970 349 +755 1522 +3841 -2 +0 0 +3841 2 +755 -1522 +-970 -349 +6412 6062 +4173 1563 +595 380 +-701 2284 +828 -6336 +1830 -6412 +-3904 -2709 +254 -1494 +2857 307 +-2819 2424 +-337 4231 +1416 975 +1170 2341 +-3813 -133 +-7224 -2823 +2814 5334 +170 4018 +-5612 -1273 +1934 -3290 +-282 -2104 +-828 3026 +2463 1490 +-3306 -1573 +-2125 -5850 +-76 -4270 +-2335 1546 +2125 -584 +1867 412 +1170 0 +2467 1577 +1317 -1039 +548 -783 +1109 -1200 +4259 -1700 +1366 -333 +-1046 -1317 +142 686 +-3002 -1734 +-3896 -4696 +-5947 401 +-7666 3110 +1846 -2419 +6248 -3752 +-751 2956 +-4681 0 +-751 -2956 +6248 3752 +1846 2419 +-7666 -3110 +-5947 -401 +-3896 4696 +-3002 1734 +142 -686 +-1046 1317 +1366 333 +4259 1700 +1109 1200 +548 783 +1317 1039 +2467 -1577 +1170 0 +-3804 -384 +-1275 2557 +519 -624 +-1795 -6791 +2854 3807 +2749 -682 +-3476 -4681 +-4823 3996 +-3421 -4265 +1152 -2939 +3663 1706 +3671 -1739 +5500 1011 +1701 -1349 +-208 -1762 +2341 0 +-208 1762 +1701 1349 +5500 -1011 +3671 1739 +3663 -1706 +1152 2939 +-3421 4265 +-4823 -3996 +-3476 4681 +2749 682 +2854 -3807 +-1795 6791 +519 624 +-1275 -2557 +-3804 384 +1170 0 +2467 1577 +1317 -1039 +548 -783 +1109 -1200 +4259 -1700 +1366 -333 +-1046 -1317 +142 686 +-3002 -1734 +-3896 -4696 +-5947 401 +-7666 3110 +1846 -2419 +6248 -3752 +-751 2956 +0 1170 +2181 -289 +-3390 2154 +-2887 3745 +1319 2953 +-2992 -644 +2230 3690 +2384 3185 +-1371 -2967 +1791 -1012 +3166 659 +5425 889 +2467 -2183 +-1694 -5339 +-1468 176 +303 3134 +2341 0 +303 -3134 +-1468 -176 +-1694 5339 +2467 2183 +5425 -889 +3166 -659 +1791 1012 +-1371 2967 +2384 -3185 +2230 -3690 +-2992 644 +1319 -2953 +-2887 -3745 +-3390 -2154 +2181 289 +0 -1170 +2555 -4941 +1346 3455 +979 3548 +52 -2267 +-3089 3408 +4138 1394 +-743 1503 +-7991 5308 +-3432 -772 +-2914 1115 +656 2230 +5525 -1812 +3602 3625 +-3109 2122 +-5039 -5474 +-2341 0 +-5039 5474 +-3109 -2122 +3602 -3625 +5525 1812 +656 -2230 +-2914 -1115 +-3432 772 +-7991 -5308 +-743 -1503 +4138 -1394 +-3089 -3408 +52 2267 +979 -3548 +1346 -3455 +2555 4941 +0 1170 +2181 -289 +-3390 2154 +-2887 3745 +1319 2953 +-2992 -644 +2230 3690 +2384 3185 +-1371 -2967 +1791 -1012 +3166 659 +5425 889 +2467 -2183 +-1694 -5339 +-1468 176 +303 3134 +-4681 1170 +-6515 3455 +2227 -1773 +4613 2242 +-4795 1699 +-3656 940 +-2586 3236 +-1988 1712 +3996 1998 +-135 1535 +519 618 +-312 -2019 +-7076 -558 +824 -922 +2415 -4639 +3943 1711 +11703 0 +3943 -1711 +2415 4639 +824 922 +-7076 558 +-312 2019 +519 -618 +-135 -1535 +3996 -1998 +-1988 -1712 +-2586 -3236 +-3656 -940 +-4795 -1699 +4613 -2242 +2227 1773 +-6515 -3455 +-4681 -1170 +2024 1549 +4827 -4714 +-2101 -518 +-5537 1328 +-263 -1969 +2005 -549 +481 2646 +686 343 +3434 -3293 +1433 2069 +-94 -1543 +3365 -5778 +989 112 +-1477 -1849 +-1243 -2823 +-2341 0 +-1243 2823 +-1477 1849 +989 -112 +3365 5778 +-94 1543 +1433 -2069 +3434 3293 +686 -343 +481 -2646 +2005 549 +-263 1969 +-5537 -1328 +-2101 518 +4827 4714 +2024 -1549 +-4681 1170 +-6515 3455 +2227 -1773 +4613 2242 +-4795 1699 +-3656 940 +-2586 3236 +-1988 1712 +3996 1998 +-135 1535 +519 618 +-312 -2019 +-7076 -558 +824 -922 +2415 -4639 +3943 1711 +1170 -3511 +-1953 -4689 +5230 -3103 +1681 -2942 +1529 -380 +842 607 +-7187 -874 +-2761 2073 +-1170 4622 +1466 1834 +2281 171 +-2218 -395 +633 -1909 +-4050 863 +-905 5166 +-932 4186 +-10533 0 +-932 -4186 +-905 -5166 +-4050 -863 +633 1909 +-2218 395 +2281 -171 +1466 -1834 +-1170 -4622 +-2761 -2073 +-7187 874 +842 -607 +1529 380 +1681 2942 +5230 3103 +-1953 4689 +1170 3511 +4655 -2320 +557 -1652 +-261 1966 +-1529 -1275 +1999 -1519 +3548 2067 +-2211 -3020 +-1170 -6963 +5025 26 +2729 2961 +392 -1293 +-633 254 +-5004 4005 +3110 1381 +3332 -1768 +-5851 0 +3332 1768 +3110 -1381 +-5004 -4005 +-633 -254 +392 1293 +2729 -2961 +5025 -26 +-1170 6963 +-2211 3020 +3548 -2067 +1999 1519 +-1529 1275 +-261 -1966 +557 1652 +4655 2320 +1170 -3511 +-1953 -4689 +5230 -3103 +1681 -2942 +1529 -380 +842 607 +-7187 -874 +-2761 2073 +-1170 4622 +1466 1834 +2281 171 +-2218 -395 +633 -1909 +-4050 863 +-905 5166 +-932 4186 +3511 0 +114 -626 +4686 -567 +1428 -366 +-4540 -417 +809 99 +-400 -243 +781 1692 +343 1170 +-358 -5223 +1378 -3136 +-159 821 +2462 -5815 +-782 -5312 +-1471 657 +-1822 -1329 +-7022 0 +-1822 1329 +-1471 -657 +-782 5312 +2462 5815 +-159 -821 +1378 3136 +-358 5223 +343 -1170 +781 -1692 +-400 243 +809 -99 +-4540 417 +1428 366 +4686 567 +114 626 +3511 0 +-3176 201 +-362 777 +6226 1529 +-1111 -2208 +2039 -4466 +2192 6401 +-329 11227 +1998 1170 +-3403 -290 +-3169 1303 +620 -4559 +-1492 -1490 +-3561 4363 +-2854 924 +1575 -1546 +4681 0 +1575 1546 +-2854 -924 +-3561 -4363 +-1492 1490 +620 4559 +-3169 -1303 +-3403 290 +1998 -1170 +-329 -11227 +2192 -6401 +2039 4466 +-1111 2208 +6226 -1529 +-362 -777 +-3176 -201 +3511 0 +114 -626 +4686 -567 +1428 -366 +-4540 -417 +809 99 +-400 -243 +781 1692 +343 1170 +-358 -5223 +1378 -3136 +-159 821 +2462 -5815 +-782 -5312 +-1471 657 +-1822 -1329 +1170 3511 +2836 -2924 +2661 -3044 +-2495 3867 +1872 2788 +1998 2525 +2440 2306 +10455 -1280 +-686 1797 +-3076 2811 +2214 -4012 +-2183 -6500 +2631 -3422 +-1837 1196 +-3263 3565 +1666 1305 +-1170 0 +1666 -1305 +-3263 -3565 +-1837 -1196 +2631 3422 +-2183 6500 +2214 4012 +-3076 -2811 +-686 -1797 +10455 1280 +2440 -2306 +1998 -2525 +1872 -2788 +-2495 -3867 +2661 3044 +2836 2924 +1170 -3511 +417 1347 +904 3761 +2114 -562 +-1186 1893 +-3252 3685 +-1156 1302 +41 -2161 +-3996 -6478 +-2319 -2348 +-188 2938 +-4197 -1397 +1364 -1259 +3233 3984 +-3613 1833 +-3401 -1720 +-1170 0 +-3401 1720 +-3613 -1833 +3233 -3984 +1364 1259 +-4197 1397 +-188 -2938 +-2319 2348 +-3996 6478 +41 2161 +-1156 -1302 +-3252 -3685 +-1186 -1893 +2114 562 +904 -3761 +417 -1347 +1170 3511 +2836 -2924 +2661 -3044 +-2495 3867 +1872 2788 +1998 2525 +2440 2306 +10455 -1280 +-686 1797 +-3076 2811 +2214 -4012 +-2183 -6500 +2631 -3422 +-1837 1196 +-3263 3565 +1666 1305 +0 2341 +-2335 2073 +1192 -1066 +-2148 1056 +1618 1216 +1255 425 +-2847 -1687 +2348 -1813 +-1513 5166 +3578 5869 +6125 -1578 +-3283 -3621 +2251 -576 +-83 2463 +-1471 709 +3570 -3559 +1170 0 +3570 3559 +-1471 -709 +-83 -2463 +2251 576 +-3283 3621 +6125 1578 +3578 -5869 +-1513 -5166 +2348 1813 +-2847 1687 +1255 -425 +1618 -1216 +-2148 -1056 +1192 1066 +-2335 -2073 +0 -2341 +4885 3646 +736 -799 +-1669 -3499 +722 3749 +-748 -851 +-4147 -6126 +-1589 1379 +-3168 1856 +-2819 -844 +4179 5066 +3791 7940 +89 5541 +-3735 2581 +-3767 -635 +-1019 -1246 +-1170 0 +-1019 1246 +-3767 635 +-3735 -2581 +89 -5541 +3791 -7940 +4179 -5066 +-2819 844 +-3168 -1856 +-1589 -1379 +-4147 6126 +-748 851 +722 -3749 +-1669 3499 +736 799 +4885 -3646 +0 2341 +-2335 2073 +1192 -1066 +-2148 1056 +1618 1216 +1255 425 +-2847 -1687 +2348 -1813 +-1513 5166 +3578 5869 +6125 -1578 +-3283 -3621 +2251 -576 +-83 2463 +-1471 709 +3570 -3559 +2341 -1170 +5775 3467 +2159 -13 +4264 3394 +3847 8151 +-1076 -1710 +1176 -6852 +-1309 -1439 +-1655 -343 +-3225 -2934 +-1716 -1127 +3162 2112 +-611 1030 +2539 229 +-491 -1904 +-1074 -5628 +7022 0 +-1074 5628 +-491 1904 +2539 -229 +-611 -1030 +3162 -2112 +-1716 1127 +-3225 2934 +-1655 343 +-1309 1439 +1176 6852 +-1076 1710 +3847 -8151 +4264 -3394 +2159 13 +5775 -3467 +2341 1170 +1473 1227 +-2844 -882 +-2874 1909 +5114 -3186 +-2305 -1940 +-5172 4689 +2544 -336 +1655 -1998 +-2336 -870 +-2280 -1036 +-1573 4441 +-3669 3935 +-2137 2038 +-195 1008 +-1849 -4948 +-2341 0 +-1849 4948 +-195 -1008 +-2137 -2038 +-3669 -3935 +-1573 -4441 +-2280 1036 +-2336 870 +1655 1998 +2544 336 +-5172 -4689 +-2305 1940 +5114 3186 +-2874 -1909 +-2844 882 +1473 -1227 +2341 -1170 +5775 3467 +2159 -13 +4264 3394 +3847 8151 +-1076 -1710 +1176 -6852 +-1309 -1439 +-1655 -343 +-3225 -2934 +-1716 -1127 +3162 2112 +-611 1030 +2539 229 +-491 -1904 +-1074 -5628 +3511 -2341 +6567 -697 +4940 1083 +175 -1964 +574 702 +2386 83 +-1550 -1878 +-3550 2363 +-343 6821 +-5969 784 +-2164 -5721 +6171 -1015 +-1207 -1461 +-905 -1350 +-3221 3132 +-6802 -1444 +-2341 0 +-6802 1444 +-3221 -3132 +-905 1350 +-1207 1461 +6171 1015 +-2164 5721 +-5969 -784 +-343 -6821 +-3550 -2363 +-1550 1878 +2386 -83 +574 -702 +175 1964 +4940 -1083 +6567 697 +3511 2341 +-192 -3939 +-3285 2346 +2039 6251 +2736 -2357 +-884 -3724 +-105 -2076 +-3563 434 +-1998 201 +-1446 -2668 +509 1767 +5805 2055 +-2103 -194 +-1547 956 +4876 297 +1715 1489 +0 0 +1715 -1489 +4876 -297 +-1547 -956 +-2103 194 +5805 -2055 +509 -1767 +-1446 2668 +-1998 -201 +-3563 -434 +-105 2076 +-884 3724 +2736 2357 +2039 -6251 +-3285 -2346 +-192 3939 +3511 -2341 +6567 -697 +4940 1083 +175 -1964 +574 702 +2386 83 +-1550 -1878 +-3550 2363 +-343 6821 +-5969 784 +-2164 -5721 +6171 -1015 +-1207 -1461 +-905 -1350 +-3221 3132 +-6802 -1444 +0 1170 +4966 3697 +-2390 -1093 +-4037 -679 +633 -3131 +1570 -2780 +1488 1229 +-1739 -2269 +-2341 -5308 +-1885 -3125 +-2345 -457 +-472 562 +-1529 5420 +-1392 -1695 +843 -9653 +-684 2920 +-2341 0 +-684 -2920 +843 9653 +-1392 1695 +-1529 -5420 +-472 -562 +-2345 457 +-1885 3125 +-2341 5308 +-1739 2269 +1488 -1229 +1570 2780 +633 3131 +-4037 679 +-2390 1093 +4966 -3697 +0 -1170 +-908 202 +3464 -3190 +625 -779 +-633 -2235 +-3574 -1227 +-2206 -3552 +-202 -4980 +-2341 2967 +7136 978 +7744 -495 +-833 3067 +1529 3257 +1494 1251 +2764 -2621 +-64 -540 +-7022 0 +-64 540 +2764 2621 +1494 -1251 +1529 -3257 +-833 -3067 +7744 495 +7136 -978 +-2341 -2967 +-202 4980 +-2206 3552 +-3574 1227 +-633 2235 +625 779 +3464 3190 +-908 -202 +0 1170 +4966 3697 +-2390 -1093 +-4037 -679 +633 -3131 +1570 -2780 +1488 1229 +-1739 -2269 +-2341 -5308 +-1885 -3125 +-2345 -457 +-472 562 +-1529 5420 +-1392 -1695 +843 -9653 +-684 2920 +0 3511 +-5089 109 +-1596 -1560 +1701 -2849 +-1186 1440 +-941 8922 +-980 -1460 +-1757 -3470 +1170 828 +2841 -4402 +536 2522 +-1462 1976 +1364 985 +4189 3436 +848 -4281 +-1146 404 +0 0 +-1146 -404 +848 4281 +4189 -3436 +1364 -985 +-1462 -1976 +536 -2522 +2841 4402 +1170 -828 +-1757 3470 +-980 1460 +-941 -8922 +-1186 -1440 +1701 2849 +-1596 1560 +-5089 -109 +0 -3511 +-1332 -4961 +-4698 -4420 +829 -785 +1872 -3781 +1047 -5022 +2069 1597 +-2146 2638 +1170 -828 +4644 -1259 +-6306 925 +-7294 -372 +2631 1356 +1930 3876 +766 1611 +3983 3156 +4681 0 +3983 -3156 +766 -1611 +1930 -3876 +2631 -1356 +-7294 372 +-6306 -925 +4644 1259 +1170 828 +-2146 -2638 +2069 -1597 +1047 5022 +1872 3781 +829 785 +-4698 4420 +-1332 4961 +0 3511 +-5089 109 +-1596 -1560 +1701 -2849 +-1186 1440 +-941 8922 +-980 -1460 +-1757 -3470 +1170 828 +2841 -4402 +536 2522 +-1462 1976 +1364 985 +4189 3436 +848 -4281 +-1146 404 +0 -2341 +664 -3026 +-3064 3667 +510 5580 +1783 -1477 +-1408 1660 +3173 5338 +1176 -164 +5308 1655 +4062 5412 +-3859 1097 +2594 -2883 +1013 -4891 +-1453 -3389 +1960 2178 +-116 3568 +-1170 0 +-116 -3568 +1960 -2178 +-1453 3389 +1013 4891 +2594 2883 +-3859 -1097 +4062 -5412 +5308 -1655 +1176 164 +3173 -5338 +-1408 -1660 +1783 1477 +510 -5580 +-3064 -3667 +664 3026 +0 2341 +6134 1821 +3823 1182 +-4600 1575 +-3438 2848 +-4787 -1292 +-2665 253 +-3308 4834 +-2967 -1655 +2899 -2889 +42 4495 +1306 1816 +642 -3100 +-5402 -253 +590 2672 +1730 2442 +-3511 0 +1730 -2442 +590 -2672 +-5402 253 +642 3100 +1306 -1816 +42 -4495 +2899 2889 +-2967 1655 +-3308 -4834 +-2665 -253 +-4787 1292 +-3438 -2848 +-4600 -1575 +3823 -1182 +6134 -1821 +0 -2341 +664 -3026 +-3064 3667 +510 5580 +1783 -1477 +-1408 1660 +3173 5338 +1176 -164 +5308 1655 +4062 5412 +-3859 1097 +2594 -2883 +1013 -4891 +-1453 -3389 +1960 2178 +-116 3568 +-3511 -4681 +-6764 91 +-3138 2215 +1890 -2629 +6183 -880 +117 550 +-3929 -374 +-389 1978 +343 485 +-487 -2522 +4101 -39 +5598 4566 +567 4697 +1103 -976 +-1898 -309 +-4929 5579 +-2341 0 +-4929 -5579 +-1898 309 +1103 976 +567 -4697 +5598 -4566 +4101 39 +-487 2522 +343 -485 +-389 -1978 +-3929 374 +117 -550 +6183 880 +1890 2629 +-3138 -2215 +-6764 -91 +-3511 4681 +2781 1621 +216 5049 +1368 6619 +437 -2146 +-153 812 +2526 7114 +236 255 +1998 -2825 +-1656 74 +612 159 +2850 1477 +-7187 1639 +468 286 +1509 953 +-2033 814 +4681 0 +-2033 -814 +1509 -953 +468 -286 +-7187 -1639 +2850 -1477 +612 -159 +-1656 -74 +1998 2825 +236 -255 +2526 -7114 +-153 -812 +437 2146 +1368 -6619 +216 -5049 +2781 -1621 +-3511 -4681 +-6764 91 +-3138 2215 +1890 -2629 +6183 -880 +117 550 +-3929 -374 +-389 1978 +343 485 +-487 -2522 +4101 -39 +5598 4566 +567 4697 +1103 -976 +-1898 -309 +-4929 5579 +2341 -1170 +-852 137 +-2878 -6114 +1733 -175 +953 -1207 +-1267 -3690 +-934 329 +1759 -4143 +8476 -2483 +5383 5440 +933 3518 +1351 -4507 +-3116 -2736 +-3261 84 +-996 -1135 +1456 4370 +4681 0 +1456 -4370 +-996 1135 +-3261 -84 +-3116 2736 +1351 4507 +933 -3518 +5383 -5440 +8476 2483 +1759 4143 +-934 -329 +-1267 3690 +953 1207 +1733 175 +-2878 6114 +-852 -137 +2341 1170 +2102 -50 +-1237 -6920 +-3255 -281 +4012 -2103 +1318 -3473 +-7015 -774 +-2946 -1565 +-1454 2483 +-2678 -1722 +-975 -2024 +-387 3187 +-1849 -574 +-2852 -1316 +3740 -597 +2395 -1478 +-4681 0 +2395 1478 +3740 597 +-2852 1316 +-1849 574 +-387 -3187 +-975 2024 +-2678 1722 +-1454 -2483 +-2946 1565 +-7015 774 +1318 3473 +4012 2103 +-3255 281 +-1237 6920 +2102 50 +2341 -1170 +-852 137 +-2878 -6114 +1733 -175 +953 -1207 +-1267 -3690 +-934 329 +1759 -4143 +8476 -2483 +5383 5440 +933 3518 +1351 -4507 +-3116 -2736 +-3261 84 +-996 -1135 +1456 4370 +-2341 1170 +2164 -110 +-1378 1008 +-4561 179 +1244 -5145 +509 -1315 +-2482 2946 +-3558 -416 +2341 -1312 +5531 330 +434 219 +-4014 1409 +-6643 3228 +-3506 -3117 +-4329 -3633 +-792 6328 +7022 0 +-792 -6328 +-4329 3633 +-3506 3117 +-6643 -3228 +-4014 -1409 +434 -219 +5531 -330 +2341 1312 +-3558 416 +-2482 -2946 +509 1315 +1244 5145 +-4561 -179 +-1378 -1008 +2164 110 +-2341 -1170 +2483 -1876 +2781 -2201 +899 1521 +3035 -1191 +1629 -3137 +2871 3600 +1940 3047 +2341 3653 +3721 931 +2487 -4974 +358 2130 +-2318 -202 +2066 -3175 +-384 501 +-4870 -6943 +-2341 0 +-4870 6943 +-384 -501 +2066 3175 +-2318 202 +358 -2130 +2487 4974 +3721 -931 +2341 -3653 +1940 -3047 +2871 -3600 +1629 3137 +3035 1191 +899 -1521 +2781 2201 +2483 1876 +-2341 1170 +2164 -110 +-1378 1008 +-4561 179 +1244 -5145 +509 -1315 +-2482 2946 +-3558 -416 +2341 -1312 +5531 330 +434 219 +-4014 1409 +-6643 3228 +-3506 -3117 +-4329 -3633 +-792 6328 +-3511 3511 +-1397 3788 +4455 -2653 +3324 -5193 +3817 6114 +3321 -1887 +-3509 -6880 +-2542 1195 +1170 343 +-1146 2789 +194 115 +720 -1258 +-2551 2423 +-1252 1360 +403 2069 +489 -1052 +1170 0 +489 1052 +403 -2069 +-1252 -1360 +-2551 -2423 +720 1258 +194 -115 +-1146 -2789 +1170 -343 +-2542 -1195 +-3509 6880 +3321 1887 +3817 -6114 +3324 5193 +4455 2653 +-1397 -3788 +-3511 -3511 +313 -345 +510 6439 +324 2314 +-507 2161 +-2378 3421 +-1456 36 +-266 2006 +1170 1998 +-370 5093 +-5159 2404 +-3455 -1889 +-759 5852 +-605 442 +4562 -7646 +4920 -186 +1170 0 +4920 186 +4562 7646 +-605 -442 +-759 -5852 +-3455 1889 +-5159 -2404 +-370 -5093 +1170 -1998 +-266 -2006 +-1456 -36 +-2378 -3421 +-507 -2161 +324 -2314 +510 -6439 +313 345 +-3511 3511 +-1397 3788 +4455 -2653 +3324 -5193 +3817 6114 +3321 -1887 +-3509 -6880 +-2542 1195 +1170 343 +-1146 2789 +194 115 +720 -1258 +-2551 2423 +-1252 1360 +403 2069 +489 -1052 +1170 0 +4420 5852 +1211 110 +-2460 -5838 +-642 2192 +1204 1667 +-319 -306 +-3942 873 +-4823 -3996 +-3989 -122 +-106 2592 +1299 -22 +-1783 -1044 +1482 899 +3811 4307 +2153 12 +2341 0 +2153 -12 +3811 -4307 +1482 -899 +-1783 1044 +1299 22 +-106 -2592 +-3989 122 +-4823 3996 +-3942 -873 +-319 306 +1204 -1667 +-642 -2192 +-2460 5838 +1211 -110 +4420 -5852 +1170 0 +2405 5295 +952 4351 +-3417 2869 +-1013 3459 +-1301 -1567 +1215 1184 +1898 3388 +142 -686 +6536 4739 +-790 1597 +-5289 -2767 +3438 2014 +-4758 2605 +-5973 3464 +3758 2130 +4681 0 +3758 -2130 +-5973 -3464 +-4758 -2605 +3438 -2014 +-5289 2767 +-790 -1597 +6536 -4739 +142 686 +1898 -3388 +1215 -1184 +-1301 1567 +-1013 -3459 +-3417 -2869 +952 -4351 +2405 -5295 +1170 0 +4420 5852 +1211 110 +-2460 -5838 +-642 2192 +1204 1667 +-319 -306 +-3942 873 +-4823 -3996 +-3989 -122 +-106 2592 +1299 -22 +-1783 -1044 +1482 899 +3811 4307 +2153 12 +0 -2341 +3781 2943 +5942 3060 +285 1596 +-6053 663 +-5133 -4266 +398 -3289 +-2852 1929 +-1312 686 +4565 81 +130 1944 +-2296 -524 +-706 -411 +-8 4278 +-1838 3585 +-2746 -466 +-1170 0 +-2746 466 +-1838 -3585 +-8 -4278 +-706 411 +-2296 524 +130 -1944 +4565 -81 +-1312 -686 +-2852 -1929 +398 3289 +-5133 4266 +-6053 -663 +285 -1596 +5942 -3060 +3781 -2943 +0 2341 +568 -1785 +-1439 -8025 +-2498 402 +-2624 4988 +2488 -1842 +2838 -1676 +-2045 2528 +3653 3996 +331 6168 +1315 3021 +4941 -1259 +-4660 1380 +2222 2044 +2016 1380 +-1603 3415 +5851 0 +-1603 -3415 +2016 -1380 +2222 -2044 +-4660 -1380 +4941 1259 +1315 -3021 +331 -6168 +3653 -3996 +-2045 -2528 +2838 1676 +2488 1842 +-2624 -4988 +-2498 -402 +-1439 8025 +568 1785 +0 -2341 +3781 2943 +5942 3060 +285 1596 +-6053 663 +-5133 -4266 +398 -3289 +-2852 1929 +-1312 686 +4565 81 +130 1944 +-2296 -524 +-706 -411 +-8 4278 +-1838 3585 +-2746 -466 +-1170 2341 +-1763 -5715 +1086 -6580 +-5688 -158 +-3586 -1917 +3445 571 +-797 2079 +4338 -1413 +2483 969 +-4132 2309 +1558 955 +170 1548 +-654 2036 +1807 -120 +291 -288 +-1167 3043 +-2341 0 +-1167 -3043 +291 288 +1807 120 +-654 -2036 +170 -1548 +1558 -955 +-4132 -2309 +2483 -969 +4338 1413 +-797 -2079 +3445 -571 +-3586 1917 +-5688 158 +1086 6580 +-1763 5715 +-1170 -2341 +2469 -3342 +2538 -5736 +386 -3215 +2901 -1393 +3415 -3245 +-3738 1084 +-4282 -2838 +-2483 -5651 +2286 -444 +4348 -535 +-2706 -1689 +-3341 -5346 +-830 -719 +4076 3954 +2252 -5984 +-4681 0 +2252 5984 +4076 -3954 +-830 719 +-3341 5346 +-2706 1689 +4348 535 +2286 444 +-2483 5651 +-4282 2838 +-3738 -1084 +3415 3245 +2901 1393 +386 3215 +2538 5736 +2469 3342 +-1170 2341 +-1763 -5715 +1086 -6580 +-5688 -158 +-3586 -1917 +3445 571 +-797 2079 +4338 -1413 +2483 969 +-4132 2309 +1558 955 +170 1548 +-654 2036 +1807 -120 +291 -288 +-1167 3043 +-3511 -1170 +-829 -9068 +2382 -4442 +-3602 528 +-3886 848 +-999 311 +2743 571 +3696 1384 +-2341 -3168 +-1423 -1996 +9 2586 +-4690 4357 +-439 2199 +2247 -5353 +-3027 -3965 +3921 2469 +12873 0 +3921 -2469 +-3027 3965 +2247 5353 +-439 -2199 +-4690 -4357 +9 -2586 +-1423 1996 +-2341 3168 +3696 -1384 +2743 -571 +-999 -311 +-3886 -848 +-3602 -528 +2382 4442 +-829 9068 +-3511 1170 +2465 1318 +-219 2787 +-435 -44 +2231 4802 +-3918 83 +-1848 -2226 +1137 -560 +-2341 -1513 +677 2464 +-904 -931 +-3130 -1073 +2094 -1230 +1286 -635 +865 5620 +3597 -1212 +3511 0 +3597 1212 +865 -5620 +1286 635 +2094 1230 +-3130 1073 +-904 931 +677 -2464 +-2341 1513 +1137 560 +-1848 2226 +-3918 -83 +2231 -4802 +-435 44 +-219 -2787 +2465 -1318 +-3511 -1170 +-829 -9068 +2382 -4442 +-3602 528 +-3886 848 +-999 311 +2743 571 +3696 1384 +-2341 -3168 +-1423 -1996 +9 2586 +-4690 4357 +-439 2199 +2247 -5353 +-3027 -3965 +3921 2469 +3511 3511 +-251 5095 +1410 2299 +4714 -180 +-1380 1634 +-1914 -355 +-1085 -3989 +-3471 -29 +-3511 3653 +2153 1080 +5138 121 +472 776 +663 283 +1366 1957 +240 2271 +11 -371 +-1170 0 +11 371 +240 -2271 +1366 -1957 +663 -283 +472 -776 +5138 -121 +2153 -1080 +-3511 -3653 +-3471 29 +-1085 3989 +-1914 355 +-1380 -1634 +4714 180 +1410 -2299 +-251 -5095 +3511 -3511 +-2180 -1233 +-3943 801 +635 545 +411 -2320 +-6959 -1156 +-5031 1141 +1806 -1348 +-3511 -1312 +289 1210 +978 -1598 +-1025 -1866 +4988 3712 +-3909 1570 +2293 -7163 +8263 -8082 +-1170 0 +8263 8082 +2293 7163 +-3909 -1570 +4988 -3712 +-1025 1866 +978 1598 +289 -1210 +-3511 1312 +1806 1348 +-5031 -1141 +-6959 1156 +411 2320 +635 -545 +-3943 -801 +-2180 1233 +3511 3511 +-251 5095 +1410 2299 +4714 -180 +-1380 1634 +-1914 -355 +-1085 -3989 +-3471 -29 +-3511 3653 +2153 1080 +5138 121 +472 776 +663 283 +1366 1957 +240 2271 +11 -371 +-1170 0 +-1445 263 +3320 6144 +3486 945 +-2610 -828 +-5281 -1589 +908 -748 +1099 4441 +343 3511 +1948 1446 +2181 4633 +685 4573 +-186 -828 +5485 1607 +-459 6268 +-6347 1524 +-2341 0 +-6347 -1524 +-459 -6268 +5485 -1607 +-186 828 +685 -4573 +2181 -4633 +1948 -1446 +343 -3511 +1099 -4441 +908 748 +-5281 1589 +-2610 828 +3486 -945 +3320 -6144 +-1445 -263 +-1170 0 +1384 -6738 +-4975 3758 +-970 6588 +2610 -828 +-2739 -1406 +747 -3563 +254 1109 +1998 3511 +4607 -578 +-526 420 +477 -2887 +186 -828 +-1142 1245 +-1196 -5729 +-1499 -3318 +0 0 +-1499 3318 +-1196 5729 +-1142 -1245 +186 828 +477 2887 +-526 -420 +4607 578 +1998 -3511 +254 -1109 +747 3563 +-2739 1406 +2610 828 +-970 -6588 +-4975 -3758 +1384 6738 +-1170 0 +-1445 263 +3320 6144 +3486 945 +-2610 -828 +-5281 -1589 +908 -748 +1099 4441 +343 3511 +1948 1446 +2181 4633 +685 4573 +-186 -828 +5485 1607 +-459 6268 +-6347 1524 +-1170 -2341 +-5060 503 +1491 23 +4826 -2748 +1938 896 +360 -1280 +-2952 2578 +-1821 3250 +3168 1655 +2102 5884 +1324 936 +2833 1560 +665 -2162 +-855 -3152 +-1319 7169 +-4660 -386 +-7022 0 +-4660 386 +-1319 -7169 +-855 3152 +665 2162 +2833 -1560 +1324 -936 +2102 -5884 +3168 -1655 +-1821 -3250 +-2952 -2578 +360 1280 +1938 -896 +4826 2748 +1491 -23 +-5060 -503 +-1170 2341 +937 -3789 +-1998 -2363 +2470 2153 +5367 -896 +2578 -3629 +401 -237 +-1107 1328 +1513 -1655 +1841 -2677 +-2083 -3277 +-669 1522 +-3289 2162 +-4923 -5435 +5136 -4828 +1148 -1529 +-9362 0 +1148 1529 +5136 4828 +-4923 5435 +-3289 -2162 +-669 -1522 +-2083 3277 +1841 2677 +1513 1655 +-1107 -1328 +401 237 +2578 3629 +5367 896 +2470 -2153 +-1998 2363 +937 3789 +-1170 -2341 +-5060 503 +1491 23 +4826 -2748 +1938 896 +360 -1280 +-2952 2578 +-1821 3250 +3168 1655 +2102 5884 +1324 936 +2833 1560 +665 -2162 +-855 -3152 +-1319 7169 +-4660 -386 +-3511 -2341 +1654 5347 +-547 86 +-2765 1189 +-3354 3088 +-2437 -2878 +3654 3320 +-2948 2987 +-4138 -3310 +3142 5 +3912 1742 +6125 1066 +1097 -3207 +-5800 -581 +-6288 3942 +-3312 -3941 +0 0 +-3312 3941 +-6288 -3942 +-5800 581 +1097 3207 +6125 -1066 +3912 -1742 +3142 -5 +-4138 3310 +-2948 -2987 +3654 -3320 +-2437 2878 +-3354 -3088 +-2765 -1189 +-547 -86 +1654 -5347 +-3511 2341 +1326 874 +4798 -5349 +-565 1327 +-2983 2563 +-1208 -23 +1626 423 +1323 1117 +4138 3310 +4326 3083 +2110 631 +326 1136 +-4123 4176 +-296 1578 +98 -1213 +1108 2527 +7022 0 +1108 -2527 +98 1213 +-296 -1578 +-4123 -4176 +326 -1136 +2110 -631 +4326 -3083 +4138 -3310 +1323 -1117 +1626 -423 +-1208 23 +-2983 -2563 +-565 -1327 +4798 5349 +1326 -874 +-3511 -2341 +1654 5347 +-547 86 +-2765 1189 +-3354 3088 +-2437 -2878 +3654 3320 +-2948 2987 +-4138 -3310 +3142 5 +3912 1742 +6125 1066 +1097 -3207 +-5800 -581 +-6288 3942 +-3312 -3941 +0 1170 +2568 -3057 +688 -5351 +-1413 2787 +-2357 -1715 +-602 -3165 +350 -351 +933 2454 +2825 1513 +2458 -3314 +2959 3484 +3212 1152 +194 -1977 +-4403 3120 +-7872 1466 +-776 4974 +7022 0 +-776 -4974 +-7872 -1466 +-4403 -3120 +194 1977 +3212 -1152 +2959 -3484 +2458 3314 +2825 -1513 +933 -2454 +350 351 +-602 3165 +-2357 1715 +-1413 -2787 +688 5351 +2568 3057 +0 -1170 +-1381 4426 +2329 -1566 +449 -3904 +702 1715 +2124 4165 +-5731 2439 +-4784 817 +-485 3168 +-3709 742 +1051 543 +2901 2654 +1461 1977 +4352 5189 +-3136 2918 +-1930 -2829 +7022 0 +-1930 2829 +-3136 -2918 +4352 -5189 +1461 -1977 +2901 -2654 +1051 -543 +-3709 -742 +-485 -3168 +-4784 -817 +-5731 -2439 +2124 -4165 +702 -1715 +449 3904 +2329 1566 +-1381 -4426 +0 1170 +2568 -3057 +688 -5351 +-1413 2787 +-2357 -1715 +-602 -3165 +350 -351 +933 2454 +2825 1513 +2458 -3314 +2959 3484 +3212 1152 +194 -1977 +-4403 3120 +-7872 1466 +-776 4974 +5851 -5851 +2462 1611 +-835 2028 +2396 -6226 +-2547 574 +-1425 679 +1563 -2255 +-4927 -2994 +-3996 -5509 +-460 -3305 +-3459 -3422 +-2348 3315 +1641 1207 +3118 -5944 +3118 2104 +1973 1490 +1170 0 +1973 -1490 +3118 -2104 +3118 5944 +1641 -1207 +-2348 -3315 +-3459 3422 +-460 3305 +-3996 5509 +-4927 2994 +1563 2255 +-1425 -679 +-2547 -574 +2396 6226 +-835 -2028 +2462 -1611 +5851 5851 +-1600 578 +625 -762 +796 577 +-6130 2736 +73 2272 +4595 -803 +819 -1515 +-686 -3854 +243 -1204 +5292 363 +1909 -364 +-7008 2103 +-4518 295 +-1536 -837 +1490 699 +5851 0 +1490 -699 +-1536 837 +-4518 -295 +-7008 -2103 +1909 364 +5292 -363 +243 1204 +-686 3854 +819 1515 +4595 803 +73 -2272 +-6130 -2736 +796 -577 +625 762 +-1600 -578 +5851 -5851 +2462 1611 +-835 2028 +2396 -6226 +-2547 574 +-1425 679 +1563 -2255 +-4927 -2994 +-3996 -5509 +-460 -3305 +-3459 -3422 +-2348 3315 +1641 1207 +3118 -5944 +3118 2104 +1973 1490 +-3511 2341 +1115 4635 +-373 -1085 +75 -1719 +-761 3088 +-4823 -173 +-6682 -3927 +-2378 -3945 +4823 -2341 +2936 -637 +113 -680 +-462 2634 +-1139 -3207 +2027 -2097 +-2513 5515 +-4453 -4924 +0 0 +-4453 4924 +-2513 -5515 +2027 2097 +-1139 3207 +-462 -2634 +113 680 +2936 637 +4823 2341 +-2378 3945 +-6682 3927 +-4823 173 +-761 -3088 +75 1719 +-373 1085 +1115 -4635 +-3511 -2341 +-3716 5017 +2 1963 +-109 -1454 +5726 2563 +5502 -2338 +1461 -3845 +3140 -2295 +-142 -2341 +2418 1373 +5108 -3781 +-2751 -1415 +-3826 4176 +540 -1223 +2884 -1327 +938 -1050 +-2341 0 +938 1050 +2884 1327 +540 1223 +-3826 -4176 +-2751 1415 +5108 3781 +2418 -1373 +-142 2341 +3140 2295 +1461 3845 +5502 2338 +5726 -2563 +-109 1454 +2 -1963 +-3716 -5017 +-3511 2341 +1115 4635 +-373 -1085 +75 -1719 +-761 3088 +-4823 -173 +-6682 -3927 +-2378 -3945 +4823 -2341 +2936 -637 +113 -680 +-462 2634 +-1139 -3207 +2027 -2097 +-2513 5515 +-4453 -4924 +1170 3511 +990 2042 +-3888 -7334 +-3698 -1374 +2951 4430 +509 871 +278 2887 +2597 1747 +-485 -1312 +988 -176 +-1726 2253 +-8034 3169 +-2773 2708 +2667 -1398 +1407 -3402 +874 2163 +1170 0 +874 -2163 +1407 3402 +2667 1398 +-2773 -2708 +-8034 -3169 +-1726 -2253 +988 176 +-485 1312 +2597 -1747 +278 -2887 +509 -871 +2951 -4430 +-3698 1374 +-3888 7334 +990 -2042 +1170 -3511 +2635 -1288 +1547 459 +3519 -896 +6009 -5115 +-2145 1020 +-2619 -4913 +3912 -6346 +2825 3653 +2706 -2631 +-615 -969 +-5600 3046 +-1506 1288 +-459 3453 +-3748 -164 +-1461 383 +1170 0 +-1461 -383 +-3748 164 +-459 -3453 +-1506 -1288 +-5600 -3046 +-615 969 +2706 2631 +2825 -3653 +3912 6346 +-2619 4913 +-2145 -1020 +6009 5115 +3519 896 +1547 -459 +2635 1288 +1170 3511 +990 2042 +-3888 -7334 +-3698 -1374 +2951 4430 +509 871 +278 2887 +2597 1747 +-485 -1312 +988 -176 +-1726 2253 +-8034 3169 +-2773 2708 +2667 -1398 +1407 -3402 +874 2163 +1170 -8192 +-5793 -73 +45 1319 +3918 -4921 +633 380 +53 3129 +-7700 -708 +-2908 -1427 +1170 1312 +-3051 585 +2749 -263 +1458 3517 +-1529 1909 +1408 3333 +-7 3462 +-1385 -6251 +-1170 0 +-1385 6251 +-7 -3462 +1408 -3333 +-1529 -1909 +1458 -3517 +2749 263 +-3051 -585 +1170 -1312 +-2908 1427 +-7700 708 +53 -3129 +633 -380 +3918 4921 +45 -1319 +-5793 73 +1170 8192 +-2655 1089 +-3982 -2466 +65 905 +-633 1275 +2090 1201 +1196 -3498 +1337 -4194 +1170 -3653 +-207 -4058 +445 2678 +-1306 2248 +1529 -254 +5554 3448 +7254 2010 +1421 52 +-5851 0 +1421 -52 +7254 -2010 +5554 -3448 +1529 254 +-1306 -2248 +445 -2678 +-207 4058 +1170 3653 +1337 4194 +1196 3498 +2090 -1201 +-633 -1275 +65 -905 +-3982 2466 +-2655 -1089 +1170 -8192 +-5793 -73 +45 1319 +3918 -4921 +633 380 +53 3129 +-7700 -708 +-2908 -1427 +1170 1312 +-3051 585 +2749 -263 +1458 3517 +-1529 1909 +1408 3333 +-7 3462 +-1385 -6251 +1170 0 +1428 3235 +-5838 441 +-1116 965 +-2 -3595 +-1392 458 +6283 7271 +3981 -1147 +3168 -686 +1279 4634 +-1346 -370 +205 1792 +-4956 3966 +-1448 -169 +-1518 341 +-3072 749 +2341 0 +-3072 -749 +-1518 -341 +-1448 169 +-4956 -3966 +205 -1792 +-1346 370 +1279 -4634 +3168 686 +3981 1147 +6283 -7271 +-1392 -458 +-2 3595 +-1116 -965 +-5838 -441 +1428 -3235 +1170 0 +-2293 4824 +-708 -2393 +6270 -1695 +8277 1254 +-2632 -4004 +-3151 -217 +1538 2498 +1513 -3996 +2628 -4297 +153 -568 +-2025 -236 +-3319 -1625 +-4482 -2080 +-3237 -922 +1130 -325 +4681 0 +1130 325 +-3237 922 +-4482 2080 +-3319 1625 +-2025 236 +153 568 +2628 4297 +1513 3996 +1538 -2498 +-3151 217 +-2632 4004 +8277 -1254 +6270 1695 +-708 2393 +-2293 -4824 +1170 0 +1428 3235 +-5838 441 +-1116 965 +-2 -3595 +-1392 458 +6283 7271 +3981 -1147 +3168 -686 +1279 4634 +-1346 -370 +205 1792 +-4956 3966 +-1448 -169 +-1518 341 +-3072 749 +1170 5851 +-1009 -453 +-771 900 +2883 1731 +1625 -4786 +824 -2431 +1905 -276 +8481 -380 +2825 1312 +-6741 445 +-2851 -967 +-2648 -739 +-3595 3765 +-20 1362 +3878 -3419 +1397 2192 +-3511 0 +1397 -2192 +3878 3419 +-20 -1362 +-3595 -3765 +-2648 739 +-2851 967 +-6741 -445 +2825 -1312 +8481 380 +1905 276 +824 2431 +1625 4786 +2883 -1731 +-771 -900 +-1009 453 +1170 -5851 +-2851 -1584 +771 2200 +-2305 -1198 +-3966 -3891 +2443 -5674 +-1905 -2572 +948 -2125 +-485 -3653 +-5999 -2530 +2851 -510 +2691 4951 +1254 1602 +2753 -4495 +-3878 -1473 +-847 -1067 +5851 0 +-847 1067 +-3878 1473 +2753 4495 +1254 -1602 +2691 -4951 +2851 510 +-5999 2530 +-485 3653 +948 2125 +-1905 2572 +2443 5674 +-3966 3891 +-2305 1198 +771 -2200 +-2851 1584 +1170 5851 +-1009 -453 +-771 900 +2883 1731 +1625 -4786 +824 -2431 +1905 -276 +8481 -380 +2825 1312 +-6741 445 +-2851 -967 +-2648 -739 +-3595 3765 +-20 1362 +3878 -3419 +1397 2192 +-3511 2341 +4473 -3782 +-747 -1054 +-5830 2095 +722 -731 +1435 1261 +2938 4262 +-298 734 +-1028 5166 +1668 4347 +-1662 -5688 +93 -56 +89 3401 +-1882 -1397 +-953 -2549 +-641 -6058 +0 0 +-641 6058 +-953 2549 +-1882 1397 +89 -3401 +93 56 +-1662 5688 +1668 -4347 +-1028 -5166 +-298 -734 +2938 -4262 +1435 -1261 +722 731 +-5830 -2095 +-747 1054 +4473 3782 +-3511 -2341 +259 -3922 +2311 1814 +665 -2006 +1618 -3265 +3031 174 +8829 -4769 +372 -1794 +-5993 1856 +792 -1082 +1197 1870 +1557 -301 +2251 -2715 +930 -305 +-2550 -2 +-6625 2679 +-7022 0 +-6625 -2679 +-2550 2 +930 305 +2251 2715 +1557 301 +1197 -1870 +792 1082 +-5993 -1856 +372 1794 +8829 4769 +3031 -174 +1618 3265 +665 2006 +2311 -1814 +259 3922 +-3511 2341 +4473 -3782 +-747 -1054 +-5830 2095 +722 -731 +1435 1261 +2938 4262 +-298 734 +-1028 5166 +1668 4347 +-1662 -5688 +93 -56 +89 3401 +-1882 -1397 +-953 -2549 +-641 -6058 +1170 0 +-2980 -1816 +-1002 -3053 +-5041 -681 +-3354 1118 +4123 488 +-2055 2369 +-1619 -645 +-828 -1655 +1254 6395 +6804 1931 +2333 -4905 +1097 4354 +-317 4568 +876 -756 +2916 4247 +0 0 +2916 -4247 +876 756 +-317 -4568 +1097 -4354 +2333 4905 +6804 -1931 +1254 -6395 +-828 1655 +-1619 645 +-2055 -2369 +4123 -488 +-3354 -1118 +-5041 681 +-1002 3053 +-2980 1816 +1170 0 +937 -2657 +6030 -2209 +6391 412 +-2983 -149 +967 446 +-2092 1374 +-6574 675 +828 1655 +3628 766 +2024 442 +-4113 3272 +-4123 1296 +2278 -785 +-1222 3485 +-4184 5032 +-2341 0 +-4184 -5032 +-1222 -3485 +2278 785 +-4123 -1296 +-4113 -3272 +2024 -442 +3628 -766 +828 -1655 +-6574 -675 +-2092 -1374 +967 -446 +-2983 149 +6391 -412 +6030 2209 +937 2657 +1170 0 +-2980 -1816 +-1002 -3053 +-5041 -681 +-3354 1118 +4123 488 +-2055 2369 +-1619 -645 +-828 -1655 +1254 6395 +6804 1931 +2333 -4905 +1097 4354 +-317 4568 +876 -756 +2916 4247 +2341 -5851 +-5227 -1694 +-504 1830 +2294 -445 +2357 60 +7325 -3190 +4 -2917 +887 2038 +2825 828 +-1938 -5242 +2598 -2734 +1499 5408 +-194 322 +798 -2459 +-4257 745 +-5949 -4742 +-4681 0 +-5949 4742 +-4257 -745 +798 2459 +-194 -322 +1499 -5408 +2598 2734 +-1938 5242 +2825 -828 +887 -2038 +4 2917 +7325 3190 +2357 -60 +2294 445 +-504 -1830 +-5227 1694 +2341 5851 +-85 -563 +-2047 1228 +-616 2484 +-702 -3370 +5175 53 +3814 4184 +673 3783 +-485 -828 +-1917 -2267 +-3105 4001 +-5588 -281 +-1461 -3632 +2353 3399 +3498 2313 +316 -1484 +-4681 0 +316 1484 +3498 -2313 +2353 -3399 +-1461 3632 +-5588 281 +-3105 -4001 +-1917 2267 +-485 828 +673 -3783 +3814 -4184 +5175 -53 +-702 3370 +-616 -2484 +-2047 -1228 +-85 563 +2341 -5851 +-5227 -1694 +-504 1830 +2294 -445 +2357 60 +7325 -3190 +4 -2917 +887 2038 +2825 828 +-1938 -5242 +2598 -2734 +1499 5408 +-194 322 +798 -2459 +-4257 745 +-5949 -4742 +-2341 3511 +5118 -34 +-4499 2574 +-6923 8695 +-485 2087 +-1800 -4569 +3097 -4278 +-1685 -1228 +-3996 343 +2583 1523 +-2096 143 +-862 -5048 +2825 -1961 +-468 -1034 +-1290 -2443 +-457 4793 +0 0 +-457 -4793 +-1290 2443 +-468 1034 +2825 1961 +-862 5048 +-2096 -143 +2583 -1523 +-3996 -343 +-1685 1228 +3097 4278 +-1800 4569 +-485 -2087 +-6923 -8695 +-4499 -2574 +5118 34 +-2341 -3511 +-2306 -322 +2844 -1129 +3270 1218 +-485 4249 +-5963 -2260 +-1441 -225 +-1117 3704 +-686 1998 +5321 2116 +3751 36 +990 94 +2825 -1065 +5135 -3160 +-365 -793 +-837 -1244 +4681 0 +-837 1244 +-365 793 +5135 3160 +2825 1065 +990 -94 +3751 -36 +5321 -2116 +-686 -1998 +-1117 -3704 +-1441 225 +-5963 2260 +-485 -4249 +3270 -1218 +2844 1129 +-2306 322 +-2341 3511 +5118 -34 +-4499 2574 +-6923 8695 +-485 2087 +-1800 -4569 +3097 -4278 +-1685 -1228 +-3996 343 +2583 1523 +-2096 143 +-862 -5048 +2825 -1961 +-468 -1034 +-1290 -2443 +-457 4793 +-1170 -2341 +-4554 2529 +-108 3196 +-2747 -1212 +-2332 -1363 +5713 -4185 +3853 -4199 +-1937 -2274 +-2483 -3996 +2439 -236 +3770 602 +-658 -2703 +971 -7286 +2193 -4259 +-1888 3613 +-3059 -1080 +-2341 0 +-3059 1080 +-1888 -3613 +2193 4259 +971 7286 +-658 2703 +3770 -602 +2439 236 +-2483 3996 +-1937 2274 +3853 4199 +5713 4185 +-2332 1363 +-2747 1212 +-108 -3196 +-4554 -2529 +-1170 2341 +599 3424 +-1758 -1244 +-800 -712 +-694 3704 +5188 -5359 +3960 -2854 +-1742 5032 +2483 -686 +464 1980 +-282 335 +-817 -1740 +-7307 4946 +-1452 817 +1815 -3032 +1170 -602 +4681 0 +1170 602 +1815 3032 +-1452 -817 +-7307 -4946 +-817 1740 +-282 -335 +464 -1980 +2483 686 +-1742 -5032 +3960 2854 +5188 5359 +-694 -3704 +-800 712 +-1758 1244 +599 -3424 +-1170 -2341 +-4554 2529 +-108 3196 +-2747 -1212 +-2332 -1363 +5713 -4185 +3853 -4199 +-1937 -2274 +-2483 -3996 +2439 -236 +3770 602 +-658 -2703 +971 -7286 +2193 -4259 +-1888 3613 +-3059 -1080 +-3511 3511 +-111 -1098 +308 1301 +57 4958 +-3013 -3244 +-3736 101 +3967 1284 +542 -1952 +-2341 4823 +1564 3228 +961 -340 +-608 1627 +-1861 -1344 +2037 -430 +2199 2677 +3220 407 +8192 0 +3220 -407 +2199 -2677 +2037 430 +-1861 1344 +-608 -1627 +961 340 +1564 -3228 +-2341 -4823 +542 1952 +3967 -1284 +-3736 -101 +-3013 3244 +57 -4958 +308 -1301 +-111 1098 +-3511 -3511 +-1731 2918 +2792 1757 +10060 -804 +5637 3244 +-5833 831 +-1119 -17 +1732 -363 +-2341 -142 +-4580 1078 +-2437 1606 +-264 5925 +-5444 1344 +-1713 -2036 +2693 381 +-635 -5206 +-1170 0 +-635 5206 +2693 -381 +-1713 2036 +-5444 -1344 +-264 -5925 +-2437 -1606 +-4580 -1078 +-2341 142 +1732 363 +-1119 17 +-5833 -831 +5637 -3244 +10060 804 +2792 -1757 +-1731 -2918 +-3511 3511 +-111 -1098 +308 1301 +57 4958 +-3013 -3244 +-3736 101 +3967 1284 +542 -1952 +-2341 4823 +1564 3228 +961 -340 +-608 1627 +-1861 -1344 +2037 -430 +2199 2677 +3220 407 +-5851 -2341 +-261 3417 +4734 -2201 +5233 -1855 +5504 5980 +4502 1649 +2715 -3956 +-2561 762 +-4823 6336 +-1421 656 +-964 -2853 +2240 1441 +2691 3446 +-2069 690 +3302 -1663 +3676 1129 +-2341 0 +3676 -1129 +3302 1663 +-2069 -690 +2691 -3446 +2240 -1441 +-964 2853 +-1421 -656 +-4823 -6336 +-2561 -762 +2715 3956 +4502 -1649 +5504 -5980 +5233 1855 +4734 2201 +-261 -3417 +-5851 2341 +-2124 -1660 +1676 -857 +710 5451 +-1508 -2670 +-4015 754 +-3177 2689 +-2194 -412 +142 3026 +1850 -307 +-3823 1586 +-4518 962 +-2005 -136 +-2083 2906 +4899 -1395 +3033 628 +-4681 0 +3033 -628 +4899 1395 +-2083 -2906 +-2005 136 +-4518 -962 +-3823 -1586 +1850 307 +142 -3026 +-2194 412 +-3177 -2689 +-4015 -754 +-1508 2670 +710 -5451 +1676 857 +-2124 1660 +-5851 -2341 +-261 3417 +4734 -2201 +5233 -1855 +5504 5980 +4502 1649 +2715 -3956 +-2561 762 +-4823 6336 +-1421 656 +-964 -2853 +2240 1441 +2691 3446 +-2069 690 +3302 -1663 +3676 1129 +2341 1170 +1438 -1930 +163 -3239 +-427 -1305 +-3104 848 +-5368 4035 +4046 -1738 +4944 -3957 +485 828 +-356 -231 +-2334 -2862 +69 -2121 +-672 2199 +-2113 -368 +-853 -3713 +2931 2910 +7022 0 +2931 -2910 +-853 3713 +-2113 368 +-672 -2199 +69 2121 +-2334 2862 +-356 231 +485 -828 +4944 3957 +4046 1738 +-5368 -4035 +-3104 -848 +-427 1305 +163 3239 +1438 1930 +2341 -1170 +2333 3364 +-5190 2851 +-927 2550 +479 4802 +-6542 -1382 +101 -2975 +-2362 -609 +-2825 -828 +1861 -9 +-6494 1458 +-895 2982 +7978 -1230 +2964 -178 +1200 6635 +2452 2848 +2341 0 +2452 -2848 +1200 -6635 +2964 178 +7978 1230 +-895 -2982 +-6494 -1458 +1861 9 +-2825 828 +-2362 609 +101 2975 +-6542 1382 +479 -4802 +-927 -2550 +-5190 -2851 +2333 -3364 +2341 1170 +1438 -1930 +163 -3239 +-427 -1305 +-3104 848 +-5368 4035 +4046 -1738 +4944 -3957 +485 828 +-356 -231 +-2334 -2862 +69 -2121 +-672 2199 +-2113 -368 +-853 -3713 +2931 2910 +1170 4681 +1847 9851 +-1239 -788 +-1215 -654 +2594 3029 +-3010 -4223 +-6241 -2590 +-1630 1048 +828 -1655 +1745 -997 +1879 3105 +3721 1535 +2720 673 +-2015 1976 +1061 -231 +1624 669 +-2341 0 +1624 -669 +1061 231 +-2015 -1976 +2720 -673 +3721 -1535 +1879 -3105 +1745 997 +828 1655 +-1630 -1048 +-6241 2590 +-3010 4223 +2594 -3029 +-1215 654 +-1239 788 +1847 -9851 +1170 -4681 +793 -1604 +-4530 207 +-5867 1409 +432 -8679 +138 -2429 +-53 1652 +2032 -3400 +-828 1655 +-2420 3745 +-265 3949 +4490 -552 +3616 -1643 +-2863 -206 +28 -1721 +2628 6060 +0 0 +2628 -6060 +28 1721 +-2863 206 +3616 1643 +4490 552 +-265 -3949 +-2420 -3745 +-828 -1655 +2032 3400 +-53 -1652 +138 2429 +432 8679 +-5867 -1409 +-4530 -207 +793 1604 +1170 4681 +1847 9851 +-1239 -788 +-1215 -654 +2594 3029 +-3010 -4223 +-6241 -2590 +-1630 1048 +828 -1655 +1745 -997 +1879 3105 +3721 1535 +2720 673 +-2015 1976 +1061 -231 +1624 669 +-1170 0 +-3669 1522 +-748 531 +-339 -2476 +5241 1917 +3727 1411 +111 2883 +-1200 2943 +-8819 -3996 +-2306 1067 +5173 3937 +-810 -240 +-1001 -2036 +41 -1546 +285 -65 +-2373 -3581 +-7022 0 +-2373 3581 +285 65 +41 1546 +-1001 2036 +-810 240 +5173 -3937 +-2306 -1067 +-8819 3996 +-1200 -2943 +111 -2883 +3727 -1411 +5241 -1917 +-339 2476 +-748 -531 +-3669 -1522 +-1170 0 +1050 -1371 +-4039 -3065 +743 -2761 +-1246 1393 +-4778 922 +6299 3233 +5658 2788 +-544 -686 +2173 4665 +3029 2180 +3652 2573 +1686 5346 +-2237 -3691 +-747 -2468 +667 3732 +0 0 +667 -3732 +-747 2468 +-2237 3691 +1686 -5346 +3652 -2573 +3029 -2180 +2173 -4665 +-544 686 +5658 -2788 +6299 -3233 +-4778 -922 +-1246 -1393 +743 2761 +-4039 3065 +1050 1371 +-1170 0 +-3669 1522 +-748 531 +-339 -2476 +5241 1917 +3727 1411 +111 2883 +-1200 2943 +-8819 -3996 +-2306 1067 +5173 3937 +-810 -240 +-1001 -2036 +41 -1546 +285 -65 +-2373 -3581 +-2341 0 +1891 3562 +-1719 4653 +414 -3011 +1618 -2780 +-228 -208 +1904 4803 +-2275 3464 +-1797 -5851 +940 -1185 +-2825 2668 +666 473 +2251 110 +-2970 483 +-532 1970 +4741 -1636 +5851 0 +4741 1636 +-532 -1970 +-2970 -483 +2251 -110 +666 -473 +-2825 -2668 +940 1185 +-1797 5851 +-2275 -3464 +1904 -4803 +-228 208 +1618 2780 +414 3011 +-1719 -4653 +1891 -3562 +-2341 0 +-1927 -3595 +-2024 -5024 +-7376 -2342 +722 -246 +76 -3307 +-4633 417 +5533 -251 +6478 -5851 +630 1864 +-2438 2552 +-2809 2129 +89 6226 +-1013 280 +2904 -2341 +3707 -931 +-1170 0 +3707 931 +2904 2341 +-1013 -280 +89 -6226 +-2809 -2129 +-2438 -2552 +630 -1864 +6478 5851 +5533 251 +-4633 -417 +76 3307 +722 246 +-7376 2342 +-2024 5024 +-1927 3595 +-2341 0 +1891 3562 +-1719 4653 +414 -3011 +1618 -2780 +-228 -208 +1904 4803 +-2275 3464 +-1797 -5851 +940 -1185 +-2825 2668 +666 473 +2251 110 +-2970 483 +-532 1970 +4741 -1636 +0 -4681 +-104 4216 +1098 -4080 +5152 -3887 +4227 1044 +-872 2881 +67 4449 +-1999 -1107 +-7648 -1655 +-3948 -226 +1310 3904 +-229 5822 +-2519 -3459 +-2693 -2511 +-1421 1920 +-797 -2920 +-1170 0 +-797 2920 +-1421 -1920 +-2693 2511 +-2519 3459 +-229 -5822 +1310 -3904 +-3948 226 +-7648 1655 +-1999 1107 +67 -4449 +-872 -2881 +4227 -1044 +5152 3887 +1098 4080 +-104 -4216 +0 4681 +1400 5538 +-202 -1183 +-1061 2655 +6389 -2014 +5444 -1334 +-2229 -706 +1339 -3129 +627 1655 +-4818 -343 +853 -1531 +1501 -3854 +-3415 -2192 +-622 4442 +525 809 +2307 358 +5851 0 +2307 -358 +525 -809 +-622 -4442 +-3415 2192 +1501 3854 +853 1531 +-4818 343 +627 -1655 +1339 3129 +-2229 706 +5444 1334 +6389 2014 +-1061 -2655 +-202 1183 +1400 -5538 +0 -4681 +-104 4216 +1098 -4080 +5152 -3887 +4227 1044 +-872 2881 +67 4449 +-1999 -1107 +-7648 -1655 +-3948 -226 +1310 3904 +-229 5822 +-2519 -3459 +-2693 -2511 +-1421 1920 +-797 -2920 +-2341 -5851 +98 2138 +745 6998 +-1524 -2101 +1529 3205 +4446 -250 +-422 619 +-3794 5599 +-969 -3653 +-375 -1518 +-2121 -1130 +-894 -2391 +633 2393 +350 -12 +-498 1944 +-2870 3839 +-4681 0 +-2870 -3839 +-498 -1944 +350 12 +633 -2393 +-894 2391 +-2121 1130 +-375 1518 +-969 3653 +-3794 -5599 +-422 -619 +4446 250 +1529 -3205 +-1524 2101 +745 -6998 +98 -2138 +-2341 5851 +-451 -5602 +-745 -3432 +-4135 4241 +-1529 4101 +2504 -530 +422 -1903 +470 2785 +5651 1312 +6233 2926 +2121 3156 +60 -2120 +-633 231 +-807 2299 +498 4932 +690 8323 +0 0 +690 -8323 +498 -4932 +-807 -2299 +-633 -231 +60 2120 +2121 -3156 +6233 -2926 +5651 -1312 +470 -2785 +422 1903 +2504 530 +-1529 -4101 +-4135 -4241 +-745 3432 +-451 5602 +-2341 -5851 +98 2138 +745 6998 +-1524 -2101 +1529 3205 +4446 -250 +-422 619 +-3794 5599 +-969 -3653 +-375 -1518 +-2121 -1130 +-894 -2391 +633 2393 +350 -12 +-498 1944 +-2870 3839 +-5851 0 +-2439 -3208 +-145 -2185 +-1801 1937 +-105 759 +-611 1889 +1234 6618 +8880 -462 +2483 -3310 +-1394 799 +-207 -421 +-3547 4011 +917 3817 +-3099 -936 +-7128 -33 +-1471 -2463 +0 0 +-1471 2463 +-7128 33 +-3099 936 +917 -3817 +-3547 -4011 +-207 421 +-1394 -799 +2483 3310 +8880 462 +1234 -6618 +-611 -1889 +-105 -759 +-1801 -1937 +-145 2185 +-2439 3208 +-5851 0 +-2451 -2277 +3710 -1423 +2193 -408 +791 2551 +574 1667 +50 -1219 +1276 5452 +-2483 3310 +2456 -3799 +2233 1138 +-6585 916 +3079 -507 +6256 1095 +253 1107 +1764 4969 +2341 0 +1764 -4969 +253 -1107 +6256 -1095 +3079 507 +-6585 -916 +2233 -1138 +2456 3799 +-2483 -3310 +1276 -5452 +50 1219 +574 -1667 +791 -2551 +2193 408 +3710 1423 +-2451 2277 +-5851 0 +-2439 -3208 +-145 -2185 +-1801 1937 +-105 759 +-611 1889 +1234 6618 +8880 -462 +2483 -3310 +-1394 799 +-207 -421 +-3547 4011 +917 3817 +-3099 -936 +-7128 -33 +-1471 -2463 +-2341 -1170 +-1345 2392 +-1470 6588 +1937 -2067 +507 -3975 +254 -2454 +-1687 -6664 +-1810 -13 +686 3653 +-1016 -2897 +-70 -2509 +3287 -540 +759 2057 +-4905 6567 +-2126 2274 +-999 -3333 +-4681 0 +-999 3333 +-2126 -2274 +-4905 -6567 +759 -2057 +3287 540 +-70 2509 +-1016 2897 +686 -3653 +-1810 13 +-1687 6664 +254 2454 +507 3975 +1937 2067 +-1470 -6588 +-1345 -2392 +-2341 1170 +-1411 659 +4528 4444 +-5839 1772 +-3817 -21 +7046 1109 +420 -3374 +2081 -3950 +3996 -1312 +3278 -710 +1337 -1476 +-4470 -3694 +2551 -1372 +2690 -389 +-932 -3914 +1222 -2621 +0 0 +1222 2621 +-932 3914 +2690 389 +2551 1372 +-4470 3694 +1337 1476 +3278 710 +3996 1312 +2081 3950 +420 3374 +7046 -1109 +-3817 21 +-5839 -1772 +4528 -4444 +-1411 -659 +-2341 -1170 +-1345 2392 +-1470 6588 +1937 -2067 +507 -3975 +254 -2454 +-1687 -6664 +-1810 -13 +686 3653 +-1016 -2897 +-70 -2509 +3287 -540 +759 2057 +-4905 6567 +-2126 2274 +-999 -3333 +-2341 4681 +3390 -943 +-539 -4300 +394 1148 +-1275 -686 +-3446 2165 +-1702 3349 +-4704 -4798 +5308 2341 +6070 7641 +-2382 -1160 +2118 901 +-254 3996 +158 433 +1921 -1350 +1930 -3717 +5851 0 +1930 3717 +1921 1350 +158 -433 +-254 -3996 +2118 -901 +-2382 1160 +6070 -7641 +5308 -2341 +-4704 4798 +-1702 -3349 +-3446 -2165 +-1275 686 +394 -1148 +-539 4300 +3390 943 +-2341 -4681 +-2538 1697 +4357 4300 +4146 1802 +-380 -686 +-2274 -3756 +943 -3349 +-3189 -1159 +-2967 2341 +3614 3820 +-169 1160 +-722 1981 +1909 3996 +-373 3111 +-2428 1350 +-4574 -715 +-5851 0 +-4574 715 +-2428 -1350 +-373 -3111 +1909 -3996 +-722 -1981 +-169 -1160 +3614 -3820 +-2967 -2341 +-3189 1159 +943 3349 +-2274 3756 +-380 686 +4146 -1802 +4357 -4300 +-2538 -1697 +-2341 4681 +3390 -943 +-539 -4300 +394 1148 +-1275 -686 +-3446 2165 +-1702 3349 +-4704 -4798 +5308 2341 +6070 7641 +-2382 -1160 +2118 901 +-254 3996 +158 433 +1921 -1350 +1930 -3717 +3511 -1170 +-292 -3342 +-4685 -1138 +-675 -7972 +-1216 -5310 +4175 4839 +7835 5200 +-1457 179 +-686 -828 +2309 3997 +-1933 184 +-2464 -1669 +-576 1989 +2184 -391 +-8 -698 +-2545 -607 +-1170 0 +-2545 607 +-8 698 +2184 391 +-576 -1989 +-2464 1669 +-1933 -184 +2309 -3997 +-686 828 +-1457 -179 +7835 -5200 +4175 -4839 +-1216 5310 +-675 7972 +-4685 1138 +-292 3342 +3511 1170 +748 1628 +1746 1274 +-626 -5078 +-3749 2969 +-2229 982 +696 -2530 +-838 5626 +-3996 828 +-14 1660 +22 5796 +518 514 +5541 351 +-884 2967 +-3673 4145 +2088 2624 +3511 0 +2088 -2624 +-3673 -4145 +-884 -2967 +5541 -351 +518 -514 +22 -5796 +-14 -1660 +-3996 -828 +-838 -5626 +696 2530 +-2229 -982 +-3749 -2969 +-626 5078 +1746 -1274 +748 -1628 +3511 -1170 +-292 -3342 +-4685 -1138 +-675 -7972 +-1216 -5310 +4175 4839 +7835 5200 +-1457 179 +-686 -828 +2309 3997 +-1933 184 +-2464 -1669 +-576 1989 +2184 -391 +-8 -698 +-2545 -607 +0 -3511 +-587 -4278 +-2133 950 +2476 -2104 +1739 -4262 +-578 1405 +2168 -3061 +-1929 -2973 +0 343 +687 -4538 +-2827 -2434 +-207 -1747 +-1200 -3618 +2710 -9 +3420 -794 +-2093 -2231 +-2341 0 +-2093 2231 +3420 794 +2710 9 +-1200 3618 +-207 1747 +-2827 2434 +687 4538 +0 -343 +-1929 2973 +2168 3061 +-578 -1405 +1739 4262 +2476 2104 +-2133 -950 +-587 4278 +0 3511 +688 1620 +-376 -1264 +-4417 -5417 +-3110 -4415 +4635 -263 +5764 1836 +-76 -321 +0 1998 +-1992 -274 +-424 -6782 +-541 3904 +-6791 8985 +2541 123 +3770 1851 +-1319 4675 +2341 0 +-1319 -4675 +3770 -1851 +2541 -123 +-6791 -8985 +-541 -3904 +-424 6782 +-1992 274 +0 -1998 +-76 321 +5764 -1836 +4635 263 +-3110 4415 +-4417 5417 +-376 1264 +688 -1620 +0 -3511 +-587 -4278 +-2133 950 +2476 -2104 +1739 -4262 +-578 1405 +2168 -3061 +-1929 -2973 +0 343 +687 -4538 +-2827 -2434 +-207 -1747 +-1200 -3618 +2710 -9 +3420 -794 +-2093 -2231 +0 1170 +-400 -8027 +-1987 -1172 +941 5992 +1251 -2400 +-3194 2138 +3469 6179 +2831 -838 +485 -3168 +567 -478 +-4751 3307 +-1019 2675 +-523 2019 +-4163 2276 +-1305 -149 +-976 573 +-2341 0 +-976 -573 +-1305 149 +-4163 -2276 +-523 -2019 +-1019 -2675 +-4751 -3307 +567 478 +485 3168 +2831 838 +3469 -6179 +-3194 -2138 +1251 2400 +941 -5992 +-1987 1172 +-400 8027 +0 -1170 +3140 829 +-1638 623 +-1619 -3828 +1775 1029 +-997 1648 +1066 486 +-3023 -1059 +-2825 -1513 +1143 1386 +-1155 -1322 +6225 334 +6860 5973 +-2794 5732 +-3062 4281 +3337 1656 +7022 0 +3337 -1656 +-3062 -4281 +-2794 -5732 +6860 -5973 +6225 -334 +-1155 1322 +1143 -1386 +-2825 1513 +-3023 1059 +1066 -486 +-997 -1648 +1775 -1029 +-1619 3828 +-1638 -623 +3140 -829 +0 1170 +-400 -8027 +-1987 -1172 +941 5992 +1251 -2400 +-3194 2138 +3469 6179 +2831 -838 +485 -3168 +567 -478 +-4751 3307 +-1019 2675 +-523 2019 +-4163 2276 +-1305 -149 +-976 573 +0 3511 +3555 5689 +1881 677 +-1108 -3587 +-3168 -2778 +-1939 -2242 +3746 -1216 +794 597 +-4480 -828 +1527 -2416 +3496 2603 +-2558 -272 +-1513 -4846 +2719 853 +1557 2761 +-1311 1603 +-2341 0 +-1311 -1603 +1557 -2761 +2719 -853 +-1513 4846 +-2558 272 +3496 -2603 +1527 2416 +-4480 828 +794 -597 +3746 1216 +-1939 2242 +-3168 2778 +-1108 3587 +1881 -677 +3555 -5689 +0 -3511 +261 -4238 +967 -2661 +-4276 -4373 +-3168 -8523 +981 193 +1145 667 +3353 -428 +2140 828 +-573 -3258 +-396 1529 +-4119 1029 +-1513 2907 +3680 614 +-3034 -9426 +-987 625 +7022 0 +-987 -625 +-3034 9426 +3680 -614 +-1513 -2907 +-4119 -1029 +-396 -1529 +-573 3258 +2140 -828 +3353 428 +1145 -667 +981 -193 +-3168 8523 +-4276 4373 +967 2661 +261 4238 +0 3511 +3555 5689 +1881 677 +-1108 -3587 +-3168 -2778 +-1939 -2242 +3746 -1216 +794 597 +-4480 -828 +1527 -2416 +3496 2603 +-2558 -272 +-1513 -4846 +2719 853 +1557 2761 +-1311 1603 +-2341 1170 +-2445 -638 +-1790 30 +457 5966 +3653 5173 +3191 -3539 +642 -1912 +-1446 -2813 +-1856 828 +1706 5873 +810 -3764 +-5123 2889 +-1312 3991 +3702 -3565 +-1151 4102 +1029 -184 +7022 0 +1029 184 +-1151 -4102 +3702 3565 +-1312 -3991 +-5123 -2889 +810 3764 +1706 -5873 +-1856 -828 +-1446 2813 +642 1912 +3191 3539 +3653 -5173 +457 -5966 +-1790 -30 +-2445 638 +-2341 -1170 +-1729 -1215 +-1639 -2759 +1467 -5317 +3653 477 +-1653 1283 +-4595 -460 +-2927 2826 +-5166 -828 +-3176 -2906 +3144 21 +779 1054 +-1312 -3021 +3801 -5568 +4580 1160 +2368 4027 +2341 0 +2368 -4027 +4580 -1160 +3801 5568 +-1312 3021 +779 -1054 +3144 -21 +-3176 2906 +-5166 828 +-2927 -2826 +-4595 460 +-1653 -1283 +3653 -477 +1467 5317 +-1639 2759 +-1729 1215 +-2341 1170 +-2445 -638 +-1790 30 +457 5966 +3653 5173 +3191 -3539 +642 -1912 +-1446 -2813 +-1856 828 +1706 5873 +810 -3764 +-5123 2889 +-1312 3991 +3702 -3565 +-1151 4102 +1029 -184 +-1170 0 +5268 -3460 +5524 -2889 +-4270 1158 +-985 -934 +1816 -3937 +-788 -143 +1417 -1050 +-1998 485 +-1828 5159 +-87 -123 +-5010 2119 +-3781 3212 +-456 1675 +386 3586 +-1649 -4891 +-4681 0 +-1649 4891 +386 -3586 +-456 -1675 +-3781 -3212 +-5010 -2119 +-87 123 +-1828 -5159 +-1998 -485 +1417 1050 +-788 143 +1816 3937 +-985 934 +-4270 -1158 +5524 2889 +5268 3460 +-1170 0 +731 333 +2932 -2971 +2163 -816 +-1356 8240 +-409 -997 +595 -6985 +-2611 -2053 +-343 -2825 +3021 149 +4961 2926 +3602 3892 +1440 -588 +2562 -3629 +-4161 484 +-4349 -3065 +2341 0 +-4349 3065 +-4161 -484 +2562 3629 +1440 588 +3602 -3892 +4961 -2926 +3021 -149 +-343 2825 +-2611 2053 +595 6985 +-409 997 +-1356 -8240 +2163 816 +2932 2971 +731 -333 +-1170 0 +5268 -3460 +5524 -2889 +-4270 1158 +-985 -934 +1816 -3937 +-788 -143 +1417 -1050 +-1998 485 +-1828 5159 +-87 -123 +-5010 2119 +-3781 3212 +-456 1675 +386 3586 +-1649 -4891 +1170 -3511 +1715 3151 +-2649 984 +-4050 -2264 +3527 819 +2128 -3396 +-3863 1489 +1522 241 +3996 -4138 +-1070 2600 +1110 -4283 +4563 -2022 +976 4139 +-1800 -1134 +-579 1683 +2011 -270 +3511 0 +2011 270 +-579 -1683 +-1800 1134 +976 -4139 +4563 2022 +1110 4283 +-1070 -2600 +3996 4138 +1522 -241 +-3863 -1489 +2128 3396 +3527 -819 +-4050 2264 +-2649 -984 +1715 -3151 +1170 3511 +2884 2392 +1593 178 +-2165 -1555 +469 -819 +141 1264 +-5353 386 +-4068 3312 +686 4138 +1825 -5164 +115 -9825 +-2508 -2642 +-291 -4139 +3691 -5218 +265 2222 +-4819 -303 +-5851 0 +-4819 303 +265 -2222 +3691 5218 +-291 4139 +-2508 2642 +115 9825 +1825 5164 +686 -4138 +-4068 -3312 +-5353 -386 +141 -1264 +469 819 +-2165 1555 +1593 -178 +2884 -2392 +1170 -3511 +1715 3151 +-2649 984 +-4050 -2264 +3527 819 +2128 -3396 +-3863 1489 +1522 241 +3996 -4138 +-1070 2600 +1110 -4283 +4563 -2022 +976 4139 +-1800 -1134 +-579 1683 +2011 -270 +-5851 1170 +7428 3340 +5042 609 +-1927 -2583 +2535 -722 +1263 -3463 +1518 -3194 +-4100 -2415 +-3996 -4138 +3285 -3683 +-465 -1463 +604 2622 +3042 89 +-1688 -338 +-4445 4882 +-1864 1652 +1170 0 +-1864 -1652 +-4445 -4882 +-1688 338 +3042 -89 +604 -2622 +-465 1463 +3285 3683 +-3996 4138 +-4100 2415 +1518 3194 +1263 3463 +2535 722 +-1927 2583 +5042 -609 +7428 -3340 +-5851 -1170 +-94 -3330 +1446 2061 +-3204 5514 +3801 -1618 +2523 -2879 +1169 -253 +3411 3214 +-686 4138 +-6178 1592 +-2222 1327 +4259 42 +-16 2251 +-1831 2912 +-2042 1097 +-1886 4831 +1170 0 +-1886 -4831 +-2042 -1097 +-1831 -2912 +-16 -2251 +4259 -42 +-2222 -1327 +-6178 -1592 +-686 -4138 +3411 -3214 +1169 253 +2523 2879 +3801 1618 +-3204 -5514 +1446 -2061 +-94 3330 +-5851 1170 +7428 3340 +5042 609 +-1927 -2583 +2535 -722 +1263 -3463 +1518 -3194 +-4100 -2415 +-3996 -4138 +3285 -3683 +-465 -1463 +604 2622 +3042 89 +-1688 -338 +-4445 4882 +-1864 1652 +2341 -1170 +2537 1264 +5339 2876 +-518 -3829 +969 -2320 +-499 2433 +-4785 2676 +1044 -1030 +-2341 343 +-2829 3879 +5340 -1186 +375 2530 +-5651 3712 +-4568 -1149 +-2718 1342 +526 -2376 +2341 0 +526 2376 +-2718 -1342 +-4568 1149 +-5651 -3712 +375 -2530 +5340 1186 +-2829 -3879 +-2341 -343 +1044 1030 +-4785 -2676 +-499 -2433 +969 2320 +-518 3829 +5339 -2876 +2537 -1264 +2341 1170 +2176 -3916 +-5531 -2666 +-2403 3089 +969 1634 +110 -2160 +1009 3482 +-2448 3400 +-2341 1998 +1426 6126 +3117 -647 +-764 -738 +-5651 283 +1646 -4692 +7592 239 +4187 739 +2341 0 +4187 -739 +7592 -239 +1646 4692 +-5651 -283 +-764 738 +3117 647 +1426 -6126 +-2341 -1998 +-2448 -3400 +1009 -3482 +110 2160 +969 -1634 +-2403 -3089 +-5531 2666 +2176 3916 +2341 -1170 +2537 1264 +5339 2876 +-518 -3829 +969 -2320 +-499 2433 +-4785 2676 +1044 -1030 +-2341 343 +-2829 3879 +5340 -1186 +375 2530 +-5651 3712 +-4568 -1149 +-2718 1342 +526 -2376 +2341 0 +2168 5023 +-2663 -3526 +-490 460 +1013 7687 +-3427 2891 +352 5493 +1461 -469 +1998 -4965 +3536 3826 +2779 647 +1692 -1029 +-3438 -423 +-774 -7268 +2199 -3530 +1493 2789 +3511 0 +1493 -2789 +2199 3530 +-774 7268 +-3438 423 +1692 1029 +2779 -647 +3536 -3826 +1998 4965 +1461 469 +352 -5493 +-3427 -2891 +1013 -7687 +-490 -460 +-2663 3526 +2168 -5023 +2341 0 +1183 2359 +-437 -81 +2380 2118 +642 304 +-5439 763 +-3200 -94 +-3457 230 +343 4965 +3562 1778 +-1302 71 +-462 1877 +1783 -948 +-101 420 +-7090 4604 +-3325 3817 +5851 0 +-3325 -3817 +-7090 -4604 +-101 -420 +1783 948 +-462 -1877 +-1302 -71 +3562 -1778 +343 -4965 +-3457 -230 +-3200 94 +-5439 -763 +642 -304 +2380 -2118 +-437 81 +1183 -2359 +2341 0 +2168 5023 +-2663 -3526 +-490 460 +1013 7687 +-3427 2891 +352 5493 +1461 -469 +1998 -4965 +3536 3826 +2779 647 +1692 -1029 +-3438 -423 +-774 -7268 +2199 -3530 +1493 2789 +1170 -5851 +4068 516 +3382 2297 +2277 -2604 +-992 1931 +-464 1783 +-211 1860 +-6607 6039 +-1170 5993 +2737 4466 +-2318 3192 +-1930 1931 +2066 -2309 +6331 -1462 +-2583 3033 +-3506 -280 +5851 0 +-3506 280 +-2583 -3033 +6331 1462 +2066 2309 +-1930 -1931 +-2318 -3192 +2737 -4466 +-1170 -5993 +-6607 -6039 +-211 -1860 +-464 -1783 +-992 -1931 +2277 2604 +3382 -2297 +4068 -516 +1170 5851 +-3709 -1227 +-4456 2 +2334 558 +3333 -4556 +366 1903 +929 -86 +-1566 -4416 +-1170 1028 +-3718 1481 +-3080 1892 +2531 -37 +275 -4996 +1794 -2375 +-1024 2576 +-940 3894 +5851 0 +-940 -3894 +-1024 -2576 +1794 2375 +275 4996 +2531 37 +-3080 -1892 +-3718 -1481 +-1170 -1028 +-1566 4416 +929 86 +366 -1903 +3333 4556 +2334 -558 +-4456 -2 +-3709 1227 +1170 -5851 +4068 516 +3382 2297 +2277 -2604 +-992 1931 +-464 1783 +-211 1860 +-6607 6039 +-1170 5993 +2737 4466 +-2318 3192 +-1930 1931 +2066 -2309 +6331 -1462 +-2583 3033 +-3506 -280 +-1170 -1170 +3287 438 +270 -382 +-3688 -4781 +-2551 -4830 +-3501 3885 +-4975 6292 +1555 772 +1170 -1998 +-2552 -2266 +1868 902 +2203 750 +-507 -5989 +-127 -4300 +4326 -1016 +3431 -4700 +-1170 0 +3431 4700 +4326 1016 +-127 4300 +-507 5989 +2203 -750 +1868 -902 +-2552 2266 +1170 1998 +1555 -772 +-4975 -6292 +-3501 -3885 +-2551 4830 +-3688 4781 +270 382 +3287 -438 +-1170 1170 +-723 3660 +118 3321 +-7865 1412 +-759 -135 +4262 -735 +262 2239 +5595 1891 +1170 -343 +230 3495 +-465 1009 +-5259 -4814 +3817 1023 +735 3078 +-1405 -2665 +2416 -1999 +-1170 0 +2416 1999 +-1405 2665 +735 -3078 +3817 -1023 +-5259 4814 +-465 -1009 +230 -3495 +1170 343 +5595 -1891 +262 -2239 +4262 735 +-759 135 +-7865 -1412 +118 -3321 +-723 -3660 +-1170 -1170 +3287 438 +270 -382 +-3688 -4781 +-2551 -4830 +-3501 3885 +-4975 6292 +1555 772 +1170 -1998 +-2552 -2266 +1868 902 +2203 750 +-507 -5989 +-127 -4300 +4326 -1016 +3431 -4700 +4681 2341 +1664 1754 +255 -543 +346 433 +-1385 -2505 +-1380 189 +-2778 -782 +-2465 -2871 +-1513 -485 +269 -7866 +1397 -5622 +-521 1382 +-500 1102 +2327 4142 +5490 1137 +1695 -2973 +-3511 0 +1695 2973 +5490 -1137 +2327 -4142 +-500 -1102 +-521 -1382 +1397 5622 +269 7866 +-1513 485 +-2465 2871 +-2778 782 +-1380 -189 +-1385 2505 +346 -433 +255 543 +1664 -1754 +4681 -2341 +-4804 -942 +-4031 -1038 +3722 3553 +-6606 1820 +-3269 184 +7652 2616 +1383 3252 +-3168 2825 +-5030 613 +-1590 -536 +2365 -2529 +-871 2894 +3032 4946 +2967 -1347 +669 2770 +3511 0 +669 -2770 +2967 1347 +3032 -4946 +-871 -2894 +2365 2529 +-1590 536 +-5030 -613 +-3168 -2825 +1383 -3252 +7652 -2616 +-3269 -184 +-6606 -1820 +3722 -3553 +-4031 1038 +-4804 942 +4681 2341 +1664 1754 +255 -543 +346 433 +-1385 -2505 +-1380 189 +-2778 -782 +-2465 -2871 +-1513 -485 +269 -7866 +1397 -5622 +-521 1382 +-500 1102 +2327 4142 +5490 1137 +1695 -2973 +-3511 -3511 +1946 891 +-618 1091 +-457 1233 +-1380 -157 +-2296 -3849 +5667 2146 +-1300 7711 +-4196 5308 +1300 5211 +629 1183 +2296 -1252 +663 4608 +457 902 +-2191 -120 +-1946 8728 +3511 0 +-1946 -8728 +-2191 120 +457 -902 +663 -4608 +2296 1252 +629 -1183 +1300 -5211 +-4196 -5308 +-1300 -7711 +5667 -2146 +-2296 3849 +-1380 157 +-457 -1233 +-618 -1091 +1946 -891 +-3511 3511 +1946 2562 +3973 -1850 +-457 -1182 +411 -528 +-2296 -958 +1775 -1639 +-1300 -3711 +-7506 -2967 +1300 581 +3230 2635 +2296 770 +4988 -612 +457 3474 +-3104 2670 +-1946 -3483 +-1170 0 +-1946 3483 +-3104 -2670 +457 -3474 +4988 612 +2296 -770 +3230 -2635 +1300 -581 +-7506 2967 +-1300 3711 +1775 1639 +-2296 958 +411 528 +-457 1182 +3973 1850 +1946 -2562 +-3511 -3511 +1946 891 +-618 1091 +-457 1233 +-1380 -157 +-2296 -3849 +5667 2146 +-1300 7711 +-4196 5308 +1300 5211 +629 1183 +2296 -1252 +663 4608 +457 902 +-2191 -120 +-1946 8728 +0 -1170 +3682 -7226 +-56 -2354 +-2140 7901 +-3886 1081 +-655 16 +3888 -687 +-1422 -3915 +1170 4823 +6315 2703 +1659 -2660 +534 688 +-439 448 +-3196 -4305 +-686 -3381 +2035 4823 +2341 0 +2035 -4823 +-686 3381 +-3196 4305 +-439 -448 +534 -688 +1659 2660 +6315 -2703 +1170 -4823 +-1422 3915 +3888 687 +-655 -16 +-3886 -1081 +-2140 -7901 +-56 2354 +3682 7226 +0 1170 +-437 -435 +3622 -704 +2715 473 +2231 -1081 +-1722 -1409 +-2604 -580 +-137 442 +1170 -142 +6189 -2209 +367 1393 +-2986 -982 +2094 -448 +-5790 4415 +-6190 322 +-2985 847 +-7022 0 +-2985 -847 +-6190 -322 +-5790 -4415 +2094 448 +-2986 982 +367 -1393 +6189 2209 +1170 142 +-137 -442 +-2604 580 +-1722 1409 +2231 1081 +2715 -473 +3622 704 +-437 435 +0 -1170 +3682 -7226 +-56 -2354 +-2140 7901 +-3886 1081 +-655 16 +3888 -687 +-1422 -3915 +1170 4823 +6315 2703 +1659 -2660 +534 688 +-439 448 +-3196 -4305 +-686 -3381 +2035 4823 +0 2341 +-2466 -4497 +200 -4246 +-1434 3231 +-2930 -2162 +-3325 -2282 +-4649 658 +2001 4001 +7648 3996 +2202 -625 +-3266 -579 +-3219 -6650 +1401 -896 +4315 2368 +-315 -5457 +-288 5307 +3511 0 +-288 -5307 +-315 5457 +4315 -2368 +1401 896 +-3219 6650 +-3266 579 +2202 625 +7648 -3996 +2001 -4001 +-4649 -658 +-3325 2282 +-2930 2162 +-1434 -3231 +200 4246 +-2466 4497 +0 -2341 +3333 4473 +11 6838 +-1762 3327 +-2035 2162 +-2142 1560 +-1509 -955 +-3503 -2502 +-627 686 +4402 1348 +1433 -1657 +1052 -3498 +3564 896 +-105 1384 +-1266 -3252 +941 512 +1170 0 +941 -512 +-1266 3252 +-105 -1384 +3564 -896 +1052 3498 +1433 1657 +4402 -1348 +-627 -686 +-3503 2502 +-1509 955 +-2142 -1560 +-2035 -2162 +-1762 -3327 +11 -6838 +3333 -4473 +0 2341 +-2466 -4497 +200 -4246 +-1434 3231 +-2930 -2162 +-3325 -2282 +-4649 658 +2001 4001 +7648 3996 +2202 -625 +-3266 -579 +-3219 -6650 +1401 -896 +4315 2368 +-315 -5457 +-288 5307 +0 -3511 +1049 1791 +2024 3345 +1829 1732 +-1044 4883 +-2709 -4158 +1599 -2373 +-2738 5211 +-3310 -4338 +2650 -4081 +4705 2994 +3704 132 +-3459 464 +-4813 2619 +-2733 1435 +1457 869 +7022 0 +1457 -869 +-2733 -1435 +-4813 -2619 +-3459 -464 +3704 -132 +4705 -2994 +2650 4081 +-3310 4338 +-2738 -5211 +1599 2373 +-2709 4158 +-1044 -4883 +1829 -1732 +2024 -3345 +1049 -1791 +0 3511 +2148 -4530 +-3679 -1900 +-3808 5565 +2014 1454 +465 -1646 +56 -2130 +251 -185 +3310 -2683 +1356 -5001 +-3050 -2816 +-444 -7099 +-2192 -3490 +-843 773 +1078 -4671 +448 -1733 +2341 0 +448 1733 +1078 4671 +-843 -773 +-2192 3490 +-444 7099 +-3050 2816 +1356 5001 +3310 2683 +251 185 +56 2130 +465 1646 +2014 -1454 +-3808 -5565 +-3679 1900 +2148 4530 +0 -3511 +1049 1791 +2024 3345 +1829 1732 +-1044 4883 +-2709 -4158 +1599 -2373 +-2738 5211 +-3310 -4338 +2650 -4081 +4705 2994 +3704 132 +-3459 464 +-4813 2619 +-2733 1435 +1457 869 +0 -1170 +1136 316 +1986 -2734 +-1722 -1484 +-3817 738 +1906 -367 +667 -433 +-4244 7198 +-1655 5993 +-1825 -4487 +2579 -751 +6633 2137 +2551 2446 +3690 1535 +767 -2105 +-1313 4674 +2341 0 +-1313 -4674 +767 2105 +3690 -1535 +2551 -2446 +6633 -2137 +2579 751 +-1825 4487 +-1655 -5993 +-4244 -7198 +667 433 +1906 367 +-3817 -738 +-1722 1484 +1986 2734 +1136 -316 +0 1170 +2145 1082 +1072 -1084 +-1759 915 +507 -1424 +-1823 -1714 +-1934 -326 +3448 50 +1655 1028 +-962 2226 +-1313 3302 +1934 -1832 +759 1550 +-8858 4160 +-3825 1598 +1615 9817 +-2341 0 +1615 -9817 +-3825 -1598 +-8858 -4160 +759 -1550 +1934 1832 +-1313 -3302 +-962 -2226 +1655 -1028 +3448 -50 +-1934 326 +-1823 1714 +507 1424 +-1759 -915 +1072 1084 +2145 -1082 +0 -1170 +1136 316 +1986 -2734 +-1722 -1484 +-3817 738 +1906 -367 +667 -433 +-4244 7198 +-1655 5993 +-1825 -4487 +2579 -751 +6633 2137 +2551 2446 +3690 1535 +767 -2105 +-1313 4674 +1170 1170 +4047 -2229 +-2104 -1922 +88 1433 +2521 2446 +400 572 +446 -3254 +-2405 1186 +2825 3653 +4639 -1297 +1101 -1175 +2230 -925 +-1433 -1424 +3032 -3135 +6098 -724 +-1021 7642 +-3511 0 +-1021 -7642 +6098 724 +3032 3135 +-1433 1424 +2230 925 +1101 1175 +4639 1297 +2825 -3653 +-2405 -1186 +446 3254 +400 -572 +2521 -2446 +88 -1433 +-2104 1922 +4047 2229 +1170 -1170 +-4295 -2254 +-4012 -3984 +-4432 -579 +-4862 1550 +62 1245 +2087 6879 +-1357 2170 +-485 -1312 +-4188 3521 +-3635 -3191 +618 -3795 +-908 738 +4622 -5289 +18 -3811 +-2042 2724 +5851 0 +-2042 -2724 +18 3811 +4622 5289 +-908 -738 +618 3795 +-3635 3191 +-4188 -3521 +-485 1312 +-1357 -2170 +2087 -6879 +62 -1245 +-4862 -1550 +-4432 579 +-4012 3984 +-4295 2254 +1170 1170 +4047 -2229 +-2104 -1922 +88 1433 +2521 2446 +400 572 +446 -3254 +-2405 1186 +2825 3653 +4639 -1297 +1101 -1175 +2230 -925 +-1433 -1424 +3032 -3135 +6098 -724 +-1021 7642 +2341 -1170 +5156 3429 +-1295 -498 +2420 -2909 +3653 2462 +-4984 -2081 +-1009 -4325 +-3863 927 +-3795 2483 +2091 -1211 +-3628 -2841 +-1321 2036 +-1312 1111 +-5749 1013 +901 5474 +1773 1354 +-2341 0 +1773 -1354 +901 -5474 +-5749 -1013 +-1312 -1111 +-1321 -2036 +-3628 2841 +2091 1211 +-3795 -2483 +-3863 -927 +-1009 4325 +-4984 2081 +3653 -2462 +2420 2909 +-1295 498 +5156 -3429 +2341 1170 +-662 -995 +-1941 110 +1651 3197 +3653 -1492 +-2181 -2717 +830 -388 +4733 1012 +6135 -2483 +3659 -3708 +-875 1438 +1866 1074 +-1312 4540 +-4942 7183 +-2346 -2553 +352 -5779 +2341 0 +352 5779 +-2346 2553 +-4942 -7183 +-1312 -4540 +1866 -1074 +-875 -1438 +3659 3708 +6135 2483 +4733 -1012 +830 388 +-2181 2717 +3653 1492 +1651 -3197 +-1941 -110 +-662 995 +2341 -1170 +5156 3429 +-1295 -498 +2420 -2909 +3653 2462 +-4984 -2081 +-1009 -4325 +-3863 927 +-3795 2483 +2091 -1211 +-3628 -2841 +-1321 2036 +-1312 1111 +-5749 1013 +901 5474 +1773 1354 +1170 2341 +2940 -3395 +6001 1801 +4188 1606 +-1230 4370 +1150 4300 +4376 -4217 +512 1553 +-1998 3795 +-2485 -1503 +-2460 755 +300 3331 +-848 2386 +-2659 -737 +1224 -4992 +-343 -6388 +-4681 0 +-343 6388 +1224 4992 +-2659 737 +-848 -2386 +300 -3331 +-2460 -755 +-2485 1503 +-1998 -3795 +512 -1553 +4376 4217 +1150 -4300 +-1230 -4370 +4188 -1606 +6001 -1801 +2940 3395 +1170 -2341 +-1289 15 +-2142 3640 +544 -3307 +2199 -1746 +1310 -4657 +-1021 -971 +-1463 1712 +-343 -6135 +-2680 443 +-2834 109 +-226 -1897 +-4802 4920 +-4607 826 +6218 -2240 +4809 -1316 +-2341 0 +4809 1316 +6218 2240 +-4607 -826 +-4802 -4920 +-226 1897 +-2834 -109 +-2680 -443 +-343 6135 +-1463 -1712 +-1021 971 +1310 4657 +2199 1746 +544 3307 +-2142 -3640 +-1289 -15 +1170 2341 +2940 -3395 +6001 1801 +4188 1606 +-1230 4370 +1150 4300 +4376 -4217 +512 1553 +-1998 3795 +-2485 -1503 +-2460 755 +300 3331 +-848 2386 +-2659 -737 +1224 -4992 +-343 -6388 +2341 -3511 +4462 -1986 +-934 2319 +4345 2548 +1529 -2446 +-6034 510 +694 2751 +-456 3282 +-3996 2683 +798 -2652 +2019 5980 +2663 9017 +633 1424 +-3689 3888 +-1672 1463 +1754 -3231 +2341 0 +1754 3231 +-1672 -1463 +-3689 -3888 +633 -1424 +2663 -9017 +2019 -5980 +798 2652 +-3996 -2683 +-456 -3282 +694 -2751 +-6034 -510 +1529 2446 +4345 -2548 +-934 -2319 +4462 1986 +2341 3511 +-1420 -1439 +-585 -3078 +-3216 769 +-1529 -1550 +-3920 -315 +-1709 -2244 +5111 -2788 +-686 4338 +1167 256 +5615 -2163 +672 185 +-633 -738 +-4061 -926 +-3429 1088 +1824 6278 +2341 0 +1824 -6278 +-3429 -1088 +-4061 926 +-633 738 +672 -185 +5615 2163 +1167 -256 +-686 -4338 +5111 2788 +-1709 2244 +-3920 315 +-1529 1550 +-3216 -769 +-585 3078 +-1420 1439 +2341 -3511 +4462 -1986 +-934 2319 +4345 2548 +1529 -2446 +-6034 510 +694 2751 +-456 3282 +-3996 2683 +798 -2652 +2019 5980 +2663 9017 +633 1424 +-3689 3888 +-1672 1463 +1754 -3231 +-1170 0 +2291 -2027 +4237 -1446 +1868 -964 +-6896 1529 +-5632 -2157 +6464 -5466 +3367 -1738 +3168 -686 +5836 -4702 +-1327 -4027 +-567 1411 +2656 -633 +942 -1654 +-5022 2047 +-4988 -374 +0 0 +-4988 374 +-5022 -2047 +942 1654 +2656 633 +-567 -1411 +-1327 4027 +5836 4702 +3168 686 +3367 1738 +6464 5466 +-5632 2157 +-6896 -1529 +1868 964 +4237 1446 +2291 2027 +-1170 0 +-2644 -1125 +-4255 5053 +-550 2645 +-409 -1529 +-1748 -18 +3470 67 +942 1492 +1513 -3996 +1073 -3536 +-5297 3310 +-2222 -2215 +-31 633 +1288 1964 +1729 -3121 +743 5214 +2341 0 +743 -5214 +1729 3121 +1288 -1964 +-31 -633 +-2222 2215 +-5297 -3310 +1073 3536 +1513 3996 +942 -1492 +3470 -67 +-1748 18 +-409 1529 +-550 -2645 +-4255 -5053 +-2644 1125 +-1170 0 +2291 -2027 +4237 -1446 +1868 -964 +-6896 1529 +-5632 -2157 +6464 -5466 +3367 -1738 +3168 -686 +5836 -4702 +-1327 -4027 +-567 1411 +2656 -633 +942 -1654 +-5022 2047 +-4988 -374 +2341 1170 +1484 3991 +-1083 -5055 +1333 1063 +-1775 -836 +-891 -5985 +3360 1280 +-937 647 +-1856 -4138 +366 -3379 +1034 810 +-2194 2584 +-6860 2484 +-3390 -2786 +-1769 -5790 +274 2854 +4681 0 +274 -2854 +-1769 5790 +-3390 2786 +-6860 -2484 +-2194 -2584 +1034 -810 +366 3379 +-1856 4138 +-937 -647 +3360 -1280 +-891 5985 +-1775 836 +1333 -1063 +-1083 5055 +1484 -3991 +2341 -1170 +1034 3745 +2664 -4668 +203 -4144 +-1251 -2474 +-2117 2689 +-1527 1585 +-1516 -4211 +-5166 4138 +1072 3126 +5124 -2626 +100 -2570 +523 -5794 +335 -3605 +1559 747 +4843 1572 +4681 0 +4843 -1572 +1559 -747 +335 3605 +523 5794 +100 2570 +5124 2626 +1072 -3126 +-5166 -4138 +-1516 4211 +-1527 -1585 +-2117 -2689 +-1251 2474 +203 4144 +2664 4668 +1034 -3745 +2341 1170 +1484 3991 +-1083 -5055 +1333 1063 +-1775 -836 +-891 -5985 +3360 1280 +-937 647 +-1856 -4138 +366 -3379 +1034 810 +-2194 2584 +-6860 2484 +-3390 -2786 +-1769 -5790 +274 2854 +3511 2341 +-1462 651 +-3826 3804 +-343 2117 +1893 -2094 +-4954 -2491 +-1830 -1524 +4987 -4522 +-1028 -2825 +-2392 4082 +-2882 -1129 +-1239 -4293 +1259 -3886 +-7671 -3705 +-3303 1920 +4798 42 +2341 0 +4798 -42 +-3303 -1920 +-7671 3705 +1259 3886 +-1239 4293 +-2882 1129 +-2392 -4082 +-1028 2825 +4987 4522 +-1830 1524 +-4954 2491 +1893 2094 +-343 -2117 +-3826 -3804 +-1462 -651 +3511 -2341 +1120 1194 +-1961 1965 +4936 -3602 +2788 439 +350 -3863 +5469 -4770 +-1549 3818 +-5993 485 +-2061 -1476 +-2129 -484 +742 1250 +3422 2231 +1558 -1089 +1099 -832 +3179 -1508 +4681 0 +3179 1508 +1099 832 +1558 1089 +3422 -2231 +742 -1250 +-2129 484 +-2061 1476 +-5993 -485 +-1549 -3818 +5469 4770 +350 3863 +2788 -439 +4936 3602 +-1961 -1965 +1120 -1194 +3511 2341 +-1462 651 +-3826 3804 +-343 2117 +1893 -2094 +-4954 -2491 +-1830 -1524 +4987 -4522 +-1028 -2825 +-2392 4082 +-2882 -1129 +-1239 -4293 +1259 -3886 +-7671 -3705 +-3303 1920 +4798 42 +0 3511 +6273 -504 +-523 1241 +2836 4012 +3475 -1744 +-28 -2072 +6817 3519 +631 1276 +3795 -828 +7648 1904 +-5669 -1580 +-6175 -4931 +-4549 -37 +-2289 1868 +4271 -1505 +2485 -1875 +0 0 +2485 1875 +4271 1505 +-2289 -1868 +-4549 37 +-6175 4931 +-5669 1580 +7648 -1904 +3795 828 +631 -1276 +6817 -3519 +-28 2072 +3475 1744 +2836 -4012 +-523 -1241 +6273 504 +0 -3511 +-1511 1050 +176 6783 +-3205 553 +-850 -3907 +-492 639 +2011 2713 +-1106 1376 +-6135 828 +-553 -1042 +1523 -2119 +75 -828 +-2757 -933 +-3963 -1627 +757 -402 +-627 630 +-4681 0 +-627 -630 +757 402 +-3963 1627 +-2757 933 +75 828 +1523 2119 +-553 1042 +-6135 -828 +-1106 -1376 +2011 -2713 +-492 -639 +-850 3907 +-3205 -553 +176 -6783 +-1511 -1050 +0 3511 +6273 -504 +-523 1241 +2836 4012 +3475 -1744 +-28 -2072 +6817 3519 +631 1276 +3795 -828 +7648 1904 +-5669 -1580 +-6175 -4931 +-4549 -37 +-2289 1868 +4271 -1505 +2485 -1875 +-2341 1170 +2182 2897 +-1020 1503 +-3247 -2942 +2094 2914 +4730 1022 +37 -5762 +1425 -5632 +4480 -7164 +-1850 -904 +-1047 1537 +-683 -1832 +-3886 -1133 +3326 -2435 +836 -312 +-3592 2016 +0 0 +-3592 -2016 +836 312 +3326 2435 +-3886 1133 +-683 1832 +-1047 -1537 +-1850 904 +4480 7164 +1425 5632 +37 5762 +4730 -1022 +2094 -2914 +-3247 2942 +-1020 -1503 +2182 -2897 +-2341 -1170 +-1777 4690 +3571 4445 +3114 -3781 +-439 5077 +-6436 5536 +-3855 -1977 +1417 1840 +-2140 -2199 +800 -4323 +1554 86 +-1936 1175 +2231 -238 +1132 -2141 +-77 -3102 +1396 -5226 +0 0 +1396 5226 +-77 3102 +1132 2141 +2231 238 +-1936 -1175 +1554 -86 +800 4323 +-2140 2199 +1417 -1840 +-3855 1977 +-6436 -5536 +-439 -5077 +3114 3781 +3571 -4445 +-1777 -4690 +-2341 1170 +2182 2897 +-1020 1503 +-3247 -2942 +2094 2914 +4730 1022 +37 -5762 +1425 -5632 +4480 -7164 +-1850 -904 +-1047 1537 +-683 -1832 +-3886 -1133 +3326 -2435 +836 -312 +-3592 2016 +-5851 1170 +-446 2074 +2588 4404 +5753 93 +1461 933 +-5914 2812 +-3434 -25 +302 -1423 +2625 -3168 +-4840 -591 +-3476 1848 +3944 -1273 +-2357 1744 +3958 2214 +5794 -2408 +-836 39 +1170 0 +-836 -39 +5794 2408 +3958 -2214 +-2357 -1744 +3944 1273 +-3476 -1848 +-4840 591 +2625 3168 +302 1423 +-3434 25 +-5914 -2812 +1461 -933 +5753 -93 +2588 -4404 +-446 -2074 +-5851 -1170 +-3151 -5892 +-892 -4734 +-386 -852 +194 37 +-682 -261 +5893 1131 +911 916 +-7306 -1513 +-2217 1098 +-922 629 +-154 -1277 +702 3907 +101 -1455 +3810 -5913 +3657 3779 +1170 0 +3657 -3779 +3810 5913 +101 1455 +702 -3907 +-154 1277 +-922 -629 +-2217 -1098 +-7306 1513 +911 -916 +5893 -1131 +-682 261 +194 -37 +-386 852 +-892 4734 +-3151 5892 +-5851 1170 +-446 2074 +2588 4404 +5753 93 +1461 933 +-5914 2812 +-3434 -25 +302 -1423 +2625 -3168 +-4840 -591 +-3476 1848 +3944 -1273 +-2357 1744 +3958 2214 +5794 -2408 +-836 39 +1170 -3511 +1015 454 +-2668 8050 +-3412 2113 +799 3467 +-177 1588 +-3568 -2421 +833 2782 +3511 -2683 +-2438 1204 +-2539 6281 +5191 -4398 +6391 -1298 +2980 3474 +2337 -1312 +-479 88 +-3511 0 +-479 -88 +2337 1312 +2980 -3474 +6391 1298 +5191 4398 +-2539 -6281 +-2438 -1204 +3511 2683 +833 -2782 +-3568 2421 +-177 -1588 +799 -3467 +-3412 -2113 +-2668 -8050 +1015 -454 +1170 3511 +742 549 +579 2240 +-184 3753 +1541 3838 +2579 -1076 +-816 2824 +-1183 2167 +3511 -4338 +2788 1953 +-4378 175 +-7593 586 +-4050 3923 +616 -1933 +1691 -1070 +-1278 -877 +-3511 0 +-1278 877 +1691 1070 +616 1933 +-4050 -3923 +-7593 -586 +-4378 -175 +2788 -1953 +3511 4338 +-1183 -2167 +-816 -2824 +2579 1076 +1541 -3838 +-184 -3753 +579 -2240 +742 -549 +1170 -3511 +1015 454 +-2668 8050 +-3412 2113 +799 3467 +-177 1588 +-3568 -2421 +833 2782 +3511 -2683 +-2438 1204 +-2539 6281 +5191 -4398 +6391 -1298 +2980 3474 +2337 -1312 +-479 88 +2341 -5851 +2947 -2904 +747 1607 +-3208 1569 +2192 1275 +1273 2894 +-158 -2842 +1981 -7029 +-2341 1998 +-5083 3450 +1763 -2875 +6725 -1477 +1044 -254 +-1393 2959 +-1267 2450 +-4017 -5844 +-4681 0 +-4017 5844 +-1267 -2450 +-1393 -2959 +1044 254 +6725 1477 +1763 2875 +-5083 -3450 +-2341 -1998 +1981 7029 +-158 2842 +1273 -2894 +2192 -1275 +-3208 -1569 +747 -1607 +2947 2904 +2341 5851 +1380 2691 +-4194 2791 +3195 4588 +3459 380 +59 2302 +6137 4539 +-1134 -1024 +-2341 343 +-865 -977 +-4433 -6730 +-423 -815 +-2014 1909 +391 -1547 +1403 10 +-1827 174 +0 0 +-1827 -174 +1403 -10 +391 1547 +-2014 -1909 +-423 815 +-4433 6730 +-865 977 +-2341 -343 +-1134 1024 +6137 -4539 +59 -2302 +3459 -380 +3195 -4588 +-4194 -2791 +1380 -2691 +2341 -5851 +2947 -2904 +747 1607 +-3208 1569 +2192 1275 +1273 2894 +-158 -2842 +1981 -7029 +-2341 1998 +-5083 3450 +1763 -2875 +6725 -1477 +1044 -254 +-1393 2959 +-1267 2450 +-4017 -5844 +3511 1170 +-3939 381 +-4631 -1698 +-214 5477 +-2066 4734 +-1017 815 +1141 -918 +1796 2800 +2140 4338 +-666 -2045 +-1534 -3183 +-2749 -3972 +-3333 1760 +-506 -810 +-2732 -6092 +-1279 9058 +3511 0 +-1279 -9058 +-2732 6092 +-506 810 +-3333 -1760 +-2749 3972 +-1534 3183 +-666 2045 +2140 -4338 +1796 -2800 +1141 918 +-1017 -815 +-2066 -4734 +-214 -5477 +-4631 1698 +-3939 -381 +3511 -1170 +1638 222 +-471 -4208 +31 1424 +-275 2572 +5425 769 +6494 4542 +-204 3741 +-4480 2683 +-4235 -1196 +519 -1184 +1651 2602 +992 864 +4000 2015 +1213 1557 +270 -2255 +3511 0 +270 2255 +1213 -1557 +4000 -2015 +992 -864 +1651 -2602 +519 1184 +-4235 1196 +-4480 -2683 +-204 -3741 +6494 -4542 +5425 -769 +-275 -2572 +31 -1424 +-471 4208 +1638 -222 +3511 1170 +-3939 381 +-4631 -1698 +-214 5477 +-2066 4734 +-1017 815 +1141 -918 +1796 2800 +2140 4338 +-666 -2045 +-1534 -3183 +-2749 -3972 +-3333 1760 +-506 -810 +-2732 -6092 +-1279 9058 +3511 1170 +2361 1070 +3007 490 +5126 -5951 +-2094 3221 +-4344 2747 +1031 -1903 +-2894 2105 +-4965 828 +-3130 -1498 +-7131 -6482 +-2669 -1212 +3886 4928 +-527 1007 +-1149 2506 +425 829 +-1170 0 +425 -829 +-1149 -2506 +-527 -1007 +3886 -4928 +-2669 1212 +-7131 6482 +-3130 1498 +-4965 -828 +-2894 -2105 +1031 1903 +-4344 -2747 +-2094 -3221 +5126 5951 +3007 -490 +2361 -1070 +3511 -1170 +1201 -4202 +674 3699 +-122 1196 +439 1059 +2587 3800 +879 -2558 +2084 -3298 +4965 -828 +1407 2691 +-1400 -1289 +-1689 -5334 +-2231 4033 +1639 3748 +4088 -1628 +-1454 2303 +-5851 0 +-1454 -2303 +4088 1628 +1639 -3748 +-2231 -4033 +-1689 5334 +-1400 1289 +1407 -2691 +4965 828 +2084 3298 +879 2558 +2587 -3800 +439 -1059 +-122 -1196 +674 -3699 +1201 4202 +3511 1170 +2361 1070 +3007 490 +5126 -5951 +-2094 3221 +-4344 2747 +1031 -1903 +-2894 2105 +-4965 828 +-3130 -1498 +-7131 -6482 +-2669 -1212 +3886 4928 +-527 1007 +-1149 2506 +425 829 +-2341 -3511 +-1330 4312 +1427 -1813 +455 -2354 +-149 3364 +3781 0 +2303 -596 +-2 2653 +686 3653 +1195 4777 +3652 6970 +335 -620 +-1296 -7171 +4215 318 +267 5021 +-1780 2993 +2341 0 +-1780 -2993 +267 -5021 +4215 -318 +-1296 7171 +335 620 +3652 -6970 +1195 -4777 +686 -3653 +-2 -2653 +2303 596 +3781 0 +-149 -3364 +455 2354 +1427 1813 +-1330 -4312 +-2341 3511 +-3133 3387 +-3082 3531 +-1534 5721 +1118 -3648 +1522 793 +-648 1432 +3755 -4999 +3996 -1312 +-3934 869 +-1997 5168 +-536 42 +-4354 -2475 +-1617 4420 +-1922 -1365 +-1391 -3286 +2341 0 +-1391 3286 +-1922 1365 +-1617 -4420 +-4354 2475 +-536 -42 +-1997 -5168 +-3934 -869 +3996 1312 +3755 4999 +-648 -1432 +1522 -793 +1118 3648 +-1534 -5721 +-3082 -3531 +-3133 -3387 +-2341 -3511 +-1330 4312 +1427 -1813 +455 -2354 +-149 3364 +3781 0 +2303 -596 +-2 2653 +686 3653 +1195 4777 +3652 6970 +335 -620 +-1296 -7171 +4215 318 +267 5021 +-1780 2993 +-2341 -2341 +3450 -2952 +7598 -3999 +-354 1298 +1566 880 +-285 -7012 +-4205 -6616 +-1020 -1049 +828 1170 +2096 -665 +2635 -1195 +-1215 -1957 +-3273 -4697 +-244 1727 +-2588 3539 +-413 -5816 +5851 0 +-413 5816 +-2588 -3539 +-244 -1727 +-3273 4697 +-1215 1957 +2635 1195 +2096 665 +828 -1170 +-1020 1049 +-4205 6616 +-285 7012 +1566 -880 +-354 -1298 +7598 3999 +3450 2952 +-2341 2341 +2770 -1786 +-2885 -5605 +-2163 1048 +-596 2146 +-2615 -687 +1284 2217 +-3084 1842 +-828 1170 +993 87 +-3023 -1265 +-986 2249 +-2377 -1639 +1241 -7372 +1185 -1842 +1827 2449 +8192 0 +1827 -2449 +1185 1842 +1241 7372 +-2377 1639 +-986 -2249 +-3023 1265 +993 -87 +-828 -1170 +-3084 -1842 +1284 -2217 +-2615 687 +-596 -2146 +-2163 -1048 +-2885 5605 +2770 1786 +-2341 -2341 +3450 -2952 +7598 -3999 +-354 1298 +1566 880 +-285 -7012 +-4205 -6616 +-1020 -1049 +828 1170 +2096 -665 +2635 -1195 +-1215 -1957 +-3273 -4697 +-244 1727 +-2588 3539 +-413 -5816 +-7022 0 +-3106 1937 +985 2982 +5369 -3626 +-722 -2272 +-3672 907 +-1648 -3134 +-4530 -4535 +3168 -2140 +1707 2207 +-787 5098 +4966 933 +-89 -649 +2163 3699 +289 2238 +2407 -2182 +12873 0 +2407 2182 +289 -2238 +2163 -3699 +-89 649 +4966 -933 +-787 -5098 +1707 -2207 +3168 2140 +-4530 4535 +-1648 3134 +-3672 -907 +-722 2272 +5369 3626 +985 -2982 +-3106 -1937 +-7022 0 +-3041 -2945 +1936 1521 +-1202 1217 +-1618 -4064 +1408 807 +3051 -102 +1368 -3819 +1513 4480 +-64 3546 +-3926 -3653 +-3716 1943 +-2251 3675 +1305 -2203 +100 -2416 +-1361 -701 +1170 0 +-1361 701 +100 2416 +1305 2203 +-2251 -3675 +-3716 -1943 +-3926 3653 +-64 -3546 +1513 -4480 +1368 3819 +3051 102 +1408 -807 +-1618 4064 +-1202 -1217 +1936 -1521 +-3041 2945 +-7022 0 +-3106 1937 +985 2982 +5369 -3626 +-722 -2272 +-3672 907 +-1648 -3134 +-4530 -4535 +3168 -2140 +1707 2207 +-787 5098 +4966 933 +-89 -649 +2163 3699 +289 2238 +2407 -2182 +0 5851 +-6804 -1324 +-6068 -4020 +2169 2826 +3966 -1857 +1559 -2682 +1968 1470 +84 -663 +686 -4338 +-4084 -1038 +-5007 3404 +987 -3753 +-1254 -6800 +1119 -1366 +-820 -1033 +-3888 -495 +0 0 +-3888 495 +-820 1033 +1119 1366 +-1254 6800 +987 3753 +-5007 -3404 +-4084 1038 +686 4338 +84 663 +1968 -1470 +1559 2682 +3966 1857 +2169 -2826 +-6068 4020 +-6804 1324 +0 -5851 +4578 1513 +281 -2719 +-2507 -5965 +-1625 1573 +807 -2016 +1671 -826 +-586 1614 +3996 -2683 +2291 1989 +-3 3860 +5058 -945 +3595 -2846 +4047 -1773 +-1384 914 +-4831 684 +0 0 +-4831 -684 +-1384 -914 +4047 1773 +3595 2846 +5058 945 +-3 -3860 +2291 -1989 +3996 2683 +-586 -1614 +1671 826 +807 2016 +-1625 -1573 +-2507 5965 +281 2719 +4578 -1513 +0 5851 +-6804 -1324 +-6068 -4020 +2169 2826 +3966 -1857 +1559 -2682 +1968 1470 +84 -663 +686 -4338 +-4084 -1038 +-5007 3404 +987 -3753 +-1254 -6800 +1119 -1366 +-820 -1033 +-3888 -495 +0 -1170 +-2683 -4784 +-5345 -4395 +258 -105 +-120 -2103 +-3323 -1976 +2318 -960 +2703 -4443 +2140 -142 +424 3172 +5215 579 +5422 2044 +-8515 -574 +-3171 -2576 +1541 476 +-229 -909 +4681 0 +-229 909 +1541 -476 +-3171 2576 +-8515 574 +5422 -2044 +5215 -579 +424 -3172 +2140 142 +2703 4443 +2318 960 +-3323 1976 +-120 2103 +258 105 +-5345 4395 +-2683 4784 +0 1170 +1793 134 +-457 -1256 +142 -2259 +404 -1207 +-4547 893 +-1010 -9 +-3855 375 +-4480 4823 +5829 2187 +1468 390 +-5187 2717 +-1132 -2736 +3786 -564 +5631 5174 +2638 -934 +0 0 +2638 934 +5631 -5174 +3786 564 +-1132 2736 +-5187 -2717 +1468 -390 +5829 -2187 +-4480 -4823 +-3855 -375 +-1010 9 +-4547 -893 +404 1207 +142 2259 +-457 1256 +1793 -134 +0 -1170 +-2683 -4784 +-5345 -4395 +258 -105 +-120 -2103 +-3323 -1976 +2318 -960 +2703 -4443 +2140 -142 +424 3172 +5215 579 +5422 2044 +-8515 -574 +-3171 -2576 +1541 476 +-229 -909 +2341 0 +-2044 3746 +1457 5507 +3478 -1083 +157 4102 +1029 1834 +-1737 -3476 +-3706 1126 +-3653 686 +590 1487 +773 6666 +-3127 3623 +4608 -4725 +3292 -5010 +-4120 2530 +41 4772 +3511 0 +41 -4772 +-4120 -2530 +3292 5010 +4608 4725 +-3127 -3623 +773 -6666 +590 -1487 +-3653 -686 +-3706 -1126 +-1737 3476 +1029 -1834 +157 -4102 +3478 1083 +1457 -5507 +-2044 -3746 +2341 0 +521 -6402 +156 -690 +1032 -1419 +528 -5072 +-302 4147 +-4033 1465 +-502 -804 +1312 3996 +1085 3161 +316 3995 +-3717 567 +-612 -925 +-1685 716 +-2174 -3765 +4015 -3104 +5851 0 +4015 3104 +-2174 3765 +-1685 -716 +-612 925 +-3717 -567 +316 -3995 +1085 -3161 +1312 -3996 +-502 804 +-4033 -1465 +-302 -4147 +528 5072 +1032 1419 +156 690 +521 6402 +2341 0 +-2044 3746 +1457 5507 +3478 -1083 +157 4102 +1029 1834 +-1737 -3476 +-3706 1126 +-3653 686 +590 1487 +773 6666 +-3127 3623 +4608 -4725 +3292 -5010 +-4120 2530 +41 4772 +1170 3511 +5173 -367 +-3078 -1124 +-2109 176 +2715 2348 +-1752 -1151 +3083 -1512 +2884 -447 +-2341 -828 +-2295 1017 +-757 -3135 +1487 -3581 +-731 3506 +784 3455 +993 252 +-1932 70 +-1170 0 +-1932 -70 +993 -252 +784 -3455 +-731 -3506 +1487 3581 +-757 3135 +-2295 -1017 +-2341 828 +2884 447 +3083 1512 +-1752 1151 +2715 -2348 +-2109 -176 +-3078 1124 +5173 367 +1170 -3511 +1234 -5696 +4911 -667 +3126 -2840 +-3401 -2348 +-9093 1215 +-3294 -2813 +333 1918 +-2341 828 +-1663 -6166 +2338 -1189 +-1084 -2975 +-3265 -3506 +8641 500 +5165 -2043 +-3734 488 +-1170 0 +-3734 -488 +5165 2043 +8641 -500 +-3265 3506 +-1084 2975 +2338 1189 +-1663 6166 +-2341 -828 +333 -1918 +-3294 2813 +-9093 -1215 +-3401 2348 +3126 2840 +4911 667 +1234 5696 +1170 3511 +5173 -367 +-3078 -1124 +-2109 176 +2715 2348 +-1752 -1151 +3083 -1512 +2884 -447 +-2341 -828 +-2295 1017 +-757 -3135 +1487 -3581 +-731 3506 +784 3455 +993 252 +-1932 70 +-3511 -2341 +-1653 2531 +632 3957 +3076 -975 +-2199 -1238 +-5986 652 +-1928 -5328 +-1163 -2803 +-5993 485 +-1517 -3388 +350 487 +1025 2568 +4802 4160 +-2544 7124 +2279 259 +3119 -4036 +-7022 0 +3119 4036 +2279 -259 +-2544 -7124 +4802 -4160 +1025 -2568 +350 -487 +-1517 3388 +-5993 -485 +-1163 2803 +-1928 5328 +-5986 -652 +-2199 1238 +3076 975 +632 -3957 +-1653 -2531 +-3511 2341 +-6103 2199 +442 -3449 +2627 -683 +1230 553 +2175 -4149 +1211 2777 +2705 4286 +-1028 -2825 +-25 -1987 +5049 273 +2785 1842 +848 -165 +-3158 -875 +1328 3559 +4636 1908 +0 0 +4636 -1908 +1328 -3559 +-3158 875 +848 165 +2785 -1842 +5049 -273 +-25 1987 +-1028 2825 +2705 -4286 +1211 -2777 +2175 4149 +1230 -553 +2627 683 +442 3449 +-6103 -2199 +-3511 -2341 +-1653 2531 +632 3957 +3076 -975 +-2199 -1238 +-5986 652 +-1928 -5328 +-1163 -2803 +-5993 485 +-1517 -3388 +350 487 +1025 2568 +4802 4160 +-2544 7124 +2279 259 +3119 -4036 +-3511 -2341 +5478 -368 +221 -720 +-3179 -2602 +-985 1112 +-1241 -2006 +1481 -4626 +-2964 2453 +3653 1170 +9376 -2572 +-167 2324 +-3925 -840 +-3781 -6449 +1333 -284 +40 1828 +-6907 -3148 +-7022 0 +-6907 3148 +40 -1828 +1333 284 +-3781 6449 +-3925 840 +-167 -2324 +9376 2572 +3653 -1170 +-2964 -2453 +1481 4626 +-1241 2006 +-985 -1112 +-3179 2602 +221 720 +5478 368 +-3511 2341 +-1066 -1173 +328 -1831 +-4217 -2347 +-1356 -3737 +-1282 1003 +5184 809 +5387 -1940 +-1312 1170 +938 -1240 +-1817 -2831 +-2705 1629 +1440 -857 +1976 -2873 +4092 -1069 +2999 -2717 +0 0 +2999 2717 +4092 1069 +1976 2873 +1440 857 +-2705 -1629 +-1817 2831 +938 1240 +-1312 -1170 +5387 1940 +5184 -809 +-1282 -1003 +-1356 3737 +-4217 2347 +328 1831 +-1066 1173 +-3511 -2341 +5478 -368 +221 -720 +-3179 -2602 +-985 1112 +-1241 -2006 +1481 -4626 +-2964 2453 +3653 1170 +9376 -2572 +-167 2324 +-3925 -840 +-3781 -6449 +1333 -284 +40 1828 +-6907 -3148 +2341 -4681 +-3624 -5063 +-3597 -7205 +-2337 -68 +-2610 1998 +5 -2202 +-1832 2601 +3474 -1845 +2483 -4480 +-4847 1529 +-2087 133 +56 1628 +-186 -343 +-393 -2400 +4167 1796 +5269 -1801 +1170 0 +5269 1801 +4167 -1796 +-393 2400 +-186 343 +56 -1628 +-2087 -133 +-4847 -1529 +2483 4480 +3474 1845 +-1832 -2601 +5 2202 +-2610 -1998 +-2337 68 +-3597 7205 +-3624 5063 +2341 4681 +-3865 -120 +-3786 -4604 +3599 -210 +2610 1998 +2829 -5 +1307 -1990 +-3276 1989 +-2483 2140 +4649 -4274 +2612 1046 +-2890 5171 +186 -343 +-870 1766 +3216 5688 +2220 3091 +-5851 0 +2220 -3091 +3216 -5688 +-870 -1766 +186 343 +-2890 -5171 +2612 -1046 +4649 4274 +-2483 -2140 +-3276 -1989 +1307 1990 +2829 5 +2610 -1998 +3599 210 +-3786 4604 +-3865 120 +2341 -4681 +-3624 -5063 +-3597 -7205 +-2337 -68 +-2610 1998 +5 -2202 +-1832 2601 +3474 -1845 +2483 -4480 +-4847 1529 +-2087 133 +56 1628 +-186 -343 +-393 -2400 +4167 1796 +5269 -1801 +-3511 1170 +-57 4216 +-1942 -1243 +-2323 3028 +1529 6360 +1239 -1053 +3779 2274 +5106 -427 +5166 -1998 +-1293 5355 +-5035 -1031 +1867 -874 +633 5355 +-294 1286 +59 3761 +-3320 6990 +-3511 0 +-3320 -6990 +59 -3761 +-294 -1286 +633 -5355 +1867 874 +-5035 1031 +-1293 -5355 +5166 1998 +5106 427 +3779 -2274 +1239 1053 +1529 -6360 +-2323 -3028 +-1942 1243 +-57 -4216 +-3511 -1170 +228 -2853 +1806 -2543 +1275 3365 +-1529 -1394 +-6074 -1181 +-1109 4570 +2293 4417 +1856 -343 +-1781 -6046 +-945 -1488 +4760 3322 +-633 -390 +-450 426 +3387 1815 +-1175 -946 +-3511 0 +-1175 946 +3387 -1815 +-450 -426 +-633 390 +4760 -3322 +-945 1488 +-1781 6046 +1856 343 +2293 -4417 +-1109 -4570 +-6074 1181 +-1529 1394 +1275 -3365 +1806 2543 +228 2853 +-3511 1170 +-57 4216 +-1942 -1243 +-2323 3028 +1529 6360 +1239 -1053 +3779 2274 +5106 -427 +5166 -1998 +-1293 5355 +-5035 -1031 +1867 -874 +633 5355 +-294 1286 +59 3761 +-3320 6990 +2341 -5851 +-4005 -5515 +-1905 583 +2139 -4646 +4617 -1909 +-128 -589 +-2503 466 +-3226 2690 +-3996 -1998 +4956 760 +3987 1398 +-129 -1033 +3840 -1275 +7 -2613 +2609 1654 +2471 2844 +-4681 0 +2471 -2844 +2609 -1654 +7 2613 +3840 1275 +-129 1033 +3987 -1398 +4956 -760 +-3996 1998 +-3226 -2690 +-2503 -466 +-128 589 +4617 1909 +2139 4646 +-1905 -583 +-4005 5515 +2341 5851 +5222 -5863 +-765 -3819 +1693 1232 +1034 254 +-3336 -1165 +-944 -287 +-1173 -1422 +-686 -343 +-1571 1165 +-3851 -5901 +-1508 -2932 +-4810 -380 +-5357 -5755 +3371 -210 +3947 2419 +0 0 +3947 -2419 +3371 210 +-5357 5755 +-4810 380 +-1508 2932 +-3851 5901 +-1571 -1165 +-686 343 +-1173 1422 +-944 287 +-3336 1165 +1034 -254 +1693 -1232 +-765 3819 +5222 5863 +2341 -5851 +-4005 -5515 +-1905 583 +2139 -4646 +4617 -1909 +-128 -589 +-2503 466 +-3226 2690 +-3996 -1998 +4956 760 +3987 1398 +-129 -1033 +3840 -1275 +7 -2613 +2609 1654 +2471 2844 +-3511 0 +-5476 511 +-3422 183 +-7331 732 +-2462 -3527 +7211 -3887 +2028 4267 +3297 175 +1998 -3795 +-3845 -3589 +837 -5023 +1882 3249 +1111 976 +1512 -5175 +2255 -25 +-292 -1744 +-4681 0 +-292 1744 +2255 25 +1512 5175 +1111 -976 +1882 -3249 +837 5023 +-3845 3589 +1998 3795 +3297 -175 +2028 -4267 +7211 3887 +-2462 3527 +-7331 -732 +-3422 -183 +-5476 -511 +-3511 0 +-1420 -980 +363 1294 +-1 1911 +1492 -469 +5142 1291 +-762 -1168 +-4186 1373 +343 6135 +3958 -560 +-2104 132 +-4808 3938 +4540 -291 +3014 1618 +803 2872 +1344 -1679 +-2341 0 +1344 1679 +803 -2872 +3014 -1618 +4540 291 +-4808 -3938 +-2104 -132 +3958 560 +343 -6135 +-4186 -1373 +-762 1168 +5142 -1291 +1492 469 +-1 -1911 +363 -1294 +-1420 980 +-3511 0 +-5476 511 +-3422 183 +-7331 732 +-2462 -3527 +7211 -3887 +2028 4267 +3297 175 +1998 -3795 +-3845 -3589 +837 -5023 +1882 3249 +1111 976 +1512 -5175 +2255 -25 +-292 -1744 +7022 -2341 +-275 -4984 +-2123 3805 +-793 -829 +1081 -6078 +169 2028 +-1525 4259 +3737 1357 +3168 1170 +6133 1501 +4365 494 +-3422 -357 +-448 1483 +-4162 -770 +-452 -2078 +3052 2834 +-3511 0 +3052 -2834 +-452 2078 +-4162 770 +-448 -1483 +-3422 357 +4365 -494 +6133 -1501 +3168 -1170 +3737 -1357 +-1525 -4259 +169 -2028 +1081 6078 +-793 829 +-2123 -3805 +-275 4984 +7022 2341 +3416 -1130 +-1736 5411 +-3275 2015 +-1081 -1228 +4480 -778 +-1830 -4574 +-2654 -1407 +1513 1170 +-1373 -537 +929 563 +1578 -3495 +448 -4108 +-1197 3475 +-6990 3303 +-5416 -1312 +-1170 0 +-5416 1312 +-6990 -3303 +-1197 -3475 +448 4108 +1578 3495 +929 -563 +-1373 537 +1513 -1170 +-2654 1407 +-1830 4574 +4480 778 +-1081 1228 +-3275 -2015 +-1736 -5411 +3416 1130 +7022 -2341 +-275 -4984 +-2123 3805 +-793 -829 +1081 -6078 +169 2028 +-1525 4259 +3737 1357 +3168 1170 +6133 1501 +4365 494 +-3422 -357 +-448 1483 +-4162 -770 +-452 -2078 +3052 2834 +-2341 2341 +-2844 926 +64 -1529 +477 -6565 +-380 210 +-1380 2024 +-4226 -633 +-3712 8222 +-1998 4965 +-247 -266 +3156 -633 +2535 -4258 +1909 1833 +1758 4792 +-2557 -1529 +1488 -2555 +8192 0 +1488 2555 +-2557 1529 +1758 -4792 +1909 -1833 +2535 4258 +3156 633 +-247 266 +-1998 -4965 +-3712 -8222 +-4226 633 +-1380 -2024 +-380 -210 +477 6565 +64 1529 +-2844 -926 +-2341 -2341 +-2105 1012 +-824 -1529 +3336 -8224 +-1275 -1581 +-4485 -1340 +3719 -633 +4501 -1250 +-343 -4965 +1250 2766 +662 -633 +-995 -243 +-254 6158 +-1245 -2164 +6 -1529 +1669 3898 +1170 0 +1669 -3898 +6 1529 +-1245 2164 +-254 -6158 +-995 243 +662 633 +1250 -2766 +-343 4965 +4501 1250 +3719 633 +-4485 1340 +-1275 1581 +3336 8224 +-824 1529 +-2105 -1012 +-2341 2341 +-2844 926 +64 -1529 +477 -6565 +-380 210 +-1380 2024 +-4226 -633 +-3712 8222 +-1998 4965 +-247 -266 +3156 -633 +2535 -4258 +1909 1833 +1758 4792 +-2557 -1529 +1488 -2555 +1170 0 +1417 -730 +-5785 -776 +-2936 1573 +-1081 3042 +-3600 -862 +5309 -2593 +5814 -1817 +3653 -485 +-1980 2950 +-3453 -1889 +7256 -8645 +448 -3801 +-3610 -1766 +4435 -3775 +1657 66 +-2341 0 +1657 -66 +4435 3775 +-3610 1766 +448 3801 +7256 8645 +-3453 1889 +-1980 -2950 +3653 485 +5814 1817 +5309 2593 +-3600 862 +-1081 -3042 +-2936 -1573 +-5785 776 +1417 730 +1170 0 +4279 -2248 +2591 -3178 +-1693 -2506 +1081 -16 +-1789 2558 +-1373 -836 +-2405 -1684 +-1312 2825 +3399 764 +-3794 -1540 +-4162 -457 +-448 -2535 +-2707 -601 +2070 -179 +1059 -5192 +-4681 0 +1059 5192 +2070 179 +-2707 601 +-448 2535 +-4162 457 +-3794 1540 +3399 -764 +-1312 -2825 +-2405 1684 +-1373 836 +-1789 -2558 +1081 16 +-1693 2506 +2591 3178 +4279 2248 +1170 0 +1417 -730 +-5785 -776 +-2936 1573 +-1081 3042 +-3600 -862 +5309 -2593 +5814 -1817 +3653 -485 +-1980 2950 +-3453 -1889 +7256 -8645 +448 -3801 +-3610 -1766 +4435 -3775 +1657 66 +3511 4681 +230 1960 +-3037 1804 +2171 8574 +3923 1074 +894 -2264 +-1178 -2699 +-910 -964 +828 2341 +-146 -1857 +-3687 -359 +-6666 -4121 +-3467 -5399 +1049 -784 +-2639 -537 +-2127 6649 +2341 0 +-2127 -6649 +-2639 537 +1049 784 +-3467 5399 +-6666 4121 +-3687 359 +-146 1857 +828 -2341 +-910 964 +-1178 2699 +894 2264 +3923 -1074 +2171 -8574 +-3037 -1804 +230 -1960 +3511 -4681 +1272 2930 +2278 1804 +-3 1582 +-1298 3607 +2302 1245 +670 -2699 +258 -2666 +-828 2341 +-720 -610 +7504 -359 +2456 4976 +-3838 718 +4418 -3168 +88 -537 +-4476 2146 +0 0 +-4476 -2146 +88 537 +4418 3168 +-3838 -718 +2456 -4976 +7504 359 +-720 610 +-828 -2341 +258 2666 +670 2699 +2302 -1245 +-1298 -3607 +-3 -1582 +2278 -1804 +1272 -2930 +3511 4681 +230 1960 +-3037 1804 +2171 8574 +3923 1074 +894 -2264 +-1178 -2699 +-910 -964 +828 2341 +-146 -1857 +-3687 -359 +-6666 -4121 +-3467 -5399 +1049 -784 +-2639 -537 +-2127 6649 +3511 1170 +-1927 1502 +-3832 -1574 +-1519 -19 +1529 5778 +1155 7111 +367 -1163 +-49 -5248 +-2825 -1998 +-1070 1405 +-2060 1056 +-3351 -7400 +633 -1699 +465 3900 +3526 -2246 +3533 4239 +-1170 0 +3533 -4239 +3526 2246 +465 -3900 +633 1699 +-3351 7400 +-2060 -1056 +-1070 -1405 +-2825 1998 +-49 5248 +367 1163 +1155 -7111 +1529 -5778 +-1519 19 +-3832 1574 +-1927 -1502 +3511 -1170 +188 -1244 +-881 -2380 +4677 -1685 +-1529 558 +-1828 4160 +2555 -2266 +-3297 -2994 +485 -343 +6208 -9052 +2449 -4486 +-301 1254 +-633 -1328 +702 -419 +-2123 -1708 +-3585 491 +-1170 0 +-3585 -491 +-2123 1708 +702 419 +-633 1328 +-301 -1254 +2449 4486 +6208 9052 +485 343 +-3297 2994 +2555 2266 +-1828 -4160 +-1529 -558 +4677 1685 +-881 2380 +188 1244 +3511 1170 +-1927 1502 +-3832 -1574 +-1519 -19 +1529 5778 +1155 7111 +367 -1163 +-49 -5248 +-2825 -1998 +-1070 1405 +-2060 1056 +-3351 -7400 +633 -1699 +465 3900 +3526 -2246 +3533 4239 +2341 3511 +-5709 -2174 +-1520 -3740 +2678 2210 +-411 254 +-601 3722 +1618 -1271 +2270 -7963 +-1655 3653 +-238 6839 +1794 4596 +-4848 2924 +-4988 -380 +1198 2508 +3461 1852 +4204 -195 +4681 0 +4204 195 +3461 -1852 +1198 -2508 +-4988 380 +-4848 -2924 +1794 -4596 +-238 -6839 +-1655 -3653 +2270 7963 +1618 1271 +-601 -3722 +-411 -254 +2678 -2210 +-1520 3740 +-5709 2174 +2341 -3511 +-1083 519 +-7518 608 +-350 -2779 +1380 -1909 +1089 745 +-488 -3484 +-2186 -3638 +1655 -1312 +-1365 -4332 +386 1951 +3346 2705 +-663 -1275 +4109 827 +2267 -3045 +-2513 -3334 +0 0 +-2513 3334 +2267 3045 +4109 -827 +-663 1275 +3346 -2705 +386 -1951 +-1365 4332 +1655 1312 +-2186 3638 +-488 3484 +1089 -745 +1380 1909 +-350 2779 +-7518 -608 +-1083 -519 +2341 3511 +-5709 -2174 +-1520 -3740 +2678 2210 +-411 254 +-601 3722 +1618 -1271 +2270 -7963 +-1655 3653 +-238 6839 +1794 4596 +-4848 2924 +-4988 -380 +1198 2508 +3461 1852 +4204 -195 +-1170 -1170 +85 1587 +4931 -1804 +1555 395 +-1403 -642 +-1880 -5132 +-4739 -3669 +-854 -2820 +2825 343 +-1294 4496 +-2172 491 +-1645 -4744 +-2922 1783 +-1538 2824 +-423 -4570 +2610 -723 +5851 0 +2610 723 +-423 4570 +-1538 -2824 +-2922 -1783 +-1645 4744 +-2172 -491 +-1294 -4496 +2825 -343 +-854 2820 +-4739 3669 +-1880 5132 +-1403 642 +1555 -395 +4931 1804 +85 -1587 +-1170 1170 +2160 2700 +3092 -2 +6183 -2279 +4713 -1013 +-2065 5177 +-1493 1675 +1793 1779 +-485 1998 +-3970 -855 +-1526 6877 +3798 108 +-388 -3438 +-4408 -27 +2330 -6598 +-530 329 +-8192 0 +-530 -329 +2330 6598 +-4408 27 +-388 3438 +3798 -108 +-1526 -6877 +-3970 855 +-485 -1998 +1793 -1779 +-1493 -1675 +-2065 -5177 +4713 1013 +6183 2279 +3092 2 +2160 -2700 +-1170 -1170 +85 1587 +4931 -1804 +1555 395 +-1403 -642 +-1880 -5132 +-4739 -3669 +-854 -2820 +2825 343 +-1294 4496 +-2172 491 +-1645 -4744 +-2922 1783 +-1538 2824 +-423 -4570 +2610 -723 +0 1170 +342 1944 +-332 -3403 +-2961 -4120 +-453 -2804 +-1527 -3427 +-2010 2792 +3128 3429 +3996 -3653 +-4508 -2025 +-5598 -2947 +917 -5774 +-2244 887 +-1252 -1439 +4167 -6440 +3243 260 +2341 0 +3243 -260 +4167 6440 +-1252 1439 +-2244 -887 +917 5774 +-5598 2947 +-4508 2025 +3996 3653 +3128 -3429 +-2010 -2792 +-1527 3427 +-453 2804 +-2961 4120 +-332 3403 +342 -1944 +0 -1170 +2887 1303 +2536 1493 +647 2248 +-6569 1149 +-2042 -4 +7021 147 +1213 580 +686 1312 +-1624 -82 +1959 -734 +6977 -191 +-4778 -2542 +-759 -2967 +1620 -2091 +-4680 -3129 +-2341 0 +-4680 3129 +1620 2091 +-759 2967 +-4778 2542 +6977 191 +1959 734 +-1624 82 +686 -1312 +1213 -580 +7021 -147 +-2042 4 +-6569 -1149 +647 -2248 +2536 -1493 +2887 -1303 +0 1170 +342 1944 +-332 -3403 +-2961 -4120 +-453 -2804 +-1527 -3427 +-2010 2792 +3128 3429 +3996 -3653 +-4508 -2025 +-5598 -2947 +917 -5774 +-2244 887 +-1252 -1439 +4167 -6440 +3243 260 +2341 -1170 +3035 -4629 +-2202 -4615 +2637 -1661 +2215 -3027 +-6297 2150 +-2658 1078 +90 -99 +969 -343 +4942 -5233 +-1382 3714 +-990 1950 +4629 -5630 +-678 -1281 +1104 -753 +1135 2861 +-4681 0 +1135 -2861 +1104 753 +-678 1281 +4629 5630 +-990 -1950 +-1382 -3714 +4942 5233 +969 343 +90 99 +-2658 -1078 +-6297 -2150 +2215 3027 +2637 1661 +-2202 4615 +3035 4629 +2341 1170 +-3709 -1135 +-3197 -4076 +-884 445 +-844 402 +-173 2696 +1584 6620 +-2105 -1709 +-5651 -1998 +-2151 4440 +-2226 2612 +-1966 -2205 +3362 -1676 +1732 1584 +-386 53 +5382 -989 +9362 0 +5382 989 +-386 -53 +1732 -1584 +3362 1676 +-1966 2205 +-2226 -2612 +-2151 -4440 +-5651 1998 +-2105 1709 +1584 -6620 +-173 -2696 +-844 -402 +-884 -445 +-3197 4076 +-3709 1135 +2341 -1170 +3035 -4629 +-2202 -4615 +2637 -1661 +2215 -3027 +-6297 2150 +-2658 1078 +90 -99 +969 -343 +4942 -5233 +-1382 3714 +-990 1950 +4629 -5630 +-678 -1281 +1104 -753 +1135 2861 +-3511 2341 +-1859 3278 +3133 -4024 +2842 1813 +-528 2096 +360 641 +2642 4361 +2166 1990 +-1513 -969 +-1602 909 +-930 3569 +-1029 -3228 +612 -5273 +226 -579 +2943 -199 +4442 4045 +2341 0 +4442 -4045 +2943 199 +226 579 +612 5273 +-1029 3228 +-930 -3569 +-1602 -909 +-1513 969 +2166 -1990 +2642 -4361 +360 -641 +-528 -2096 +2842 -1813 +3133 4024 +-1859 -3278 +-3511 -2341 +-2992 2721 +3025 -3611 +5417 293 +-157 5896 +1947 767 +-1061 -5880 +-5988 -797 +-3168 5651 +-5520 284 +720 1532 +3551 4635 +-4608 3902 +-73 2686 +-1110 -816 +-1887 1954 +4681 0 +-1887 -1954 +-1110 816 +-73 -2686 +-4608 -3902 +3551 -4635 +720 -1532 +-5520 -284 +-3168 -5651 +-5988 797 +-1061 5880 +1947 -767 +-157 -5896 +5417 -293 +3025 3611 +-2992 -2721 +-3511 2341 +-1859 3278 +3133 -4024 +2842 1813 +-528 2096 +360 641 +2642 4361 +2166 1990 +-1513 -969 +-1602 909 +-930 3569 +-1029 -3228 +612 -5273 +226 -579 +2943 -199 +4442 4045 +-1170 0 +-6040 -556 +1380 -3857 +-3264 -3148 +-3467 -3184 +1150 -2323 +-8160 1003 +54 -1828 +4823 -1655 +-2435 5022 +323 273 +-198 -6798 +-1298 -1022 +-3582 2295 +-2916 552 +1992 2121 +2341 0 +1992 -2121 +-2916 -552 +-3582 -2295 +-1298 1022 +-198 6798 +323 -273 +-2435 -5022 +4823 1655 +54 1828 +-8160 -1003 +1150 2323 +-3467 3184 +-3264 3148 +1380 3857 +-6040 556 +-1170 0 +3335 318 +5495 -4852 +3213 -4797 +-3838 -126 +4963 -1942 +6133 -3239 +-1304 336 +-142 1655 +-1416 973 +-1607 -570 +1719 -2925 +3923 -2288 +2618 285 +-649 2041 +-805 2386 +0 0 +-805 -2386 +-649 -2041 +2618 -285 +3923 2288 +1719 2925 +-1607 570 +-1416 -973 +-142 -1655 +-1304 -336 +6133 3239 +4963 1942 +-3838 126 +3213 4797 +5495 4852 +3335 -318 +-1170 0 +-6040 -556 +1380 -3857 +-3264 -3148 +-3467 -3184 +1150 -2323 +-8160 1003 +54 -1828 +4823 -1655 +-2435 5022 +323 273 +-198 -6798 +-1298 -1022 +-3582 2295 +-2916 552 +1992 2121 +-1170 0 +3620 2945 +4223 2807 +-2506 1644 +-2016 -2551 +567 -1600 +-1277 4060 +-4594 -4224 +-1513 -5651 +-2348 1415 +-3491 -226 +-63 2243 +-2764 507 +-2204 160 +-3100 5605 +-128 -315 +7022 0 +-128 315 +-3100 -5605 +-2204 -160 +-2764 -507 +-63 -2243 +-3491 226 +-2348 -1415 +-1513 5651 +-4594 4224 +-1277 -4060 +567 1600 +-2016 2551 +-2506 -1644 +4223 -2807 +3620 -2945 +-1170 0 +1620 405 +-406 968 +4517 -491 +9321 -759 +3065 5038 +518 814 +-3805 -3019 +-3168 969 +-471 -666 +940 419 +6599 -176 +140 -3817 +-3355 2364 +2593 2852 +-515 -4327 +-4681 0 +-515 4327 +2593 -2852 +-3355 -2364 +140 3817 +6599 176 +940 -419 +-471 666 +-3168 -969 +-3805 3019 +518 -814 +3065 -5038 +9321 759 +4517 491 +-406 -968 +1620 -405 +-1170 0 +3620 2945 +4223 2807 +-2506 1644 +-2016 -2551 +567 -1600 +-1277 4060 +-4594 -4224 +-1513 -5651 +-2348 1415 +-3491 -226 +-63 2243 +-2764 507 +-2204 160 +-3100 5605 +-128 -315 +-3511 -2341 +1277 -356 +2472 1728 +-2607 1662 +-2885 -4338 +-3370 -2335 +1862 1703 +4048 -3083 +343 485 +-697 3104 +-1378 -1836 +976 1328 +807 2683 +-2222 1022 +-2655 1113 +-1325 -2462 +0 0 +-1325 2462 +-2655 -1113 +-2222 -1022 +807 -2683 +976 -1328 +-1378 1836 +-697 -3104 +343 -485 +4048 3083 +1862 -1703 +-3370 2335 +-2885 4338 +-2607 -1662 +2472 -1728 +1277 356 +-3511 2341 +-2374 372 +-4263 -1518 +306 3031 +544 -4338 +-1531 -9715 +2463 4455 +-554 5930 +1998 -2825 +513 163 +-2946 3 +616 -1062 +-3147 2683 +1212 4 +4446 468 +5732 5640 +11703 0 +5732 -5640 +4446 -468 +1212 -4 +-3147 -2683 +616 1062 +-2946 -3 +513 -163 +1998 2825 +-554 -5930 +2463 -4455 +-1531 9715 +544 4338 +306 -3031 +-4263 1518 +-2374 -372 +-3511 -2341 +1277 -356 +2472 1728 +-2607 1662 +-2885 -4338 +-3370 -2335 +1862 1703 +4048 -3083 +343 485 +-697 3104 +-1378 -1836 +976 1328 +807 2683 +-2222 1022 +-2655 1113 +-1325 -2462 +0 -4681 +-1900 -9598 +-1570 643 +3063 -1422 +-2873 -2631 +-3773 -4260 +475 -3752 +-2188 -806 +828 -2825 +1817 4616 +95 2832 +783 -4336 +-3877 -1186 +-2925 -5771 +2349 -4082 +3277 5663 +3511 0 +3277 -5663 +2349 4082 +-2925 5771 +-3877 1186 +783 4336 +95 -2832 +1817 -4616 +828 2825 +-2188 806 +475 3752 +-3773 4260 +-2873 2631 +3063 1422 +-1570 -643 +-1900 9598 +0 4681 +-701 -5612 +1570 1487 +1529 1392 +2873 -1364 +2860 -3911 +-475 -4747 +-1704 436 +-828 485 +2076 774 +-95 1342 +130 1588 +3877 1872 +-1666 1802 +-2349 160 +-677 -5751 +-3511 0 +-677 5751 +-2349 -160 +-1666 -1802 +3877 -1872 +130 -1588 +-95 -1342 +2076 -774 +-828 -485 +-1704 -436 +-475 4747 +2860 3911 +2873 1364 +1529 -1392 +1570 -1487 +-701 5612 +0 -4681 +-1900 -9598 +-1570 643 +3063 -1422 +-2873 -2631 +-3773 -4260 +475 -3752 +-2188 -806 +828 -2825 +1817 4616 +95 2832 +783 -4336 +-3877 -1186 +-2925 -5771 +2349 -4082 +3277 5663 +-2341 5851 +-6124 2143 +1047 250 +-519 679 +-3527 1618 +-1596 1729 +2049 -4998 +8859 -6292 +1170 1513 +1752 4285 +5561 -926 +-4522 -4972 +-976 -2251 +369 662 +-1009 2183 +5462 4186 +7022 0 +5462 -4186 +-1009 -2183 +369 -662 +-976 2251 +-4522 4972 +5561 926 +1752 -4285 +1170 -1513 +8859 6292 +2049 4998 +-1596 -1729 +-3527 -1618 +-519 -679 +1047 -250 +-6124 -2143 +-2341 -5851 +-624 622 +-3462 1702 +-1898 -5269 +-469 722 +475 2167 +-901 -2056 +2239 4288 +1170 3168 +-3425 -593 +-88 1864 +-201 -914 +291 -89 +1271 949 +-3197 -1602 +-1521 1533 +2341 0 +-1521 -1533 +-3197 1602 +1271 -949 +291 89 +-201 914 +-88 -1864 +-3425 593 +1170 -3168 +2239 -4288 +-901 2056 +475 -2167 +-469 -722 +-1898 5269 +-3462 -1702 +-624 -622 +-2341 5851 +-6124 2143 +1047 250 +-519 679 +-3527 1618 +-1596 1729 +2049 -4998 +8859 -6292 +1170 1513 +1752 4285 +5561 -926 +-4522 -4972 +-976 -2251 +369 662 +-1009 2183 +5462 4186 +1170 -1170 +-1359 -1283 +5117 -131 +2235 -2334 +-691 -2251 +-311 2763 +452 1049 +1366 769 +-6336 2483 +-1584 -4233 +-1896 -1628 +-7791 6289 +6807 722 +6052 880 +13 5715 +729 252 +-3511 0 +729 -252 +13 -5715 +6052 -880 +6807 -722 +-7791 -6289 +-1896 1628 +-1584 4233 +-6336 -2483 +1366 -769 +452 -1049 +-311 -2763 +-691 2251 +2235 2334 +5117 131 +-1359 1283 +1170 1170 +4092 3897 +-614 5562 +-3971 976 +-4274 -89 +296 3995 +2785 5480 +-27 1640 +-3026 -2483 +1021 -992 +3341 166 +-1621 -1050 +-1842 1618 +-1510 2864 +165 1086 +2382 1347 +1170 0 +2382 -1347 +165 -1086 +-1510 -2864 +-1842 -1618 +-1621 1050 +3341 -166 +1021 992 +-3026 2483 +-27 -1640 +2785 -5480 +296 -3995 +-4274 89 +-3971 -976 +-614 -5562 +4092 -3897 +1170 -1170 +-1359 -1283 +5117 -131 +2235 -2334 +-691 -2251 +-311 2763 +452 1049 +1366 769 +-6336 2483 +-1584 -4233 +-1896 -1628 +-7791 6289 +6807 722 +6052 880 +13 5715 +729 252 +-1170 4681 +1534 1628 +3074 -1040 +-1119 717 +2873 3938 +5528 6841 +639 -1193 +-1447 -527 +-1998 4196 +577 -3749 +-549 50 +-1464 -1055 +3877 -5964 +915 4304 +-1267 1503 +3275 -6691 +4681 0 +3275 6691 +-1267 -1503 +915 -4304 +3877 5964 +-1464 1055 +-549 -50 +577 3749 +-1998 -4196 +-1447 527 +639 1193 +5528 -6841 +2873 -3938 +-1119 -717 +3074 1040 +1534 -1628 +-1170 -4681 +-2128 -78 +-3463 3202 +-1888 441 +-2873 -912 +876 1179 +4074 297 +-444 5361 +-343 7506 +-477 527 +-854 -945 +-615 -4760 +-3877 -372 +-2234 5622 +-1654 659 +-889 4064 +2341 0 +-889 -4064 +-1654 -659 +-2234 -5622 +-3877 372 +-615 4760 +-854 945 +-477 -527 +-343 -7506 +-444 -5361 +4074 -297 +876 -1179 +-2873 912 +-1888 -441 +-3463 -3202 +-2128 78 +-1170 4681 +1534 1628 +3074 -1040 +-1119 717 +2873 3938 +5528 6841 +639 -1193 +-1447 -527 +-1998 4196 +577 -3749 +-549 50 +-1464 -1055 +3877 -5964 +915 4304 +-1267 1503 +3275 -6691 +0 -1170 +-946 2265 +-3447 -2378 +-39 2893 +-1090 238 +-2340 1377 +481 6466 +-1670 198 +1170 -2483 +4539 -2353 +1179 1297 +-2186 2482 +-2864 -2914 +-1482 -4122 +-3629 -3302 +-885 -850 +4681 0 +-885 850 +-3629 3302 +-1482 4122 +-2864 2914 +-2186 -2482 +1179 -1297 +4539 2353 +1170 2483 +-1670 -198 +481 -6466 +-2340 -1377 +-1090 -238 +-39 -2893 +-3447 2378 +-946 -2265 +0 1170 +3604 6044 +2139 -1229 +-7482 379 +-565 1133 +1197 -8 +-7653 -1067 +-1623 -1197 +1170 2483 +272 -1453 +4623 -580 +4343 -337 +4519 -5077 +1368 1550 +-3053 4376 +3329 -267 +9362 0 +3329 267 +-3053 -4376 +1368 -1550 +4519 5077 +4343 337 +4623 580 +272 1453 +1170 -2483 +-1623 1197 +-7653 1067 +1197 8 +-565 -1133 +-7482 -379 +2139 1229 +3604 -6044 +0 -1170 +-946 2265 +-3447 -2378 +-39 2893 +-1090 238 +-2340 1377 +481 6466 +-1670 198 +1170 -2483 +4539 -2353 +1179 1297 +-2186 2482 +-2864 -2914 +-1482 -4122 +-3629 -3302 +-885 -850 +3511 4681 +-3884 -2060 +-3599 -2286 +-340 157 +-1207 68 +-1243 -1261 +424 -3140 +3528 -2568 +-343 -1170 +1125 778 +1097 -2424 +-732 -7513 +2736 -2990 +-5103 -2565 +-276 -6143 +3708 -994 +-4681 0 +3708 994 +-276 6143 +-5103 2565 +2736 2990 +-732 7513 +1097 2424 +1125 -778 +-343 1170 +3528 2568 +424 3140 +-1243 1261 +-1207 -68 +-340 -157 +-3599 2286 +-3884 2060 +3511 -4681 +620 -569 +1944 5057 +3530 -3492 +-2103 -1723 +1352 6552 +1231 1398 +-478 -2690 +-1998 -1170 +-5967 1180 +558 3424 +4947 2007 +574 1335 +-2412 -2205 +-1379 -7069 +1348 -3783 +2341 0 +1348 3783 +-1379 7069 +-2412 2205 +574 -1335 +4947 -2007 +558 -3424 +-5967 -1180 +-1998 1170 +-478 2690 +1231 -1398 +1352 -6552 +-2103 1723 +3530 3492 +1944 -5057 +620 569 +3511 4681 +-3884 -2060 +-3599 -2286 +-340 157 +-1207 68 +-1243 -1261 +424 -3140 +3528 -2568 +-343 -1170 +1125 778 +1097 -2424 +-732 -7513 +2736 -2990 +-5103 -2565 +-276 -6143 +3708 -994 +3511 -3511 +406 470 +-3920 1661 +-4835 3177 +-2894 3244 +-1460 867 +-3095 1871 +-2393 -871 +1655 -4823 +-398 489 +-3069 5835 +-17 1174 +-2505 1344 +-4734 1214 +2625 -2056 +3282 3864 +-1170 0 +3282 -3864 +2625 2056 +-4734 -1214 +-2505 -1344 +-17 -1174 +-3069 -5835 +-398 -489 +1655 4823 +-2393 871 +-3095 -1871 +-1460 -867 +-2894 -3244 +-4835 -3177 +-3920 -1661 +406 -470 +3511 3511 +3903 1326 +4679 1204 +2047 -1737 +-1102 -3244 +2778 8283 +3603 3171 +-1852 -2711 +-1655 142 +45 -2701 +-748 3889 +2248 -15 +1820 -1344 +-2646 8217 +-74 240 +3627 -3438 +3511 0 +3627 3438 +-74 -240 +-2646 -8217 +1820 1344 +2248 15 +-748 -3889 +45 2701 +-1655 -142 +-1852 2711 +3603 -3171 +2778 -8283 +-1102 3244 +2047 1737 +4679 -1204 +3903 -1326 +3511 -3511 +406 470 +-3920 1661 +-4835 3177 +-2894 3244 +-1460 867 +-3095 1871 +-2393 -871 +1655 -4823 +-398 489 +-3069 5835 +-17 1174 +-2505 1344 +-4734 1214 +2625 -2056 +3282 3864 +-4681 -3511 +-1792 -3196 +3689 576 +2664 2280 +1707 1001 +-1143 1237 +-1168 1921 +5351 -4567 +0 -4338 +-303 2378 +5011 3831 +1922 3884 +3870 -1246 +1147 264 +-1641 4893 +-2783 -2607 +-7022 0 +-2783 2607 +-1641 -4893 +1147 -264 +3870 1246 +1922 -3884 +5011 -3831 +-303 -2378 +0 4338 +5351 4567 +-1168 -1921 +-1143 -1237 +1707 -1001 +2664 -2280 +3689 -576 +-1792 3196 +-4681 3511 +-73 433 +2427 1975 +10 60 +2974 -1686 +1982 3451 +-1366 1896 +3706 -2726 +0 -2683 +-2638 -665 +-2477 -3323 +-5295 -5668 +812 5241 +-1288 4966 +-4475 -5652 +-1468 -512 +-2341 0 +-1468 512 +-4475 5652 +-1288 -4966 +812 -5241 +-5295 5668 +-2477 3323 +-2638 665 +0 2683 +3706 2726 +-1366 -1896 +1982 -3451 +2974 1686 +10 -60 +2427 -1975 +-73 -433 +-4681 -3511 +-1792 -3196 +3689 576 +2664 2280 +1707 1001 +-1143 1237 +-1168 1921 +5351 -4567 +0 -4338 +-303 2378 +5011 3831 +1922 3884 +3870 -1246 +1147 264 +-1641 4893 +-2783 -2607 +-2341 -3511 +-6417 3767 +-3735 -3713 +91 -3180 +401 3616 +964 645 +354 1168 +-3723 1500 +-3996 -2967 +1781 -323 +3844 1676 +-549 -4733 +-3281 -2594 +-1448 -2194 +1833 -5076 +5257 3712 +7022 0 +5257 -3712 +1833 5076 +-1448 2194 +-3281 2594 +-549 4733 +3844 -1676 +1781 323 +-3996 2967 +-3723 -1500 +354 -1168 +964 -645 +401 -3616 +91 3180 +-3735 3713 +-6417 -3767 +-2341 3511 +572 5519 +-2245 833 +-1093 1257 +5250 2720 +5338 4078 +-490 -3880 +-2225 -230 +-686 5308 +-933 -3152 +-398 294 +1882 -1068 +2311 -432 +1435 5729 +837 -2485 +-931 -1913 +-2341 0 +-931 1913 +837 2485 +1435 -5729 +2311 432 +1882 1068 +-398 -294 +-933 3152 +-686 -5308 +-2225 230 +-490 3880 +5338 -4078 +5250 -2720 +-1093 -1257 +-2245 -833 +572 -5519 +-2341 -3511 +-6417 3767 +-3735 -3713 +91 -3180 +401 3616 +964 645 +354 1168 +-3723 1500 +-3996 -2967 +1781 -323 +3844 1676 +-549 -4733 +-3281 -2594 +-1448 -2194 +1833 -5076 +5257 3712 +1170 0 +367 -1515 +2993 1514 +-1885 -1943 +283 -3310 +-2035 8880 +-4643 7830 +820 -1158 +-1513 0 +-23 -2154 +2927 1533 +1175 2668 +2320 -3310 +-2594 -2124 +-3647 -1295 +330 -189 +0 0 +330 189 +-3647 1295 +-2594 2124 +2320 3310 +1175 -2668 +2927 -1533 +-23 2154 +-1513 0 +820 1158 +-4643 -7830 +-2035 -8880 +283 3310 +-1885 1943 +2993 -1514 +367 1515 +1170 0 +4479 -7639 +-2486 -3078 +14 4774 +3712 -3310 +3843 -1916 +7194 3937 +396 1287 +-3168 0 +326 -3175 +-2167 -1068 +-1968 -449 +-1634 -3310 +-3170 -2533 +-171 -2208 +-75 1559 +-2341 0 +-75 -1559 +-171 2208 +-3170 2533 +-1634 3310 +-1968 449 +-2167 1068 +326 3175 +-3168 0 +396 -1287 +7194 -3937 +3843 1916 +3712 3310 +14 -4774 +-2486 3078 +4479 7639 +1170 0 +367 -1515 +2993 1514 +-1885 -1943 +283 -3310 +-2035 8880 +-4643 7830 +820 -1158 +-1513 0 +-23 -2154 +2927 1533 +1175 2668 +2320 -3310 +-2594 -2124 +-3647 -1295 +330 -189 +0 -2341 +-221 216 +-1801 -4549 +2953 -833 +2251 5996 +-391 2207 +599 1102 +-7128 2421 +-3168 2140 +2199 2872 +1975 3250 +4857 6783 +722 5981 +1951 -2466 +-1478 -2418 +-4263 3266 +1170 0 +-4263 -3266 +-1478 2418 +1951 2466 +722 -5981 +4857 -6783 +1975 -3250 +2199 -2872 +-3168 -2140 +-7128 -2421 +599 -1102 +-391 -2207 +2251 -5996 +2953 833 +-1801 4549 +-221 -216 +0 2341 +3353 1088 +146 -1221 +-4374 970 +89 -5712 +-383 -2922 +1056 5192 +1977 -3411 +-1513 -4480 +-2150 883 +-320 -1637 +3551 3026 +1618 3665 +-1545 -2855 +-177 1329 +-389 5525 +-1170 0 +-389 -5525 +-177 -1329 +-1545 2855 +1618 -3665 +3551 -3026 +-320 1637 +-2150 -883 +-1513 4480 +1977 3411 +1056 -5192 +-383 2922 +89 5712 +-4374 -970 +146 1221 +3353 -1088 +0 -2341 +-221 216 +-1801 -4549 +2953 -833 +2251 5996 +-391 2207 +599 1102 +-7128 2421 +-3168 2140 +2199 2872 +1975 3250 +4857 6783 +722 5981 +1951 -2466 +-1478 -2418 +-4263 3266 +1170 1170 +1709 1531 +2809 -2732 +-1774 -1712 +1917 -4123 +-295 -2134 +-2244 2618 +4779 399 +1170 -4338 +-837 -6301 +1060 1223 +620 4207 +2036 3354 +-3599 3405 +-4781 -177 +-748 2116 +-1170 0 +-748 -2116 +-4781 177 +-3599 -3405 +2036 -3354 +620 -4207 +1060 -1223 +-837 6301 +1170 4338 +4779 -399 +-2244 -2618 +-295 2134 +1917 4123 +-1774 1712 +2809 2732 +1709 -1531 +1170 -1170 +1278 1024 +-4464 2613 +814 6697 +1393 1097 +-2765 2007 +3899 4646 +3446 -2407 +1170 -2683 +3557 -389 +595 -579 +-2389 347 +-5346 2983 +-3854 -3101 +3126 -6562 +57 5121 +-5851 0 +57 -5121 +3126 6562 +-3854 3101 +-5346 -2983 +-2389 -347 +595 579 +3557 389 +1170 2683 +3446 2407 +3899 -4646 +-2765 -2007 +1393 -1097 +814 -6697 +-4464 -2613 +1278 -1024 +1170 1170 +1709 1531 +2809 -2732 +-1774 -1712 +1917 -4123 +-295 -2134 +-2244 2618 +4779 399 +1170 -4338 +-837 -6301 +1060 1223 +620 4207 +2036 3354 +-3599 3405 +-4781 -177 +-748 2116 +1170 0 +330 1048 +-3740 -5087 +-2766 -3041 +-1909 2563 +601 3645 +2260 4408 +5792 1630 +2483 3026 +-2905 5570 +1252 -2137 +839 -3526 +1275 4176 +4458 3288 +735 -2460 +-1153 -3125 +0 0 +-1153 3125 +735 2460 +4458 -3288 +1275 -4176 +839 3526 +1252 2137 +-2905 -5570 +2483 -3026 +5792 -1630 +2260 -4408 +601 -3645 +-1909 -2563 +-2766 3041 +-3740 5087 +330 -1048 +1170 0 +-1467 1344 +4636 -997 +2957 1867 +254 3088 +-1717 2347 +-4422 661 +1192 1167 +-2483 6336 +-496 117 +911 -5466 +-8373 513 +380 -3207 +4002 -4105 +-1630 2428 +-1293 -955 +-2341 0 +-1293 955 +-1630 -2428 +4002 4105 +380 3207 +-8373 -513 +911 5466 +-496 -117 +-2483 -6336 +1192 -1167 +-4422 -661 +-1717 -2347 +254 -3088 +2957 -1867 +4636 997 +-1467 -1344 +1170 0 +330 1048 +-3740 -5087 +-2766 -3041 +-1909 2563 +601 3645 +2260 4408 +5792 1630 +2483 3026 +-2905 5570 +1252 -2137 +839 -3526 +1275 4176 +4458 3288 +735 -2460 +-1153 -3125 +-1170 -1170 +1981 1366 +1704 2702 +5479 129 +6272 6347 +-2116 1598 +-283 -2598 +-74 -2827 +-5166 -4338 +656 1339 +-166 1486 +-4330 3190 +2185 672 +2876 -2038 +-815 4083 +-2164 -1277 +-3511 0 +-2164 1277 +-815 -4083 +2876 2038 +2185 -672 +-4330 -3190 +-166 -1486 +656 -1339 +-5166 4338 +-74 2827 +-283 2598 +-2116 -1598 +6272 -6347 +5479 -129 +1704 -2702 +1981 -1366 +-1170 1170 +-177 -4207 +-630 -2194 +-1503 -2748 +2689 -3723 +-452 -1465 +-435 47 +4268 631 +-1856 -2683 +-1268 -1744 +5565 -727 +-1752 1268 +-6465 6634 +1798 3744 +4422 -266 +-3224 227 +-8192 0 +-3224 -227 +4422 266 +1798 -3744 +-6465 -6634 +-1752 -1268 +5565 727 +-1268 1744 +-1856 2683 +4268 -631 +-435 -47 +-452 1465 +2689 3723 +-1503 2748 +-630 2194 +-177 4207 +-1170 -1170 +1981 1366 +1704 2702 +5479 129 +6272 6347 +-2116 1598 +-283 -2598 +-74 -2827 +-5166 -4338 +656 1339 +-166 1486 +-4330 3190 +2185 672 +2876 -2038 +-815 4083 +-2164 -1277 +0 2341 +-1142 -486 +-539 -3761 +-3441 5244 +448 -1102 +754 -2144 +-3099 8753 +1491 4202 +142 -485 +-2161 -328 +-820 -981 +-2340 -1943 +1081 -1820 +2915 -2255 +1109 -3220 +2429 1307 +3511 0 +2429 -1307 +1109 3220 +2915 2255 +1081 1820 +-2340 1943 +-820 981 +-2161 328 +142 485 +1491 -4202 +-3099 -8753 +754 2144 +448 1102 +-3441 -5244 +-539 3761 +-1142 486 +0 -2341 +-1187 -2337 +-728 -5752 +6848 550 +-448 -2894 +-5569 -5988 +41 270 +-5160 2515 +-4823 2825 +3297 -1962 +3878 -2668 +1039 283 +-1081 2505 +-206 5159 +158 -241 +2434 -3773 +5851 0 +2434 3773 +158 241 +-206 -5159 +-1081 -2505 +1039 -283 +3878 2668 +3297 1962 +-4823 -2825 +-5160 -2515 +41 -270 +-5569 5988 +-448 2894 +6848 -550 +-728 5752 +-1187 2337 +0 2341 +-1142 -486 +-539 -3761 +-3441 5244 +448 -1102 +754 -2144 +-3099 8753 +1491 4202 +142 -485 +-2161 -328 +-820 -981 +-2340 -1943 +1081 -1820 +2915 -2255 +1109 -3220 +2429 1307 +0 4681 +-4186 2162 +3284 2249 +3679 1420 +-6001 -181 +358 3848 +1501 2425 +-1792 3851 +-1312 3996 +-2564 -2745 +-2071 846 +547 818 +4818 -3773 +177 3340 +-4064 6104 +2099 931 +5851 0 +2099 -931 +-4064 -6104 +177 -3340 +4818 3773 +547 -818 +-2071 -846 +-2564 2745 +-1312 -3996 +-1792 -3851 +1501 -2425 +358 -3848 +-6001 181 +3679 -1420 +3284 -2249 +-4186 -2162 +0 -4681 +-2662 -2859 +145 -3186 +1185 3185 +-1305 7202 +5431 -2720 +2452 -472 +792 4637 +3653 686 +254 1449 +-1882 -265 +-3026 -2645 +-2194 -3249 +-1731 -4431 +635 949 +1439 4571 +-1170 0 +1439 -4571 +635 -949 +-1731 4431 +-2194 3249 +-3026 2645 +-1882 265 +254 -1449 +3653 -686 +792 -4637 +2452 472 +5431 2720 +-1305 -7202 +1185 -3185 +145 3186 +-2662 2859 +0 4681 +-4186 2162 +3284 2249 +3679 1420 +-6001 -181 +358 3848 +1501 2425 +-1792 3851 +-1312 3996 +-2564 -2745 +-2071 846 +547 818 +4818 -3773 +177 3340 +-4064 6104 +2099 931 +3511 0 +-2526 -371 +1608 5740 +3510 1719 +-3975 633 +-371 700 +2882 -3231 +168 1128 +-828 2625 +-579 1482 +5953 2109 +6310 1564 +-2057 1529 +-4363 1972 +-6008 2346 +-3109 288 +2341 0 +-3109 -288 +-6008 -2346 +-4363 -1972 +-2057 -1529 +6310 -1564 +5953 -2109 +-579 -1482 +-828 -2625 +168 -1128 +2882 3231 +-371 -700 +-3975 -633 +3510 -1719 +1608 -5740 +-2526 371 +3511 0 +-753 -664 +-3144 3983 +2791 4679 +-21 -633 +1819 -8292 +6037 365 +1145 1314 +828 -7306 +280 -411 +-4942 -293 +-2657 -1164 +1372 -1529 +-419 -3565 +-2387 2696 +-1247 48 +0 0 +-1247 -48 +-2387 -2696 +-419 3565 +1372 1529 +-2657 1164 +-4942 293 +280 411 +828 7306 +1145 -1314 +6037 -365 +1819 8292 +-21 633 +2791 -4679 +-3144 -3983 +-753 664 +3511 0 +-2526 -371 +1608 5740 +3510 1719 +-3975 633 +-371 700 +2882 -3231 +168 1128 +-828 2625 +-579 1482 +5953 2109 +6310 1564 +-2057 1529 +-4363 1972 +-6008 2346 +-3109 288 +0 3511 +1173 -661 +1447 -2194 +2241 60 +3817 4524 +1006 1288 +1147 47 +6008 -1151 +0 -6679 +-3687 -2740 +2556 -727 +2374 -118 +-2551 -73 +-2672 -2983 +2606 -266 +3088 -496 +0 0 +3088 496 +2606 266 +-2672 2983 +-2551 73 +2374 118 +2556 727 +-3687 2740 +0 6679 +6008 1151 +1147 -47 +1006 -1288 +3817 -4524 +2241 -60 +1447 2194 +1173 661 +0 -3511 +-3639 -891 +-2713 2702 +74 740 +-507 4153 +815 184 +-4206 -2598 +-5077 334 +0 -5024 +-3864 -4549 +502 1486 +2425 1946 +-759 -5294 +6977 -5223 +-1339 4083 +-7242 1834 +0 0 +-7242 -1834 +-1339 -4083 +6977 5223 +-759 5294 +2425 -1946 +502 -1486 +-3864 4549 +0 5024 +-5077 -334 +-4206 2598 +815 -184 +-507 -4153 +74 -740 +-2713 -2702 +-3639 891 +0 3511 +1173 -661 +1447 -2194 +2241 60 +3817 4524 +1006 1288 +1147 47 +6008 -1151 +0 -6679 +-3687 -2740 +2556 -727 +2374 -118 +-2551 -73 +-2672 -2983 +2606 -266 +3088 -496 +3511 0 +8244 2403 +-296 -3840 +-4387 -644 +3564 5250 +-1118 -417 +-2264 -5882 +1251 -2766 +1513 1655 +3258 -279 +197 737 +-2068 3934 +-2930 -2311 +-3233 -1434 +-1829 6654 +-4429 3703 +-7022 0 +-4429 -3703 +-1829 -6654 +-3233 1434 +-2930 2311 +-2068 -3934 +197 -737 +3258 279 +1513 -1655 +1251 2766 +-2264 5882 +-1118 417 +3564 -5250 +-4387 644 +-296 3840 +8244 -2403 +3511 0 +710 1953 +-2941 -2199 +-1670 486 +1401 401 +1327 -1643 +2085 199 +1157 -2187 +3168 -1655 +-2355 427 +-4700 -1171 +-1451 1640 +-2035 3281 +5980 2291 +384 1918 +-1215 -866 +9362 0 +-1215 866 +384 -1918 +5980 -2291 +-2035 -3281 +-1451 -1640 +-4700 1171 +-2355 -427 +3168 1655 +1157 2187 +2085 -199 +1327 1643 +1401 -401 +-1670 -486 +-2941 2199 +710 -1953 +3511 0 +8244 2403 +-296 -3840 +-4387 -644 +3564 5250 +-1118 -417 +-2264 -5882 +1251 -2766 +1513 1655 +3258 -279 +197 737 +-2068 3934 +-2930 -2311 +-3233 -1434 +-1829 6654 +-4429 3703 +0 0 +5037 296 +1889 3182 +1121 427 +3079 -611 +-383 2175 +4355 3542 +669 3303 +-3653 4965 +1659 306 +617 -1562 +-360 4037 +-105 -5114 +-182 -8060 +-1862 1691 +-4087 -1655 +-3511 0 +-4087 1655 +-1862 -1691 +-182 8060 +-105 5114 +-360 -4037 +617 1562 +1659 -306 +-3653 -4965 +669 -3303 +4355 -3542 +-383 -2175 +3079 611 +1121 -427 +1889 -3182 +5037 -296 +0 0 +368 -3332 +-1518 2605 +743 2979 +917 -3669 +-6136 -2169 +866 97 +3880 -1076 +1312 -4965 +685 -1242 +-5838 6572 +-5081 -364 +791 -3847 +3658 -850 +1491 -3895 +-1591 -1801 +-1170 0 +-1591 1801 +1491 3895 +3658 850 +791 3847 +-5081 364 +-5838 -6572 +685 1242 +1312 4965 +3880 1076 +866 -97 +-6136 2169 +917 3669 +743 -2979 +-1518 -2605 +368 3332 +0 0 +5037 296 +1889 3182 +1121 427 +3079 -611 +-383 2175 +4355 3542 +669 3303 +-3653 4965 +1659 306 +617 -1562 +-360 4037 +-105 -5114 +-182 -8060 +-1862 1691 +-4087 -1655 +-1170 4681 +-4805 -996 +-2030 768 +-1081 5168 +1909 1296 +2854 922 +-137 6043 +1162 -1502 +3168 -3996 +3095 4357 +-890 -171 +-1649 -1293 +-1275 1118 +-5895 3508 +-49 5018 +3980 -5582 +0 0 +3980 5582 +-49 -5018 +-5895 -3508 +-1275 -1118 +-1649 1293 +-890 171 +3095 -4357 +3168 3996 +1162 1502 +-137 -6043 +2854 -922 +1909 -1296 +-1081 -5168 +-2030 -768 +-4805 996 +-1170 -4681 +749 -3911 +-1923 -5313 +-525 -6119 +-254 4354 +3990 4799 +3566 1307 +-2837 397 +1513 -686 +1113 3544 +-2539 1470 +922 541 +-380 -149 +1385 -1569 +4003 3110 +-2457 319 +-7022 0 +-2457 -319 +4003 -3110 +1385 1569 +-380 149 +922 -541 +-2539 -1470 +1113 -3544 +1513 686 +-2837 -397 +3566 -1307 +3990 -4799 +-254 -4354 +-525 6119 +-1923 5313 +749 3911 +-1170 4681 +-4805 -996 +-2030 768 +-1081 5168 +1909 1296 +2854 922 +-137 6043 +1162 -1502 +3168 -3996 +3095 4357 +-890 -171 +-1649 -1293 +-1275 1118 +-5895 3508 +-49 5018 +3980 -5582 +-4681 -3511 +1407 1695 +1437 2295 +-4747 1129 +-5198 -4071 +-3385 349 +162 1202 +176 -2328 +-1655 1998 +1427 4858 +129 -440 +-503 -10539 +3214 -2171 +1081 1197 +675 -6678 +2804 5137 +2341 0 +2804 -5137 +675 6678 +1081 -1197 +3214 2171 +-503 10539 +129 440 +1427 -4858 +-1655 -1998 +176 2328 +162 -1202 +-3385 -349 +-5198 4071 +-4747 -1129 +1437 -2295 +1407 -1695 +-4681 3511 +-997 6226 +3276 1193 +2851 4453 +918 2416 +-4029 -740 +-3084 663 +617 -2280 +1655 343 +2880 1059 +-517 366 +281 2661 +5747 516 +1829 -361 +-2078 -1135 +-1695 -2673 +-2341 0 +-1695 2673 +-2078 1135 +1829 361 +5747 -516 +281 -2661 +-517 -366 +2880 -1059 +1655 -343 +617 2280 +-3084 -663 +-4029 740 +918 -2416 +2851 -4453 +3276 -1193 +-997 -6226 +-4681 -3511 +1407 1695 +1437 2295 +-4747 1129 +-5198 -4071 +-3385 349 +162 1202 +176 -2328 +-1655 1998 +1427 4858 +129 -440 +-503 -10539 +3214 -2171 +1081 1197 +675 -6678 +2804 5137 +-3511 -3511 +-5105 426 +-2666 3230 +-427 -3691 +-2551 2668 +425 647 +4074 -4371 +-606 -4558 +-3795 -3653 +-1306 5083 +1473 -1663 +2865 -3538 +-507 5093 +-3152 -2376 +1925 -2478 +4801 4339 +3511 0 +4801 -4339 +1925 2478 +-3152 2376 +-507 -5093 +2865 3538 +1473 1663 +-1306 -5083 +-3795 3653 +-606 4558 +4074 4371 +425 -647 +-2551 -2668 +-427 3691 +-2666 -3230 +-5105 -426 +-3511 3511 +-2410 -115 +1011 4880 +3476 1196 +-759 2297 +-3689 4786 +-2419 -4264 +715 -2564 +6135 1312 +5522 1125 +182 2390 +2191 706 +3817 -128 +-1689 979 +-3580 1226 +-1610 -60 +-1170 0 +-1610 60 +-3580 -1226 +-1689 -979 +3817 128 +2191 -706 +182 -2390 +5522 -1125 +6135 -1312 +715 2564 +-2419 4264 +-3689 -4786 +-759 -2297 +3476 -1196 +1011 -4880 +-2410 115 +-3511 -3511 +-5105 426 +-2666 3230 +-427 -3691 +-2551 2668 +425 647 +4074 -4371 +-606 -4558 +-3795 -3653 +-1306 5083 +1473 -1663 +2865 -3538 +-507 5093 +-3152 -2376 +1925 -2478 +4801 4339 +-4681 2341 +-1076 -3816 +-934 -3855 +-1762 1470 +3616 1148 +1717 662 +2038 -1320 +2605 1400 +1312 686 +-1943 -4213 +-5146 2009 +-492 4932 +2594 2414 +2572 2549 +-1147 235 +-2154 -60 +1170 0 +-2154 60 +-1147 -235 +2572 -2549 +2594 -2414 +-492 -4932 +-5146 -2009 +-1943 4213 +1312 -686 +2605 -1400 +2038 1320 +1717 -662 +3616 -1148 +-1762 -1470 +-934 3855 +-1076 3816 +-4681 -2341 +860 3665 +-1617 5122 +409 1762 +2720 5472 +-3117 2200 +1779 -1739 +-1743 3014 +-3653 3996 +5406 -4703 +4638 -5067 +3683 6194 +432 4206 +-3011 -416 +387 1032 +-1954 -4059 +-5851 0 +-1954 4059 +387 -1032 +-3011 416 +432 -4206 +3683 -6194 +4638 5067 +5406 4703 +-3653 -3996 +-1743 -3014 +1779 1739 +-3117 -2200 +2720 -5472 +409 -1762 +-1617 -5122 +860 -3665 +-4681 2341 +-1076 -3816 +-934 -3855 +-1762 1470 +3616 1148 +1717 662 +2038 -1320 +2605 1400 +1312 686 +-1943 -4213 +-5146 2009 +-492 4932 +2594 2414 +2572 2549 +-1147 235 +-2154 -60 +-7022 4681 +71 3147 +-5484 -2879 +-4122 -1231 +-807 565 +-885 1879 +4292 623 +-1696 -3136 +-1513 -2825 +-496 -2475 +-4273 -431 +2187 5294 +-544 4519 +-2470 -98 +1902 -2283 +1237 -3262 +1170 0 +1237 3262 +1902 2283 +-2470 98 +-544 -4519 +2187 -5294 +-4273 431 +-496 2475 +-1513 2825 +-1696 3136 +4292 -623 +-885 -1879 +-807 -565 +-4122 1231 +-5484 2879 +71 -3147 +-7022 -4681 +-5829 3823 +1320 717 +3576 -4909 +3147 1090 +24 1226 +5296 273 +1456 5247 +-3168 485 +5061 -4064 +2677 1326 +466 1394 +2885 -2864 +1224 -2460 +3633 120 +197 1582 +-5851 0 +197 -1582 +3633 -120 +1224 2460 +2885 2864 +466 -1394 +2677 -1326 +5061 4064 +-3168 -485 +1456 -5247 +5296 -273 +24 -1226 +3147 -1090 +3576 4909 +1320 -717 +-5829 -3823 +-7022 4681 +71 3147 +-5484 -2879 +-4122 -1231 +-807 565 +-885 1879 +4292 623 +-1696 -3136 +-1513 -2825 +-496 -2475 +-4273 -431 +2187 5294 +-544 4519 +-2470 -98 +1902 -2283 +1237 -3262 +-2341 -1170 +-2314 -3923 +-72 -4187 +5737 1152 +1655 -528 +646 -640 +3713 795 +-3662 3422 +-7991 3653 +-3967 -650 +2869 2342 +3417 -878 +-1655 -612 +707 6073 +923 -1721 +-117 -5246 +2341 0 +-117 5246 +923 1721 +707 -6073 +-1655 612 +3417 878 +2869 -2342 +-3967 650 +-7991 -3653 +-3662 -3422 +3713 -795 +646 640 +1655 528 +5737 -1152 +-72 4187 +-2314 3923 +-2341 1170 +-1321 3542 +2413 4753 +1728 4242 +1655 -157 +-210 -1094 +-1372 2473 +94 2959 +-1371 -1312 +1691 -604 +-529 2297 +-6659 -2375 +-1655 4608 +1254 4422 +1417 -5704 +2975 3850 +2341 0 +2975 -3850 +1417 5704 +1254 -4422 +-1655 -4608 +-6659 2375 +-529 -2297 +1691 604 +-1371 1312 +94 -2959 +-1372 -2473 +-210 1094 +1655 157 +1728 -4242 +2413 -4753 +-1321 -3542 +-2341 -1170 +-2314 -3923 +-72 -4187 +5737 1152 +1655 -528 +646 -640 +3713 795 +-3662 3422 +-7991 3653 +-3967 -650 +2869 2342 +3417 -878 +-1655 -612 +707 6073 +923 -1721 +-117 -5246 +1170 -2341 +-2329 -750 +-5463 4181 +-2673 424 +4169 -2876 +-2280 -797 +-2760 -2759 +1145 -3888 +-1998 -5166 +-255 -3615 +2950 4383 +2622 2396 +2759 -4118 +3521 -26 +-568 2257 +-2361 -1206 +0 0 +-2361 1206 +-568 -2257 +3521 26 +2759 4118 +2622 -2396 +2950 -4383 +-255 3615 +-1998 5166 +1145 3888 +-2760 2759 +-2280 797 +4169 2876 +-2673 -424 +-5463 -4181 +-2329 750 +1170 2341 +2823 1504 +-4512 -259 +-725 -2694 +1482 2191 +-2805 2687 +1938 -1415 +1340 -711 +-343 -1856 +-2230 808 +-189 4116 +2463 3819 +-3728 8114 +-123 2081 +-757 -4387 +1867 3752 +11703 0 +1867 -3752 +-757 4387 +-123 -2081 +-3728 -8114 +2463 -3819 +-189 -4116 +-2230 -808 +-343 1856 +1340 711 +1938 1415 +-2805 -2687 +1482 -2191 +-725 2694 +-4512 259 +2823 -1504 +1170 -2341 +-2329 -750 +-5463 4181 +-2673 424 +4169 -2876 +-2280 -797 +-2760 -2759 +1145 -3888 +-1998 -5166 +-255 -3615 +2950 4383 +2622 2396 +2759 -4118 +3521 -26 +-568 2257 +-2361 -1206 +-3511 3511 +-2883 1104 +-1908 -165 +-3337 -252 +686 1401 +4760 -3134 +1464 -4076 +1671 3111 +2825 -1998 +-145 -985 +1077 2741 +3555 -2303 +3996 2035 +2617 3500 +-2213 729 +-805 3943 +3511 0 +-805 -3943 +-2213 -729 +2617 -3500 +3996 -2035 +3555 2303 +1077 -2741 +-145 985 +2825 1998 +1671 -3111 +1464 4076 +4760 3134 +686 -1401 +-3337 252 +-1908 165 +-2883 -1104 +-3511 -3511 +-2420 4696 +1222 2625 +6509 -5494 +686 3564 +-8514 -1560 +-5460 -5528 +-591 1955 +-485 -343 +-2453 208 +-5073 -1044 +-816 415 +3996 2930 +1846 180 +1528 3670 +1006 2633 +-1170 0 +1006 -2633 +1528 -3670 +1846 -180 +3996 -2930 +-816 -415 +-5073 1044 +-2453 -208 +-485 343 +-591 -1955 +-5460 5528 +-8514 1560 +686 -3564 +6509 5494 +1222 -2625 +-2420 -4696 +-3511 3511 +-2883 1104 +-1908 -165 +-3337 -252 +686 1401 +4760 -3134 +1464 -4076 +1671 3111 +2825 -1998 +-145 -985 +1077 2741 +3555 -2303 +3996 2035 +2617 3500 +-2213 729 +-805 3943 +-2341 7022 +751 -1521 +-743 1729 +-6124 2730 +-2320 149 +-1381 2553 +-4017 465 +-411 6181 +7648 7991 +2952 258 +-3421 98 +-2129 -1806 +-3712 -1296 +2974 -113 +5022 -1670 +-904 3922 +-1170 0 +-904 -3922 +5022 1670 +2974 113 +-3712 1296 +-2129 1806 +-3421 -98 +2952 -258 +7648 -7991 +-411 -6181 +-4017 -465 +-1381 -2553 +-2320 -149 +-6124 -2730 +-743 -1729 +751 1521 +-2341 -7022 +3764 -2423 +3158 5325 +1412 -878 +1634 -1118 +1323 413 +2870 116 +-3825 2952 +-627 1371 +4595 -908 +-2051 1855 +-1123 1819 +-283 -4354 +-1572 -3730 +-816 733 +-301 -1666 +1170 0 +-301 1666 +-816 -733 +-1572 3730 +-283 4354 +-1123 -1819 +-2051 -1855 +4595 908 +-627 -1371 +-3825 -2952 +2870 -116 +1323 -413 +1634 1118 +1412 878 +3158 -5325 +3764 2423 +-2341 7022 +751 -1521 +-743 1729 +-6124 2730 +-2320 149 +-1381 2553 +-4017 465 +-411 6181 +7648 7991 +2952 258 +-3421 98 +-2129 -1806 +-3712 -1296 +2974 -113 +5022 -1670 +-904 3922 +-1170 0 +4642 -515 +75 1908 +-1643 -1444 +642 -1804 +-134 6891 +982 1617 +-5633 -2629 +-3168 4965 +2378 966 +-2815 -3209 +-485 -116 +1783 -359 +125 1447 +-1052 3037 +-262 846 +2341 0 +-262 -846 +-1052 -3037 +125 -1447 +1783 359 +-485 116 +-2815 3209 +2378 -966 +-3168 -4965 +-5633 2629 +982 -1617 +-134 -6891 +642 1804 +-1643 1444 +75 -1908 +4642 515 +-1170 0 +712 -3199 +4621 -4934 +2323 -914 +1013 -537 +2701 937 +6030 4719 +3032 -4474 +-1513 -4965 +-5892 936 +-4197 -3127 +452 1471 +-3438 2699 +-3338 -915 +-3644 -11 +1025 -4917 +9362 0 +1025 4917 +-3644 11 +-3338 915 +-3438 -2699 +452 -1471 +-4197 3127 +-5892 -936 +-1513 4965 +3032 4474 +6030 -4719 +2701 -937 +1013 537 +2323 914 +4621 4934 +712 3199 +-1170 0 +4642 -515 +75 1908 +-1643 -1444 +642 -1804 +-134 6891 +982 1617 +-5633 -2629 +-3168 4965 +2378 966 +-2815 -3209 +-485 -116 +1783 -359 +125 1447 +-1052 3037 +-262 846 +1170 1170 +3760 1184 +2058 -1073 +-409 -2309 +656 -791 +308 -950 +-5785 -161 +-2829 2031 +485 1312 +-1496 -1442 +320 -2431 +607 668 +2056 3079 +2849 890 +1194 2338 +-1190 5850 +-3511 0 +-1190 -5850 +1194 -2338 +2849 -890 +2056 -3079 +607 -668 +320 2431 +-1496 1442 +485 -1312 +-2829 -2031 +-5785 161 +308 950 +656 791 +-409 2309 +2058 1073 +3760 -1184 +1170 -1170 +5 -3557 +4310 -3566 +816 1100 +-4936 105 +-6511 -1781 +1209 727 +7459 -1650 +-2825 -3653 +-2357 -1843 +-2363 -4994 +-3830 -3819 +6905 917 +-451 -5261 +-942 -5607 +3268 4093 +-3511 0 +3268 -4093 +-942 5607 +-451 5261 +6905 -917 +-3830 3819 +-2363 4994 +-2357 1843 +-2825 3653 +7459 1650 +1209 -727 +-6511 1781 +-4936 -105 +816 -1100 +4310 3566 +5 3557 +1170 1170 +3760 1184 +2058 -1073 +-409 -2309 +656 -791 +308 -950 +-5785 -161 +-2829 2031 +485 1312 +-1496 -1442 +320 -2431 +607 668 +2056 3079 +2849 890 +1194 2338 +-1190 5850 +1170 3511 +848 1750 +4790 1390 +-3195 5455 +-3817 -1401 +-163 -4761 +-228 561 +3342 -872 +-1856 -1028 +1174 3172 +4015 1278 +-351 -1547 +2551 -2035 +-5874 -2582 +-3843 425 +3319 3329 +-1170 0 +3319 -3329 +-3843 -425 +-5874 2582 +2551 2035 +-351 1547 +4015 -1278 +1174 -3172 +-1856 1028 +3342 872 +-228 -561 +-163 4761 +-3817 1401 +-3195 -5455 +4790 -1390 +848 -1750 +1170 -3511 +4761 3341 +997 1846 +-1889 -4187 +507 -3564 +1937 -3431 +-3411 -739 +-5640 -478 +-5166 -5993 +-3473 -1212 +995 3225 +2125 -3336 +759 -2930 +790 540 +6048 -1870 +2290 -1549 +-5851 0 +2290 1549 +6048 1870 +790 -540 +759 2930 +2125 3336 +995 -3225 +-3473 1212 +-5166 5993 +-5640 478 +-3411 739 +1937 3431 +507 3564 +-1889 4187 +997 -1846 +4761 -3341 +1170 3511 +848 1750 +4790 1390 +-3195 5455 +-3817 -1401 +-163 -4761 +-228 561 +3342 -872 +-1856 -1028 +1174 3172 +4015 1278 +-351 -1547 +2551 -2035 +-5874 -2582 +-3843 425 +3319 3329 +3511 0 +1299 2526 +-516 -1149 +2591 4925 +2126 -1609 +-3269 -3393 +-5676 -887 +-3621 1998 +-343 6821 +1282 -2032 +116 -2542 +645 749 +3011 -1060 +-777 1313 +-6060 -2804 +-1087 -4126 +4681 0 +-1087 4126 +-6060 2804 +-777 -1313 +3011 1060 +645 -749 +116 2542 +1282 2032 +-343 -6821 +-3621 -1998 +-5676 887 +-3269 3393 +2126 1609 +2591 -4925 +-516 1149 +1299 -2526 +3511 0 +-381 8139 +-3091 -1149 +-1936 698 +-3095 924 +-3385 -4948 +278 -887 +598 -2491 +-1998 201 +-792 3835 +601 -2542 +-107 -677 +2640 5056 +6238 -518 +4986 -2804 +2703 3846 +2341 0 +2703 -3846 +4986 2804 +6238 518 +2640 -5056 +-107 677 +601 2542 +-792 -3835 +-1998 -201 +598 2491 +278 887 +-3385 4948 +-3095 -924 +-1936 -698 +-3091 1149 +-381 -8139 +3511 0 +1299 2526 +-516 -1149 +2591 4925 +2126 -1609 +-3269 -3393 +-5676 -887 +-3621 1998 +-343 6821 +1282 -2032 +116 -2542 +645 749 +3011 -1060 +-777 1313 +-6060 -2804 +-1087 -4126 +2341 0 +-5113 -1569 +-3443 -2683 +-1373 3351 +-4317 6659 +-820 -2780 +1930 3101 +2664 5440 +-828 -2825 +-5360 1400 +1394 -2405 +1700 -475 +-4055 5570 +-348 -114 +-1901 -100 +-1655 -1322 +3511 0 +-1655 1322 +-1901 100 +-348 114 +-4055 -5570 +1700 475 +1394 2405 +-5360 -1400 +-828 2825 +2664 -5440 +1930 -3101 +-820 2780 +-4317 -6659 +-1373 -3351 +-3443 2683 +-5113 1569 +2341 0 +1244 2183 +-584 4160 +3299 -2199 +-364 -724 +-281 1889 +4987 -1 +2981 2430 +828 485 +2522 -1165 +2990 -2487 +178 -1935 +-626 5046 +4265 6368 +3990 2948 +-3902 922 +-8192 0 +-3902 -922 +3990 -2948 +4265 -6368 +-626 -5046 +178 1935 +2990 2487 +2522 1165 +828 -485 +2981 -2430 +4987 1 +-281 -1889 +-364 724 +3299 2199 +-584 -4160 +1244 -2183 +2341 0 +-5113 -1569 +-3443 -2683 +-1373 3351 +-4317 6659 +-820 -2780 +1930 3101 +2664 5440 +-828 -2825 +-5360 1400 +1394 -2405 +1700 -475 +-4055 5570 +-348 -114 +-1901 -100 +-1655 -1322 +5851 -2341 +1051 -3806 +1613 -3521 +-2828 -6523 +-3496 -953 +319 417 +-2643 -2311 +988 -277 +343 -4480 +-1827 -915 +-1079 6357 +1617 -2321 +5920 -3116 +-3535 3037 +-2083 -2825 +2974 -5367 +-2341 0 +2974 5367 +-2083 2825 +-3535 -3037 +5920 3116 +1617 2321 +-1079 -6357 +-1827 915 +343 4480 +988 277 +-2643 2311 +319 -417 +-3496 953 +-2828 6523 +1613 3521 +1051 3806 +5851 2341 +2155 -1902 +-1032 3699 +-499 3219 +-3125 -4012 +1804 -2465 +1706 866 +309 2039 +1998 2140 +-4067 -633 +-5975 -3121 +-192 -3037 +700 -1849 +-3306 -3032 +131 -1678 +5038 2970 +4681 0 +5038 -2970 +131 1678 +-3306 3032 +700 1849 +-192 3037 +-5975 3121 +-4067 633 +1998 -2140 +309 -2039 +1706 -866 +1804 2465 +-3125 4012 +-499 -3219 +-1032 -3699 +2155 1902 +5851 -2341 +1051 -3806 +1613 -3521 +-2828 -6523 +-3496 -953 +319 417 +-2643 -2311 +988 -277 +343 -4480 +-1827 -915 +-1079 6357 +1617 -2321 +5920 -3116 +-3535 3037 +-2083 -2825 +2974 -5367 +2341 4681 +-3033 1766 +-217 -2684 +-3621 4402 +-6686 1118 +-1368 2202 +1261 3839 +2290 -2892 +1998 -1655 +3538 -3357 +4752 -4475 +1074 1905 +823 4354 +1414 -4034 +289 -8999 +2003 366 +3511 0 +2003 -366 +289 8999 +1414 4034 +823 -4354 +1074 -1905 +4752 4475 +3538 3357 +1998 1655 +2290 2892 +1261 -3839 +-1368 -2202 +-6686 -1118 +-3621 -4402 +-217 2684 +-3033 -1766 +2341 -4681 +3140 2725 +-872 266 +-82 -1890 +-1990 -149 +-281 1717 +-2874 1651 +-6342 1385 +343 1655 +515 58 +1543 34 +576 -2310 +-6189 1296 +2289 2221 +5481 -3349 +-2110 2334 +-3511 0 +-2110 -2334 +5481 3349 +2289 -2221 +-6189 -1296 +576 2310 +1543 -34 +515 -58 +343 -1655 +-6342 -1385 +-2874 -1651 +-281 -1717 +-1990 149 +-82 1890 +-872 -266 +3140 -2725 +2341 4681 +-3033 1766 +-217 -2684 +-3621 4402 +-6686 1118 +-1368 2202 +1261 3839 +2290 -2892 +1998 -1655 +3538 -3357 +4752 -4475 +1074 1905 +823 4354 +1414 -4034 +289 -8999 +2003 366 +5851 -1170 +-1 -1506 +764 6269 +1589 907 +0 -4249 +-1586 1397 +-1577 -573 +2798 -5406 +-485 -2967 +340 2252 +-3217 2790 +-9548 -339 +0 1065 +1089 4 +1842 -3574 +-1058 -827 +-10533 0 +-1058 827 +1842 3574 +1089 -4 +0 -1065 +-9548 339 +-3217 -2790 +340 -2252 +-485 2967 +2798 5406 +-1577 573 +-1586 -1397 +0 4249 +1589 -907 +764 -6269 +-1 1506 +5851 1170 +2701 -1158 +-376 575 +224 -4971 +0 -2087 +1181 1973 +-3136 -5004 +500 -1293 +2825 5308 +-1848 1847 +4620 995 +5628 1560 +0 1961 +1423 3146 +1080 1055 +-3434 -402 +-5851 0 +-3434 402 +1080 -1055 +1423 -3146 +0 -1961 +5628 -1560 +4620 -995 +-1848 -1847 +2825 -5308 +500 1293 +-3136 5004 +1181 -1973 +0 2087 +224 4971 +-376 -575 +2701 1158 +5851 -1170 +-1 -1506 +764 6269 +1589 907 +0 -4249 +-1586 1397 +-1577 -573 +2798 -5406 +-485 -2967 +340 2252 +-3217 2790 +-9548 -339 +0 1065 +1089 4 +1842 -3574 +-1058 -827 +2341 -4681 +1407 -7081 +355 2231 +1268 1363 +2953 2825 +-427 3473 +-4695 439 +-4552 2664 +-3653 969 +53 732 +-371 2094 +-328 1672 +2183 485 +-3926 2324 +-3533 3886 +1659 -2356 +1170 0 +1659 2356 +-3533 -3886 +-3926 -2324 +2183 -485 +-328 -1672 +-371 -2094 +53 -732 +-3653 -969 +-4552 -2664 +-4695 -439 +-427 -3473 +2953 -2825 +1268 -1363 +355 -2231 +1407 7081 +2341 4681 +-3198 -231 +-533 2231 +7682 -531 +-2267 2825 +-5491 1379 +3250 439 +1688 1236 +1312 -5651 +277 873 +-2865 2094 +129 -5232 +1812 485 +1093 3337 +-970 3886 +2665 5990 +8192 0 +2665 -5990 +-970 -3886 +1093 -3337 +1812 -485 +129 5232 +-2865 -2094 +277 -873 +1312 5651 +1688 -1236 +3250 -439 +-5491 -1379 +-2267 -2825 +7682 531 +-533 -2231 +-3198 231 +2341 -4681 +1407 -7081 +355 2231 +1268 1363 +2953 2825 +-427 3473 +-4695 439 +-4552 2664 +-3653 969 +53 732 +-371 2094 +-328 1672 +2183 485 +-3926 2324 +-3533 3886 +1659 -2356 +1170 0 +1705 592 +1497 1220 +-1759 -4199 +-364 1671 +3253 3909 +5524 -131 +-1501 5480 +-1998 5166 +4480 462 +-798 511 +-3294 158 +-626 4190 +-162 2619 +704 -2363 +-753 1893 +-2341 0 +-753 -1893 +704 2363 +-162 -2619 +-626 -4190 +-3294 -158 +-798 -511 +4480 -462 +-1998 -5166 +-1501 -5480 +5524 131 +3253 -3909 +-364 -1671 +-1759 4199 +1497 -1220 +1705 -592 +1170 0 +846 -4849 +-4394 225 +-2058 2578 +-4317 -1387 +-2746 -1575 +7121 -4372 +2260 -4587 +-343 1856 +-3721 3236 +-3856 -333 +3801 1400 +-4055 5456 +-3655 1603 +3564 -873 +3304 3277 +4681 0 +3304 -3277 +3564 873 +-3655 -1603 +-4055 -5456 +3801 -1400 +-3856 333 +-3721 -3236 +-343 -1856 +2260 4587 +7121 4372 +-2746 1575 +-4317 1387 +-2058 -2578 +-4394 -225 +846 4849 +1170 0 +1705 592 +1497 1220 +-1759 -4199 +-364 1671 +3253 3909 +5524 -131 +-1501 5480 +-1998 5166 +4480 462 +-798 511 +-3294 158 +-626 4190 +-162 2619 +704 -2363 +-753 1893 +2341 -2341 +-2174 1575 +3221 2569 +8308 -1333 +-2430 4012 +-4252 3441 +248 1826 +660 4103 +-828 2140 +-5042 3285 +4110 584 +6527 426 +-3959 1849 +67 -1722 +-1494 26 +-2974 202 +3511 0 +-2974 -202 +-1494 -26 +67 1722 +-3959 -1849 +6527 -426 +4110 -584 +-5042 -3285 +-828 -2140 +660 -4103 +248 -1826 +-4252 -3441 +-2430 -4012 +8308 1333 +3221 -2569 +-2174 -1575 +2341 2341 +2278 -473 +2566 -1673 +1353 795 +-4592 953 +-7613 -4247 +-3887 336 +314 1439 +828 -4480 +3326 2257 +901 1579 +-5103 -1232 +-3063 3116 +714 1183 +3698 870 +3612 900 +1170 0 +3612 -900 +3698 -870 +714 -1183 +-3063 -3116 +-5103 1232 +901 -1579 +3326 -2257 +828 4480 +314 -1439 +-3887 -336 +-7613 4247 +-4592 -953 +1353 -795 +2566 1673 +2278 473 +2341 -2341 +-2174 1575 +3221 2569 +8308 -1333 +-2430 4012 +-4252 3441 +248 1826 +660 4103 +-828 2140 +-5042 3285 +4110 584 +6527 426 +-3959 1849 +67 -1722 +-1494 26 +-2974 202 +-1170 1170 +-732 -6930 +-1760 1756 +-1060 291 +2579 -3244 +1449 825 +-2078 -3372 +-730 -1204 +-686 4138 +-3592 3660 +-1289 -771 +-384 -4495 +-6711 -1344 +-5412 1729 +4106 -249 +3568 -2734 +-1170 0 +3568 2734 +4106 249 +-5412 -1729 +-6711 1344 +-384 4495 +-1289 771 +-3592 -3660 +-686 -4138 +-730 1204 +-2078 3372 +1449 -825 +2579 3244 +-1060 -291 +-1760 -1756 +-732 6930 +-1170 -1170 +982 931 +3593 5459 +1684 -2398 +46 3244 +1873 -2232 +1868 -7425 +-1574 11 +-3996 -4138 +-2012 -4853 +2870 -664 +3920 3087 +-595 1344 +-2069 -3836 +2052 -1899 +4088 -3265 +3511 0 +4088 3265 +2052 1899 +-2069 3836 +-595 -1344 +3920 -3087 +2870 664 +-2012 4853 +-3996 4138 +-1574 -11 +1868 7425 +1873 2232 +46 -3244 +1684 2398 +3593 -5459 +982 -931 +-1170 1170 +-732 -6930 +-1760 1756 +-1060 291 +2579 -3244 +1449 825 +-2078 -3372 +-730 -1204 +-686 4138 +-3592 3660 +-1289 -771 +-384 -4495 +-6711 -1344 +-5412 1729 +4106 -249 +3568 -2734 +-2341 -1170 +3702 -4105 +1810 -389 +-3123 -1651 +-1986 -4443 +-2478 -1459 +-1797 1484 +-1469 -591 +2825 -1513 +2035 -709 +-1907 1086 +-830 5649 +-5026 1767 +-1513 -3680 +3490 -1341 +-1796 -1317 +-4681 0 +-1796 1317 +3490 1341 +-1513 3680 +-5026 -1767 +-830 -5649 +-1907 -1086 +2035 709 +2825 1513 +-1469 591 +-1797 -1484 +-2478 1459 +-1986 4443 +-3123 1651 +1810 389 +3702 4105 +-2341 1170 +1543 978 +2008 5071 +-1253 -3227 +331 -3548 +1995 6 +1037 -6165 +-4323 -1155 +-485 -3168 +1965 -8251 +-644 3596 +5638 3695 +6681 -396 +1565 237 +-3998 -3340 +-1657 337 +4681 0 +-1657 -337 +-3998 3340 +1565 -237 +6681 396 +5638 -3695 +-644 -3596 +1965 8251 +-485 3168 +-4323 1155 +1037 6165 +1995 -6 +331 3548 +-1253 3227 +2008 -5071 +1543 -978 +-2341 -1170 +3702 -4105 +1810 -389 +-3123 -1651 +-1986 -4443 +-2478 -1459 +-1797 1484 +-1469 -591 +2825 -1513 +2035 -709 +-1907 1086 +-830 5649 +-5026 1767 +-1513 -3680 +3490 -1341 +-1796 -1317 +-1170 -1170 +4900 2682 +1238 2024 +-648 595 +3406 -738 +3189 -228 +2122 3218 +1483 1434 +3795 -1998 +-411 -1570 +587 1975 +832 1996 +-7538 -2446 +1969 3040 +1578 6247 +-6791 -2595 +-3511 0 +-6791 2595 +1578 -6247 +1969 -3040 +-7538 2446 +832 -1996 +587 -1975 +-411 1570 +3795 1998 +1483 -1434 +2122 -3218 +3189 228 +3406 738 +-648 -595 +1238 -2024 +4900 -2682 +-1170 1170 +-814 -2815 +-3999 -7464 +-6356 455 +873 1424 +4028 -1968 +7853 1971 +1837 1185 +-6135 -343 +-376 -136 +739 -2839 +-1933 -2401 +-1422 -1550 +-1082 -198 +-756 985 +171 -1863 +1170 0 +171 1863 +-756 -985 +-1082 198 +-1422 1550 +-1933 2401 +739 2839 +-376 136 +-6135 343 +1837 -1185 +7853 -1971 +4028 1968 +873 -1424 +-6356 -455 +-3999 7464 +-814 2815 +-1170 -1170 +4900 2682 +1238 2024 +-648 595 +3406 -738 +3189 -228 +2122 3218 +1483 1434 +3795 -1998 +-411 -1570 +587 1975 +832 1996 +-7538 -2446 +1969 3040 +1578 6247 +-6791 -2595 +1170 -3511 +2889 -5802 +1440 2384 +1709 -543 +-2272 89 +-3546 5220 +4268 -3752 +-4197 -2075 +-7306 828 +1898 -3728 +888 2153 +633 581 +649 -1618 +-2711 2232 +-5808 -3338 +-975 -4962 +5851 0 +-975 4962 +-5808 3338 +-2711 -2232 +649 1618 +633 -581 +888 -2153 +1898 3728 +-7306 -828 +-4197 2075 +4268 3752 +-3546 -5220 +-2272 -89 +1709 543 +1440 -2384 +2889 5802 +1170 3511 +3115 -1601 +-247 -179 +-5578 783 +-4064 2251 +-754 -58 +2278 -1258 +956 186 +2625 -828 +4653 -3262 +3867 -5792 +357 -3054 +-3675 -722 +3269 -3007 +2676 -2449 +-1719 -923 +1170 0 +-1719 923 +2676 2449 +3269 3007 +-3675 722 +357 3054 +3867 5792 +4653 3262 +2625 828 +956 -186 +2278 1258 +-754 58 +-4064 -2251 +-5578 -783 +-247 179 +3115 1601 +1170 -3511 +2889 -5802 +1440 2384 +1709 -543 +-2272 89 +-3546 5220 +4268 -3752 +-4197 -2075 +-7306 828 +1898 -3728 +888 2153 +633 581 +649 -1618 +-2711 2232 +-5808 -3338 +-975 -4962 +3511 -1170 +1237 2610 +3395 3178 +1900 -3129 +1762 -791 +2800 214 +-6319 1371 +-1672 4530 +4480 1998 +-1873 385 +-2932 -270 +473 -603 +2385 3079 +-19 7072 +2647 3558 +4529 -3430 +1170 0 +4529 3430 +2647 -3558 +-19 -7072 +2385 -3079 +473 603 +-2932 270 +-1873 -385 +4480 -1998 +-1672 -4530 +-6319 -1371 +2800 -214 +1762 791 +1900 3129 +3395 -3178 +1237 -2610 +3511 1170 +229 -651 +-85 6038 +-2070 6182 +-7412 105 +-1349 393 +3009 -1686 +-377 -490 +-2140 343 +1116 701 +-378 1327 +-2701 -4990 +-1415 917 +-5655 5764 +663 -2333 +3430 -306 +-3511 0 +3430 306 +663 2333 +-5655 -5764 +-1415 -917 +-2701 4990 +-378 -1327 +1116 -701 +-2140 -343 +-377 490 +3009 1686 +-1349 -393 +-7412 -105 +-2070 -6182 +-85 -6038 +229 651 +3511 -1170 +1237 2610 +3395 3178 +1900 -3129 +1762 -791 +2800 214 +-6319 1371 +-1672 4530 +4480 1998 +-1873 385 +-2932 -270 +473 -603 +2385 3079 +-19 7072 +2647 3558 +4529 -3430 +-2341 -3511 +-4709 -1783 +-864 -866 +6263 -843 +6517 -1065 +-4004 -2533 +-4902 502 +-1992 3292 +-1655 2683 +50 29 +1460 -1826 +4569 1325 +-747 2087 +-4357 -1196 +2936 -1969 +2322 -1469 +-2341 0 +2322 1469 +2936 1969 +-4357 1196 +-747 -2087 +4569 -1325 +1460 1826 +50 -29 +-1655 -2683 +-1992 -3292 +-4902 -502 +-4004 2533 +6517 1065 +6263 843 +-864 866 +-4709 1783 +-2341 3511 +-220 -2042 +-3849 -327 +-69 5790 +-866 -1961 +1400 -1274 +7823 6044 +-398 2092 +1655 4338 +3354 3983 +-1071 -2929 +3137 2859 +-222 4249 +-319 -1849 +-1533 -1163 +-5029 -985 +-2341 0 +-5029 985 +-1533 1163 +-319 1849 +-222 -4249 +3137 -2859 +-1071 2929 +3354 -3983 +1655 -4338 +-398 -2092 +7823 -6044 +1400 1274 +-866 1961 +-69 -5790 +-3849 327 +-220 2042 +-2341 -3511 +-4709 -1783 +-864 -866 +6263 -843 +6517 -1065 +-4004 -2533 +-4902 502 +-1992 3292 +-1655 2683 +50 29 +1460 -1826 +4569 1325 +-747 2087 +-4357 -1196 +2936 -1969 +2322 -1469 +0 2341 +-4134 -5601 +-1278 -4249 +5257 -914 +3005 222 +-5716 -2128 +-1390 723 +1348 1626 +-343 -2341 +6412 1355 +-1870 869 +-4706 2897 +7708 6517 +1753 1436 +561 -697 +4855 -1967 +1170 0 +4855 1967 +561 697 +1753 -1436 +7708 -6517 +-4706 -2897 +-1870 -869 +6412 -1355 +-343 2341 +1348 -1626 +-1390 -723 +-5716 2128 +3005 -222 +5257 914 +-1278 4249 +-4134 5601 +0 -2341 +693 -3132 +-3225 2594 +-1039 -108 +-949 747 +-2661 -796 +-1846 -2378 +-3260 -962 +-1998 -2341 +-918 1100 +425 787 +4433 -1496 +4279 -866 +2679 1866 +-739 2352 +-4997 -4975 +-5851 0 +-4997 4975 +-739 -2352 +2679 -1866 +4279 866 +4433 1496 +425 -787 +-918 -1100 +-1998 2341 +-3260 962 +-1846 2378 +-2661 796 +-949 -747 +-1039 108 +-3225 -2594 +693 3132 +0 2341 +-4134 -5601 +-1278 -4249 +5257 -914 +3005 222 +-5716 -2128 +-1390 723 +1348 1626 +-343 -2341 +6412 1355 +-1870 869 +-4706 2897 +7708 6517 +1753 1436 +561 -697 +4855 -1967 +2341 1170 +-2460 34 +40 -881 +2454 -389 +-1154 -2377 +-1176 -4488 +-1639 -4038 +-3620 3023 +4480 142 +5310 -5666 +3474 2779 +3589 2081 +-3705 -1566 +688 5350 +2135 3887 +-997 -1373 +2341 0 +-997 1373 +2135 -3887 +688 -5350 +-3705 1566 +3589 -2081 +3474 -2779 +5310 5666 +4480 -142 +-3620 -3023 +-1639 4038 +-1176 4488 +-1154 2377 +2454 389 +40 881 +-2460 -34 +2341 -1170 +3562 3889 +-1654 3550 +-1915 -167 +-4212 -3273 +370 -4551 +7408 591 +-1922 -2079 +-2140 -4823 +232 -248 +-4562 -2915 +-2783 -3213 +-4972 596 +-1226 2002 +4159 2093 +-106 -1562 +-2341 0 +-106 1562 +4159 -2093 +-1226 -2002 +-4972 -596 +-2783 3213 +-4562 2915 +232 248 +-2140 4823 +-1922 2079 +7408 -591 +370 4551 +-4212 3273 +-1915 167 +-1654 -3550 +3562 -3889 +2341 1170 +-2460 34 +40 -881 +2454 -389 +-1154 -2377 +-1176 -4488 +-1639 -4038 +-3620 3023 +4480 142 +5310 -5666 +3474 2779 +3589 2081 +-3705 -1566 +688 5350 +2135 3887 +-997 -1373 +-2341 -5851 +-571 -4200 +1830 1039 +3276 -4880 +-1364 5943 +-853 5595 +1121 -3783 +-3124 4793 +-1170 4138 +1208 -968 +1467 -815 +654 -1568 +-1872 -460 +2318 -503 +2210 -3046 +-2263 -5720 +-2341 0 +-2263 5720 +2210 3046 +2318 503 +-1872 460 +654 1568 +1467 815 +1208 968 +-1170 -4138 +-3124 -4793 +1121 3783 +-853 -5595 +-1364 -5943 +3276 4880 +1830 -1039 +-571 4200 +-2341 5851 +1028 -3134 +2673 -1175 +-4577 -2917 +-2631 -3602 +2799 -1482 +2116 1113 +829 3190 +-1170 -4138 +1088 -8110 +-22 -5164 +-2600 -1681 +1186 -1880 +-1018 -1417 +-2032 -401 +1806 -5436 +2341 0 +1806 5436 +-2032 401 +-1018 1417 +1186 1880 +-2600 1681 +-22 5164 +1088 8110 +-1170 4138 +829 -3190 +2116 -1113 +2799 1482 +-2631 3602 +-4577 2917 +2673 1175 +1028 3134 +-2341 -5851 +-571 -4200 +1830 1039 +3276 -4880 +-1364 5943 +-853 5595 +1121 -3783 +-3124 4793 +-1170 4138 +1208 -968 +1467 -815 +654 -1568 +-1872 -460 +2318 -503 +2210 -3046 +-2263 -5720 +4681 2341 +-2351 99 +-9254 -710 +-1686 1729 +-3011 -194 +-1988 -1890 +-4068 1547 +-3583 1427 +5509 -1170 +2614 1457 +-1419 3158 +3144 526 +3095 702 +-1937 2727 +-786 1358 +3091 -634 +3511 0 +3091 634 +-786 -1358 +-1937 -2727 +3095 -702 +3144 -526 +-1419 -3158 +2614 -1457 +5509 1170 +-3583 -1427 +-4068 -1547 +-1988 1890 +-3011 194 +-1686 -1729 +-9254 710 +-2351 -99 +4681 -2341 +-2984 -1127 +6035 -1705 +5193 -2841 +-2640 -1461 +-442 -2469 +-6043 -2695 +-2165 297 +3854 -1170 +4926 -2267 +3540 2314 +-5039 1232 +-2126 -2357 +2755 2277 +2634 2848 +453 -2928 +-3511 0 +453 2928 +2634 -2848 +2755 -2277 +-2126 2357 +-5039 -1232 +3540 -2314 +4926 2267 +3854 1170 +-2165 -297 +-6043 2695 +-442 2469 +-2640 1461 +5193 2841 +6035 1705 +-2984 1127 +4681 2341 +-2351 99 +-9254 -710 +-1686 1729 +-3011 -194 +-1988 -1890 +-4068 1547 +-3583 1427 +5509 -1170 +2614 1457 +-1419 3158 +3144 526 +3095 702 +-1937 2727 +-786 1358 +3091 -634 +1170 1170 +3343 -2202 +3694 -5259 +397 -1909 +-2215 4123 +-2063 -1817 +2078 236 +-387 7587 +-2825 3653 +-1519 2166 +1024 439 +181 -4844 +-4629 -3354 +-932 785 +-2868 -85 +-4213 -2773 +1170 0 +-4213 2773 +-2868 85 +-932 -785 +-4629 3354 +181 4844 +1024 -439 +-1519 -2166 +-2825 -3653 +-387 -7587 +2078 -236 +-2063 1817 +-2215 -4123 +397 1909 +3694 5259 +3343 2202 +1170 -1170 +1658 -2719 +-1741 5438 +1600 5822 +844 -1097 +-2750 -1118 +4975 -1681 +2094 -1738 +485 -1312 +4913 2906 +-87 2797 +-3003 -7517 +-3362 -2983 +-50 322 +2287 -4418 +731 3695 +1170 0 +731 -3695 +2287 4418 +-50 -322 +-3362 2983 +-3003 7517 +-87 -2797 +4913 -2906 +485 1312 +2094 1738 +4975 1681 +-2750 1118 +844 1097 +1600 -5822 +-1741 -5438 +1658 2719 +1170 1170 +3343 -2202 +3694 -5259 +397 -1909 +-2215 4123 +-2063 -1817 +2078 236 +-387 7587 +-2825 3653 +-1519 2166 +1024 439 +181 -4844 +-4629 -3354 +-932 785 +-2868 -85 +-4213 -2773 +1170 3511 +-189 5837 +-818 -2939 +-4919 -1397 +-4212 7365 +-887 3890 +470 1022 +-2119 1291 +-1655 -1513 +1449 1550 +2302 2956 +-330 1170 +-4972 2946 +-624 -1425 +3266 49 +2442 9535 +3511 0 +2442 -9535 +3266 -49 +-624 1425 +-4972 -2946 +-330 -1170 +2302 -2956 +1449 -1550 +-1655 1513 +-2119 -1291 +470 -1022 +-887 -3890 +-4212 -7365 +-4919 1397 +-818 2939 +-189 -5837 +1170 -3511 +4298 512 +3918 -1638 +5358 1101 +-1154 3936 +-2305 1114 +2378 -1274 +-1344 -1854 +1655 -3168 +-2311 -2113 +-3779 3412 +1730 3833 +-3705 -1007 +1977 1128 +1625 1995 +-2226 -3186 +3511 0 +-2226 3186 +1625 -1995 +1977 -1128 +-3705 1007 +1730 -3833 +-3779 -3412 +-2311 2113 +1655 3168 +-1344 1854 +2378 1274 +-2305 -1114 +-1154 -3936 +5358 -1101 +3918 1638 +4298 -512 +1170 3511 +-189 5837 +-818 -2939 +-4919 -1397 +-4212 7365 +-887 3890 +470 1022 +-2119 1291 +-1655 -1513 +1449 1550 +2302 2956 +-330 1170 +-4972 2946 +-624 -1425 +3266 49 +2442 9535 +-7022 5851 +-2973 805 +42 -1297 +-2603 172 +1529 1879 +-961 952 +1640 -2088 +2885 -3861 +686 -1998 +4212 1920 +-398 962 +-2070 -781 +633 3215 +-3177 3838 +-2584 1461 +1422 2303 +2341 0 +1422 -2303 +-2584 -1461 +-3177 -3838 +633 -3215 +-2070 781 +-398 -962 +4212 -1920 +686 1998 +2885 3861 +1640 2088 +-961 -952 +1529 -1879 +-2603 -172 +42 1297 +-2973 -805 +-7022 -5851 +3870 -1730 +4283 6381 +2399 -1078 +-1529 -5875 +-6368 3091 +151 -211 +237 -6184 +3996 -343 +5403 -2960 +-1393 -6571 +245 -1649 +-633 -2530 +-705 -1854 +-1741 313 +-1815 -3583 +2341 0 +-1815 3583 +-1741 -313 +-705 1854 +-633 2530 +245 1649 +-1393 6571 +5403 2960 +3996 343 +237 6184 +151 211 +-6368 -3091 +-1529 5875 +2399 1078 +4283 -6381 +3870 1730 +-7022 5851 +-2973 805 +42 -1297 +-2603 172 +1529 1879 +-961 952 +1640 -2088 +2885 -3861 +686 -1998 +4212 1920 +-398 962 +-2070 -781 +633 3215 +-3177 3838 +-2584 1461 +1422 2303 +0 8192 +2497 3029 +710 -2771 +-6529 1471 +828 1081 +161 -3408 +2576 403 +8302 2404 +1170 -4138 +-886 -5609 +2326 269 +848 2676 +-828 448 +796 -1134 +387 -551 +-1989 384 +-2341 0 +-1989 -384 +387 551 +796 1134 +-828 -448 +848 -2676 +2326 -269 +-886 5609 +1170 4138 +8302 -2404 +2576 -403 +161 3408 +828 -1081 +-6529 -1471 +710 2771 +2497 -3029 +0 -8192 +6526 -1045 +-203 2771 +1366 -922 +828 -1081 +-8845 -724 +-25 -403 +3509 4262 +1170 4138 +19 -1056 +-1566 -269 +3008 1456 +-828 -448 +-4045 585 +-4204 551 +-4738 -2369 +-2341 0 +-4738 2369 +-4204 -551 +-4045 -585 +-828 448 +3008 -1456 +-1566 269 +19 1056 +1170 -4138 +3509 -4262 +-25 403 +-8845 724 +828 1081 +1366 922 +-203 -2771 +6526 1045 +0 8192 +2497 3029 +710 -2771 +-6529 1471 +828 1081 +161 -3408 +2576 403 +8302 2404 +1170 -4138 +-886 -5609 +2326 269 +848 2676 +-828 448 +796 -1134 +387 -551 +-1989 384 +1170 2341 +2939 744 +3605 -618 +774 4574 +1013 3459 +1402 -128 +5765 -1981 +-248 -480 +-5509 969 +258 1813 +354 2719 +-3887 1000 +-3438 2014 +2314 -2457 +169 -4303 +-2755 5515 +0 0 +-2755 -5515 +169 4303 +2314 2457 +-3438 -2014 +-3887 -1000 +354 -2719 +258 -1813 +-5509 -969 +-248 480 +5765 1981 +1402 128 +1013 -3459 +774 -4574 +3605 618 +2939 -744 +1170 -2341 +6170 3340 +898 -3021 +1662 -5916 +642 2192 +-9406 1970 +-2529 -224 +-1508 -4250 +-3854 -5651 +2274 1091 +1091 3068 +2465 2360 +1783 -1044 +-1944 -3986 +9 -707 +-511 -417 +-2341 0 +-511 417 +9 707 +-1944 3986 +1783 1044 +2465 -2360 +1091 -3068 +2274 -1091 +-3854 5651 +-1508 4250 +-2529 224 +-9406 -1970 +642 -2192 +1662 5916 +898 3021 +6170 -3340 +1170 2341 +2939 744 +3605 -618 +774 4574 +1013 3459 +1402 -128 +5765 -1981 +-248 -480 +-5509 969 +258 1813 +354 2719 +-3887 1000 +-3438 2014 +2314 -2457 +169 -4303 +-2755 5515 +1170 0 +-150 3199 +1370 2546 +3241 -2531 +493 -3817 +3667 2052 +3333 -847 +-2540 1899 +-2483 2341 +209 -6961 +1765 2794 +516 4936 +486 -2551 +899 -1779 +-4115 -2090 +864 3968 +9362 0 +864 -3968 +-4115 2090 +899 1779 +486 2551 +516 -4936 +1765 -2794 +209 6961 +-2483 -2341 +-2540 -1899 +3333 847 +3667 -2052 +493 3817 +3241 2531 +1370 -2546 +-150 -3199 +1170 0 +-4618 61 +-4172 -206 +2217 4473 +2131 507 +2023 -695 +2527 -1493 +2858 -5374 +2483 2341 +487 2116 +2304 -453 +-1105 4412 +-7792 -759 +-4838 -4269 +-3013 -251 +-3731 663 +-2341 0 +-3731 -663 +-3013 251 +-4838 4269 +-7792 759 +-1105 -4412 +2304 453 +487 -2116 +2483 -2341 +2858 5374 +2527 1493 +2023 695 +2131 -507 +2217 -4473 +-4172 206 +-4618 -61 +1170 0 +-150 3199 +1370 2546 +3241 -2531 +493 -3817 +3667 2052 +3333 -847 +-2540 1899 +-2483 2341 +209 -6961 +1765 2794 +516 4936 +486 -2551 +899 -1779 +-4115 -2090 +864 3968 +4681 2341 +-4271 2988 +-5164 2202 +637 2615 +-2640 -702 +629 2222 +4681 2076 +1175 1762 +828 1170 +575 -5089 +-985 -2502 +-2427 -1501 +-2126 1461 +3296 573 +4225 -2242 +171 10089 +-1170 0 +171 -10089 +4225 2242 +3296 -573 +-2126 -1461 +-2427 1501 +-985 2502 +575 5089 +828 -1170 +1175 -1762 +4681 -2076 +629 -2222 +-2640 702 +637 -2615 +-5164 -2202 +-4271 -2988 +4681 -2341 +-1385 -2088 +-5917 -5438 +-3124 716 +-3011 2357 +2568 -694 +2817 -1898 +-3420 -2115 +-828 1170 +2685 -3255 +3417 -2001 +4332 4400 +3095 194 +710 1386 +-3074 3687 +-2149 -1197 +1170 0 +-2149 1197 +-3074 -3687 +710 -1386 +3095 -194 +4332 -4400 +3417 2001 +2685 3255 +-828 -1170 +-3420 2115 +2817 1898 +2568 694 +-3011 -2357 +-3124 -716 +-5917 5438 +-1385 2088 +4681 2341 +-4271 2988 +-5164 2202 +637 2615 +-2640 -702 +629 2222 +4681 2076 +1175 1762 +828 1170 +575 -5089 +-985 -2502 +-2427 -1501 +-2126 1461 +3296 573 +4225 -2242 +171 10089 +-2341 0 +3087 2094 +-127 3486 +-3538 3008 +-4638 -633 +-1880 -1744 +-1804 342 +-7369 1402 +1998 3996 +4539 -479 +1006 -7395 +3548 -3994 +-2468 -1529 +697 -651 +742 969 +-4083 -1956 +-1170 0 +-4083 1956 +742 -969 +697 651 +-2468 1529 +3548 3994 +1006 7395 +4539 479 +1998 -3996 +-7369 -1402 +-1804 -342 +-1880 1744 +-4638 633 +-3538 -3008 +-127 -3486 +3087 -2094 +-2341 0 +-1575 -2055 +3227 -1250 +2181 4108 +-5009 633 +-6340 -449 +4652 2251 +2621 -2567 +343 686 +5311 5158 +-2483 -1314 +-2963 -1005 +2752 1529 +1675 -1659 +4149 -672 +4090 1218 +1170 0 +4090 -1218 +4149 672 +1675 1659 +2752 -1529 +-2963 1005 +-2483 1314 +5311 -5158 +343 -686 +2621 2567 +4652 -2251 +-6340 449 +-5009 -633 +2181 -4108 +3227 1250 +-1575 2055 +-2341 0 +3087 2094 +-127 3486 +-3538 3008 +-4638 -633 +-1880 -1744 +-1804 342 +-7369 1402 +1998 3996 +4539 -479 +1006 -7395 +3548 -3994 +-2468 -1529 +697 -651 +742 969 +-4083 -1956 +1170 1170 +769 -1566 +-1223 -1988 +-4370 1573 +-4370 -3454 +-4109 -1122 +-1249 2937 +6558 -685 +3310 -3854 +1574 -1541 +3398 1288 +958 -3326 +2386 -3177 +-43 1564 +2966 797 +1898 1317 +-5851 0 +1898 -1317 +2966 -797 +-43 -1564 +2386 3177 +958 3326 +3398 -1288 +1574 1541 +3310 3854 +6558 685 +-1249 -2937 +-4109 1122 +-4370 3454 +-4370 -1573 +-1223 1988 +769 1566 +1170 -1170 +24 3741 +464 -4929 +-476 -6412 +1746 4825 +3806 876 +742 -848 +-794 -996 +-3310 -5509 +298 1232 +419 2740 +-2174 -4912 +4920 -4814 +-212 1588 +-5517 3587 +-3706 -513 +-5851 0 +-3706 513 +-5517 -3587 +-212 -1588 +4920 4814 +-2174 4912 +419 -2740 +298 -1232 +-3310 5509 +-794 996 +742 848 +3806 -876 +1746 -4825 +-476 6412 +464 4929 +24 -3741 +1170 1170 +769 -1566 +-1223 -1988 +-4370 1573 +-4370 -3454 +-4109 -1122 +-1249 2937 +6558 -685 +3310 -3854 +1574 -1541 +3398 1288 +958 -3326 +2386 -3177 +-43 1564 +2966 797 +1898 1317 +-3511 0 +4092 -7683 +-692 1274 +1173 333 +1470 -1461 +2429 158 +8057 -3874 +-1398 -1284 +343 485 +4958 -3109 +-327 -1369 +2162 -1736 +955 -2357 +680 2145 +-1475 3619 +-2333 1974 +2341 0 +-2333 -1974 +-1475 -3619 +680 -2145 +955 2357 +2162 1736 +-327 1369 +4958 3109 +343 -485 +-1398 1284 +8057 3874 +2429 -158 +1470 1461 +1173 -333 +-692 -1274 +4092 7683 +-3511 0 +-6254 -11232 +-2754 -2170 +-2068 2168 +1841 -194 +-1533 2647 +-2077 1712 +3560 -1373 +1998 -2825 +-2795 -2200 +-2343 -793 +-1266 -981 +-4265 702 +-1576 -1288 +1611 -4515 +170 -939 +0 0 +170 939 +1611 4515 +-1576 1288 +-4265 -702 +-1266 981 +-2343 793 +-2795 2200 +1998 2825 +3560 1373 +-2077 -1712 +-1533 -2647 +1841 194 +-2068 -2168 +-2754 2170 +-6254 11232 +-3511 0 +4092 -7683 +-692 1274 +1173 333 +1470 -1461 +2429 158 +8057 -3874 +-1398 -1284 +343 485 +4958 -3109 +-327 -1369 +2162 -1736 +955 -2357 +680 2145 +-1475 3619 +-2333 1974 +-4681 3511 +-3941 -1491 +-262 -1691 +-6379 1046 +-4354 -1961 +3383 -480 +416 2573 +1344 -891 +0 -2683 +2816 2391 +3226 3106 +-3522 883 +-149 4249 +-4381 1128 +-4455 -4463 +2916 -670 +2341 0 +2916 670 +-4455 4463 +-4381 -1128 +-149 -4249 +-3522 -883 +3226 -3106 +2816 -2391 +0 2683 +1344 891 +416 -2573 +3383 480 +-4354 1961 +-6379 -1046 +-262 1691 +-3941 1491 +-4681 -3511 +-3953 3787 +948 6253 +3150 -2992 +-1296 -1065 +2749 1781 +3580 10 +666 435 +0 -4338 +-502 -2848 +770 2218 +-819 417 +1118 2087 +5818 -3074 +5140 -6957 +653 2965 +-2341 0 +653 -2965 +5140 6957 +5818 3074 +1118 -2087 +-819 -417 +770 -2218 +-502 2848 +0 4338 +666 -435 +3580 -10 +2749 -1781 +-1296 1065 +3150 2992 +948 -6253 +-3953 -3787 +-4681 3511 +-3941 -1491 +-262 -1691 +-6379 1046 +-4354 -1961 +3383 -480 +416 2573 +1344 -891 +0 -2683 +2816 2391 +3226 3106 +-3522 883 +-149 4249 +-4381 1128 +-4455 -4463 +2916 -670 +0 -1170 +3382 2020 +-2778 -2902 +-5161 -5743 +673 -4375 +840 1710 +963 2766 +-3217 2740 +-5651 4338 +4546 -1540 +939 -951 +-604 -550 +8679 -1223 +2112 2820 +2822 -884 +4456 -2619 +-2341 0 +4456 2619 +2822 884 +2112 -2820 +8679 1223 +-604 550 +939 951 +4546 1540 +-5651 -4338 +-3217 -2740 +963 -2766 +840 -1710 +673 4375 +-5161 5743 +-2778 2902 +3382 -2020 +0 1170 +-5306 1878 +-1935 -1601 +3418 -855 +-1643 -5271 +-2522 -3069 +1958 470 +-1226 -859 +969 2683 +4999 2260 +-551 -494 +-5349 -2683 +-3029 939 +647 4690 +-1419 1062 +-1014 2613 +2341 0 +-1014 -2613 +-1419 -1062 +647 -4690 +-3029 -939 +-5349 2683 +-551 494 +4999 -2260 +969 -2683 +-1226 859 +1958 -470 +-2522 3069 +-1643 5271 +3418 855 +-1935 1601 +-5306 -1878 +0 -1170 +3382 2020 +-2778 -2902 +-5161 -5743 +673 -4375 +840 1710 +963 2766 +-3217 2740 +-5651 4338 +4546 -1540 +939 -951 +-604 -550 +8679 -1223 +2112 2820 +2822 -884 +4456 -2619 +-3511 1170 +-4852 1470 +1254 -1501 +-1270 -2649 +-6471 -37 +-623 6175 +-632 -1116 +-2155 675 +686 7164 +835 -2520 +4026 875 +2176 471 +152 -3907 +6345 3800 +1683 522 +-3640 -2583 +-1170 0 +-3640 2583 +1683 -522 +6345 -3800 +152 3907 +2176 -471 +4026 -875 +835 2520 +686 -7164 +-2155 -675 +-632 1116 +-623 -6175 +-6471 37 +-1270 2649 +1254 1501 +-4852 -1470 +-3511 -1170 +-3000 -613 +-2342 -5553 +3241 -2304 +3445 -933 +1200 -1820 +-981 534 +-1140 1385 +3996 2199 +5266 -3054 +2269 -2828 +-1977 2366 +-6489 -1744 +-2472 -3652 +4086 415 +2066 2425 +-1170 0 +2066 -2425 +4086 -415 +-2472 3652 +-6489 1744 +-1977 -2366 +2269 2828 +5266 3054 +3996 -2199 +-1140 -1385 +-981 -534 +1200 1820 +3445 933 +3241 2304 +-2342 5553 +-3000 613 +-3511 1170 +-4852 1470 +1254 -1501 +-1270 -2649 +-6471 -37 +-623 6175 +-632 -1116 +-2155 675 +686 7164 +835 -2520 +4026 875 +2176 471 +152 -3907 +6345 3800 +1683 522 +-3640 -2583 +1170 -2341 +1976 1822 +-363 1258 +-4423 -201 +1744 1186 +-2448 -115 +-2325 -2052 +3526 -834 +-343 1170 +-2784 1148 +-1231 -707 +1443 -69 +-37 1364 +-2934 -1401 +-918 -1450 +402 2238 +0 0 +402 -2238 +-918 1450 +-2934 1401 +-37 -1364 +1443 69 +-1231 707 +-2784 -1148 +-343 -1170 +3526 834 +-2325 2052 +-2448 115 +1744 -1186 +-4423 201 +-363 -1258 +1976 -1822 +1170 2341 +2553 2203 +-8094 -499 +-3400 64 +3907 -1872 +1050 -2280 +2518 1544 +-6027 816 +-1998 1170 +5789 3158 +-3643 -3110 +-4132 -4118 +-933 2631 +1604 -527 +4694 -1101 +7805 6112 +11703 0 +7805 -6112 +4694 1101 +1604 527 +-933 -2631 +-4132 4118 +-3643 3110 +5789 -3158 +-1998 -1170 +-6027 -816 +2518 -1544 +1050 2280 +3907 1872 +-3400 -64 +-8094 499 +2553 -2203 +1170 -2341 +1976 1822 +-363 1258 +-4423 -201 +1744 1186 +-2448 -115 +-2325 -2052 +3526 -834 +-343 1170 +-2784 1148 +-1231 -707 +1443 -69 +-37 1364 +-2934 -1401 +-918 -1450 +402 2238 +1170 -2341 +6396 -712 +2584 2015 +-3419 -983 +-4249 3207 +-3616 -1770 +-403 -3194 +-3025 4546 +-828 0 +3221 -1232 +2561 5165 +3001 1198 +-1065 -2563 +-1184 4112 +-1328 4043 +-2440 -3840 +0 0 +-2440 3840 +-1328 -4043 +-1184 -4112 +-1065 2563 +3001 -1198 +2561 -5165 +3221 1232 +-828 0 +-3025 -4546 +-403 3194 +-3616 1770 +-4249 -3207 +-3419 983 +2584 -2015 +6396 712 +1170 2341 +2290 -6080 +3186 -1581 +1802 -1345 +-2087 -4176 +-2820 2258 +6698 -2845 +6777 -4630 +828 0 +-3664 -371 +-4174 3408 +124 305 +-1961 -3088 +-508 1195 +240 1640 +-2936 2149 +-2341 0 +-2936 -2149 +240 -1640 +-508 -1195 +-1961 3088 +124 -305 +-4174 -3408 +-3664 371 +828 0 +6777 4630 +6698 2845 +-2820 -2258 +-2087 4176 +1802 1345 +3186 1581 +2290 6080 +1170 -2341 +6396 -712 +2584 2015 +-3419 -983 +-4249 3207 +-3616 -1770 +-403 -3194 +-3025 4546 +-828 0 +3221 -1232 +2561 5165 +3001 1198 +-1065 -2563 +-1184 4112 +-1328 4043 +-2440 -3840 +1170 -1170 +-1394 1177 +-763 2072 +-4812 -3209 +-4599 3797 +-2055 -303 +-679 -2163 +950 4291 +-485 -4338 +1841 123 +34 7051 +-3414 -200 +2784 1179 +4412 3639 +2601 -339 +1266 -2668 +-1170 0 +1266 2668 +2601 339 +4412 -3639 +2784 -1179 +-3414 200 +34 -7051 +1841 -123 +-485 4338 +950 -4291 +-679 2163 +-2055 303 +-4599 -3797 +-4812 3209 +-763 -2072 +-1394 -1177 +1170 1170 +564 -3394 +-5354 -491 +-1929 48 +2259 -4482 +3798 -8388 +3213 330 +1199 6836 +2825 -2683 +-680 -3844 +-2567 -893 +-1639 787 +-5124 2817 +-982 -264 +3515 549 +2873 1585 +3511 0 +2873 -1585 +3515 -549 +-982 264 +-5124 -2817 +-1639 -787 +-2567 893 +-680 3844 +2825 2683 +1199 -6836 +3213 -330 +3798 8388 +2259 4482 +-1929 -48 +-5354 491 +564 3394 +1170 -1170 +-1394 1177 +-763 2072 +-4812 -3209 +-4599 3797 +-2055 -303 +-679 -2163 +950 4291 +-485 -4338 +1841 123 +34 7051 +-3414 -200 +2784 1179 +4412 3639 +2601 -339 +1266 -2668 +3511 2341 +-1749 3966 +-3960 -3451 +-187 1837 +-105 1952 +-852 -5163 +2218 -2910 +-3117 -2967 +-828 1655 +2281 2548 +-2600 -4497 +631 1275 +917 -938 +-2000 -4979 +-3833 2504 +-3157 -350 +0 0 +-3157 350 +-3833 -2504 +-2000 4979 +917 938 +631 -1275 +-2600 4497 +2281 -2548 +-828 -1655 +-3117 2967 +2218 2910 +-852 5163 +-105 -1952 +-187 -1837 +-3960 3451 +-1749 -3966 +3511 -2341 +6503 5425 +2379 1408 +2465 -3263 +791 -581 +-5092 212 +-4052 -3458 +-3592 -2252 +828 -1655 +103 -1147 +-3558 4749 +3521 394 +3079 -7054 +1513 -3067 +4043 2073 +2728 3120 +2341 0 +2728 -3120 +4043 -2073 +1513 3067 +3079 7054 +3521 -394 +-3558 -4749 +103 1147 +828 1655 +-3592 2252 +-4052 3458 +-5092 -212 +791 581 +2465 3263 +2379 -1408 +6503 -5425 +3511 2341 +-1749 3966 +-3960 -3451 +-187 1837 +-105 1952 +-852 -5163 +2218 -2910 +-3117 -2967 +-828 1655 +2281 2548 +-2600 -4497 +631 1275 +917 -938 +-2000 -4979 +-3833 2504 +-3157 -350 +0 0 +-1767 -4190 +-68 3552 +-4506 3561 +859 -828 +217 -1417 +-7306 -3914 +1366 -2793 +-1513 1170 +-6424 166 +-4912 1179 +-4129 2597 +6069 -828 +4136 104 +2026 1857 +2271 -1244 +-3511 0 +2271 1244 +2026 -1857 +4136 -104 +6069 828 +-4129 -2597 +-4912 -1179 +-6424 -166 +-1513 -1170 +1366 2793 +-7306 3914 +217 1417 +859 828 +-4506 -3561 +-68 -3552 +-1767 4190 +0 0 +697 -7358 +-1335 951 +419 5661 +-1828 -828 +980 -1092 +6918 677 +2217 -1233 +-3168 1170 +1827 3086 +1991 266 +-2169 -698 +-418 -828 +-1567 -2455 +2687 -2035 +6434 -283 +3511 0 +6434 283 +2687 2035 +-1567 2455 +-418 828 +-2169 698 +1991 -266 +1827 -3086 +-3168 -1170 +2217 1233 +6918 -677 +980 1092 +-1828 828 +419 -5661 +-1335 -951 +697 7358 +0 0 +-1767 -4190 +-68 3552 +-4506 3561 +859 -828 +217 -1417 +-7306 -3914 +1366 -2793 +-1513 1170 +-6424 166 +-4912 1179 +-4129 2597 +6069 -828 +4136 104 +2026 1857 +2271 -1244 +3511 0 +-4009 -2526 +-3304 663 +-3211 4824 +-2446 2373 +1178 -3608 +-346 1380 +6490 2263 +6478 -969 +1144 4746 +3168 411 +-791 -4404 +-1424 2729 +1384 625 +1724 -4988 +253 -1971 +-2341 0 +253 1971 +1724 4988 +1384 -625 +-1424 -2729 +-791 4404 +3168 -411 +1144 -4746 +6478 969 +6490 -2263 +-346 -1380 +1178 3608 +-2446 -2373 +-3211 -4824 +-3304 -663 +-4009 2526 +3511 0 +2399 -2663 +-514 663 +122 -2731 +-1550 -3744 +-1131 816 +1106 1380 +2870 7731 +-1797 5651 +-2869 -2743 +-617 411 +-775 2983 +738 5262 +-3396 97 +-1217 -4988 +342 4773 +-4681 0 +342 -4773 +-1217 4988 +-3396 -97 +738 -5262 +-775 -2983 +-617 -411 +-2869 2743 +-1797 -5651 +2870 -7731 +1106 -1380 +-1131 -816 +-1550 3744 +122 2731 +-514 -663 +2399 2663 +3511 0 +-4009 -2526 +-3304 663 +-3211 4824 +-2446 2373 +1178 -3608 +-346 1380 +6490 2263 +6478 -969 +1144 4746 +3168 411 +-791 -4404 +-1424 2729 +1384 625 +1724 -4988 +253 -1971 +4681 4681 +49 307 +-57 246 +-2709 -2214 +-3231 -1649 +-1082 -1280 +-1571 -1506 +1202 1979 +1513 -1170 +-2303 2570 +5 4664 +400 1661 +-3340 9148 +-1716 5481 +-355 -3592 +-1321 387 +-1170 0 +-1321 -387 +-355 3592 +-1716 -5481 +-3340 -9148 +400 -1661 +5 -4664 +-2303 -2570 +1513 1170 +1202 -1979 +-1571 1506 +-1082 1280 +-3231 1649 +-2709 2214 +-57 -246 +49 -307 +4681 -4681 +4093 2062 +-4953 5331 +-2205 -1383 +5572 1933 +1406 -2584 +-4216 -1012 +-1452 4830 +3168 -1170 +762 1705 +-2209 2179 +3601 591 +5681 498 +2306 -2962 +3994 -194 +-1030 -551 +-8192 0 +-1030 551 +3994 194 +2306 2962 +5681 -498 +3601 -591 +-2209 -2179 +762 -1705 +3168 1170 +-1452 -4830 +-4216 1012 +1406 2584 +5572 -1933 +-2205 1383 +-4953 -5331 +4093 -2062 +4681 4681 +49 307 +-57 246 +-2709 -2214 +-3231 -1649 +-1082 -1280 +-1571 -1506 +1202 1979 +1513 -1170 +-2303 2570 +5 4664 +400 1661 +-3340 9148 +-1716 5481 +-355 -3592 +-1321 387 +-2341 -1170 +2765 4849 +6478 326 +3168 -3100 +702 2610 +-1969 69 +-3381 -851 +-823 -421 +-1170 1797 +-2867 1763 +-1463 -5107 +-249 2042 +1461 -186 +890 -1300 +-2737 10028 +1416 -376 +7022 0 +1416 376 +-2737 -10028 +890 1300 +1461 186 +-249 -2042 +-1463 5107 +-2867 -1763 +-1170 -1797 +-823 421 +-3381 851 +-1969 -69 +702 -2610 +3168 3100 +6478 -326 +2765 -4849 +-2341 1170 +697 3306 +397 585 +-4568 -1876 +-2357 -2610 +1530 -3761 +1355 683 +606 1410 +-1170 -6478 +-1242 -4742 +178 -4424 +-1103 -6833 +194 186 +2301 4588 +-828 244 +-554 -4800 +2341 0 +-554 4800 +-828 -244 +2301 -4588 +194 -186 +-1103 6833 +178 4424 +-1242 4742 +-1170 6478 +606 -1410 +1355 -683 +1530 3761 +-2357 2610 +-4568 1876 +397 -585 +697 -3306 +-2341 -1170 +2765 4849 +6478 326 +3168 -3100 +702 2610 +-1969 69 +-3381 -851 +-823 -421 +-1170 1797 +-2867 1763 +-1463 -5107 +-249 2042 +1461 -186 +890 -1300 +-2737 10028 +1416 -376 +2341 3511 +537 6091 +-3410 3439 +-2001 -1344 +2848 4049 +133 769 +-1716 2617 +800 2619 +1655 -2967 +-2022 2121 +-5691 -3647 +1145 -2999 +3100 5756 +-4422 2859 +784 3662 +4267 2355 +0 0 +4267 -2355 +784 -3662 +-4422 -2859 +3100 -5756 +1145 2999 +-5691 3647 +-2022 -2121 +1655 2967 +800 -2619 +-1716 -2617 +133 -769 +2848 -4049 +-2001 1344 +-3410 -3439 +537 -6091 +2341 -3511 +4176 -5127 +2588 -695 +-921 93 +-1477 1886 +-521 1936 +390 -2575 +-2203 3494 +-1655 5308 +619 -3643 +-4284 -4302 +-1533 4186 +4891 4860 +1500 992 +1977 453 +446 -2406 +-4681 0 +446 2406 +1977 -453 +1500 -992 +4891 -4860 +-1533 -4186 +-4284 4302 +619 3643 +-1655 -5308 +-2203 -3494 +390 2575 +-521 -1936 +-1477 -1886 +-921 -93 +2588 695 +4176 5127 +2341 3511 +537 6091 +-3410 3439 +-2001 -1344 +2848 4049 +133 769 +-1716 2617 +800 2619 +1655 -2967 +-2022 2121 +-5691 -3647 +1145 -2999 +3100 5756 +-4422 2859 +784 3662 +4267 2355 +-4681 -4681 +481 4611 +4323 3841 +4901 -1557 +642 -126 +-1772 -3469 +3257 -2519 +-2334 638 +-5993 686 +-4030 -3107 +-6281 -5646 +-3746 382 +1783 -2288 +5775 -4099 +-156 2338 +-3199 -1073 +1170 0 +-3199 1073 +-156 -2338 +5775 4099 +1783 2288 +-3746 -382 +-6281 5646 +-4030 3107 +-5993 -686 +-2334 -638 +3257 2519 +-1772 3469 +642 126 +4901 1557 +4323 -3841 +481 -4611 +-4681 4681 +-2222 -1117 +44 -3648 +3275 -34 +1013 -3184 +-3739 1168 +2649 -1256 +2603 -5046 +-1028 3996 +-292 2010 +1746 -2811 +2126 627 +-3438 -1022 +-200 -803 +3781 2536 +2372 1257 +3511 0 +2372 -1257 +3781 -2536 +-200 803 +-3438 1022 +2126 -627 +1746 2811 +-292 -2010 +-1028 -3996 +2603 5046 +2649 1256 +-3739 -1168 +1013 3184 +3275 34 +44 3648 +-2222 1117 +-4681 -4681 +481 4611 +4323 3841 +4901 -1557 +642 -126 +-1772 -3469 +3257 -2519 +-2334 638 +-5993 686 +-4030 -3107 +-6281 -5646 +-3746 382 +1783 -2288 +5775 -4099 +-156 2338 +-3199 -1073 +-1170 3511 +-449 -2536 +1450 -1030 +1142 -1478 +2453 -4951 +1428 -508 +-3110 -1970 +-273 -2516 +7306 -142 +1134 -3357 +2052 -2091 +6689 627 +-4423 2526 +-3528 2767 +-499 639 +280 2445 +5851 0 +280 -2445 +-499 -639 +-3528 -2767 +-4423 -2526 +6689 -627 +2052 2091 +1134 3357 +7306 142 +-273 2516 +-3110 1970 +1428 508 +2453 4951 +1142 1478 +1450 1030 +-449 2536 +-1170 -3511 +2281 -2596 +1101 -1836 +-267 -2651 +-3139 270 +-2658 -2886 +-707 -3072 +-6824 4182 +-2625 4823 +862 -5501 +-1544 -7633 +2176 3466 +427 2155 +1638 -2152 +1258 1177 +-3631 -2118 +-3511 0 +-3631 2118 +1258 -1177 +1638 2152 +427 -2155 +2176 -3466 +-1544 7633 +862 5501 +-2625 -4823 +-6824 -4182 +-707 3072 +-2658 2886 +-3139 -270 +-267 2651 +1101 1836 +2281 2596 +-1170 3511 +-449 -2536 +1450 -1030 +1142 -1478 +2453 -4951 +1428 -508 +-3110 -1970 +-273 -2516 +7306 -142 +1134 -3357 +2052 -2091 +6689 627 +-4423 2526 +-3528 2767 +-499 639 +280 2445 +-1170 0 +-2754 367 +135 -1992 +400 2785 +-807 -1186 +-1997 447 +2167 1795 +1157 -254 +-3653 5166 +2792 921 +-2281 -2904 +-8749 -809 +-544 -1364 +-1559 -797 +386 1693 +4894 5809 +2341 0 +4894 -5809 +386 -1693 +-1559 797 +-544 1364 +-8749 809 +-2281 2904 +2792 -921 +-3653 -5166 +1157 254 +2167 -1795 +-1997 -447 +-807 1186 +400 -2785 +135 1992 +-2754 -367 +-1170 0 +-2468 6757 +-6251 411 +1775 -3812 +3147 1872 +-1498 5173 +366 38 +-1108 -639 +1312 1856 +4053 -2234 +-253 -3254 +284 -5888 +2885 -2631 +4723 3436 +5730 -1903 +55 -1848 +-4681 0 +55 1848 +5730 1903 +4723 -3436 +2885 2631 +284 5888 +-253 3254 +4053 2234 +1312 -1856 +-1108 639 +366 -38 +-1498 -5173 +3147 -1872 +1775 3812 +-6251 -411 +-2468 -6757 +-1170 0 +-2754 367 +135 -1992 +400 2785 +-807 -1186 +-1997 447 +2167 1795 +1157 -254 +-3653 5166 +2792 921 +-2281 -2904 +-8749 -809 +-544 -1364 +-1559 -797 +386 1693 +4894 5809 +-1170 5851 +-1899 -5836 +838 -6995 +2001 -527 +-2699 -3712 +672 -619 +-387 4270 +621 -1642 +485 -1998 +-6032 3554 +-1465 3788 +-702 1654 +-1804 -1634 +4257 -2115 +1857 2175 +383 3297 +3511 0 +383 -3297 +1857 -2175 +4257 2115 +-1804 1634 +-702 -1654 +-1465 -3788 +-6032 -3554 +485 1998 +621 1642 +-387 -4270 +672 619 +-2699 3712 +2001 527 +838 6995 +-1899 5836 +-1170 -5851 +-2057 -2174 +3294 3702 +-530 -1296 +359 -283 +5390 4033 +-1597 2353 +-685 -638 +-2825 -343 +-525 4608 +8130 6146 +1260 2502 +-537 2320 +892 1034 +-1308 -2158 +-3047 -867 +-5851 0 +-3047 867 +-1308 2158 +892 -1034 +-537 -2320 +1260 -2502 +8130 -6146 +-525 -4608 +-2825 343 +-685 638 +-1597 -2353 +5390 -4033 +359 283 +-530 1296 +3294 -3702 +-2057 2174 +-1170 5851 +-1899 -5836 +838 -6995 +2001 -527 +-2699 -3712 +672 -619 +-387 4270 +621 -1642 +485 -1998 +-6032 3554 +-1465 3788 +-702 1654 +-1804 -1634 +4257 -2115 +1857 2175 +383 3297 +-2341 -4681 +-3902 -927 +-1078 5549 +4053 -2468 +186 -291 +-2774 874 +-4170 -6996 +1764 -2605 +5509 -485 +291 -2978 +1754 912 +2518 -1075 +-2610 -3527 +-3652 -1239 +1356 1209 +3103 4222 +1170 0 +3103 -4222 +1356 -1209 +-3652 1239 +-2610 3527 +2518 1075 +1754 -912 +291 2978 +5509 485 +1764 2605 +-4170 6996 +-2774 -874 +186 291 +4053 2468 +-1078 -5549 +-3902 927 +-2341 4681 +-4111 -4837 +-2530 -2701 +-4903 1252 +-186 976 +3119 3972 +-1229 2105 +5946 797 +3854 2825 +-2159 2185 +-1036 2188 +-57 819 +2610 -469 +-4924 1542 +-2429 267 +5687 -2351 +3511 0 +5687 2351 +-2429 -267 +-4924 -1542 +2610 469 +-57 -819 +-1036 -2188 +-2159 -2185 +3854 -2825 +5946 -797 +-1229 -2105 +3119 -3972 +-186 -976 +-4903 -1252 +-2530 2701 +-4111 4837 +-2341 -4681 +-3902 -927 +-1078 5549 +4053 -2468 +186 -291 +-2774 874 +-4170 -6996 +1764 -2605 +5509 -485 +291 -2978 +1754 912 +2518 -1075 +-2610 -3527 +-3652 -1239 +1356 1209 +3103 4222 +-1170 -4681 +-2762 -593 +3033 -192 +4630 -4083 +791 -2162 +-505 -440 +-1892 5113 +-5928 4886 +-4823 0 +905 -2498 +1860 -930 +465 9608 +3079 -896 +4059 -5875 +-2 6201 +-2509 -4940 +-2341 0 +-2509 4940 +-2 -6201 +4059 5875 +3079 896 +465 -9608 +1860 930 +905 2498 +-4823 0 +-5928 -4886 +-1892 -5113 +-505 440 +791 2162 +4630 4083 +3033 192 +-2762 593 +-1170 4681 +4372 3738 +2576 3968 +-1540 2889 +-105 2162 +458 -262 +-3192 -239 +-3431 2609 +142 0 +820 -27 +-86 1123 +1101 1265 +917 896 +-2047 273 +-2297 2256 +1914 807 +4681 0 +1914 -807 +-2297 -2256 +-2047 -273 +917 -896 +1101 -1265 +-86 -1123 +820 27 +142 0 +-3431 -2609 +-3192 239 +458 262 +-105 -2162 +-1540 -2889 +2576 -3968 +4372 -3738 +-1170 -4681 +-2762 -593 +3033 -192 +4630 -4083 +791 -2162 +-505 -440 +-1892 5113 +-5928 4886 +-4823 0 +905 -2498 +1860 -930 +465 9608 +3079 -896 +4059 -5875 +-2 6201 +-2509 -4940 +4681 -3511 +-2652 -457 +-6236 3925 +-758 713 +-2082 1989 +-2847 -389 +-3228 -6364 +-356 -2136 +1170 -828 +-1066 -1848 +1780 92 +1317 -541 +-798 2969 +1376 1073 +3755 -6248 +2382 -3220 +0 0 +2382 3220 +3755 6248 +1376 -1073 +-798 -2969 +1317 541 +1780 -92 +-1066 1848 +1170 828 +-356 2136 +-3228 6364 +-2847 389 +-2082 -1989 +-758 -713 +-6236 -3925 +-2652 457 +4681 3511 +357 1785 +-801 2411 +-1188 -1256 +2768 351 +1547 5351 +-6125 3338 +-101 4756 +1170 828 +1522 -5973 +2891 2935 +-16 4761 +4794 -5310 +570 -2358 +-1400 -89 +-87 -5893 +-4681 0 +-87 5893 +-1400 89 +570 2358 +4794 5310 +-16 -4761 +2891 -2935 +1522 5973 +1170 -828 +-101 -4756 +-6125 -3338 +1547 -5351 +2768 -351 +-1188 1256 +-801 -2411 +357 -1785 +4681 -3511 +-2652 -457 +-6236 3925 +-758 713 +-2082 1989 +-2847 -389 +-3228 -6364 +-356 -2136 +1170 -828 +-1066 -1848 +1780 92 +1317 -541 +-798 2969 +1376 1073 +3755 -6248 +2382 -3220 +2341 3511 +-2632 -2788 +-5007 -1229 +1345 -2193 +4354 380 +657 1416 +-6068 -5044 +-4600 1399 +-969 5308 +-4635 -1901 +-1384 745 +3023 6654 +149 1909 +1873 1929 +1968 2942 +-2903 -5414 +-4681 0 +-2903 5414 +1968 -2942 +1873 -1929 +149 -1909 +3023 -6654 +-1384 -745 +-4635 1901 +-969 -5308 +-4600 -1399 +-6068 5044 +657 -1416 +4354 -380 +1345 2193 +-5007 1229 +-2632 2788 +2341 -3511 +-1574 -1982 +-3 -1185 +4128 -5070 +1296 1275 +491 1150 +281 3896 +2186 3454 +5651 -2967 +2221 4221 +-820 4728 +-1875 2029 +-1118 -254 +3599 -3075 +1671 1264 +-1302 -1889 +0 0 +-1302 1889 +1671 -1264 +3599 3075 +-1118 254 +-1875 -2029 +-820 -4728 +2221 -4221 +5651 2967 +2186 -3454 +281 -3896 +491 -1150 +1296 -1275 +4128 5070 +-3 1185 +-1574 1982 +2341 3511 +-2632 -2788 +-5007 -1229 +1345 -2193 +4354 380 +657 1416 +-6068 -5044 +-4600 1399 +-969 5308 +-4635 -1901 +-1384 745 +3023 6654 +149 1909 +1873 1929 +1968 2942 +-2903 -5414 +-4681 -4681 +-5208 82 +-353 3377 +2362 -759 +-2377 1335 +2524 856 +-993 -3563 +-3136 -82 +3168 1170 +2393 -1061 +5140 1979 +5038 2298 +1566 68 +4084 2167 +2479 2571 +-2193 -42 +-3511 0 +-2193 42 +2479 -2571 +4084 -2167 +1566 -68 +5038 -2298 +5140 -1979 +2393 1061 +3168 -1170 +-3136 82 +-993 3563 +2524 -856 +-2377 -1335 +2362 759 +-353 -3377 +-5208 -82 +-4681 4681 +-5419 1218 +3082 3110 +1164 3055 +-3273 -2990 +-1215 -399 +-1380 876 +7423 -1864 +1513 1170 +-8975 -885 +-1397 -4666 +2065 -1841 +-596 -1723 +-2781 128 +2784 3916 +1875 1343 +-5851 0 +1875 -1343 +2784 -3916 +-2781 -128 +-596 1723 +2065 1841 +-1397 4666 +-8975 885 +1513 -1170 +7423 1864 +-1380 -876 +-1215 399 +-3273 2990 +1164 -3055 +3082 -3110 +-5419 -1218 +-4681 -4681 +-5208 82 +-353 3377 +2362 -759 +-2377 1335 +2524 856 +-993 -3563 +-3136 -82 +3168 1170 +2393 -1061 +5140 1979 +5038 2298 +1566 68 +4084 2167 +2479 2571 +-2193 -42 +-1170 1170 +2059 -682 +8271 -2062 +2455 -511 +2162 -1013 +1999 -4031 +-4493 -3883 +-1270 6417 +-3511 4338 +-2595 -7205 +2587 -3498 +-2765 -2307 +-896 -3438 +-621 2044 +-2893 -559 +1649 -3979 +3511 0 +1649 3979 +-2893 559 +-621 -2044 +-896 3438 +-2765 2307 +2587 3498 +-2595 7205 +-3511 -4338 +-1270 -6417 +-4493 3883 +1999 4031 +2162 1013 +2455 511 +8271 2062 +2059 682 +-1170 -1170 +3865 1702 +1841 -1174 +-4408 -2828 +-2162 -642 +1449 -158 +2645 4061 +2170 3266 +-3511 2683 +680 4929 +632 -1005 +-5784 -1609 +896 1783 +1055 1509 +772 2004 +62 -341 +-5851 0 +62 341 +772 -2004 +1055 -1509 +896 -1783 +-5784 1609 +632 1005 +680 -4929 +-3511 -2683 +2170 -3266 +2645 -4061 +1449 158 +-2162 642 +-4408 2828 +1841 1174 +3865 -1702 +-1170 1170 +2059 -682 +8271 -2062 +2455 -511 +2162 -1013 +1999 -4031 +-4493 -3883 +-1270 6417 +-3511 4338 +-2595 -7205 +2587 -3498 +-2765 -2307 +-896 -3438 +-621 2044 +-2893 -559 +1649 -3979 +1170 -1170 +3103 3708 +704 -1513 +-1796 -2250 +-5124 1424 +590 3278 +2999 4965 +2780 1398 +2825 -1998 +62 -45 +-597 1033 +-3592 -103 +-4599 -1550 +-1717 -517 +1053 -1442 +3189 -4932 +3511 0 +3189 4932 +1053 1442 +-1717 517 +-4599 1550 +-3592 103 +-597 -1033 +62 45 +2825 1998 +2780 -1398 +2999 -4965 +590 -3278 +-5124 -1424 +-1796 2250 +704 1513 +3103 -3708 +1170 1170 +-5340 5751 +-704 -68 +1054 -4170 +2784 -738 +2346 3024 +-2999 -3132 +1476 -5983 +-485 -343 +-1008 -6990 +597 -7191 +-2654 4292 +2259 -2446 +-852 -5275 +-1053 1232 +2358 -4042 +-1170 0 +2358 4042 +-1053 -1232 +-852 5275 +2259 2446 +-2654 -4292 +597 7191 +-1008 6990 +-485 343 +1476 5983 +-2999 3132 +2346 -3024 +2784 738 +1054 4170 +-704 68 +-5340 -5751 +1170 -1170 +3103 3708 +704 -1513 +-1796 -2250 +-5124 1424 +590 3278 +2999 4965 +2780 1398 +2825 -1998 +62 -45 +-597 1033 +-3592 -103 +-4599 -1550 +-1717 -517 +1053 -1442 +3189 -4932 +2341 -2341 +2406 -2232 +2812 -2345 +-2606 -4116 +-2446 -2066 +721 5702 +-247 6077 +378 1722 +1998 686 +3313 3481 +-140 4854 +-2065 -2230 +-1424 3333 +-3284 4740 +4170 -7982 +6608 -2696 +1170 0 +6608 2696 +4170 7982 +-3284 -4740 +-1424 -3333 +-2065 2230 +-140 -4854 +3313 -3481 +1998 -686 +378 -1722 +-247 -6077 +721 -5702 +-2446 2066 +-2606 4116 +2812 2345 +2406 2232 +2341 2341 +1007 -1001 +-397 750 +1980 3961 +-1550 -275 +-1566 -961 +-901 -1913 +-3727 1663 +343 3996 +-2770 -3049 +-5333 681 +2133 771 +738 -992 +-1933 4887 +36 -1605 +-595 -6232 +-1170 0 +-595 6232 +36 1605 +-1933 -4887 +738 992 +2133 -771 +-5333 -681 +-2770 3049 +343 -3996 +-3727 -1663 +-901 1913 +-1566 961 +-1550 275 +1980 -3961 +-397 -750 +1007 1001 +2341 -2341 +2406 -2232 +2812 -2345 +-2606 -4116 +-2446 -2066 +721 5702 +-247 6077 +378 1722 +1998 686 +3313 3481 +-140 4854 +-2065 -2230 +-1424 3333 +-3284 4740 +4170 -7982 +6608 -2696 +1170 2341 +-5788 -3885 +-3706 -2873 +9 276 +612 -2848 +-1123 -1884 +1045 2075 +3922 -3572 +-1513 -1655 +-2469 4223 +1803 -2992 +3304 1474 +-157 3100 +-4054 -4954 +3536 -2587 +3143 -3944 +-4681 0 +3143 3944 +3536 2587 +-4054 4954 +-157 -3100 +3304 -1474 +1803 2992 +-2469 -4223 +-1513 1655 +3922 3572 +1045 -2075 +-1123 1884 +612 2848 +9 -276 +-3706 2873 +-5788 3885 +1170 -2341 +3898 353 +2481 3125 +2537 -68 +-4608 1477 +-3476 -1344 +12 -32 +-6192 3310 +-3168 1655 +413 2135 +-1488 -1585 +-497 1918 +-528 4891 +3300 -1457 +5680 -3781 +3072 -6209 +2341 0 +3072 6209 +5680 3781 +3300 1457 +-528 -4891 +-497 -1918 +-1488 1585 +413 -2135 +-3168 -1655 +-6192 -3310 +12 32 +-3476 1344 +-4608 -1477 +2537 68 +2481 -3125 +3898 -353 +1170 2341 +-5788 -3885 +-3706 -2873 +9 276 +612 -2848 +-1123 -1884 +1045 2075 +3922 -3572 +-1513 -1655 +-2469 4223 +1803 -2992 +3304 1474 +-157 3100 +-4054 -4954 +3536 -2587 +3143 -3944 +-5851 -4681 +-5218 514 +383 4878 +6995 -3893 +6758 -1804 +872 4949 +3552 -105 +-618 -416 +-3168 1655 +2057 -1975 +-292 -3972 +3304 -2987 +4316 -359 +-2341 -2549 +335 -4970 +1073 -329 +-2341 0 +1073 329 +335 4970 +-2341 2549 +4316 359 +3304 2987 +-292 3972 +2057 1975 +-3168 -1655 +-618 416 +3552 105 +872 -4949 +6758 1804 +6995 3893 +383 -4878 +-5218 -514 +-5851 4681 +506 566 +2329 636 +552 1154 +-5103 -537 +-2076 -1778 +4009 -1595 +-2633 214 +-1513 -1655 +-2115 -3328 +-2588 -2977 +1210 -1476 +-5971 2699 +-1896 -1204 +1635 -4127 +330 2928 +4681 0 +330 -2928 +1635 4127 +-1896 1204 +-5971 -2699 +1210 1476 +-2588 2977 +-2115 3328 +-1513 1655 +-2633 -214 +4009 1595 +-2076 1778 +-5103 537 +552 -1154 +2329 -636 +506 -566 +-5851 -4681 +-5218 514 +383 4878 +6995 -3893 +6758 -1804 +872 4949 +3552 -105 +-618 -416 +-3168 1655 +2057 -1975 +-292 -3972 +3304 -2987 +4316 -359 +-2341 -2549 +335 -4970 +1073 -329 +2341 0 +3851 -545 +4335 -850 +2144 -1411 +602 6146 +2337 4359 +-3404 476 +-1244 3540 +6679 1655 +269 1702 +1731 1713 +274 69 +-8425 -4473 +1186 -5403 +903 145 +-4955 -2010 +-1170 0 +-4955 2010 +903 -145 +1186 5403 +-8425 4473 +274 -69 +1731 -1713 +269 -1702 +6679 -1655 +-1244 -3540 +-3404 -476 +2337 -4359 +602 -6146 +2144 1411 +4335 850 +3851 545 +2341 0 +202 -810 +-2470 -553 +-3794 -3421 +2022 -495 +1366 486 +-4409 -88 +1351 -509 +5024 -1655 +-376 -462 +-5219 -4635 +-3977 451 +1120 5443 +464 -3753 +-829 -4858 +903 -1136 +1170 0 +903 1136 +-829 4858 +464 3753 +1120 -5443 +-3977 -451 +-5219 4635 +-376 462 +5024 1655 +1351 509 +-4409 88 +1366 -486 +2022 495 +-3794 3421 +-2470 553 +202 810 +2341 0 +3851 -545 +4335 -850 +2144 -1411 +602 6146 +2337 4359 +-3404 476 +-1244 3540 +6679 1655 +269 1702 +1731 1713 +274 69 +-8425 -4473 +1186 -5403 +903 145 +-4955 -2010 +-5851 0 +-3514 -3154 +-2678 -6812 +-1395 -2176 +1001 896 +1158 -379 +-2059 4959 +-3513 2132 +3854 -4681 +425 -3008 +-3972 -439 +1784 1171 +1246 -2162 +4923 -1339 +3603 1420 +-1743 -4664 +0 0 +-1743 4664 +3603 -1420 +4923 1339 +1246 2162 +1784 -1171 +-3972 439 +425 3008 +3854 4681 +-3513 -2132 +-2059 -4959 +1158 379 +1001 -896 +-1395 2176 +-2678 6812 +-3514 3154 +-5851 0 +-2599 -842 +379 1938 +208 2861 +-1686 -896 +92 -307 +3833 3498 +3564 1863 +5509 -4681 +539 -988 +-1112 4215 +2068 -485 +-5241 2162 +-2218 654 +2006 -1613 +221 8660 +2341 0 +221 -8660 +2006 1613 +-2218 -654 +-5241 -2162 +2068 485 +-1112 -4215 +539 988 +5509 4681 +3564 -1863 +3833 -3498 +92 307 +-1686 896 +208 -2861 +379 -1938 +-2599 842 +-5851 0 +-3514 -3154 +-2678 -6812 +-1395 -2176 +1001 896 +1158 -379 +-2059 4959 +-3513 2132 +3854 -4681 +425 -3008 +-3972 -439 +1784 1171 +1246 -2162 +4923 -1339 +3603 1420 +-1743 -4664 +4681 -4681 +2978 4639 +605 2094 +1997 1064 +1812 1804 +-573 -2624 +-5974 1992 +-4269 2772 +343 -3996 +-3922 -2045 +-3349 4512 +1647 1330 +2953 359 +5676 4450 +2633 13 +-4110 -2902 +-5851 0 +-4110 2902 +2633 -13 +5676 -4450 +2953 -359 +1647 -1330 +-3349 -4512 +-3922 2045 +343 3996 +-4269 -2772 +-5974 -1992 +-573 2624 +1812 -1804 +1997 -1064 +605 -2094 +2978 -4639 +4681 4681 +-323 -3472 +1260 -9340 +4560 2282 +2183 537 +1776 885 +-1839 1202 +-3448 -2099 +1998 -686 +2484 -1607 +-140 1993 +-2346 -1278 +-2267 -2699 +503 688 +-2559 -3949 +-2632 -255 +1170 0 +-2632 255 +-2559 3949 +503 -688 +-2267 2699 +-2346 1278 +-140 -1993 +2484 1607 +1998 686 +-3448 2099 +-1839 -1202 +1776 -885 +2183 -537 +4560 -2282 +1260 9340 +-323 3472 +4681 -4681 +2978 4639 +605 2094 +1997 1064 +1812 1804 +-573 -2624 +-5974 1992 +-4269 2772 +343 -3996 +-3922 -2045 +-3349 4512 +1647 1330 +2953 359 +5676 4450 +2633 13 +-4110 -2902 +2341 -2341 +2021 -325 +1202 -4248 +3486 -2979 +3728 4012 +-5719 1609 +-2315 2120 +3222 1533 +1797 -1170 +3260 1941 +5589 2431 +2700 3013 +-4169 1849 +133 -684 +3941 115 +-1369 -1601 +-3511 0 +-1369 1601 +3941 -115 +133 684 +-4169 -1849 +2700 -3013 +5589 -2431 +3260 -1941 +1797 1170 +3222 -1533 +-2315 -2120 +-5719 -1609 +3728 -4012 +3486 2979 +1202 4248 +2021 325 +2341 2341 +4286 -2277 +-4841 -2491 +-5547 3013 +-2759 953 +-4344 -930 +4519 -1476 +-1617 -2295 +-6478 -1170 +3546 3412 +198 4833 +-3581 200 +-1482 3116 +-367 3251 +1069 -234 +-108 5116 +-1170 0 +-108 -5116 +1069 234 +-367 -3251 +-1482 -3116 +-3581 -200 +198 -4833 +3546 -3412 +-6478 1170 +-1617 2295 +4519 1476 +-4344 930 +-2759 -953 +-5547 -3013 +-4841 2491 +4286 2277 +2341 -2341 +2021 -325 +1202 -4248 +3486 -2979 +3728 4012 +-5719 1609 +-2315 2120 +3222 1533 +1797 -1170 +3260 1941 +5589 2431 +2700 3013 +-4169 1849 +133 -684 +3941 115 +-1369 -1601 +0 -1170 +2139 4354 +-3483 755 +1389 -1909 +3870 2057 +4318 -718 +4736 1931 +-3346 3765 +-4965 -1714 +-3750 -1006 +-3432 -688 +2142 2061 +2974 -21 +1235 -3086 +357 1764 +-505 -1636 +0 0 +-505 1636 +357 -1764 +1235 3086 +2974 21 +2142 -2061 +-3432 688 +-3750 1006 +-4965 1714 +-3346 -3765 +4736 -1931 +4318 718 +3870 -2057 +1389 1909 +-3483 -755 +2139 -4354 +0 1170 +-4439 2711 +-649 -545 +-1573 795 +812 -1372 +89 -5264 +-2752 4227 +4938 752 +4965 -9989 +-1151 -1609 +-3234 -1145 +-3239 -5475 +1707 -3975 +2259 -2079 +-906 -182 +-504 -5050 +0 0 +-504 5050 +-906 182 +2259 2079 +1707 3975 +-3239 5475 +-3234 1145 +-1151 1609 +4965 9989 +4938 -752 +-2752 -4227 +89 5264 +812 1372 +-1573 -795 +-649 545 +-4439 -2711 +0 -1170 +2139 4354 +-3483 755 +1389 -1909 +3870 2057 +4318 -718 +4736 1931 +-3346 3765 +-4965 -1714 +-3750 -1006 +-3432 -688 +2142 2061 +2974 -21 +1235 -3086 +357 1764 +-505 -1636 +-1170 -4681 +5821 860 +4446 1529 +356 337 +-448 1513 +563 3464 +1665 -3344 +-398 -2928 +-2683 3511 +-2939 -1947 +1450 1958 +3197 4007 +-1081 -3168 +-512 -451 +-177 96 +-1196 -1814 +0 0 +-1196 1814 +-177 -96 +-512 451 +-1081 3168 +3197 -4007 +1450 -1958 +-2939 1947 +-2683 -3511 +-398 2928 +1665 3344 +563 -3464 +-448 -1513 +356 -337 +4446 -1529 +5821 -860 +-1170 4681 +-2917 3852 +-450 2722 +1486 7210 +448 1513 +-7006 -2260 +-980 -1936 +4482 -324 +-4338 3511 +-2160 2005 +-764 4064 +-1855 507 +1081 -3168 +-2848 4687 +4172 6094 +5927 3216 +-2341 0 +5927 -3216 +4172 -6094 +-2848 -4687 +1081 3168 +-1855 -507 +-764 -4064 +-2160 -2005 +-4338 -3511 +4482 324 +-980 1936 +-7006 2260 +448 -1513 +1486 -7210 +-450 -2722 +-2917 -3852 +-1170 -4681 +5821 860 +4446 1529 +356 337 +-448 1513 +563 3464 +1665 -3344 +-398 -2928 +-2683 3511 +-2939 -1947 +1450 1958 +3197 4007 +-1081 -3168 +-512 -451 +-177 96 +-1196 -1814 +0 -8192 +-2352 -2833 +-1303 5183 +3179 -512 +-1148 -4870 +-315 -5398 +1672 -427 +-1331 -3176 +3026 -3653 +2824 5073 +-3366 -2360 +-52 -802 +2414 4220 +-2092 -3979 +-2876 -1678 +551 -42 +2341 0 +551 42 +-2876 1678 +-2092 3979 +2414 -4220 +-52 802 +-3366 2360 +2824 -5073 +3026 3653 +-1331 3176 +1672 427 +-315 5398 +-1148 4870 +3179 512 +-1303 -5183 +-2352 2833 +0 8192 +-1715 2515 +3288 2242 +1581 -77 +-5472 875 +-2049 -59 +-2221 -4212 +-512 309 +6336 1312 +1826 -305 +-766 -908 +3192 -3137 +4206 -3534 +3175 -1711 +-3789 1112 +-5910 738 +-2341 0 +-5910 -738 +-3789 -1112 +3175 1711 +4206 3534 +3192 3137 +-766 908 +1826 305 +6336 -1312 +-512 -309 +-2221 4212 +-2049 59 +-5472 -875 +1581 77 +3288 -2242 +-1715 -2515 +0 -8192 +-2352 -2833 +-1303 5183 +3179 -512 +-1148 -4870 +-315 -5398 +1672 -427 +-1331 -3176 +3026 -3653 +2824 5073 +-3366 -2360 +-52 -802 +2414 4220 +-2092 -3979 +-2876 -1678 +551 -42 +-2341 -3511 +-920 -164 +2140 696 +-2867 1141 +-553 -596 +1904 -8887 +-503 -410 +-922 2457 +-2825 -4823 +-4182 296 +-3156 -6029 +-1846 -6687 +-165 2377 +1219 1989 +3573 2757 +3815 -722 +2341 0 +3815 722 +3573 -2757 +1219 -1989 +-165 -2377 +-1846 6687 +-3156 6029 +-4182 -296 +-2825 4823 +-922 -2457 +-503 410 +1904 8887 +-553 596 +-2867 -1141 +2140 -696 +-920 164 +-2341 3511 +6089 4151 +3333 1152 +-1356 -4473 +1238 1566 +-346 4149 +-1911 -1710 +-2776 -218 +485 142 +5075 -1012 +-1050 -4083 +-488 -4250 +4160 3273 +-2841 4461 +-2426 462 +442 -986 +-2341 0 +442 986 +-2426 -462 +-2841 -4461 +4160 -3273 +-488 4250 +-1050 4083 +5075 1012 +485 -142 +-2776 218 +-1911 1710 +-346 -4149 +1238 -1566 +-1356 4473 +3333 -1152 +6089 -4151 +-2341 -3511 +-920 -164 +2140 696 +-2867 1141 +-553 -596 +1904 -8887 +-503 -410 +-922 2457 +-2825 -4823 +-4182 296 +-3156 -6029 +-1846 -6687 +-165 2377 +1219 1989 +3573 2757 +3815 -722 +-2341 -2341 +1015 -818 +1285 -1829 +-5961 -516 +-5778 -210 +5960 -2630 +3603 -117 +-831 -3415 +343 -3996 +2014 2659 +1997 467 +-3072 -976 +-1699 -1833 +-980 -4562 +-2102 -574 +1717 1240 +3511 0 +1717 -1240 +-2102 574 +-980 4562 +-1699 1833 +-3072 976 +1997 -467 +2014 -2659 +343 3996 +-831 3415 +3603 117 +5960 2630 +-5778 210 +-5961 516 +1285 1829 +1015 818 +-2341 2341 +-2610 3045 +4873 4170 +476 1983 +-558 1581 +5308 3388 +-2021 -2224 +2478 -2259 +1998 -686 +-8762 -2489 +-2207 1874 +-561 -1072 +-1328 -6158 +5451 -3398 +3935 -1767 +-1642 211 +-3511 0 +-1642 -211 +3935 1767 +5451 3398 +-1328 6158 +-561 1072 +-2207 -1874 +-8762 2489 +1998 686 +2478 2259 +-2021 2224 +5308 -3388 +-558 -1581 +476 -1983 +4873 -4170 +-2610 -3045 +-2341 -2341 +1015 -818 +1285 -1829 +-5961 -516 +-5778 -210 +5960 -2630 +3603 -117 +-831 -3415 +343 -3996 +2014 2659 +1997 467 +-3072 -976 +-1699 -1833 +-980 -4562 +-2102 -574 +1717 1240 +-1170 5851 +-358 764 +-1858 -571 +-1272 700 +1849 2126 +-1703 1259 +3005 -2567 +2995 -3958 +0 -3168 +6849 868 +3304 287 +-3739 -5953 +-953 -3011 +1517 -390 +-399 -2726 +738 387 +3511 0 +738 -387 +-399 2726 +1517 390 +-953 3011 +-3739 5953 +3304 -287 +6849 -868 +0 3168 +2995 3958 +3005 2567 +-1703 -1259 +1849 -2126 +-1272 -700 +-1858 571 +-358 -764 +-1170 -5851 +1003 -91 +-3615 7922 +-1514 1253 +3116 -3095 +544 -3109 +-591 -5561 +-1587 -3030 +0 -1513 +-2141 1150 +902 4258 +2365 -2368 +-4012 -2640 +3803 5233 +-749 4025 +-7500 -70 +-1170 0 +-7500 70 +-749 -4025 +3803 -5233 +-4012 2640 +2365 2368 +902 -4258 +-2141 -1150 +0 1513 +-1587 3030 +-591 5561 +544 3109 +3116 3095 +-1514 -1253 +-3615 -7922 +1003 91 +-1170 5851 +-358 764 +-1858 -571 +-1272 700 +1849 2126 +-1703 1259 +3005 -2567 +2995 -3958 +0 -3168 +6849 868 +3304 287 +-3739 -5953 +-953 -3011 +1517 -390 +-399 -2726 +738 387 +1170 -1170 +3169 1260 +1637 -214 +3464 2155 +-4823 -2682 +-3298 -2488 +4717 -732 +-3518 -2763 +-3310 2483 +2141 1067 +-468 294 +1047 2109 +142 -618 +-2450 1078 +-937 1580 +-667 339 +-1170 0 +-667 -339 +-937 -1580 +-2450 -1078 +142 618 +1047 -2109 +-468 -294 +2141 -1067 +-3310 -2483 +-3518 2763 +4717 732 +-3298 2488 +-4823 2682 +3464 -2155 +1637 214 +3169 -1260 +1170 1170 +-3164 2745 +-1458 1320 +-5227 90 +-4823 -10960 +-2410 -3168 +-3272 9052 +1470 -434 +3310 -2483 +3217 838 +3704 35 +1350 -131 +142 1020 +902 2181 +5440 897 +3972 2148 +-1170 0 +3972 -2148 +5440 -897 +902 -2181 +142 -1020 +1350 131 +3704 -35 +3217 -838 +3310 2483 +1470 434 +-3272 -9052 +-2410 3168 +-4823 10960 +-5227 -90 +-1458 -1320 +-3164 -2745 +1170 -1170 +3169 1260 +1637 -214 +3464 2155 +-4823 -2682 +-3298 -2488 +4717 -732 +-3518 -2763 +-3310 2483 +2141 1067 +-468 294 +1047 2109 +142 -618 +-2450 1078 +-937 1580 +-667 339 +-4681 0 +3200 1698 +1050 -3851 +-4550 -3821 +-2073 4907 +-6829 -1439 +-2702 -4158 +3932 967 +3854 -485 +2183 -2256 +1383 -3760 +4509 -2711 +2514 -313 +832 576 +237 -313 +-2909 -3677 +-3511 0 +-2909 3677 +237 313 +832 -576 +2514 313 +4509 2711 +1383 3760 +2183 2256 +3854 485 +3932 -967 +-2702 4158 +-6829 1439 +-2073 -4907 +-4550 3821 +1050 3851 +3200 -1698 +-4681 0 +844 -3644 +-3002 -103 +-4811 3365 +4414 58 +-1020 3735 +-4352 729 +429 -2267 +5509 2825 +4401 956 +-2320 331 +-1488 5006 +-173 5278 +117 -1032 +344 -3641 +1159 1731 +3511 0 +1159 -1731 +344 3641 +117 1032 +-173 -5278 +-1488 -5006 +-2320 -331 +4401 -956 +5509 -2825 +429 2267 +-4352 -729 +-1020 -3735 +4414 -58 +-4811 -3365 +-3002 103 +844 3644 +-4681 0 +3200 1698 +1050 -3851 +-4550 -3821 +-2073 4907 +-6829 -1439 +-2702 -4158 +3932 967 +3854 -485 +2183 -2256 +1383 -3760 +4509 -2711 +2514 -313 +832 576 +237 -313 +-2909 -3677 +-2341 -3511 +-4053 -2379 +3449 -3360 +-3504 -3258 +-3070 -3616 +114 -5676 +-4164 -1117 +1550 4246 +0 1312 +1647 -1068 +3956 905 +-1588 1938 +3417 2594 +1190 1885 +687 -1198 +1352 -2221 +-4681 0 +1352 2221 +687 1198 +1190 -1885 +3417 -2594 +-1588 -1938 +3956 -905 +1647 1068 +0 -1312 +1550 -4246 +-4164 1117 +114 5676 +-3070 3616 +-3504 3258 +3449 3360 +-4053 2379 +-2341 3511 +3174 1996 +-1986 -69 +-2463 307 +730 -2720 +1223 -1435 +-1267 5071 +-2534 724 +0 -3653 +4166 3505 +2845 3049 +-2045 -2932 +-5757 432 +-6168 1280 +5842 -2231 +7939 -696 +0 0 +7939 696 +5842 2231 +-6168 -1280 +-5757 -432 +-2045 2932 +2845 -3049 +4166 -3505 +0 3653 +-2534 -724 +-1267 -5071 +1223 1435 +730 2720 +-2463 -307 +-1986 69 +3174 -1996 +-2341 -3511 +-4053 -2379 +3449 -3360 +-3504 -3258 +-3070 -3616 +114 -5676 +-4164 -1117 +1550 4246 +0 1312 +1647 -1068 +3956 905 +-1588 1938 +3417 2594 +1190 1885 +687 -1198 +1352 -2221 +1170 -2341 +-1762 3437 +-1100 -2878 +2193 2199 +1207 -964 +-2226 -2737 +-235 3067 +4523 -3911 +3653 -485 +-789 2418 +-2048 -4842 +-1569 -583 +-2736 5152 +-3410 5409 +-2863 1677 +-3665 -3421 +-4681 0 +-3665 3421 +-2863 -1677 +-3410 -5409 +-2736 -5152 +-1569 583 +-2048 4842 +-789 -2418 +3653 485 +4523 3911 +-235 -3067 +-2226 2737 +1207 964 +2193 -2199 +-1100 2878 +-1762 -3437 +1170 2341 +2705 4368 +2755 -6009 +3216 -147 +2103 2619 +-582 -2959 +-1420 -3858 +-875 2003 +-1312 2825 +-1067 -2179 +393 1308 +52 -3678 +-574 -3497 +2326 7440 +4518 5418 +930 4011 +-2341 0 +930 -4011 +4518 -5418 +2326 -7440 +-574 3497 +52 3678 +393 -1308 +-1067 2179 +-1312 -2825 +-875 -2003 +-1420 3858 +-582 2959 +2103 -2619 +3216 147 +2755 6009 +2705 -4368 +1170 -2341 +-1762 3437 +-1100 -2878 +2193 2199 +1207 -964 +-2226 -2737 +-235 3067 +4523 -3911 +3653 -485 +-789 2418 +-2048 -4842 +-1569 -583 +-2736 5152 +-3410 5409 +-2863 1677 +-3665 -3421 +-2341 -3511 +-3167 2327 +-295 4248 +6589 6226 +3653 -89 +222 -4266 +771 -230 +-2070 417 +-2825 828 +-4516 -2143 +-2071 -4543 +1909 -2845 +-1312 1618 +-1186 1055 +-2001 -3654 +-3839 339 +-2341 0 +-3839 -339 +-2001 3654 +-1186 -1055 +-1312 -1618 +1909 2845 +-2071 4543 +-4516 2143 +-2825 -828 +-2070 -417 +771 230 +222 4266 +3653 89 +6589 -6226 +-295 -4248 +-3167 -2327 +-2341 3511 +561 -3462 +2457 -5948 +-236 6576 +3653 -2251 +4573 -2007 +125 9327 +226 1431 +485 -828 +3051 830 +1175 -972 +-3393 239 +-1312 722 +-1858 -568 +-162 -3296 +3135 -1894 +2341 0 +3135 1894 +-162 3296 +-1858 568 +-1312 -722 +-3393 -239 +1175 972 +3051 -830 +485 828 +226 -1431 +125 -9327 +4573 2007 +3653 2251 +-236 -6576 +2457 5948 +561 3462 +-2341 -3511 +-3167 2327 +-295 4248 +6589 6226 +3653 -89 +222 -4266 +771 -230 +-2070 417 +-2825 828 +-4516 -2143 +-2071 -4543 +1909 -2845 +-1312 1618 +-1186 1055 +-2001 -3654 +-3839 339 +1170 -2341 +281 2337 +-682 5945 +1147 3520 +3700 1625 +2244 4374 +-2094 -3448 +-434 -3403 +3854 7991 +4308 649 +-817 -3531 +-1785 2186 +3049 3595 +676 4425 +-2438 2976 +-3077 3182 +-4681 0 +-3077 -3182 +-2438 -2976 +676 -4425 +3049 -3595 +-1785 -2186 +-817 3531 +4308 -649 +3854 -7991 +-434 3403 +-2094 3448 +2244 -4374 +3700 -1625 +1147 -3520 +-682 -5945 +281 -2337 +1170 2341 +-4537 -801 +-3821 -2305 +474 -334 +-2045 -3966 +90 2336 +-1143 5652 +-459 842 +5509 1371 +-609 -2195 +-628 -2256 +227 -577 +-4704 -1254 +3546 280 +2260 2034 +-2093 5988 +2341 0 +-2093 -5988 +2260 -2034 +3546 -280 +-4704 1254 +227 577 +-628 2256 +-609 2195 +5509 -1371 +-459 -842 +-1143 -5652 +90 -2336 +-2045 3966 +474 334 +-3821 2305 +-4537 801 +1170 -2341 +281 2337 +-682 5945 +1147 3520 +3700 1625 +2244 4374 +-2094 -3448 +-434 -3403 +3854 7991 +4308 649 +-817 -3531 +-1785 2186 +3049 3595 +676 4425 +-2438 2976 +-3077 3182 +4681 -2341 +2686 -1635 +-1695 1388 +-976 -4171 +-5241 4684 +-3620 4406 +-2480 -7154 +-3120 -4669 +2683 969 +-43 1973 +1059 -97 +5572 3246 +1001 2328 +1055 -4418 +-2268 233 +-2355 3316 +3511 0 +-2355 -3316 +-2268 -233 +1055 4418 +1001 -2328 +5572 -3246 +1059 97 +-43 -1973 +2683 -969 +-3120 4669 +-2480 7154 +-3620 -4406 +-5241 -4684 +-976 4171 +-1695 -1388 +2686 1635 +4681 2341 +-740 -4644 +251 -1776 +519 -4601 +1246 -7024 +1324 12 +-2023 2441 +1820 -4415 +4338 -5651 +1343 -616 +-1237 -1306 +-3276 1914 +-1686 12 +-598 -3613 +-968 2689 +409 847 +1170 0 +409 -847 +-968 -2689 +-598 3613 +-1686 -12 +-3276 -1914 +-1237 1306 +1343 616 +4338 5651 +1820 4415 +-2023 -2441 +1324 -12 +1246 7024 +519 4601 +251 1776 +-740 4644 +4681 -2341 +2686 -1635 +-1695 1388 +-976 -4171 +-5241 4684 +-3620 4406 +-2480 -7154 +-3120 -4669 +2683 969 +-43 1973 +1059 -97 +5572 3246 +1001 2328 +1055 -4418 +-2268 233 +-2355 3316 +0 -4681 +-2685 -4503 +94 1937 +553 -1840 +1634 -1625 +169 200 +-3860 -3544 +1352 -1193 +343 3996 +-6173 3000 +-1822 -252 +2085 1258 +-283 -3595 +2146 -2436 +7781 4251 +1871 -3813 +-5851 0 +1871 3813 +7781 -4251 +2146 2436 +-283 3595 +2085 -1258 +-1822 252 +-6173 -3000 +343 -3996 +1352 1193 +-3860 3544 +169 -200 +1634 1625 +553 1840 +94 -1937 +-2685 4503 +0 4681 +-610 2741 +-2004 4340 +-354 1700 +-2320 3966 +1802 -376 +921 -5301 +-2926 -2562 +1998 686 +-1679 5561 +-1859 -602 +1787 -4596 +-3712 1254 +-1568 1877 +750 655 +4230 -1616 +10533 0 +4230 1616 +750 -655 +-1568 -1877 +-3712 -1254 +1787 4596 +-1859 602 +-1679 -5561 +1998 -686 +-2926 2562 +921 5301 +1802 376 +-2320 -3966 +-354 -1700 +-2004 -4340 +-610 -2741 +0 -4681 +-2685 -4503 +94 1937 +553 -1840 +1634 -1625 +169 200 +-3860 -3544 +1352 -1193 +343 3996 +-6173 3000 +-1822 -252 +2085 1258 +-283 -3595 +2146 -2436 +7781 4251 +1871 -3813 +2341 9362 +-459 6193 +-1821 -125 +-2763 2516 +-1440 -1038 +891 315 +3465 -162 +3555 -401 +828 1170 +-1073 -4004 +-3022 -2457 +-879 -4888 +985 -2661 +-4593 3396 +-4196 -2071 +4230 675 +8192 0 +4230 -675 +-4196 2071 +-4593 -3396 +985 2661 +-879 4888 +-3022 2457 +-1073 4004 +828 -1170 +3555 401 +3465 162 +891 -315 +-1440 1038 +-2763 -2516 +-1821 125 +-459 -6193 +2341 -9362 +505 -345 +-3966 -771 +2644 202 +3781 754 +-4092 -2833 +174 -2001 +2805 518 +-828 1170 +-458 -1996 +-1989 295 +1785 -164 +1356 -6986 +-6233 -3211 +1992 1175 +4135 -943 +-3511 0 +4135 943 +1992 -1175 +-6233 3211 +1356 6986 +1785 164 +-1989 -295 +-458 1996 +-828 -1170 +2805 -518 +174 2001 +-4092 2833 +3781 -754 +2644 -202 +-3966 771 +505 345 +2341 9362 +-459 6193 +-1821 -125 +-2763 2516 +-1440 -1038 +891 315 +3465 -162 +3555 -401 +828 1170 +-1073 -4004 +-3022 -2457 +-879 -4888 +985 -2661 +-4593 3396 +-4196 -2071 +4230 675 +-1170 3511 +-2673 -1313 +3236 741 +217 4064 +-754 1566 +-312 -4044 +-3799 -4697 +532 -2510 +1655 142 +721 1181 +3179 -4989 +-2717 -1037 +-6986 3273 +-2759 -838 +11 -40 +-515 -2125 +-1170 0 +-515 2125 +11 40 +-2759 838 +-6986 -3273 +-2717 1037 +3179 4989 +721 -1181 +1655 -142 +532 2510 +-3799 4697 +-312 4044 +-754 -1566 +217 -4064 +3236 -741 +-2673 1313 +-1170 -3511 +3620 2378 +2043 5788 +1472 -2659 +1038 -596 +1287 -1753 +-2391 -2105 +-2949 1178 +-1655 -4823 +-7730 -3528 +1072 -442 +7585 342 +-2661 2377 +1847 724 +6010 -1422 +2374 -4444 +3511 0 +2374 4444 +6010 1422 +1847 -724 +-2661 -2377 +7585 -342 +1072 442 +-7730 3528 +-1655 4823 +-2949 -1178 +-2391 2105 +1287 1753 +1038 596 +1472 2659 +2043 -5788 +3620 -2378 +-1170 3511 +-2673 -1313 +3236 741 +217 4064 +-754 1566 +-312 -4044 +-3799 -4697 +532 -2510 +1655 142 +721 1181 +3179 -4989 +-2717 -1037 +-6986 3273 +-2759 -838 +11 -40 +-515 -2125 +-2341 -2341 +1978 6514 +1234 1904 +532 -431 +-917 6517 +-1911 -1617 +220 -3048 +1223 325 +4338 -5651 +-481 -4458 +-2591 -923 +1913 -110 +-791 747 +4243 660 +3330 1707 +-5323 847 +-5851 0 +-5323 -847 +3330 -1707 +4243 -660 +-791 -747 +1913 110 +-2591 923 +-481 4458 +4338 5651 +1223 -325 +220 3048 +-1911 1617 +-917 -6517 +532 431 +1234 -1904 +1978 -6514 +-2341 2341 +-3901 -3262 +-863 -7691 +937 -273 +-3079 -866 +-1486 -2449 +5000 -592 +3122 2435 +2683 969 +-1057 -5098 +-2629 -4087 +2261 -793 +105 222 +131 -944 +-3701 497 +-2180 6072 +5851 0 +-2180 -6072 +-3701 -497 +131 944 +105 -222 +2261 793 +-2629 4087 +-1057 5098 +2683 -969 +3122 -2435 +5000 592 +-1486 2449 +-3079 866 +937 273 +-863 7691 +-3901 3262 +-2341 -2341 +1978 6514 +1234 1904 +532 -431 +-917 6517 +-1911 -1617 +220 -3048 +1223 325 +4338 -5651 +-481 -4458 +-2591 -923 +1913 -110 +-791 747 +4243 660 +3330 1707 +-5323 847 +-1170 4681 +4469 -3449 +2335 -2648 +-909 1063 +2748 1312 +469 540 +-1024 -3326 +-246 1954 +-1028 3511 +-1515 -800 +2172 2159 +-178 2299 +-6786 3653 +-415 444 +-1479 -2490 +-3448 6733 +2341 0 +-3448 -6733 +-1479 2490 +-415 -444 +-6786 -3653 +-178 -2299 +2172 -2159 +-1515 800 +-1028 -3511 +-246 -1954 +-1024 3326 +469 -540 +2748 -1312 +-909 -1063 +2335 2648 +4469 3449 +-1170 -4681 +-2232 -986 +-3853 2438 +1650 -1240 +2902 1312 +-3405 -1441 +9 -2832 +-4009 3442 +-5993 3511 +2460 -4 +5463 -326 +6424 2495 +5817 3653 +2984 2334 +-3623 908 +-2099 -1385 +4681 0 +-2099 1385 +-3623 -908 +2984 -2334 +5817 -3653 +6424 -2495 +5463 326 +2460 4 +-5993 -3511 +-4009 -3442 +9 2832 +-3405 1441 +2902 -1312 +1650 1240 +-3853 -2438 +-2232 986 +-1170 4681 +4469 -3449 +2335 -2648 +-909 1063 +2748 1312 +469 540 +-1024 -3326 +-246 1954 +-1028 3511 +-1515 -800 +2172 2159 +-178 2299 +-6786 3653 +-415 444 +-1479 -2490 +-3448 6733 +3511 -1170 +1462 -2544 +-1992 -1283 +1161 -2335 +-1735 374 +-1882 -483 +-1795 -2385 +-4310 2543 +1371 -1513 +1969 -2300 +-2904 2427 +1397 -3967 +3349 -8894 +-1534 -2398 +-1693 -573 +1937 -6230 +3511 0 +1937 6230 +-1693 573 +-1534 2398 +3349 8894 +1397 3967 +-2904 -2427 +1969 2300 +1371 1513 +-4310 -2543 +-1795 2385 +-1882 483 +-1735 -374 +1161 2335 +-1992 1283 +1462 2544 +3511 1170 +-3658 4491 +411 4341 +3297 -1834 +-2260 -2313 +-737 -3406 +-38 3652 +3259 3412 +7991 -3168 +873 2139 +-3254 -1160 +-3103 -2455 +-4034 -2407 +1401 -4304 +1903 3631 +-1532 2061 +-1170 0 +-1532 -2061 +1903 -3631 +1401 4304 +-4034 2407 +-3103 2455 +-3254 1160 +873 -2139 +7991 3168 +3259 -3412 +-38 -3652 +-737 3406 +-2260 2313 +3297 1834 +411 -4341 +-3658 -4491 +3511 -1170 +1462 -2544 +-1992 -1283 +1161 -2335 +-1735 374 +-1882 -483 +-1795 -2385 +-4310 2543 +1371 -1513 +1969 -2300 +-2904 2427 +1397 -3967 +3349 -8894 +-1534 -2398 +-1693 -573 +1937 -6230 +-2341 -1170 +5471 -5602 +-2083 -2888 +-1838 -868 +5593 -1207 +-1732 -624 +1581 -5637 +2474 -2836 +-4480 1797 +-4011 -1259 +-523 627 +5804 225 +4309 -2736 +511 -2092 +1132 764 +-3292 5220 +-7022 0 +-3292 -5220 +1132 -764 +511 2092 +4309 2736 +5804 -225 +-523 -627 +-4011 1259 +-4480 -1797 +2474 2836 +1581 5637 +-1732 624 +5593 1207 +-1838 868 +-2083 2888 +5471 5602 +-2341 1170 +-1597 776 +-1734 2888 +-3262 1753 +743 -2103 +-2996 -571 +-822 5637 +3276 -1901 +2140 -6478 +2586 491 +3073 -627 +715 -322 +-1283 -574 +2797 -5286 +-625 -764 +-4906 3285 +-2341 0 +-4906 -3285 +-625 764 +2797 5286 +-1283 574 +715 322 +3073 627 +2586 -491 +2140 6478 +3276 1901 +-822 -5637 +-2996 571 +743 2103 +-3262 -1753 +-1734 -2888 +-1597 -776 +-2341 -1170 +5471 -5602 +-2083 -2888 +-1838 -868 +5593 -1207 +-1732 -624 +1581 -5637 +2474 -2836 +-4480 1797 +-4011 -1259 +-523 627 +5804 225 +4309 -2736 +511 -2092 +1132 764 +-3292 5220 +-4681 -1170 +-4217 2357 +-3370 6088 +2554 -333 +5747 -3490 +2208 374 +1075 750 +-1194 -886 +969 -2967 +732 -1526 +2070 2229 +696 4053 +-5198 4883 +3801 -1258 +871 -2714 +-641 5348 +9362 0 +-641 -5348 +871 2714 +3801 1258 +-5198 -4883 +696 -4053 +2070 -2229 +732 1526 +969 2967 +-1194 886 +1075 -750 +2208 -374 +5747 3490 +2554 333 +-3370 -6088 +-4217 -2357 +-4681 1170 +1667 -38 +1715 -2852 +1264 -4631 +3214 464 +-2715 430 +580 -928 +435 7530 +-5651 5308 +-1491 -2354 +-415 2274 +-1203 4238 +918 1454 +17 1039 +-2527 1269 +-1910 2429 +0 0 +-1910 -2429 +-2527 -1269 +17 -1039 +918 -1454 +-1203 -4238 +-415 -2274 +-1491 2354 +-5651 -5308 +435 -7530 +580 928 +-2715 -430 +3214 -464 +1264 4631 +1715 2852 +1667 38 +-4681 -1170 +-4217 2357 +-3370 6088 +2554 -333 +5747 -3490 +2208 374 +1075 750 +-1194 -886 +969 -2967 +732 -1526 +2070 2229 +696 4053 +-5198 4883 +3801 -1258 +871 -2714 +-641 5348 +-2341 8192 +790 -4505 +1529 -4503 +-1442 7550 +-1791 5122 +445 1909 +-633 5419 +-2718 1513 +0 -1998 +3238 -76 +633 -3803 +-3788 -1726 +-4325 357 +-2862 -4004 +-1529 97 +790 3049 +2341 0 +790 -3049 +-1529 -97 +-2862 4004 +-4325 -357 +-3788 1726 +633 3803 +3238 76 +0 1998 +-2718 -1513 +-633 -5419 +445 -1909 +-1791 -5122 +-1442 -7550 +1529 4503 +790 4505 +-2341 -8192 +-1634 -1157 +1529 3640 +2438 2448 +1791 5493 +1044 -1946 +-633 22 +-1524 1451 +0 -343 +1004 5218 +633 -3429 +2299 -1537 +4325 5578 +1866 1413 +-1529 5092 +54 2827 +2341 0 +54 -2827 +-1529 -5092 +1866 -1413 +4325 -5578 +2299 1537 +633 3429 +1004 -5218 +0 343 +-1524 -1451 +-633 -22 +1044 1946 +1791 -5493 +2438 -2448 +1529 -3640 +-1634 1157 +-2341 8192 +790 -4505 +1529 -4503 +-1442 7550 +-1791 5122 +445 1909 +-633 5419 +-2718 1513 +0 -1998 +3238 -76 +633 -3803 +-3788 -1726 +-4325 357 +-2862 -4004 +-1529 97 +790 3049 +3511 2341 +1565 -4094 +-774 -3653 +-3021 -2639 +448 -1125 +1891 -1764 +-3934 -1521 +-2660 -2443 +-1312 -4196 +-2117 -1330 +1474 -2238 +2636 849 +1081 1765 +-76 -2035 +639 -102 +965 -2268 +0 0 +965 2268 +639 102 +-76 2035 +1081 -1765 +2636 -849 +1474 2238 +-2117 1330 +-1312 4196 +-2660 2443 +-3934 1521 +1891 1764 +448 1125 +-3021 2639 +-774 3653 +1565 4094 +3511 -2341 +-2324 -2357 +-3221 5098 +2513 5619 +-448 1409 +1927 -5705 +3249 -2982 +110 -3653 +3653 -7506 +-434 4661 +-2160 2416 +1181 -2475 +-1081 7881 +-431 4239 +-4635 -3134 +-1725 -1376 +7022 0 +-1725 1376 +-4635 3134 +-431 -4239 +-1081 -7881 +1181 2475 +-2160 -2416 +-434 -4661 +3653 7506 +110 3653 +3249 2982 +1927 5705 +-448 -1409 +2513 -5619 +-3221 -5098 +-2324 2357 +3511 2341 +1565 -4094 +-774 -3653 +-3021 -2639 +448 -1125 +1891 -1764 +-3934 -1521 +-2660 -2443 +-1312 -4196 +-2117 -1330 +1474 -2238 +2636 849 +1081 1765 +-76 -2035 +639 -102 +965 -2268 +2341 3511 +-1725 -1543 +-5877 -1905 +687 2972 +3459 -3987 +2802 -1722 +1989 3134 +-1173 -1001 +0 -1312 +-149 -61 +1554 -969 +2855 -6032 +-2014 -2626 +-498 426 +-159 -4283 +-4220 1386 +-4681 0 +-4220 -1386 +-159 4283 +-498 -426 +-2014 2626 +2855 6032 +1554 969 +-149 61 +0 1312 +-1173 1001 +1989 -3134 +2802 1722 +3459 3987 +687 -2972 +-5877 1905 +-1725 1543 +2341 -3511 +2149 2740 +2956 5394 +1561 922 +2192 -2349 +-1247 -3098 +-3392 -1268 +837 5651 +0 3653 +1500 -3280 +3159 895 +691 2583 +1044 5652 +-233 2097 +-229 -3530 +-3839 7802 +-9362 0 +-3839 -7802 +-229 3530 +-233 -2097 +1044 -5652 +691 -2583 +3159 -895 +1500 3280 +0 -3653 +837 -5651 +-3392 1268 +-1247 3098 +2192 2349 +1561 -922 +2956 -5394 +2149 -2740 +2341 3511 +-1725 -1543 +-5877 -1905 +687 2972 +3459 -3987 +2802 -1722 +1989 3134 +-1173 -1001 +0 -1312 +-149 -61 +1554 -969 +2855 -6032 +-2014 -2626 +-498 426 +-159 -4283 +-4220 1386 +-2341 2341 +-670 -3198 +-3296 -6231 +-2599 971 +3632 679 +3745 -2561 +1007 4273 +-2237 4976 +-828 -1170 +20 354 +-1451 1001 +2003 -634 +60 2124 +-156 428 +5439 -2286 +5289 666 +3511 0 +5289 -666 +5439 2286 +-156 -428 +60 -2124 +2003 634 +-1451 -1001 +20 -354 +-828 1170 +-2237 -4976 +1007 -4273 +3745 2561 +3632 -679 +-2599 -971 +-3296 6231 +-670 3198 +-2341 -2341 +-2334 724 +489 2665 +3997 2420 +-322 1946 +-2415 -1976 +-1783 -2989 +-2292 -17 +828 -1170 +-8227 -4400 +-4392 -3027 +5820 2570 +-3370 5182 +2845 74 +3987 -4590 +-2788 -2784 +1170 0 +-2788 2784 +3987 4590 +2845 -74 +-3370 -5182 +5820 -2570 +-4392 3027 +-8227 4400 +828 1170 +-2292 17 +-1783 2989 +-2415 1976 +-322 -1946 +3997 -2420 +489 -2665 +-2334 -724 +-2341 2341 +-670 -3198 +-3296 -6231 +-2599 971 +3632 679 +3745 -2561 +1007 4273 +-2237 4976 +-828 -1170 +20 354 +-1451 1001 +2003 -634 +60 2124 +-156 428 +5439 -2286 +5289 666 +0 -1170 +834 -2184 +-149 -5701 +3024 -1263 +1238 9305 +-6313 369 +-377 816 +2781 5591 +-2825 -2199 +-983 -108 +28 346 +2031 -868 +4160 -2675 +382 -2197 +2551 2917 +-1370 -3485 +-9362 0 +-1370 3485 +2551 -2917 +382 2197 +4160 2675 +2031 868 +28 -346 +-983 108 +-2825 2199 +2781 -5591 +-377 -816 +-6313 -369 +1238 -9305 +3024 1263 +-149 5701 +834 2184 +0 1170 +1854 -2672 +1044 -1352 +-2535 515 +-553 1027 +-1530 -1295 +-1785 -1397 +2165 -1049 +485 -7164 +-653 -5132 +2134 -2299 +2502 -3011 +-165 -1037 +-4181 -4247 +-3447 -1979 +1992 4828 +4681 0 +1992 -4828 +-3447 1979 +-4181 4247 +-165 1037 +2502 3011 +2134 2299 +-653 5132 +485 7164 +2165 1049 +-1785 1397 +-1530 1295 +-553 -1027 +-2535 -515 +1044 1352 +1854 2672 +0 -1170 +834 -2184 +-149 -5701 +3024 -1263 +1238 9305 +-6313 369 +-377 816 +2781 5591 +-2825 -2199 +-983 -108 +28 346 +2031 -868 +4160 -2675 +382 -2197 +2551 2917 +-1370 -3485 +2341 2341 +-500 -925 +306 -4362 +-315 3000 +-3011 1461 +862 -8205 +-2825 886 +-3260 5279 +828 -1170 +206 -1230 +440 -3825 +2036 -1493 +3095 2357 +-1446 -2727 +-168 -3999 +5618 -1525 +5851 0 +5618 1525 +-168 3999 +-1446 2727 +3095 -2357 +2036 1493 +440 3825 +206 1230 +828 1170 +-3260 -5279 +-2825 -886 +862 8205 +-3011 -1461 +-315 -3000 +306 4362 +-500 925 +2341 -2341 +1955 -567 +6198 2748 +-464 2098 +-2640 194 +5712 -1333 +-4422 -6656 +-6590 -5132 +-828 -1170 +-1573 6 +3497 2737 +1558 -53 +-2126 -702 +-1323 -166 +-3027 -2295 +-2475 1404 +-1170 0 +-2475 -1404 +-3027 2295 +-1323 166 +-2126 702 +1558 53 +3497 -2737 +-1573 -6 +-828 1170 +-6590 5132 +-4422 6656 +5712 1333 +-2640 -194 +-464 -2098 +6198 -2748 +1955 567 +2341 2341 +-500 -925 +306 -4362 +-315 3000 +-3011 1461 +862 -8205 +-2825 886 +-3260 5279 +828 -1170 +206 -1230 +440 -3825 +2036 -1493 +3095 2357 +-1446 -2727 +-168 -3999 +5618 -1525 +-2341 -3511 +696 -2227 +-460 943 +-1525 3290 +-3744 -1886 +948 -1483 +1614 1439 +462 203 +3996 -1312 +-3202 -2560 +-2395 1013 +2378 -1977 +-5262 -4860 +-1555 -1915 +2971 -204 +-235 5309 +0 0 +-235 -5309 +2971 204 +-1555 1915 +-5262 4860 +2378 1977 +-2395 -1013 +-3202 2560 +3996 1312 +462 -203 +1614 -1439 +948 1483 +-3744 1886 +-1525 -3290 +-460 -943 +696 2227 +-2341 3511 +-2001 2768 +7378 2325 +5583 -487 +2373 -4049 +4303 5757 +474 5986 +-360 402 +686 3653 +-210 -1937 +-1633 -1579 +-4319 -1384 +-2729 -5756 +808 3703 +1412 4844 +-1769 -3249 +-4681 0 +-1769 3249 +1412 -4844 +808 -3703 +-2729 5756 +-4319 1384 +-1633 1579 +-210 1937 +686 -3653 +-360 -402 +474 -5986 +4303 -5757 +2373 4049 +5583 487 +7378 -2325 +-2001 -2768 +-2341 -3511 +696 -2227 +-460 943 +-1525 3290 +-3744 -1886 +948 -1483 +1614 1439 +462 203 +3996 -1312 +-3202 -2560 +-2395 1013 +2378 -1977 +-5262 -4860 +-1555 -1915 +2971 -204 +-235 5309 +0 0 +-1338 5444 +-2027 5080 +-2083 1888 +-2678 -896 +-1315 -2263 +1410 3429 +4532 4433 +3653 -3310 +5740 -2542 +6110 138 +-2572 -2238 +-3175 2162 +5 4335 +259 -1108 +1318 -2019 +1170 0 +1318 2019 +259 1108 +5 -4335 +-3175 -2162 +-2572 2238 +6110 -138 +5740 2542 +3653 3310 +4532 -4433 +1410 -3429 +-1315 2263 +-2678 896 +-2083 -1888 +-2027 -5080 +-1338 -5444 +0 0 +2296 2629 +1 1036 +-5262 -21 +4333 896 +3599 1619 +-4976 -895 +1891 -342 +-1312 3310 +-1218 2664 +766 2396 +-4540 496 +1520 -2162 +-1071 5796 +-1543 7224 +18 -3239 +-5851 0 +18 3239 +-1543 -7224 +-1071 -5796 +1520 2162 +-4540 -496 +766 -2396 +-1218 -2664 +-1312 -3310 +1891 342 +-4976 895 +3599 -1619 +4333 -896 +-5262 21 +1 -1036 +2296 -2629 +0 0 +-1338 5444 +-2027 5080 +-2083 1888 +-2678 -896 +-1315 -2263 +1410 3429 +4532 4433 +3653 -3310 +5740 -2542 +6110 138 +-2572 -2238 +-3175 2162 +5 4335 +259 -1108 +1318 -2019 +0 1170 +-2663 2788 +-5629 -28 +-3984 -4557 +-3378 -2736 +4117 -99 +1277 -547 +619 -3139 +5166 -5793 +-1611 -1117 +-3137 3975 +-1243 401 +320 -2103 +4576 -815 +2261 -1080 +-609 -881 +0 0 +-609 881 +2261 1080 +4576 815 +320 2103 +-1243 -401 +-3137 -3975 +-1611 1117 +5166 5793 +619 3139 +1277 547 +4117 99 +-3378 2736 +-3984 4557 +-5629 28 +-2663 -2788 +0 -1170 +-4319 484 +4048 2116 +3173 751 +-1587 -574 +1069 -1018 +-3110 -3837 +416 994 +1856 5793 +-943 -251 +-3021 2942 +-4958 7908 +4645 -1207 +3871 -185 +-2051 5108 +2490 -1692 +4681 0 +2490 1692 +-2051 -5108 +3871 185 +4645 1207 +-4958 -7908 +-3021 -2942 +-943 251 +1856 -5793 +416 -994 +-3110 3837 +1069 1018 +-1587 574 +3173 -751 +4048 -2116 +-4319 -484 +0 1170 +-2663 2788 +-5629 -28 +-3984 -4557 +-3378 -2736 +4117 -99 +1277 -547 +619 -3139 +5166 -5793 +-1611 -1117 +-3137 3975 +-1243 401 +320 -2103 +4576 -815 +2261 -1080 +-609 -881 +-1170 2341 +-767 -301 +942 -5351 +2732 -250 +574 -1639 +-997 -394 +3796 7205 +2272 -3741 +1998 -6821 +-2180 2401 +-6720 1364 +143 3181 +-1207 880 +-1291 221 +4739 3630 +3849 -4296 +2341 0 +3849 4296 +4739 -3630 +-1291 -221 +-1207 -880 +143 -3181 +-6720 -1364 +-2180 -2401 +1998 6821 +2272 3741 +3796 -7205 +-997 394 +574 1639 +2732 250 +942 5351 +-767 301 +-1170 -2341 +2658 1962 +189 299 +-653 -5605 +2736 -4697 +4003 1972 +1932 2696 +-4657 1367 +343 -201 +2774 -2627 +-2318 -825 +1176 -168 +-2103 2146 +-5113 4721 +-2560 680 +-3949 -1257 +-4681 0 +-3949 1257 +-2560 -680 +-5113 -4721 +-2103 -2146 +1176 168 +-2318 825 +2774 2627 +343 201 +-4657 -1367 +1932 -2696 +4003 -1972 +2736 4697 +-653 5605 +189 -299 +2658 -1962 +-1170 2341 +-767 -301 +942 -5351 +2732 -250 +574 -1639 +-997 -394 +3796 7205 +2272 -3741 +1998 -6821 +-2180 2401 +-6720 1364 +143 3181 +-1207 880 +-1291 221 +4739 3630 +3849 -4296 +1170 1170 +-1776 1399 +-5766 1133 +116 1615 +-6517 1550 +-4135 -196 +8939 506 +1454 -4789 +-4480 -4338 +-2306 5437 +-4082 785 +-1575 -1111 +747 738 +1858 -951 +2666 2465 +283 -723 +-1170 0 +283 723 +2666 -2465 +1858 951 +747 -738 +-1575 1111 +-4082 -785 +-2306 -5437 +-4480 4338 +1454 4789 +8939 -506 +-4135 196 +-6517 -1550 +116 -1615 +-5766 -1133 +-1776 -1399 +1170 -1170 +-4035 2044 +-1320 2433 +505 645 +866 2446 +-378 -918 +-754 -1790 +3443 -1140 +2140 -2683 +3525 -421 +7200 1241 +3554 4826 +222 -1424 +54 -5201 +2481 4411 +-589 1871 +-5851 0 +-589 -1871 +2481 -4411 +54 5201 +222 1424 +3554 -4826 +7200 -1241 +3525 421 +2140 2683 +3443 1140 +-754 1790 +-378 918 +866 -2446 +505 -645 +-1320 -2433 +-4035 -2044 +1170 1170 +-1776 1399 +-5766 1133 +116 1615 +-6517 1550 +-4135 -196 +8939 506 +1454 -4789 +-4480 -4338 +-2306 5437 +-4082 785 +-1575 -1111 +747 738 +1858 -951 +2666 2465 +283 -723 +-2341 -1170 +1292 1667 +2085 2743 +2705 -239 +1022 -983 +-1821 -2957 +2084 -4238 +3662 -383 +686 -343 +2528 -512 +3211 1088 +288 -803 +-126 -5378 +-585 -528 +-2978 6667 +-5639 895 +-7022 0 +-5639 -895 +-2978 -6667 +-585 528 +-126 5378 +288 803 +3211 -1088 +2528 512 +686 343 +3662 383 +2084 4238 +-1821 2957 +1022 983 +2705 239 +2085 -2743 +1292 -1667 +-2341 1170 +-1668 3411 +973 2089 +813 5450 +2288 4979 +-1581 -5885 +-3351 -104 +6390 2876 +3996 -1998 +-6464 619 +-1944 -2120 +580 5054 +-3184 4692 +-399 -3771 +-81 1475 +-102 -2080 +2341 0 +-102 2080 +-81 -1475 +-399 3771 +-3184 -4692 +580 -5054 +-1944 2120 +-6464 -619 +3996 1998 +6390 -2876 +-3351 104 +-1581 5885 +2288 -4979 +813 -5450 +973 -2089 +-1668 -3411 +-2341 -1170 +1292 1667 +2085 2743 +2705 -239 +1022 -983 +-1821 -2957 +2084 -4238 +3662 -383 +686 -343 +2528 -512 +3211 1088 +288 -803 +-126 -5378 +-585 -528 +-2978 6667 +-5639 895 +-5851 4681 +-4848 -2222 +-3885 -4266 +306 622 +2103 -828 +-3140 414 +3577 3784 +8820 -933 +3653 -6821 +-1686 -4500 +-109 -403 +2455 -1815 +-574 -828 +2114 -1139 +466 -2982 +-1535 1248 +2341 0 +-1535 -1248 +466 2982 +2114 1139 +-574 828 +2455 1815 +-109 403 +-1686 4500 +3653 6821 +8820 933 +3577 -3784 +-3140 -414 +2103 828 +306 -622 +-3885 4266 +-4848 2222 +-5851 -4681 +1738 -4817 +3496 -2578 +2128 1908 +1207 -828 +-1779 -3705 +1136 1793 +-625 134 +-1312 -201 +1398 -980 +-1294 -3382 +-4394 3204 +-2736 -828 +2311 -1012 +-3388 5501 +-3263 -3606 +4681 0 +-3263 3606 +-3388 -5501 +2311 1012 +-2736 828 +-4394 -3204 +-1294 3382 +1398 980 +-1312 201 +-625 -134 +1136 -1793 +-1779 3705 +1207 828 +2128 -1908 +3496 2578 +1738 4817 +-5851 4681 +-4848 -2222 +-3885 -4266 +306 622 +2103 -828 +-3140 414 +3577 3784 +8820 -933 +3653 -6821 +-1686 -4500 +-109 -403 +2455 -1815 +-574 -828 +2114 -1139 +466 -2982 +-1535 1248 +-1170 4681 +-3424 1496 +-228 -4064 +4791 1018 +722 -1820 +-3966 -590 +-650 2186 +-3223 475 +1312 -1170 +5020 -7947 +-973 -6212 +342 1493 +89 -2894 +-1126 -7828 +-1148 -4131 +-391 -204 +2341 0 +-391 204 +-1148 4131 +-1126 7828 +89 2894 +342 -1493 +-973 6212 +5020 7947 +1312 1170 +-3223 -475 +-650 -2186 +-3966 590 +722 1820 +4791 -1018 +-228 4064 +-3424 -1496 +-1170 -4681 +3060 7173 +228 2482 +542 -1844 +1618 2505 +117 -2380 +650 -352 +-4925 2222 +-3653 -1170 +-182 -1168 +973 54 +6817 2786 +2251 -1102 +-897 -1731 +1148 3921 +-2556 -197 +-4681 0 +-2556 197 +1148 -3921 +-897 1731 +2251 1102 +6817 -2786 +973 -54 +-182 1168 +-3653 1170 +-4925 -2222 +650 352 +117 2380 +1618 -2505 +542 1844 +228 -2482 +3060 -7173 +-1170 4681 +-3424 1496 +-228 -4064 +4791 1018 +722 -1820 +-3966 -590 +-650 2186 +-3223 475 +1312 -1170 +5020 -7947 +-973 -6212 +342 1493 +89 -2894 +-1126 -7828 +-1148 -4131 +-391 -204 +2341 1170 +-805 911 +-2224 -2669 +156 546 +5861 -1424 +-985 -428 +-1767 1460 +3192 -2105 +1655 1998 +128 -1035 +-4170 -8105 +-7235 829 +-2803 1550 +2037 -6290 +-467 -2660 +375 645 +4681 0 +375 -645 +-467 2660 +2037 6290 +-2803 -1550 +-7235 -829 +-4170 8105 +128 1035 +1655 -1998 +3192 2105 +-1767 -1460 +-985 428 +5861 1424 +156 -546 +-2224 2669 +-805 -911 +2341 -1170 +6479 5202 +-117 1088 +1893 -1733 +4069 738 +-481 -823 +-574 374 +-4642 2156 +-1655 343 +2099 71 +1829 1947 +-724 3022 +-7128 2446 +-1280 3585 +-1874 2450 +-205 -2167 +9362 0 +-205 2167 +-1874 -2450 +-1280 -3585 +-7128 -2446 +-724 -3022 +1829 -1947 +2099 -71 +-1655 -343 +-4642 -2156 +-574 -374 +-481 823 +4069 -738 +1893 1733 +-117 -1088 +6479 -5202 +2341 1170 +-805 911 +-2224 -2669 +156 546 +5861 -1424 +-985 -428 +-1767 1460 +3192 -2105 +1655 1998 +128 -1035 +-4170 -8105 +-7235 829 +-2803 1550 +2037 -6290 +-467 -2660 +375 645 +-1170 -1170 +807 -6270 +1537 3968 +-1231 3421 +2670 -254 +6918 3678 +-1917 -4067 +-2851 -1023 +-485 5993 +-1726 1709 +-1190 7197 +-2010 6763 +-136 380 +102 3266 +3060 1328 +2080 -2002 +-3511 0 +2080 2002 +3060 -1328 +102 -3266 +-136 -380 +-2010 -6763 +-1190 -7197 +-1726 -1709 +-485 -5993 +-2851 1023 +-1917 4067 +6918 -3678 +2670 254 +-1231 -3421 +1537 -3968 +807 6270 +-1170 1170 +-2046 -2914 +1385 -434 +-3614 443 +-5980 1909 +2239 -4344 +3320 -4820 +4207 1758 +2825 1028 +-1421 461 +-3523 -102 +-2822 -215 +3446 1275 +419 -1550 +-2671 -536 +951 3616 +1170 0 +951 -3616 +-2671 536 +419 1550 +3446 -1275 +-2822 215 +-3523 102 +-1421 -461 +2825 -1028 +4207 -1758 +3320 4820 +2239 4344 +-5980 -1909 +-3614 -443 +1385 434 +-2046 2914 +-1170 -1170 +807 -6270 +1537 3968 +-1231 3421 +2670 -254 +6918 3678 +-1917 -4067 +-2851 -1023 +-485 5993 +-1726 1709 +-1190 7197 +-2010 6763 +-136 380 +102 3266 +3060 1328 +2080 -2002 +-1170 4681 +-1613 -1616 +1072 -2286 +-287 -1536 +-311 -3886 +-1250 -1849 +2182 -599 +3507 -1708 +1998 -2140 +-2352 138 +-6824 3518 +1357 2614 +4899 439 +735 -1304 +2973 -1964 +2683 262 +0 0 +2683 -262 +2973 1964 +735 1304 +4899 -439 +1357 -2614 +-6824 -3518 +-2352 -138 +1998 2140 +3507 1708 +2182 599 +-1250 1849 +-311 3886 +-287 1536 +1072 2286 +-1613 1616 +-1170 -4681 +5265 -185 +3368 3014 +2026 -1665 +-2999 2231 +-3888 -1594 +236 -7511 +-4675 3243 +343 4480 +-806 -3285 +-5524 -2266 +1989 -1376 +-1589 -2094 +-683 -6579 +2517 -6671 +-2010 2618 +-2341 0 +-2010 -2618 +2517 6671 +-683 6579 +-1589 2094 +1989 1376 +-5524 2266 +-806 3285 +343 -4480 +-4675 -3243 +236 7511 +-3888 1594 +-2999 -2231 +2026 1665 +3368 -3014 +5265 185 +-1170 4681 +-1613 -1616 +1072 -2286 +-287 -1536 +-311 -3886 +-1250 -1849 +2182 -599 +3507 -1708 +1998 -2140 +-2352 138 +-6824 3518 +1357 2614 +4899 439 +735 -1304 +2973 -1964 +2683 262 +-1170 -1170 +-1240 -3311 +3251 -383 +-1685 2217 +-953 722 +2804 -793 +1075 2156 +1402 -1280 +-1371 -4823 +-644 -787 +543 -1270 +-2009 1898 +3116 -89 +3145 -4007 +-3129 -477 +-454 -4951 +3511 0 +-454 4951 +-3129 477 +3145 4007 +3116 89 +-2009 -1898 +543 1270 +-644 787 +-1371 4823 +1402 1280 +1075 -2156 +2804 793 +-953 -722 +-1685 -2217 +3251 383 +-1240 3311 +-1170 1170 +-308 2965 +-3324 2756 +-363 -3555 +-4012 1618 +-2799 6735 +2413 3107 +-7110 -590 +-7991 142 +3042 435 +7270 -1459 +5314 3030 +1849 -2251 +2213 -4965 +1264 4221 +-1308 -497 +-1170 0 +-1308 497 +1264 -4221 +2213 4965 +1849 2251 +5314 -3030 +7270 1459 +3042 -435 +-7991 -142 +-7110 590 +2413 -3107 +-2799 -6735 +-4012 -1618 +-363 3555 +-3324 -2756 +-308 -2965 +-1170 -1170 +-1240 -3311 +3251 -383 +-1685 2217 +-953 722 +2804 -793 +1075 2156 +1402 -1280 +-1371 -4823 +-644 -787 +543 -1270 +-2009 1898 +3116 -89 +3145 -4007 +-3129 -477 +-454 -4951 +-2341 -1170 +1491 -966 +2021 3483 +-2922 595 +-2631 -425 +1771 3634 +3117 1543 +3324 -3539 +-1170 828 +2755 516 +5819 -2332 +254 3559 +1186 -2503 +-889 -5745 +1722 2500 +3082 -617 +-2341 0 +3082 617 +1722 -2500 +-889 5745 +1186 2503 +254 -3559 +5819 2332 +2755 -516 +-1170 -828 +3324 3539 +3117 -1543 +1771 -3634 +-2631 425 +-2922 -595 +2021 -3483 +1491 966 +-2341 1170 +-4369 -2463 +-1843 4289 +-1421 3359 +-1364 -3854 +-1791 320 +-1672 2645 +2130 110 +-1170 -828 +-1589 2913 +-2583 3210 +-6855 -7512 +-1872 -6457 +-1388 1791 +2780 1961 +6416 4046 +2341 0 +6416 -4046 +2780 -1961 +-1388 -1791 +-1872 6457 +-6855 7512 +-2583 -3210 +-1589 -2913 +-1170 828 +2130 -110 +-1672 -2645 +-1791 -320 +-1364 3854 +-1421 -3359 +-1843 -4289 +-4369 2463 +-2341 -1170 +1491 -966 +2021 3483 +-2922 595 +-2631 -425 +1771 3634 +3117 1543 +3324 -3539 +-1170 828 +2755 516 +5819 -2332 +254 3559 +1186 -2503 +-889 -5745 +1722 2500 +3082 -617 +3511 0 +-4794 2999 +435 1561 +5080 -3767 +2694 -491 +711 -546 +-1002 -3839 +-1523 -3006 +-3653 -1856 +-75 -1695 +4922 -3585 +1069 2780 +641 3294 +4114 -4154 +2869 -2327 +-581 -2348 +-2341 0 +-581 2348 +2869 2327 +4114 4154 +641 -3294 +1069 -2780 +4922 3585 +-75 1695 +-3653 1856 +-1523 3006 +-1002 3839 +711 546 +2694 491 +5080 3767 +435 -1561 +-4794 -2999 +3511 0 +4646 461 +-1017 -3175 +-3019 -3178 +-7376 775 +-1568 -5269 +1939 -1931 +-2833 1811 +1312 -5166 +2911 1277 +2132 2496 +-1227 831 +-5322 6352 +1460 15 +-916 -3968 +-4372 -35 +0 0 +-4372 35 +-916 3968 +1460 -15 +-5322 -6352 +-1227 -831 +2132 -2496 +2911 -1277 +1312 5166 +-2833 -1811 +1939 1931 +-1568 5269 +-7376 -775 +-3019 3178 +-1017 3175 +4646 -461 +3511 0 +-4794 2999 +435 1561 +5080 -3767 +2694 -491 +711 -546 +-1002 -3839 +-1523 -3006 +-3653 -1856 +-75 -1695 +4922 -3585 +1069 2780 +641 3294 +4114 -4154 +2869 -2327 +-581 -2348 +0 -2341 +-1800 -3119 +4107 -1180 +-1767 2242 +-4660 -5114 +-889 -3088 +-2010 3261 +1999 -583 +1998 -2341 +1022 -1259 +1834 1379 +-7379 -1543 +-6053 -3669 +2331 -2473 +-3003 -4013 +-1356 464 +5851 0 +-1356 -464 +-3003 4013 +2331 2473 +-6053 3669 +-7379 1543 +1834 -1379 +1022 1259 +1998 2341 +1999 583 +-2010 -3261 +-889 3088 +-4660 5114 +-1767 -2242 +4107 1180 +-1800 3119 +0 2341 +1309 -179 +-871 -6067 +3796 -6161 +-706 -3847 +-2979 1275 +2188 -67 +1738 -3909 +343 -2341 +-1177 -1441 +2669 5126 +2597 4055 +-2624 -611 +4289 2879 +4448 77 +-1736 -1971 +-1170 0 +-1736 1971 +4448 -77 +4289 -2879 +-2624 611 +2597 -4055 +2669 -5126 +-1177 1441 +343 2341 +1738 3909 +2188 67 +-2979 -1275 +-706 3847 +3796 6161 +-871 6067 +1309 179 +0 -2341 +-1800 -3119 +4107 -1180 +-1767 2242 +-4660 -5114 +-889 -3088 +-2010 3261 +1999 -583 +1998 -2341 +1022 -1259 +1834 1379 +-7379 -1543 +-6053 -3669 +2331 -2473 +-3003 -4013 +-1356 464 +0 2341 +1823 -2692 +-378 -6381 +-2947 -259 +-3273 -828 +-4193 -2002 +369 514 +150 -2176 +-828 -2825 +-2329 1746 +-2507 -1143 +2071 -5838 +-596 -828 +55 -2630 +2216 -4299 +1699 5159 +3511 0 +1699 -5159 +2216 4299 +55 2630 +-596 828 +2071 5838 +-2507 1143 +-2329 -1746 +-828 2825 +150 2176 +369 -514 +-4193 2002 +-3273 828 +-2947 259 +-378 6381 +1823 2692 +0 -2341 +5886 1117 +6358 3144 +1275 5659 +-2377 -828 +-2949 -6740 +-233 -336 +-3258 -1158 +828 485 +4423 2198 +-939 -3360 +-31 1505 +1566 -828 +98 -3544 +-4885 5744 +-1774 3287 +5851 0 +-1774 -3287 +-4885 -5744 +98 3544 +1566 828 +-31 -1505 +-939 3360 +4423 -2198 +828 -485 +-3258 1158 +-233 336 +-2949 6740 +-2377 828 +1275 -5659 +6358 -3144 +5886 -1117 +0 2341 +1823 -2692 +-378 -6381 +-2947 -259 +-3273 -828 +-4193 -2002 +369 514 +150 -2176 +-828 -2825 +-2329 1746 +-2507 -1143 +2071 -5838 +-596 -828 +55 -2630 +2216 -4299 +1699 5159 +2341 2341 +152 1535 +-2681 -2622 +844 1374 +5339 46 +1836 -1675 +512 678 +468 1916 +-3168 -485 +-1721 -2163 +-5319 63 +-5142 -3288 +3929 595 +-147 1921 +-2031 -4322 +4474 3167 +5851 0 +4474 -3167 +-2031 4322 +-147 -1921 +3929 -595 +-5142 3288 +-5319 -63 +-1721 2163 +-3168 485 +468 -1916 +512 -678 +1836 1675 +5339 -46 +844 -1374 +-2681 2622 +152 -1535 +2341 -2341 +-2030 3025 +-2718 130 +3025 -2275 +2652 2579 +1003 6138 +-1586 1324 +-4385 1794 +-1513 2825 +-205 -1761 +1712 3310 +-503 6232 +-2558 6711 +5704 2280 +2749 -6161 +-3373 378 +-1170 0 +-3373 -378 +2749 6161 +5704 -2280 +-2558 -6711 +-503 -6232 +1712 -3310 +-205 1761 +-1513 -2825 +-4385 -1794 +-1586 -1324 +1003 -6138 +2652 -2579 +3025 2275 +-2718 -130 +-2030 -3025 +2341 2341 +152 1535 +-2681 -2622 +844 1374 +5339 46 +1836 -1675 +512 678 +468 1916 +-3168 -485 +-1721 -2163 +-5319 63 +-5142 -3288 +3929 595 +-147 1921 +-2031 -4322 +4474 3167 +-2341 -1170 +731 1851 +958 6443 +5676 1569 +5667 -1029 +-898 3780 +-3526 -4747 +-4067 -1343 +-1170 3168 +2799 -6869 +-734 1183 +-4131 300 +-3504 -5973 +-1829 543 +1528 -471 +-1839 2215 +-7022 0 +-1839 -2215 +1528 471 +-1829 -543 +-3504 5973 +-4131 -300 +-734 -1183 +2799 6869 +-1170 -3168 +-4067 1343 +-3526 4747 +-898 -3780 +5667 1029 +5676 -1569 +958 -6443 +731 -1851 +-2341 1170 +-4233 -1094 +876 -4102 +2840 -119 +2609 2400 +3530 1894 +3316 2407 +3119 2809 +-1170 1513 +-3370 -1090 +2315 1158 +485 -470 +-4771 -2019 +948 1684 +4630 -1870 +239 -4263 +-2341 0 +239 4263 +4630 1870 +948 -1684 +-4771 2019 +485 470 +2315 -1158 +-3370 1090 +-1170 -1513 +3119 -2809 +3316 -2407 +3530 -1894 +2609 -2400 +2840 119 +876 4102 +-4233 1094 +-2341 -1170 +731 1851 +958 6443 +5676 1569 +5667 -1029 +-898 3780 +-3526 -4747 +-4067 -1343 +-1170 3168 +2799 -6869 +-734 1183 +-4131 300 +-3504 -5973 +-1829 543 +1528 -471 +-1839 2215 +-2341 1170 +-8235 1538 +-4709 4041 +1448 -1113 +1238 -7860 +1799 669 +2234 2793 +5268 -1923 +2140 -1513 +-566 2781 +-574 3155 +-2555 -2980 +4160 2497 +5307 5715 +1506 -874 +1288 2239 +0 0 +1288 -2239 +1506 874 +5307 -5715 +4160 -2497 +-2555 2980 +-574 -3155 +-566 -2781 +2140 1513 +5268 1923 +2234 -2793 +1799 -669 +1238 7860 +1448 1113 +-4709 -4041 +-8235 -1538 +-2341 -1170 +-2666 5202 +-764 1746 +441 -2865 +-553 2210 +1880 -2160 +181 847 +-1721 6001 +-4480 -3168 +-175 -1657 +4779 1855 +-348 -4711 +-165 -3466 +-1353 90 +-2654 -1330 +187 -1194 +0 0 +187 1194 +-2654 1330 +-1353 -90 +-165 3466 +-348 4711 +4779 -1855 +-175 1657 +-4480 3168 +-1721 -6001 +181 -847 +1880 2160 +-553 -2210 +441 2865 +-764 -1746 +-2666 -5202 +-2341 1170 +-8235 1538 +-4709 4041 +1448 -1113 +1238 -7860 +1799 669 +2234 2793 +5268 -1923 +2140 -1513 +-566 2781 +-574 3155 +-2555 -2980 +4160 2497 +5307 5715 +1506 -874 +1288 2239 +-3511 -1170 +-1584 -4810 +48 -152 +781 4583 +4496 2610 +-718 60 +-3845 -3345 +435 -1657 +-969 4138 +-4419 717 +1398 -1278 +3561 3389 +-4675 -186 +-4341 -4304 +-2217 -2277 +-1753 -2008 +1170 0 +-1753 2008 +-2217 2277 +-4341 4304 +-4675 186 +3561 -3389 +1398 1278 +-4419 -717 +-969 -4138 +435 1657 +-3845 3345 +-718 -60 +4496 -2610 +781 -4583 +48 152 +-1584 4810 +-3511 1170 +-851 -200 +460 2493 +4525 1204 +1438 -2610 +676 -2264 +6396 1004 +5120 -1982 +5651 -4138 +-122 2922 +-639 3618 +1582 -1185 +-5941 186 +553 -1483 +-1600 -63 +-3447 7018 +5851 0 +-3447 -7018 +-1600 63 +553 1483 +-5941 -186 +1582 1185 +-639 -3618 +-122 -2922 +5651 4138 +5120 1982 +6396 -1004 +676 2264 +1438 2610 +4525 -1204 +460 -2493 +-851 200 +-3511 -1170 +-1584 -4810 +48 -152 +781 4583 +4496 2610 +-718 60 +-3845 -3345 +435 -1657 +-969 4138 +-4419 717 +1398 -1278 +3561 3389 +-4675 -186 +-4341 -4304 +-2217 -2277 +-1753 -2008 +1170 -4681 +937 -3544 +5446 -2769 +7554 -3311 +173 4549 +-3628 1569 +107 -1658 +3018 4845 +343 2140 +-2829 -1613 +-2440 -48 +2487 2783 +2073 -850 +-4076 -2504 +1080 3173 +4068 229 +0 0 +4068 -229 +1080 -3173 +-4076 2504 +2073 850 +2487 -2783 +-2440 48 +-2829 1613 +343 -2140 +3018 -4845 +107 1658 +-3628 -1569 +173 -4549 +7554 3311 +5446 2769 +937 3544 +1170 4681 +-2732 760 +398 -2123 +3158 -1597 +-2514 2757 +3418 -11 +2699 181 +-3833 1502 +1998 -4480 +-2199 -4356 +-6987 -2800 +-5083 1938 +-4414 3475 +2790 -1984 +-303 -73 +-3049 653 +2341 0 +-3049 -653 +-303 73 +2790 1984 +-4414 -3475 +-5083 -1938 +-6987 2800 +-2199 4356 +1998 4480 +-3833 -1502 +2699 -181 +3418 11 +-2514 -2757 +3158 1597 +398 2123 +-2732 -760 +1170 -4681 +937 -3544 +5446 -2769 +7554 -3311 +173 4549 +-3628 1569 +107 -1658 +3018 4845 +343 2140 +-2829 -1613 +-2440 -48 +2487 2783 +2073 -850 +-4076 -2504 +1080 3173 +4068 229 +-1170 -3511 +-2423 -2207 +3504 1418 +25 -3747 +1319 -3826 +791 -2692 +-5468 -3114 +-2100 -529 +-2825 3653 +-4127 2737 +2724 -2976 +5459 949 +2467 761 +-2069 814 +-758 4620 +3192 -4696 +3511 0 +3192 4696 +-758 -4620 +-2069 -814 +2467 -761 +5459 -949 +2724 2976 +-4127 -2737 +-2825 -3653 +-2100 529 +-5468 3114 +791 2692 +1319 3826 +25 3747 +3504 -1418 +-2423 2207 +-1170 3511 +1970 -1035 +-4189 1462 +-2445 2757 +52 -1139 +1046 -1177 +1473 5826 +-1670 3222 +485 -1312 +-3321 3267 +-6719 1007 +2871 -1507 +5525 -5726 +940 -5114 +73 2941 +1859 -1856 +3511 0 +1859 1856 +73 -2941 +940 5114 +5525 5726 +2871 1507 +-6719 -1007 +-3321 -3267 +485 1312 +-1670 -3222 +1473 -5826 +1046 1177 +52 1139 +-2445 -2757 +-4189 -1462 +1970 1035 +-1170 -3511 +-2423 -2207 +3504 1418 +25 -3747 +1319 -3826 +791 -2692 +-5468 -3114 +-2100 -529 +-2825 3653 +-4127 2737 +2724 -2976 +5459 949 +2467 761 +-2069 814 +-758 4620 +3192 -4696 +5851 0 +484 -7117 +1092 -7988 +129 767 +-5436 -1998 +-2555 -7591 +1519 68 +3849 2876 +-1998 -1170 +-383 1161 +3871 -885 +-1118 -5326 +299 343 +-2420 3639 +-1726 448 +2369 289 +0 0 +2369 -289 +-1726 -448 +-2420 -3639 +299 -343 +-1118 5326 +3871 885 +-383 -1161 +-1998 1170 +3849 -2876 +1519 -68 +-2555 7591 +-5436 1998 +129 -767 +1092 7988 +484 7117 +5851 0 +-2139 1070 +-2611 3096 +1526 1262 +-215 -1998 +4210 -4395 +-2534 -1545 +-5504 1442 +-343 -1170 +-1272 -3043 +3764 -1963 +2773 -964 +670 343 +4075 1343 +-3376 2652 +-4024 3447 +2341 0 +-4024 -3447 +-3376 -2652 +4075 -1343 +670 -343 +2773 964 +3764 1963 +-1272 3043 +-343 1170 +-5504 -1442 +-2534 1545 +4210 4395 +-215 1998 +1526 -1262 +-2611 -3096 +-2139 -1070 +5851 0 +484 -7117 +1092 -7988 +129 767 +-5436 -1998 +-2555 -7591 +1519 68 +3849 2876 +-1998 -1170 +-383 1161 +3871 -885 +-1118 -5326 +299 343 +-2420 3639 +-1726 448 +2369 289 +0 2341 +875 468 +-2703 147 +4313 709 +4459 -1393 +265 2809 +141 2835 +2169 -361 +4338 2341 +-2358 673 +-2096 247 +2479 -3585 +-768 -5346 +2836 1950 +2733 4187 +-667 4346 +1170 0 +-667 -4346 +2733 -4187 +2836 -1950 +-768 5346 +2479 3585 +-2096 -247 +-2358 -673 +4338 -2341 +2169 361 +141 -2835 +265 -2809 +4459 1393 +4313 -709 +-2703 -147 +875 -468 +0 -2341 +-4882 -5040 +-3455 -696 +-6693 5426 +506 -1917 +6984 -555 +-1723 3830 +-710 -202 +2683 2341 +1915 4103 +2306 1737 +-4627 -1055 +-4197 2036 +1063 3912 +-4566 -55 +-2961 3041 +3511 0 +-2961 -3041 +-4566 55 +1063 -3912 +-4197 -2036 +-4627 1055 +2306 -1737 +1915 -4103 +2683 -2341 +-710 202 +-1723 -3830 +6984 555 +506 1917 +-6693 -5426 +-3455 696 +-4882 5040 +0 2341 +875 468 +-2703 147 +4313 709 +4459 -1393 +265 2809 +141 2835 +2169 -361 +4338 2341 +-2358 673 +-2096 247 +2479 -3585 +-768 -5346 +2836 1950 +2733 4187 +-667 4346 +-1170 3511 +78 3302 +2769 -3133 +-10 5739 +-5815 186 +-4843 -6085 +-1658 -306 +-869 -2559 +969 1513 +250 505 +48 -3230 +4439 4634 +2208 2610 +-2355 -1649 +3173 538 +7175 -995 +5851 0 +7175 995 +3173 -538 +-2355 1649 +2208 -2610 +4439 -4634 +48 3230 +250 -505 +969 -1513 +-869 2559 +-1658 306 +-4843 6085 +-5815 -186 +-10 -5739 +2769 3133 +78 -3302 +-1170 -3511 +469 3604 +2123 75 +70 -2079 +-1490 -186 +1817 4532 +181 -961 +-6439 -3153 +-5651 3168 +-850 402 +2800 1963 +5446 433 +417 -2610 +-4562 -1311 +-73 -3596 +186 1281 +-3511 0 +186 -1281 +-73 3596 +-4562 1311 +417 2610 +5446 -433 +2800 -1963 +-850 -402 +-5651 -3168 +-6439 3153 +181 961 +1817 -4532 +-1490 186 +70 2079 +2123 -75 +469 -3604 +-1170 3511 +78 3302 +2769 -3133 +-10 5739 +-5815 186 +-4843 -6085 +-1658 -306 +-869 -2559 +969 1513 +250 505 +48 -3230 +4439 4634 +2208 2610 +-2355 -1649 +3173 538 +7175 -995 +-4681 -1170 +-1530 -2189 +-1387 4144 +-1785 5282 +4697 -2086 +-1941 -1983 +-1202 3754 +872 4756 +-5166 828 +-1142 -3487 +-788 -2072 +-3084 -2785 +2146 -7197 +4135 -1184 +518 2070 +-2105 -4666 +-2341 0 +-2105 4666 +518 -2070 +4135 1184 +2146 7197 +-3084 2785 +-788 2072 +-1142 3487 +-5166 -828 +872 -4756 +-1202 -3754 +-1941 1983 +4697 2086 +-1785 -5282 +-1387 -4144 +-1530 2189 +-4681 1170 +939 -1685 +-4222 -834 +-2590 2217 +1639 2086 +-608 -1692 +6286 -444 +3460 1556 +-1856 -828 +-1397 -999 +-986 -1238 +1309 1258 +880 7197 +4565 1469 +1781 -5380 +904 -643 +7022 0 +904 643 +1781 5380 +4565 -1469 +880 -7197 +1309 -1258 +-986 1238 +-1397 999 +-1856 828 +3460 -1556 +6286 444 +-608 1692 +1639 -2086 +-2590 -2217 +-4222 834 +939 1685 +-4681 -1170 +-1530 -2189 +-1387 4144 +-1785 5282 +4697 -2086 +-1941 -1983 +-1202 3754 +872 4756 +-5166 828 +-1142 -3487 +-788 -2072 +-3084 -2785 +2146 -7197 +4135 -1184 +518 2070 +-2105 -4666 +2341 -2341 +4799 3176 +984 1699 +1695 1951 +-2057 5376 +-970 -1427 +4040 -1801 +-4734 2074 +-4622 -1655 +-2753 -4179 +-5556 -766 +722 3286 +-21 -23 +-176 -319 +1343 106 +-2542 -6649 +-3511 0 +-2542 6649 +1343 -106 +-176 319 +-21 23 +722 -3286 +-5556 766 +-2753 4179 +-4622 1655 +-4734 -2074 +4040 1801 +-970 1427 +-2057 -5376 +1695 -1951 +984 -1699 +4799 -3176 +2341 2341 +-3513 713 +-613 505 +3745 4254 +1372 3585 +1173 554 +1181 -3209 +290 586 +6963 1655 +3888 -4974 +336 -2873 +2385 3091 +-3975 4302 +-1954 -2209 +-1714 -5893 +-2054 1469 +3511 0 +-2054 -1469 +-1714 5893 +-1954 2209 +-3975 -4302 +2385 -3091 +336 2873 +3888 4974 +6963 -1655 +290 -586 +1181 3209 +1173 -554 +1372 -3585 +3745 -4254 +-613 -505 +-3513 -713 +2341 -2341 +4799 3176 +984 1699 +1695 1951 +-2057 5376 +-970 -1427 +4040 -1801 +-4734 2074 +-4622 -1655 +-2753 -4179 +-5556 -766 +722 3286 +-21 -23 +-176 -319 +1343 106 +-2542 -6649 +-1170 0 +-4398 -3215 +-928 1280 +-3145 190 +1618 -2208 +1262 -4769 +-1503 -3355 +3236 -1591 +1998 -4480 +2550 -2136 +1198 -1593 +-1247 -1358 +2251 -1490 +-5313 -1757 +-8502 -768 +3179 -5626 +9362 0 +3179 5626 +-8502 768 +-5313 1757 +2251 1490 +-1247 1358 +1198 1593 +2550 2136 +1998 4480 +3236 1591 +-1503 3355 +1262 4769 +1618 2208 +-3145 -190 +-928 -1280 +-4398 3215 +-1170 0 +4685 -1922 +-1665 -773 +373 -5488 +722 -417 +-3917 2009 +1205 804 +1691 4506 +343 2140 +1677 727 +1038 2352 +3398 389 +89 -5815 +-4651 -1750 +-207 4585 +621 -3836 +-2341 0 +621 3836 +-207 -4585 +-4651 1750 +89 5815 +3398 -389 +1038 -2352 +1677 -727 +343 -2140 +1691 -4506 +1205 -804 +-3917 -2009 +722 417 +373 5488 +-1665 773 +4685 1922 +-1170 0 +-4398 -3215 +-928 1280 +-3145 190 +1618 -2208 +1262 -4769 +-1503 -3355 +3236 -1591 +1998 -4480 +2550 -2136 +1198 -1593 +-1247 -1358 +2251 -1490 +-5313 -1757 +-8502 -768 +3179 -5626 +4681 -1170 +2834 -4814 +-724 528 +1070 -3410 +-1461 -311 +-3451 -798 +-1530 -3264 +-392 5665 +2825 828 +2950 915 +-2838 6553 +-1948 -3861 +2357 -4899 +-1354 1643 +-4584 368 +-709 -175 +2341 0 +-709 175 +-4584 -368 +-1354 -1643 +2357 4899 +-1948 3861 +-2838 -6553 +2950 -915 +2825 -828 +-392 -5665 +-1530 3264 +-3451 798 +-1461 311 +1070 3410 +-724 -528 +2834 4814 +4681 1170 +-5214 -2849 +-8492 1561 +-1513 -1700 +-194 -2999 +-178 -3323 +1215 -1120 +-1756 -1419 +-485 -828 +4299 6137 +1782 365 +-2059 -1037 +-702 1589 +2812 -910 +5808 3659 +4608 1938 +2341 0 +4608 -1938 +5808 -3659 +2812 910 +-702 -1589 +-2059 1037 +1782 -365 +4299 -6137 +-485 828 +-1756 1419 +1215 1120 +-178 3323 +-194 2999 +-1513 1700 +-8492 -1561 +-5214 2849 +4681 -1170 +2834 -4814 +-724 528 +1070 -3410 +-1461 -311 +-3451 -798 +-1530 -3264 +-392 5665 +2825 828 +2950 915 +-2838 6553 +-1948 -3861 +2357 -4899 +-1354 1643 +-4584 368 +-709 -175 +0 -2341 +-1241 1894 +1590 -2487 +4192 1261 +1424 2192 +-1972 -1689 +-4579 5225 +1497 2947 +1998 -2341 +-143 -857 +2969 -82 +2342 3010 +1550 -1044 +-2926 1763 +-2414 6831 +1946 -4317 +1170 0 +1946 4317 +-2414 -6831 +-2926 -1763 +1550 1044 +2342 -3010 +2969 82 +-143 857 +1998 2341 +1497 -2947 +-4579 -5225 +-1972 1689 +1424 -2192 +4192 -1261 +1590 2487 +-1241 -1894 +0 2341 +-5214 457 +-4302 -7160 +-785 -671 +-738 3459 +-1382 -2313 +-2982 -5509 +1992 -4489 +343 -2341 +-3346 1107 +-89 366 +1011 -2687 +2446 2014 +-481 3152 +445 2816 +4510 8459 +3511 0 +4510 -8459 +445 -2816 +-481 -3152 +2446 -2014 +1011 2687 +-89 -366 +-3346 -1107 +343 2341 +1992 4489 +-2982 5509 +-1382 2313 +-738 -3459 +-785 671 +-4302 7160 +-5214 -457 +0 -2341 +-1241 1894 +1590 -2487 +4192 1261 +1424 2192 +-1972 -1689 +-4579 5225 +1497 2947 +1998 -2341 +-143 -857 +2969 -82 +2342 3010 +1550 -1044 +-2926 1763 +-2414 6831 +1946 -4317 +3511 3511 +-355 -807 +358 2131 +5896 3986 +291 3191 +1633 -2674 +1145 858 +-3417 3217 +4965 -4823 +2606 -1932 +-4697 -627 +-3230 1650 +-3527 6868 +294 669 +-295 32 +-1947 3741 +1170 0 +-1947 -3741 +-295 -32 +294 -669 +-3527 -6868 +-3230 -1650 +-4697 627 +2606 1932 +4965 4823 +-3417 -3217 +1145 -858 +1633 2674 +291 -3191 +5896 -3986 +358 -2131 +-355 807 +3511 -3511 +1470 -3188 +3460 3164 +591 -3301 +-976 -4563 +3406 1989 +-1904 3002 +-8427 778 +-4965 142 +1603 -2064 +2146 -6815 +-290 -964 +-469 1123 +-1680 -1355 +-213 3323 +1846 255 +1170 0 +1846 -255 +-213 -3323 +-1680 1355 +-469 -1123 +-290 964 +2146 6815 +1603 2064 +-4965 -142 +-8427 -778 +-1904 -3002 +3406 -1989 +-976 4563 +591 3301 +3460 -3164 +1470 3188 +3511 3511 +-355 -807 +358 2131 +5896 3986 +291 3191 +1633 -2674 +1145 858 +-3417 3217 +4965 -4823 +2606 -1932 +-4697 -627 +-3230 1650 +-3527 6868 +294 669 +-295 32 +-1947 3741 +3511 1170 +-2000 -3828 +-3320 72 +-2803 -826 +-5262 -3490 +-1386 -2561 +2671 2969 +321 2042 +-485 -3653 +1691 -2201 +-1385 -4610 +-1226 30 +2373 4883 +-661 -4196 +1190 -6211 +3544 -2677 +1170 0 +3544 2677 +1190 6211 +-661 4196 +2373 -4883 +-1226 -30 +-1385 4610 +1691 2201 +-485 3653 +321 -2042 +2671 -2969 +-1386 2561 +-5262 3490 +-2803 826 +-3320 -72 +-2000 3828 +3511 -1170 +-346 -3059 +1917 1076 +6649 -1881 +-2729 464 +-5159 1603 +-3060 1237 +-295 2890 +2825 1312 +-6042 2452 +-1537 2195 +5980 3692 +-3744 1454 +-1393 -3192 +3523 739 +3128 471 +5851 0 +3128 -471 +3523 -739 +-1393 3192 +-3744 -1454 +5980 -3692 +-1537 -2195 +-6042 -2452 +2825 -1312 +-295 -2890 +-3060 -1237 +-5159 -1603 +-2729 -464 +6649 1881 +1917 -1076 +-346 3059 +3511 1170 +-2000 -3828 +-3320 72 +-2803 -826 +-5262 -3490 +-1386 -2561 +2671 2969 +321 2042 +-485 -3653 +1691 -2201 +-1385 -4610 +-1226 30 +2373 4883 +-661 -4196 +1190 -6211 +3544 -2677 +-4681 2341 +-7914 -1749 +-4426 -505 +171 151 +1550 2825 +-2543 -994 +-2725 92 +452 2440 +2683 -3996 +7258 1241 +600 -549 +-5536 -8017 +-738 485 +-3527 4350 +-2537 -796 +2212 -3036 +1170 0 +2212 3036 +-2537 796 +-3527 -4350 +-738 -485 +-5536 8017 +600 549 +7258 -1241 +2683 3996 +452 -2440 +-2725 -92 +-2543 994 +1550 -2825 +171 -151 +-4426 505 +-7914 1749 +-4681 -2341 +-686 -3344 +-77 -1150 +1902 -2304 +2446 2825 +1815 -1945 +-511 -1747 +1504 7192 +4338 -686 +-3098 -2553 +-2045 2204 +3731 250 +1424 485 +3988 1909 +2359 2451 +272 238 +3511 0 +272 -238 +2359 -2451 +3988 -1909 +1424 -485 +3731 -250 +-2045 -2204 +-3098 2553 +4338 686 +1504 -7192 +-511 1747 +1815 1945 +2446 -2825 +1902 2304 +-77 1150 +-686 3344 +-4681 2341 +-7914 -1749 +-4426 -505 +171 151 +1550 2825 +-2543 -994 +-2725 92 +452 2440 +2683 -3996 +7258 1241 +600 -549 +-5536 -8017 +-738 485 +-3527 4350 +-2537 -796 +2212 -3036 +4681 1170 +-3989 4362 +1632 2098 +4795 2961 +908 -1097 +2558 -1623 +-1594 -1089 +-1210 -2178 +0 343 +-2917 1125 +-4594 307 +-6093 -1748 +-2521 -2983 +-4286 -1498 +-4473 -457 +3628 764 +7022 0 +3628 -764 +-4473 457 +-4286 1498 +-2521 2983 +-6093 1748 +-4594 -307 +-2917 -1125 +0 -343 +-1210 2178 +-1594 1089 +2558 1623 +908 1097 +4795 -2961 +1632 -2098 +-3989 -4362 +4681 -1170 +3255 5485 +-1051 -3246 +1255 -3086 +1433 4123 +1981 -1382 +657 -3117 +159 -745 +0 1998 +-356 2572 +-2459 2108 +-238 5363 +4862 -3354 +27 -5248 +2521 5929 +1431 2463 +-7022 0 +1431 -2463 +2521 -5929 +27 5248 +4862 3354 +-238 -5363 +-2459 -2108 +-356 -2572 +0 -1998 +159 745 +657 3117 +1981 1382 +1433 -4123 +1255 3086 +-1051 3246 +3255 -5485 +4681 1170 +-3989 4362 +1632 2098 +4795 2961 +908 -1097 +2558 -1623 +-1594 -1089 +-1210 -2178 +0 343 +-2917 1125 +-4594 307 +-6093 -1748 +-2521 -2983 +-4286 -1498 +-4473 -457 +3628 764 +0 3511 +2098 -2033 +-3610 -1657 +-4322 4453 +343 1492 +1046 -1401 +9092 4383 +867 5933 +-7506 2483 +3682 2504 +1257 648 +-1256 -4162 +1998 -4540 +-1591 -2136 +2451 -636 +1603 331 +-4681 0 +1603 -331 +2451 636 +-1591 2136 +1998 4540 +-1256 4162 +1257 -648 +3682 -2504 +-7506 -2483 +867 -5933 +9092 -4383 +1046 1401 +343 -1492 +-4322 -4453 +-3610 1657 +2098 2033 +0 -3511 +-1990 -667 +-4372 2046 +619 -2640 +343 -2462 +-2696 1807 +1254 330 +-4920 -2635 +-4196 -2483 +370 -997 +-302 755 +2906 243 +1998 -1111 +5294 -376 +3591 -2286 +-1710 -4822 +0 0 +-1710 4822 +3591 2286 +5294 376 +1998 1111 +2906 -243 +-302 -755 +370 997 +-4196 2483 +-4920 2635 +1254 -330 +-2696 -1807 +343 2462 +619 2640 +-4372 -2046 +-1990 667 +0 3511 +2098 -2033 +-3610 -1657 +-4322 4453 +343 1492 +1046 -1401 +9092 4383 +867 5933 +-7506 2483 +3682 2504 +1257 648 +-1256 -4162 +1998 -4540 +-1591 -2136 +2451 -636 +1603 331 +2341 0 +-1842 -289 +-1941 -4914 +4427 -5083 +-871 -1872 +-4346 1014 +585 3198 +-2136 2591 +4138 2825 +6041 1424 +728 1809 +573 5655 +-1385 2631 +5365 1213 +2202 2081 +-3490 -2594 +1170 0 +-3490 2594 +2202 -2081 +5365 -1213 +-1385 -2631 +573 -5655 +728 -1809 +6041 -1424 +4138 -2825 +-2136 -2591 +585 -3198 +-4346 -1014 +-871 1872 +4427 5083 +-1941 4914 +-1842 289 +2341 0 +2351 -1741 +-1834 -2511 +82 3124 +-500 1186 +-4386 -1047 +4289 1441 +2336 -2200 +-4138 -485 +652 4068 +-921 1459 +-3801 1947 +-6606 1364 +-4535 -2158 +6255 -1515 +2708 -955 +-5851 0 +2708 955 +6255 1515 +-4535 2158 +-6606 -1364 +-3801 -1947 +-921 -1459 +652 -4068 +-4138 485 +2336 2200 +4289 -1441 +-4386 1047 +-500 -1186 +82 -3124 +-1834 2511 +2351 1741 +2341 0 +-1842 -289 +-1941 -4914 +4427 -5083 +-871 -1872 +-4346 1014 +585 3198 +-2136 2591 +4138 2825 +6041 1424 +728 1809 +573 5655 +-1385 2631 +5365 1213 +2202 2081 +-3490 -2594 +0 0 +4611 -721 +936 1920 +-2766 -5761 +-807 -1461 +-1819 2548 +-4585 -2035 +-2125 -581 +1513 485 +-2108 -2217 +-1452 -4122 +3099 -399 +-544 -2357 +-2997 -4383 +-2337 373 +-894 -2822 +1170 0 +-894 2822 +-2337 -373 +-2997 4383 +-544 2357 +3099 399 +-1452 4122 +-2108 2217 +1513 -485 +-2125 581 +-4585 2035 +-1819 -2548 +-807 1461 +-2766 5761 +936 -1920 +4611 721 +0 0 +-51 -6228 +1689 -2816 +2953 -1043 +3147 -194 +-6279 -816 +-2721 -127 +7864 -423 +3168 -2825 +-1335 1213 +-5854 1959 +-3413 2131 +2885 702 +-2019 -2422 +4962 -1268 +7279 -4127 +-1170 0 +7279 4127 +4962 1268 +-2019 2422 +2885 -702 +-3413 -2131 +-5854 -1959 +-1335 -1213 +3168 2825 +7864 423 +-2721 127 +-6279 816 +3147 194 +2953 1043 +1689 2816 +-51 6228 +0 0 +4611 -721 +936 1920 +-2766 -5761 +-807 -1461 +-1819 2548 +-4585 -2035 +-2125 -581 +1513 485 +-2108 -2217 +-1452 -4122 +3099 -399 +-544 -2357 +-2997 -4383 +-2337 373 +-894 -2822 +3511 -1170 +-1981 -4364 +1469 536 +1020 3193 +-3236 -3015 +1943 4212 +3943 3489 +3827 -1529 +4480 343 +2151 -4020 +3254 1821 +-1111 4399 +-4503 -946 +1162 -4768 +-2318 -7028 +-2534 2008 +3511 0 +-2534 -2008 +-2318 7028 +1162 4768 +-4503 946 +-1111 -4399 +3254 -1821 +2151 4020 +4480 -343 +3827 1529 +3943 -3489 +1943 -4212 +-3236 3015 +1020 -3193 +1469 -536 +-1981 4364 +3511 1170 +3837 -840 +1201 -3965 +1788 -654 +-1445 2731 +-859 2397 +-497 465 +-4770 -455 +-2140 1998 +583 -111 +-3390 2133 +-4298 775 +-178 -8700 +355 -3490 +-3662 3599 +-1114 3 +3511 0 +-1114 -3 +-3662 -3599 +355 3490 +-178 8700 +-4298 -775 +-3390 -2133 +583 111 +-2140 -1998 +-4770 455 +-497 -465 +-859 -2397 +-1445 -2731 +1788 654 +1201 3965 +3837 840 +3511 -1170 +-1981 -4364 +1469 536 +1020 3193 +-3236 -3015 +1943 4212 +3943 3489 +3827 -1529 +4480 343 +2151 -4020 +3254 1821 +-1111 4399 +-4503 -946 +1162 -4768 +-2318 -7028 +-2534 2008 +2341 4681 +-692 -2961 +-4336 -4272 +-5845 2934 +448 -3653 +1757 -874 +1114 3112 +-122 -2694 +-3168 1170 +1858 2935 +-1203 1952 +-4881 854 +1081 -1312 +1428 -1926 +6403 -3846 +2445 -907 +-8192 0 +2445 907 +6403 3846 +1428 1926 +1081 1312 +-4881 -854 +-1203 -1952 +1858 -2935 +-3168 -1170 +-122 2694 +1114 -3112 +1757 874 +448 3653 +-5845 -2934 +-4336 4272 +-692 2961 +2341 -4681 +2347 -1061 +560 -263 +4190 -2950 +-448 -3653 +-3412 -3098 +3760 -7478 +1777 -6478 +-1513 1170 +-203 1645 +1010 1672 +3226 -774 +-1081 -1312 +-3083 -658 +2054 -2060 +-790 4016 +-5851 0 +-790 -4016 +2054 2060 +-3083 658 +-1081 1312 +3226 774 +1010 -1672 +-203 -1645 +-1513 -1170 +1777 6478 +3760 7478 +-3412 3098 +-448 3653 +4190 2950 +560 263 +2347 1061 +2341 4681 +-692 -2961 +-4336 -4272 +-5845 2934 +448 -3653 +1757 -874 +1114 3112 +-122 -2694 +-3168 1170 +1858 2935 +-1203 1952 +-4881 854 +1081 -1312 +1428 -1926 +6403 -3846 +2445 -907 +1170 0 +-2130 3560 +4005 1081 +3243 -1325 +448 2619 +1727 276 +-721 -448 +4457 4010 +343 -1170 +-906 -5193 +4304 -448 +-3189 -658 +1081 -3497 +7653 -2077 +2253 1081 +-4834 2882 +-9362 0 +-4834 -2882 +2253 -1081 +7653 2077 +1081 3497 +-3189 658 +4304 448 +-906 5193 +343 1170 +4457 -4010 +-721 448 +1727 -276 +448 -2619 +3243 1325 +4005 -1081 +-2130 -3560 +1170 0 +-2184 -9 +-2602 1081 +1308 2068 +-448 -964 +2663 441 +1109 -448 +1836 -4529 +1998 -1170 +-4100 -7 +-1383 -448 +-1439 6056 +-1081 5152 +1275 -1860 +-6967 1081 +-5380 5350 +2341 0 +-5380 -5350 +-6967 -1081 +1275 1860 +-1081 -5152 +-1439 -6056 +-1383 448 +-4100 7 +1998 1170 +1836 4529 +1109 448 +2663 -441 +-448 964 +1308 -2068 +-2602 -1081 +-2184 9 +1170 0 +-2130 3560 +4005 1081 +3243 -1325 +448 2619 +1727 276 +-721 -448 +4457 4010 +343 -1170 +-906 -5193 +4304 -448 +-3189 -658 +1081 -3497 +7653 -2077 +2253 1081 +-4834 2882 +2341 2341 +2220 -5556 +3253 -5054 +1497 3217 +-506 3110 +2268 -1047 +3168 -5827 +438 -498 +-4338 3310 +-255 -1158 +2489 3012 +1521 273 +4197 -6791 +-1748 -1058 +769 -1999 +2913 -7772 +-3511 0 +2913 7772 +769 1999 +-1748 1058 +4197 6791 +1521 -273 +2489 -3012 +-255 1158 +-4338 -3310 +438 498 +3168 5827 +2268 1047 +-506 -3110 +1497 -3217 +3253 5054 +2220 5556 +2341 -2341 +-349 863 +-153 1027 +-1800 -1265 +-4459 -1739 +-2524 -5611 +-320 -1091 +355 -593 +-2683 -3310 +-1553 3376 +-3966 1371 +-6368 -3622 +768 -1200 +532 -301 +4122 -90 +2850 -231 +-5851 0 +2850 231 +4122 90 +532 301 +768 1200 +-6368 3622 +-3966 -1371 +-1553 -3376 +-2683 3310 +355 593 +-320 1091 +-2524 5611 +-4459 1739 +-1800 1265 +-153 -1027 +-349 -863 +2341 2341 +2220 -5556 +3253 -5054 +1497 3217 +-506 3110 +2268 -1047 +3168 -5827 +438 -498 +-4338 3310 +-255 -1158 +2489 3012 +1521 273 +4197 -6791 +-1748 -1058 +769 -1999 +2913 -7772 +3511 4681 +4313 -5390 +3553 -1338 +684 2645 +-2692 -5056 +-1704 673 +-525 3132 +-3612 58 +1998 1170 +2048 -1948 +-4363 564 +-6619 4594 +-7650 1609 +1776 -788 +2667 -392 +-2393 1495 +0 0 +-2393 -1495 +2667 392 +1776 788 +-7650 -1609 +-6619 -4594 +-4363 -564 +2048 1948 +1998 -1170 +-3612 -58 +-525 -3132 +-1704 -673 +-2692 5056 +684 -2645 +3553 1338 +4313 5390 +3511 -4681 +5355 1282 +3364 5155 +-1490 -2206 +-4330 1060 +-296 2519 +2614 -2373 +-2444 -3521 +343 1170 +1474 2810 +335 -3114 +2503 -3194 +628 -924 +5145 -564 +1717 899 +-4742 -1279 +-2341 0 +-4742 1279 +1717 -899 +5145 564 +628 924 +2503 3194 +335 3114 +1474 -2810 +343 -1170 +-2444 3521 +2614 2373 +-296 -2519 +-4330 -1060 +-1490 2206 +3364 -5155 +5355 -1282 +3511 4681 +4313 -5390 +3553 -1338 +684 2645 +-2692 -5056 +-1704 673 +-525 3132 +-3612 58 +1998 1170 +2048 -1948 +-4363 564 +-6619 4594 +-7650 1609 +1776 -788 +2667 -392 +-2393 1495 +2341 -2341 +-58 6427 +-129 1998 +-1038 1007 +-2325 3623 +-666 -5413 +-2277 -1686 +542 1544 +-828 -3511 +-2986 -1259 +672 1295 +3422 4852 +7091 3252 +1990 -1159 +-2243 164 +-375 -1650 +-1170 0 +-375 1650 +-2243 -164 +1990 1159 +7091 -3252 +3422 -4852 +672 -1295 +-2986 1259 +-828 3511 +542 -1544 +-2277 1686 +-666 5413 +-2325 -3623 +-1038 -1007 +-129 -1998 +-58 -6427 +2341 2341 +-1798 -4145 +-2075 -8737 +-1770 1191 +-1954 -1968 +-418 -2256 +-2733 2329 +402 -3130 +828 -3511 +252 3759 +2967 5969 +1987 216 +1870 -1597 +-3507 2853 +-3544 -283 +4023 -5222 +5851 0 +4023 5222 +-3544 283 +-3507 -2853 +1870 1597 +1987 -216 +2967 -5969 +252 -3759 +828 3511 +402 3130 +-2733 -2329 +-418 2256 +-1954 1968 +-1770 -1191 +-2075 8737 +-1798 4145 +2341 -2341 +-58 6427 +-129 1998 +-1038 1007 +-2325 3623 +-666 -5413 +-2277 -1686 +542 1544 +-828 -3511 +-2986 -1259 +672 1295 +3422 4852 +7091 3252 +1990 -1159 +-2243 164 +-375 -1650 +-1170 1170 +-2650 -168 +-1534 2284 +2078 510 +-68 460 +-4111 2525 +-654 6389 +-533 832 +969 828 +8248 9117 +2538 753 +-5226 839 +-2990 3602 +-1104 -1423 +2574 -524 +1279 -5897 +-3511 0 +1279 5897 +2574 524 +-1104 1423 +-2990 -3602 +-5226 -839 +2538 -753 +8248 -9117 +969 -828 +-533 -832 +-654 -6389 +-4111 -2525 +-68 -460 +2078 -510 +-1534 -2284 +-2650 168 +-1170 -1170 +369 -1721 +1712 3503 +3883 1963 +1723 1880 +-836 -2285 +2099 -2749 +-2209 5053 +-5651 -828 +1386 -1713 +699 4258 +-1787 -1614 +1335 -5943 +483 -3739 +1929 -1680 +729 -1093 +-3511 0 +729 1093 +1929 1680 +483 3739 +1335 5943 +-1787 1614 +699 -4258 +1386 1713 +-5651 828 +-2209 -5053 +2099 2749 +-836 2285 +1723 -1880 +3883 -1963 +1712 -3503 +369 1721 +-1170 1170 +-2650 -168 +-1534 2284 +2078 510 +-68 460 +-4111 2525 +-654 6389 +-533 832 +969 828 +8248 9117 +2538 753 +-5226 839 +-2990 3602 +-1104 -1423 +2574 -524 +1279 -5897 +1170 2341 +-646 5022 +-3758 -2047 +2143 -48 +1664 -663 +-3001 58 +1159 -2576 +1841 -1861 +-828 4965 +911 -1777 +-836 -5039 +-2028 -3177 +1657 411 +3536 3788 +2839 -715 +-2411 1131 +-7022 0 +-2411 -1131 +2839 715 +3536 -3788 +1657 -411 +-2028 3177 +-836 5039 +911 1777 +-828 -4965 +1841 1861 +1159 2576 +-3001 -58 +1664 663 +2143 48 +-3758 2047 +-646 -5022 +1170 -2341 +-3092 1159 +-1462 -7347 +2638 -2547 +3301 -4988 +972 -5960 +-788 4336 +1251 -3017 +828 -4965 +-420 3372 +465 746 +-4592 -3081 +-6622 -1380 +332 2624 +2382 3993 +2566 2160 +4681 0 +2566 -2160 +2382 -3993 +332 -2624 +-6622 1380 +-4592 3081 +465 -746 +-420 -3372 +828 4965 +1251 3017 +-788 -4336 +972 5960 +3301 4988 +2638 2547 +-1462 7347 +-3092 -1159 +1170 2341 +-646 5022 +-3758 -2047 +2143 -48 +1664 -663 +-3001 58 +1159 -2576 +1841 -1861 +-828 4965 +911 -1777 +-836 -5039 +-2028 -3177 +1657 411 +3536 3788 +2839 -715 +-2411 1131 +-2341 2341 +-2267 -2188 +-1801 4206 +-4852 -89 +3975 -2699 +8389 -311 +1996 -3081 +965 -2098 +-343 2341 +-1057 3452 +413 -3924 +2163 -4923 +2057 1804 +-3021 4315 +-666 1327 +1756 -5354 +-1170 0 +1756 5354 +-666 -1327 +-3021 -4315 +2057 -1804 +2163 4923 +413 3924 +-1057 -3452 +-343 -2341 +965 2098 +1996 3081 +8389 311 +3975 2699 +-4852 89 +-1801 -4206 +-2267 2188 +-2341 -2341 +3210 -1619 +5230 2071 +3285 -6418 +21 359 +3133 387 +1958 -5764 +-4950 2920 +-1998 2341 +-1851 532 +-4367 3070 +-1725 1333 +-1372 537 +-751 1493 +-2763 3579 +-2426 1969 +1170 0 +-2426 -1969 +-2763 -3579 +-751 -1493 +-1372 -537 +-1725 -1333 +-4367 -3070 +-1851 -532 +-1998 -2341 +-4950 -2920 +1958 5764 +3133 -387 +21 -359 +3285 6418 +5230 -2071 +3210 1619 +-2341 2341 +-2267 -2188 +-1801 4206 +-4852 -89 +3975 -2699 +8389 -311 +1996 -3081 +965 -2098 +-343 2341 +-1057 3452 +413 -3924 +2163 -4923 +2057 1804 +-3021 4315 +-666 1327 +1756 -5354 +1170 0 +-397 -290 +439 3402 +-825 4357 +1093 -553 +5601 -2532 +116 129 +-2287 4860 +-343 5851 +328 -139 +1013 -1481 +-3260 3356 +-5131 165 +-4576 -6162 +-2461 -2540 +1427 2862 +2341 0 +1427 -2862 +-2461 2540 +-4576 6162 +-5131 -165 +-3260 -3356 +1013 1481 +328 139 +-343 -5851 +-2287 -4860 +116 -129 +5601 2532 +1093 553 +-825 -4357 +439 -3402 +-397 290 +1170 0 +2354 1952 +-1705 2756 +-5998 -1140 +1247 1238 +1310 3489 +-3174 -1710 +1156 506 +-1998 5851 +530 2343 +2045 1271 +1688 1267 +7472 -4160 +-560 -2938 +3727 707 +3508 -1621 +-9362 0 +3508 1621 +3727 -707 +-560 2938 +7472 4160 +1688 -1267 +2045 -1271 +530 -2343 +-1998 -5851 +1156 -506 +-3174 1710 +1310 -3489 +1247 -1238 +-5998 1140 +-1705 -2756 +2354 -1952 +1170 0 +-397 -290 +439 3402 +-825 4357 +1093 -553 +5601 -2532 +116 129 +-2287 4860 +-343 5851 +328 -139 +1013 -1481 +-3260 3356 +-5131 165 +-4576 -6162 +-2461 -2540 +1427 2862 +-1170 0 +2354 3697 +4445 -1452 +-986 2914 +-4333 1559 +1004 2207 +4812 2297 +2175 732 +-3854 2341 +-3576 -955 +2622 1897 +1173 -132 +-1520 -2573 +271 2154 +-3301 -1116 +-4824 -850 +-2341 0 +-4824 850 +-3301 1116 +271 -2154 +-1520 2573 +1173 132 +2622 -1897 +-3576 955 +-3854 -2341 +2175 -732 +4812 -2297 +1004 -2207 +-4333 -1559 +-986 -2914 +4445 1452 +2354 -3697 +-1170 0 +-5111 4853 +-5043 -3558 +2103 3010 +2678 4092 +1458 -292 +6059 3490 +1712 -298 +-5509 2341 +-3621 2908 +-2192 -4101 +-324 1032 +3175 3543 +1922 -3865 +1961 -2523 +4272 4298 +4681 0 +4272 -4298 +1961 2523 +1922 3865 +3175 -3543 +-324 -1032 +-2192 4101 +-3621 -2908 +-5509 -2341 +1712 298 +6059 -3490 +1458 292 +2678 -4092 +2103 -3010 +-5043 3558 +-5111 -4853 +-1170 0 +2354 3697 +4445 -1452 +-986 2914 +-4333 1559 +1004 2207 +4812 2297 +2175 732 +-3854 2341 +-3576 -955 +2622 1897 +1173 -132 +-1520 -2573 +271 2154 +-3301 -1116 +-4824 -850 +-2341 -1170 +3449 1938 +1064 -407 +1283 -2016 +605 3466 +-1858 -2066 +4408 -2929 +658 2795 +-2825 -1513 +-1376 -2376 +-1409 3088 +5697 7014 +5689 7860 +1288 4606 +-11 1069 +-880 -713 +0 0 +-880 713 +-11 -1069 +1288 -4606 +5689 -7860 +5697 -7014 +-1409 -3088 +-1376 2376 +-2825 1513 +658 -2795 +4408 2929 +-1858 2066 +605 -3466 +1283 2016 +1064 407 +3449 -1938 +-2341 1170 +-2314 -1097 +-693 2884 +-1079 4746 +80 -2497 +-272 -3766 +812 3259 +628 6736 +485 -3168 +-3221 -2943 +-3812 5232 +-257 -3568 +-1694 -2210 +1819 4661 +-360 36 +-3565 2687 +0 0 +-3565 -2687 +-360 -36 +1819 -4661 +-1694 2210 +-257 3568 +-3812 -5232 +-3221 2943 +485 3168 +628 -6736 +812 -3259 +-272 3766 +80 2497 +-1079 -4746 +-693 -2884 +-2314 1097 +-2341 -1170 +3449 1938 +1064 -407 +1283 -2016 +605 3466 +-1858 -2066 +4408 -2929 +658 2795 +-2825 -1513 +-1376 -2376 +-1409 3088 +5697 7014 +5689 7860 +1288 4606 +-11 1069 +-880 -713 +0 -2341 +559 -800 +867 -3762 +-183 -3716 +2530 -1804 +-748 -2794 +-4335 1280 +-4435 3082 +1028 0 +2267 950 +-4244 2293 +-472 -135 +1879 -359 +-1404 4034 +1853 1476 +2980 -5670 +1170 0 +2980 5670 +1853 -1476 +-1404 -4034 +1879 359 +-472 135 +-4244 -2293 +2267 -950 +1028 0 +-4435 -3082 +-4335 -1280 +-748 2794 +2530 1804 +-183 3716 +867 3762 +559 800 +0 2341 +2199 -1185 +-1511 -2767 +-933 1363 +-3215 -537 +-1713 3802 +4454 5522 +548 -4575 +5993 0 +4930 7340 +-2496 3137 +-377 4097 +-5875 2699 +-789 -692 +5411 -14 +-2427 -2515 +-5851 0 +-2427 2515 +5411 14 +-789 692 +-5875 -2699 +-377 -4097 +-2496 -3137 +4930 -7340 +5993 0 +548 4575 +4454 -5522 +-1713 -3802 +-3215 537 +-933 -1363 +-1511 2767 +2199 1185 +0 -2341 +559 -800 +867 -3762 +-183 -3716 +2530 -1804 +-748 -2794 +-4335 1280 +-4435 3082 +1028 0 +2267 950 +-4244 2293 +-472 -135 +1879 -359 +-1404 4034 +1853 1476 +2980 -5670 +-5851 3511 +-1082 2425 +1096 3662 +-1937 -1048 +-3595 -2116 +-825 -3047 +5354 -1563 +133 -1696 +-2825 -4622 +-437 867 +-820 3227 +-1005 1742 +-3966 3901 +191 903 +3401 -2046 +2025 143 +3511 0 +2025 -143 +3401 2046 +191 -903 +-3966 -3901 +-1005 -1742 +-820 -3227 +-437 -867 +-2825 4622 +133 1696 +5354 1563 +-825 3047 +-3595 2116 +-1937 1048 +1096 -3662 +-1082 -2425 +-5851 -3511 +1082 -3778 +3778 6103 +1937 -2414 +1254 -9870 +825 -1062 +3103 -5417 +-133 -1495 +485 6963 +437 -2267 +-2955 -4154 +1005 -1526 +1625 -1844 +-191 -41 +-3593 -861 +-2025 296 +3511 0 +-2025 -296 +-3593 861 +-191 41 +1625 1844 +1005 1526 +-2955 4154 +437 2267 +485 -6963 +-133 1495 +3103 5417 +825 1062 +1254 9870 +1937 2414 +3778 -6103 +1082 3778 +-5851 3511 +-1082 2425 +1096 3662 +-1937 -1048 +-3595 -2116 +-825 -3047 +5354 -1563 +133 -1696 +-2825 -4622 +-437 867 +-820 3227 +-1005 1742 +-3966 3901 +191 903 +3401 -2046 +2025 143 +-4681 -4681 +939 378 +3908 -941 +-3513 4465 +-1783 1267 +443 -1771 +-3928 2124 +1333 -2715 +3653 0 +-2449 448 +-365 -3203 +1263 3817 +-1013 3058 +-402 -2929 +-2265 1901 +665 6546 +5851 0 +665 -6546 +-2265 -1901 +-402 2929 +-1013 -3058 +1263 -3817 +-365 3203 +-2449 -448 +3653 0 +1333 2715 +-3928 -2124 +443 1771 +-1783 -1267 +-3513 -4465 +3908 941 +939 -378 +-4681 4681 +767 1721 +5011 -5532 +5709 -780 +3438 -1267 +-3285 -302 +-4466 -1768 +-1200 -1589 +-1312 0 +-2009 -9433 +-1171 -5803 +-212 -1209 +-642 -3058 +-3 1933 +3277 988 +1954 234 +-1170 0 +1954 -234 +3277 -988 +-3 -1933 +-642 3058 +-212 1209 +-1171 5803 +-2009 9433 +-1312 0 +-1200 1589 +-4466 1768 +-3285 302 +3438 1267 +5709 780 +5011 5532 +767 -1721 +-4681 -4681 +939 378 +3908 -941 +-3513 4465 +-1783 1267 +443 -1771 +-3928 2124 +1333 -2715 +3653 0 +-2449 448 +-365 -3203 +1263 3817 +-1013 3058 +-402 -2929 +-2265 1901 +665 6546 +0 1170 +2304 -3673 +6472 4915 +365 2599 +-2563 380 +3435 3381 +2490 693 +-919 -1476 +-1655 343 +1411 211 +3301 -1241 +1307 3855 +4176 1909 +5169 -18 +-230 1928 +-3911 -6379 +-4681 0 +-3911 6379 +-230 -1928 +5169 18 +4176 -1909 +1307 -3855 +3301 1241 +1411 -211 +-1655 -343 +-919 1476 +2490 -693 +3435 -3381 +-2563 -380 +365 -2599 +6472 -4915 +2304 3673 +0 -1170 +-3263 -4974 +-2477 3615 +2356 2900 +-3088 1275 +-4126 862 +-1805 2988 +-850 4064 +1655 1998 +-4471 4911 +-2616 -1698 +1679 -5728 +-3207 -254 +3055 -600 +4226 -18 +-3541 265 +-4681 0 +-3541 -265 +4226 18 +3055 600 +-3207 254 +1679 5728 +-2616 1698 +-4471 -4911 +1655 -1998 +-850 -4064 +-1805 -2988 +-4126 -862 +-3088 -1275 +2356 -2900 +-2477 -3615 +-3263 4974 +0 1170 +2304 -3673 +6472 4915 +365 2599 +-2563 380 +3435 3381 +2490 693 +-919 -1476 +-1655 343 +1411 211 +3301 -1241 +1307 3855 +4176 1909 +5169 -18 +-230 1928 +-3911 -6379 +1170 1170 +-1074 4946 +-2134 -2895 +2576 -4629 +3886 670 +4889 -154 +-1044 -3424 +-3198 4913 +-3310 6478 +-4979 -1248 +-2551 500 +-1266 2654 +439 5436 +490 6190 +1785 -777 +-635 -1665 +-5851 0 +-635 1665 +1785 777 +490 -6190 +439 -5436 +-1266 -2654 +-2551 -500 +-4979 1248 +-3310 -6478 +-3198 -4913 +-1044 3424 +4889 154 +3886 -670 +2576 4629 +-2134 2895 +-1074 -4946 +1170 -1170 +-5672 7468 +-28 1240 +347 -1398 +-2231 299 +4958 -2804 +149 1769 +193 616 +3310 -1797 +1364 4600 +3447 1155 +-1961 -2385 +-2094 215 +3207 372 +377 2432 +760 2539 +3511 0 +760 -2539 +377 -2432 +3207 -372 +-2094 -215 +-1961 2385 +3447 -1155 +1364 -4600 +3310 1797 +193 -616 +149 -1769 +4958 2804 +-2231 -299 +347 1398 +-28 -1240 +-5672 -7468 +1170 1170 +-1074 4946 +-2134 -2895 +2576 -4629 +3886 670 +4889 -154 +-1044 -3424 +-3198 4913 +-3310 6478 +-4979 -1248 +-2551 500 +-1266 2654 +439 5436 +490 6190 +1785 -777 +-635 -1665 +1170 -4681 +1820 -6697 +88 1049 +486 2029 +665 126 +1629 -3740 +-2698 -11875 +-2646 -4307 +1513 -686 +1041 -4475 +-2674 3814 +1133 4471 +5367 2288 +-3192 2588 +-451 -1186 +2020 1654 +-4681 0 +2020 -1654 +-451 1186 +-3192 -2588 +5367 -2288 +1133 -4471 +-2674 -3814 +1041 4475 +1513 686 +-2646 4307 +-2698 11875 +1629 3740 +665 -126 +486 -2029 +88 -1049 +1820 6697 +1170 4681 +-3714 241 +1389 -1470 +-1197 -811 +-3289 3184 +4319 1979 +-402 -441 +-1191 -3836 +3168 -3996 +1005 2447 +-2217 -148 +-2756 -3699 +1938 1022 +-422 1163 +-2397 -1976 +1665 -1994 +2341 0 +1665 1994 +-2397 1976 +-422 -1163 +1938 -1022 +-2756 3699 +-2217 148 +1005 -2447 +3168 3996 +-1191 3836 +-402 441 +4319 -1979 +-3289 -3184 +-1197 811 +1389 1470 +-3714 -241 +1170 -4681 +1820 -6697 +88 1049 +486 2029 +665 126 +1629 -3740 +-2698 -11875 +-2646 -4307 +1513 -686 +1041 -4475 +-2674 3814 +1133 4471 +5367 2288 +-3192 2588 +-451 -1186 +2020 1654 +4681 0 +833 1906 +4194 2284 +2062 -815 +-4220 233 +-6592 2120 +-4793 -2252 +-1775 -1277 +343 0 +2865 -1231 +-2732 2675 +-5459 -2855 +875 -1751 +-564 3851 +-878 -222 +-376 4021 +-3511 0 +-376 -4021 +-878 222 +-564 -3851 +875 1751 +-5459 2855 +-2732 -2675 +2865 1231 +343 0 +-1775 1277 +-4793 2252 +-6592 -2120 +-4220 -233 +2062 815 +4194 -2284 +833 -1906 +4681 0 +3541 -1132 +-1780 4769 +2282 4709 +3534 -5883 +4668 89 +3646 2833 +-1622 -3706 +1998 0 +1309 1944 +-2741 -723 +-2044 -4719 +-4870 782 +-974 6243 +5083 -716 +1846 -293 +-1170 0 +1846 293 +5083 716 +-974 -6243 +-4870 -782 +-2044 4719 +-2741 723 +1309 -1944 +1998 0 +-1622 3706 +3646 -2833 +4668 -89 +3534 5883 +2282 -4709 +-1780 -4769 +3541 1132 +4681 0 +833 1906 +4194 2284 +2062 -815 +-4220 233 +-6592 2120 +-4793 -2252 +-1775 -1277 +343 0 +2865 -1231 +-2732 2675 +-5459 -2855 +875 -1751 +-564 3851 +-878 -222 +-376 4021 +-3511 -1170 +1152 2525 +-1369 -2297 +-6765 -6101 +-2288 3301 +832 916 +2536 2173 +4425 913 +2825 -3653 +620 5857 +-1497 1165 +1672 575 +3184 6622 +-3355 -3468 +-2460 -3095 +1714 2768 +1170 0 +1714 -2768 +-2460 3095 +-3355 3468 +3184 -6622 +1672 -575 +-1497 -1165 +620 -5857 +2825 3653 +4425 -913 +2536 -2173 +832 -916 +-2288 -3301 +-6765 6101 +-1369 2297 +1152 -2525 +-3511 1170 +301 -3548 +3024 -6234 +647 -4043 +-1022 1664 +-5226 545 +-4191 -5854 +-172 -2876 +-485 1312 +-44 2978 +-159 1774 +427 -1262 +126 -1657 +-1472 538 +4115 1184 +5244 -2355 +1170 0 +5244 2355 +4115 -1184 +-1472 -538 +126 1657 +427 1262 +-159 -1774 +-44 -2978 +-485 -1312 +-172 2876 +-4191 5854 +-5226 -545 +-1022 -1664 +647 4043 +3024 6234 +301 3548 +-3511 -1170 +1152 2525 +-1369 -2297 +-6765 -6101 +-2288 3301 +832 916 +2536 2173 +4425 913 +2825 -3653 +620 5857 +-1497 1165 +1672 575 +3184 6622 +-3355 -3468 +-2460 -3095 +1714 2768 +0 -2341 +4007 254 +11441 547 +464 -1236 +-1909 -633 +2321 -1975 +1820 -4313 +4764 -2638 +-4338 -2341 +-1309 -2516 +3173 3037 +-6898 4757 +1275 -1529 +2535 -2484 +-4800 2759 +-1963 3682 +-1170 0 +-1963 -3682 +-4800 -2759 +2535 2484 +1275 1529 +-6898 -4757 +3173 -3037 +-1309 2516 +-4338 2341 +4764 2638 +1820 4313 +2321 1975 +-1909 633 +464 1236 +11441 -547 +4007 -254 +0 2341 +160 1939 +-5535 1542 +-3445 1974 +254 633 +317 811 +1805 -71 +1140 -4478 +-2683 -2341 +507 4827 +1193 3881 +-3375 -78 +380 1529 +1461 2445 +265 1269 +-684 1317 +-3511 0 +-684 -1317 +265 -1269 +1461 -2445 +380 -1529 +-3375 78 +1193 -3881 +507 -4827 +-2683 2341 +1140 4478 +1805 71 +317 -811 +254 -633 +-3445 -1974 +-5535 -1542 +160 -1939 +0 -2341 +4007 254 +11441 547 +464 -1236 +-1909 -633 +2321 -1975 +1820 -4313 +4764 -2638 +-4338 -2341 +-1309 -2516 +3173 3037 +-6898 4757 +1275 -1529 +2535 -2484 +-4800 2759 +-1963 3682 +-1170 3511 +-974 -623 +-765 1002 +-998 -5103 +-343 -6554 +-3445 421 +492 -175 +-504 1330 +-5651 1513 +-246 -4056 +3415 -1449 +908 -1452 +-1998 -4654 +-653 3113 +625 4780 +-2097 -3021 +-3511 0 +-2097 3021 +625 -4780 +-653 -3113 +-1998 4654 +908 1452 +3415 1449 +-246 4056 +-5651 -1513 +-504 -1330 +492 175 +-3445 -421 +-343 6554 +-998 5103 +-765 -1002 +-974 623 +-1170 -3511 +-155 -4444 +-2755 265 +2246 -1803 +-343 -66 +-1847 486 +8976 -2883 +5957 1631 +969 3168 +-882 397 +1728 -1609 +6175 -4261 +-1998 -1967 +-2387 -3399 +-2354 -3514 +-1098 4574 +5851 0 +-1098 -4574 +-2354 3514 +-2387 3399 +-1998 1967 +6175 4261 +1728 1609 +-882 -397 +969 -3168 +5957 -1631 +8976 2883 +-1847 -486 +-343 66 +2246 1803 +-2755 -265 +-155 4444 +-1170 3511 +-974 -623 +-765 1002 +-998 -5103 +-343 -6554 +-3445 421 +492 -175 +-504 1330 +-5651 1513 +-246 -4056 +3415 -1449 +908 -1452 +-1998 -4654 +-653 3113 +625 4780 +-2097 -3021 +-1170 1170 +-5545 -1790 +-1833 -5937 +1553 -77 +-980 1139 +-354 -2879 +398 2935 +864 6687 +-3511 1312 +-5081 -1494 +-354 -2494 +-2426 -429 +-2618 5726 +791 2852 +2245 -4610 +2271 -3732 +1170 0 +2271 3732 +2245 4610 +791 -2852 +-2618 -5726 +-2426 429 +-354 2494 +-5081 1494 +-3511 -1312 +864 -6687 +398 -2935 +-354 2879 +-980 -1139 +1553 77 +-1833 5937 +-5545 1790 +-1170 -1170 +1063 1479 +-837 716 +-389 2572 +5661 3826 +803 -2553 +-3844 -3306 +1413 435 +-3511 -3653 +-1521 -4714 +490 2123 +185 3260 +7299 -761 +-163 -1456 +3735 -611 +6536 -548 +-3511 0 +6536 548 +3735 611 +-163 1456 +7299 761 +185 -3260 +490 -2123 +-1521 4714 +-3511 3653 +1413 -435 +-3844 3306 +803 2553 +5661 -3826 +-389 -2572 +-837 -716 +1063 -1479 +-1170 1170 +-5545 -1790 +-1833 -5937 +1553 -77 +-980 1139 +-354 -2879 +398 2935 +864 6687 +-3511 1312 +-5081 -1494 +-354 -2494 +-2426 -429 +-2618 5726 +791 2852 +2245 -4610 +2271 -3732 +0 0 +2401 -41 +-3412 -255 +-4136 -792 +-2914 3198 +-1171 2642 +-1101 -1581 +-2633 -428 +828 2825 +119 -711 +658 -2621 +3062 4110 +-1133 -3453 +-568 -2980 +76 9143 +-1904 -656 +-1170 0 +-1904 656 +76 -9143 +-568 2980 +-1133 3453 +3062 -4110 +658 2621 +119 711 +828 -2825 +-2633 428 +-1101 1581 +-1171 -2642 +-2914 -3198 +-4136 792 +-3412 255 +2401 41 +0 0 +-1741 646 +2694 955 +-1761 4152 +-5077 8789 +-1327 161 +4708 -4745 +5777 -1632 +-828 -485 +47 170 +416 -5077 +-3873 -2322 +-238 1396 +3154 -1294 +5322 -452 +4555 -3841 +1170 0 +4555 3841 +5322 452 +3154 1294 +-238 -1396 +-3873 2322 +416 5077 +47 -170 +-828 485 +5777 1632 +4708 4745 +-1327 -161 +-5077 -8789 +-1761 -4152 +2694 -955 +-1741 -646 +0 0 +2401 -41 +-3412 -255 +-4136 -792 +-2914 3198 +-1171 2642 +-1101 -1581 +-2633 -428 +828 2825 +119 -711 +658 -2621 +3062 4110 +-1133 -3453 +-568 -2980 +76 9143 +-1904 -656 +-3511 1170 +-6369 1032 +657 3202 +-69 -2803 +-2841 -3758 +-2201 324 +-2855 2094 +2770 2584 +1655 -1797 +3494 244 +2354 3256 +-4760 -3882 +3019 -2229 +1711 1834 +-2370 -2296 +468 -1322 +-1170 0 +468 1322 +-2370 2296 +1711 -1834 +3019 2229 +-4760 3882 +2354 -3256 +3494 -244 +1655 1797 +2770 -2584 +-2855 -2094 +-2201 -324 +-2841 3758 +-69 2803 +657 -3202 +-6369 -1032 +-3511 -1170 +-1186 -2103 +2908 405 +5610 -4788 +217 -2862 +2723 1269 +4139 -7492 +829 -3619 +-1655 6478 +-2495 92 +-328 -3974 +690 -2516 +4286 -4391 +2917 -1434 +-4505 1222 +-4131 -1121 +-1170 0 +-4131 1121 +-4505 -1222 +2917 1434 +4286 4391 +690 2516 +-328 3974 +-2495 -92 +-1655 -6478 +829 3619 +4139 7492 +2723 -1269 +217 2862 +5610 4788 +2908 -405 +-1186 2103 +-3511 1170 +-6369 1032 +657 3202 +-69 -2803 +-2841 -3758 +-2201 324 +-2855 2094 +2770 2584 +1655 -1797 +3494 244 +2354 3256 +-4760 -3882 +3019 -2229 +1711 1834 +-2370 -2296 +468 -1322 +-2341 7022 +3241 -3557 +-1391 1336 +-4627 3484 +-5310 -2357 +-2691 626 +3509 2413 +410 -82 +828 -1170 +-3992 -290 +-5438 2103 +3047 199 +-1989 -194 +-1217 4546 +2830 2452 +5088 -2847 +10533 0 +5088 2847 +2830 -2452 +-1217 -4546 +-1989 194 +3047 -199 +-5438 -2103 +-3992 290 +828 1170 +410 82 +3509 -2413 +-2691 -626 +-5310 2357 +-4627 -3484 +-1391 -1336 +3241 3557 +-2341 -7022 +-384 -6626 +1254 1900 +-1103 -1765 +2969 702 +1218 1979 +-840 -2591 +-869 1933 +-828 -1170 +-145 -5850 +-542 2400 +1973 3778 +-351 -1461 +-3222 -4198 +616 -3897 +3273 655 +3511 0 +3273 -655 +616 3897 +-3222 4198 +-351 1461 +1973 -3778 +-542 -2400 +-145 5850 +-828 1170 +-869 -1933 +-840 2591 +1218 -1979 +2969 -702 +-1103 1765 +1254 -1900 +-384 6626 +-2341 7022 +3241 -3557 +-1391 1336 +-4627 3484 +-5310 -2357 +-2691 626 +3509 2413 +410 -82 +828 -1170 +-3992 -290 +-5438 2103 +3047 199 +-1989 -194 +-1217 4546 +2830 2452 +5088 -2847 +-1170 3511 +-2167 -1000 +3526 -713 +3826 -367 +-731 -4899 +1785 -632 +-1938 -2175 +61 -1836 +2341 4823 +-937 2762 +428 1852 +-2701 -43 +-3401 -2999 +985 1298 +3929 1633 +3421 -1448 +1170 0 +3421 1448 +3929 -1633 +985 -1298 +-3401 2999 +-2701 43 +428 -1852 +-937 -2762 +2341 -4823 +61 1836 +-1938 2175 +1785 632 +-731 4899 +3826 367 +3526 713 +-2167 1000 +-1170 -3511 +-1335 3016 +6407 -256 +4690 -6129 +-3265 1589 +847 98 +-1355 -3475 +-1009 -247 +2341 -142 +367 612 +-445 3798 +-946 4255 +2715 -311 +-1866 -307 +-10553 -663 +-5021 -7061 +1170 0 +-5021 7061 +-10553 663 +-1866 307 +2715 311 +-946 -4255 +-445 -3798 +367 -612 +2341 142 +-1009 247 +-1355 3475 +847 -98 +-3265 -1589 +4690 6129 +6407 256 +-1335 -3016 +-1170 3511 +-2167 -1000 +3526 -713 +3826 -367 +-731 -4899 +1785 -632 +-1938 -2175 +61 -1836 +2341 4823 +-937 2762 +428 1852 +-2701 -43 +-3401 -2999 +985 1298 +3929 1633 +3421 -1448 +0 1170 +5240 -6940 +-534 -5234 +-530 410 +1296 -1275 +-1307 1548 +425 3053 +-2734 -1550 +4965 1312 +6221 3948 +-4147 331 +-477 285 +-1118 254 +-1309 -987 +2956 1025 +970 1801 +0 0 +970 -1801 +2956 -1025 +-1309 987 +-1118 -254 +-477 -285 +-4147 -331 +6221 -3948 +4965 -1312 +-2734 1550 +425 -3053 +-1307 -1548 +1296 1275 +-530 -410 +-534 5234 +5240 6940 +0 -1170 +1975 -2597 +3708 -536 +2256 -530 +4354 -380 +-750 -1695 +-1065 3242 +-2859 6648 +-4965 -3653 +-1643 -6841 +-5143 1282 +-2568 940 +149 -1909 +-1935 -504 +3800 -2114 +-551 -3348 +-9362 0 +-551 3348 +3800 2114 +-1935 504 +149 1909 +-2568 -940 +-5143 -1282 +-1643 6841 +-4965 3653 +-2859 -6648 +-1065 -3242 +-750 1695 +4354 380 +2256 530 +3708 536 +1975 2597 +0 1170 +5240 -6940 +-534 -5234 +-530 410 +1296 -1275 +-1307 1548 +425 3053 +-2734 -1550 +4965 1312 +6221 3948 +-4147 331 +-477 285 +-1118 254 +-1309 -987 +2956 1025 +970 1801 +-1170 0 +-535 -2880 +2168 4557 +-2410 745 +2446 1655 +2036 4497 +-1010 3706 +3702 5626 +142 3310 +-325 -1588 +1697 -3434 +-185 2076 +1424 1655 +-1081 -1078 +-1065 2743 +-1085 -869 +-4681 0 +-1085 869 +-1065 -2743 +-1081 1078 +1424 -1655 +-185 -2076 +1697 3434 +-325 1588 +142 -3310 +3702 -5626 +-1010 -3706 +2036 -4497 +2446 -1655 +-2410 -745 +2168 -4557 +-535 2880 +-1170 0 +2053 -7118 +-4719 -529 +-3552 1090 +1550 1655 +4660 3956 +4828 3212 +-6233 -1256 +-4823 -3310 +4375 500 +-2204 -950 +-5495 1632 +-738 1655 +-592 -4575 +305 -655 +4669 1396 +7022 0 +4669 -1396 +305 655 +-592 4575 +-738 -1655 +-5495 -1632 +-2204 950 +4375 -500 +-4823 3310 +-6233 1256 +4828 -3212 +4660 -3956 +1550 -1655 +-3552 -1090 +-4719 529 +2053 7118 +-1170 0 +-535 -2880 +2168 4557 +-2410 745 +2446 1655 +2036 4497 +-1010 3706 +3702 5626 +142 3310 +-325 -1588 +1697 -3434 +-185 2076 +1424 1655 +-1081 -1078 +-1065 2743 +-1085 -869 +1170 -3511 +-9100 36 +-2240 1179 +-123 2245 +-7054 254 +-492 -2501 +-1082 3144 +787 -593 +5166 -5993 +2060 1702 +1787 4660 +-1114 2192 +-1952 -380 +-2188 342 +-4113 3506 +80 370 +3511 0 +80 -370 +-4113 -3506 +-2188 -342 +-1952 380 +-1114 -2192 +1787 -4660 +2060 -1702 +5166 5993 +787 593 +-1082 -3144 +-492 2501 +-7054 -254 +-123 -2245 +-2240 -1179 +-9100 -36 +1170 3511 +96 3249 +-681 2775 +2329 599 +-938 -1909 +1470 -1174 +-321 285 +-1673 5312 +1856 -1028 +3150 -10313 +2927 -1231 +1927 2397 +581 -1275 +-1809 1404 +3725 448 +4600 -1054 +-1170 0 +4600 1054 +3725 -448 +-1809 -1404 +581 1275 +1927 -2397 +2927 1231 +3150 10313 +1856 1028 +-1673 -5312 +-321 -285 +1470 1174 +-938 1909 +2329 -599 +-681 -2775 +96 -3249 +1170 -3511 +-9100 36 +-2240 1179 +-123 2245 +-7054 254 +-492 -2501 +-1082 3144 +787 -593 +5166 -5993 +2060 1702 +1787 4660 +-1114 2192 +-1952 -380 +-2188 342 +-4113 3506 +80 370 +-2341 1170 +-2762 4772 +-3187 3686 +241 -296 +-828 -871 +1235 6440 +3807 3367 +-1459 -1031 +-2825 828 +-1480 -4228 +2135 -2167 +3855 1967 +828 1385 +4946 -2278 +4329 -4373 +-4076 3908 +-7022 0 +-4076 -3908 +4329 4373 +4946 2278 +828 -1385 +3855 -1967 +2135 2167 +-1480 4228 +-2825 -828 +-1459 1031 +3807 -3367 +1235 -6440 +-828 871 +241 296 +-3187 -3686 +-2762 -4772 +-2341 -1170 +-1594 416 +-1348 -1094 +-5024 1742 +-828 -500 +2418 -4867 +560 -3664 +1161 -363 +485 -828 +2793 -475 +1489 -69 +-2407 -3704 +828 6606 +1355 7035 +1577 -4336 +798 4590 +-2341 0 +798 -4590 +1577 4336 +1355 -7035 +828 -6606 +-2407 3704 +1489 69 +2793 475 +485 828 +1161 363 +560 3664 +2418 4867 +-828 500 +-5024 -1742 +-1348 1094 +-1594 -416 +-2341 1170 +-2762 4772 +-3187 3686 +241 -296 +-828 -871 +1235 6440 +3807 3367 +-1459 -1031 +-2825 828 +-1480 -4228 +2135 -2167 +3855 1967 +828 1385 +4946 -2278 +4329 -4373 +-4076 3908 +-2341 3511 +-5670 1845 +-2088 746 +-3298 2240 +-5899 1052 +822 3522 +3665 5365 +-127 -1075 +-1170 -2483 +-1104 819 +-1123 908 +-174 -343 +1753 2388 +3275 -69 +1841 -5582 +1317 -536 +2341 0 +1317 536 +1841 5582 +3275 69 +1753 -2388 +-174 343 +-1123 -908 +-1104 -819 +-1170 2483 +-127 1075 +3665 -5365 +822 -3522 +-5899 -1052 +-3298 -2240 +-2088 -746 +-5670 -1845 +-2341 -3511 +-683 -1259 +-599 5293 +4763 169 +3275 -6702 +-118 1792 +2822 317 +1611 -205 +-1170 2483 +-3690 -2519 +-5364 -474 +2780 -6659 +5553 -3357 +-1430 6144 +846 -2990 +1726 -2040 +-2341 0 +1726 2040 +846 2990 +-1430 -6144 +5553 3357 +2780 6659 +-5364 474 +-3690 2519 +-1170 -2483 +1611 205 +2822 -317 +-118 -1792 +3275 6702 +4763 -169 +-599 -5293 +-683 1259 +-2341 3511 +-5670 1845 +-2088 746 +-3298 2240 +-5899 1052 +822 3522 +3665 5365 +-127 -1075 +-1170 -2483 +-1104 819 +-1123 908 +-174 -343 +1753 2388 +3275 -69 +1841 -5582 +1317 -536 +5851 -2341 +4990 4131 +1475 5039 +5950 2129 +1081 -702 +-2645 -4029 +-5182 -1640 +-117 2615 +5993 1170 +-467 360 +204 2210 +3831 2069 +-448 1461 +612 1181 +5525 802 +-123 911 +-7022 0 +-123 -911 +5525 -802 +612 -1181 +-448 -1461 +3831 -2069 +204 -2210 +-467 -360 +5993 -1170 +-117 -2615 +-5182 1640 +-2645 4029 +1081 702 +5950 -2129 +1475 -5039 +4990 -4131 +5851 2341 +-4482 1873 +-1384 -1803 +-3399 1740 +-1081 2357 +3405 -272 +-8240 1462 +-3701 626 +1028 1170 +-3351 6192 +-1393 2292 +-3072 -3059 +448 194 +1938 -623 +-367 -2247 +631 1783 +0 0 +631 -1783 +-367 2247 +1938 623 +448 -194 +-3072 3059 +-1393 -2292 +-3351 -6192 +1028 -1170 +-3701 -626 +-8240 -1462 +3405 272 +-1081 -2357 +-3399 -1740 +-1384 1803 +-4482 -1873 +5851 -2341 +4990 4131 +1475 5039 +5950 2129 +1081 -702 +-2645 -4029 +-5182 -1640 +-117 2615 +5993 1170 +-467 360 +204 2210 +3831 2069 +-448 1461 +612 1181 +5525 802 +-123 911 +0 -1170 +5221 -5690 +1275 -785 +-6046 2445 +2346 1081 +3054 -535 +-1909 -264 +2440 2370 +-1170 142 +-5625 1231 +254 2849 +-800 2017 +-8462 448 +-7330 -4420 +380 -1318 +3248 4523 +2341 0 +3248 -4523 +380 1318 +-7330 4420 +-8462 -448 +-800 -2017 +254 -2849 +-5625 -1231 +-1170 -142 +2440 -2370 +-1909 264 +3054 535 +2346 -1081 +-6046 -2445 +1275 785 +5221 5690 +0 1170 +1936 4344 +1275 3843 +3010 -4346 +5929 -1081 +-1285 -961 +-1909 1531 +969 1460 +-1170 -4823 +928 -2083 +254 -1582 +-731 1167 +187 -448 +-3112 -2162 +380 4376 +4123 -1187 +2341 0 +4123 1187 +380 -4376 +-3112 2162 +187 448 +-731 -1167 +254 1582 +928 2083 +-1170 4823 +969 -1460 +-1909 -1531 +-1285 961 +5929 1081 +3010 4346 +1275 -3843 +1936 -4344 +0 -1170 +5221 -5690 +1275 -785 +-6046 2445 +2346 1081 +3054 -535 +-1909 -264 +2440 2370 +-1170 142 +-5625 1231 +254 2849 +-800 2017 +-8462 448 +-7330 -4420 +380 -1318 +3248 4523 +-2341 1170 +-3398 -715 +-375 4330 +204 1617 +-1820 6457 +-256 2927 +529 -4209 +-4509 3649 +-6135 828 +1204 -1349 +7309 -143 +5960 -4178 +2894 425 +1185 -2106 +-765 -4638 +-2130 4425 +-2341 0 +-2130 -4425 +-765 4638 +1185 2106 +2894 -425 +5960 4178 +7309 143 +1204 1349 +-6135 -828 +-4509 -3649 +529 4209 +-256 -2927 +-1820 -6457 +204 -1617 +-375 -4330 +-3398 715 +-2341 -1170 +94 -6063 +4 -2126 +1343 1183 +2505 2503 +-2141 -2411 +-5750 -802 +-849 164 +3795 -828 +844 4029 +-2088 -3496 +-253 -1842 +1102 3854 +577 -4373 +1136 -1149 +2125 3645 +2341 0 +2125 -3645 +1136 1149 +577 4373 +1102 -3854 +-253 1842 +-2088 3496 +844 -4029 +3795 828 +-849 -164 +-5750 802 +-2141 2411 +2505 -2503 +1343 -1183 +4 2126 +94 6063 +-2341 1170 +-3398 -715 +-375 4330 +204 1617 +-1820 6457 +-256 2927 +529 -4209 +-4509 3649 +-6135 828 +1204 -1349 +7309 -143 +5960 -4178 +2894 425 +1185 -2106 +-765 -4638 +-2130 4425 +-2341 0 +885 -586 +2563 3353 +3926 3747 +-985 -3116 +-2047 1488 +-2578 486 +-2098 -5201 +3168 485 +2545 -639 +5564 740 +3194 2305 +-3781 -4012 +4956 -3830 +4399 -535 +-1495 1999 +1170 0 +-1495 -1999 +4399 535 +4956 3830 +-3781 4012 +3194 -2305 +5564 -740 +2545 639 +3168 -485 +-2098 5201 +-2578 -486 +-2047 -1488 +-985 3116 +3926 -3747 +2563 -3353 +885 586 +-2341 0 +-5052 -3124 +-3694 -1383 +-5570 4336 +-1356 -1849 +1002 -3235 +-3150 2394 +848 -384 +1513 -2825 +-280 2332 +3474 6821 +2953 356 +1440 -953 +-1794 338 +-6578 -2176 +-1972 4312 +3511 0 +-1972 -4312 +-6578 2176 +-1794 -338 +1440 953 +2953 -356 +3474 -6821 +-280 -2332 +1513 2825 +848 384 +-3150 -2394 +1002 3235 +-1356 1849 +-5570 -4336 +-3694 1383 +-5052 3124 +-2341 0 +885 -586 +2563 3353 +3926 3747 +-985 -3116 +-2047 1488 +-2578 486 +-2098 -5201 +3168 485 +2545 -639 +5564 740 +3194 2305 +-3781 -4012 +4956 -3830 +4399 -535 +-1495 1999 +3511 -3511 +-4198 405 +1657 6550 +4856 508 +2796 -1275 +3211 5676 +-5463 42 +-644 -331 +1856 3653 +-7100 349 +-2241 6360 +-487 8146 +-2425 254 +2415 -1402 +4506 -59 +1490 1120 +-1170 0 +1490 -1120 +4506 59 +2415 1402 +-2425 -254 +-487 -8146 +-2241 -6360 +-7100 -349 +1856 -3653 +-644 331 +-5463 -42 +3211 -5676 +2796 1275 +4856 -508 +1657 -6550 +-4198 -405 +3511 3511 +1657 2056 +-2091 -255 +-2388 45 +-2796 -380 +650 -1789 +-576 1046 +3669 -785 +5166 -1312 +-3560 1845 +-6331 -590 +-1856 -949 +2425 -1909 +218 -1356 +1177 1673 +2066 -1969 +-1170 0 +2066 1969 +1177 -1673 +218 1356 +2425 1909 +-1856 949 +-6331 590 +-3560 -1845 +5166 1312 +3669 785 +-576 -1046 +650 1789 +-2796 380 +-2388 -45 +-2091 255 +1657 -2056 +3511 -3511 +-4198 405 +1657 6550 +4856 508 +2796 -1275 +3211 5676 +-5463 42 +-644 -331 +1856 3653 +-7100 349 +-2241 6360 +-487 8146 +-2425 254 +2415 -1402 +4506 -59 +1490 1120 +2341 0 +3791 -4666 +5693 1765 +4866 -2527 +-1065 759 +-4097 3834 +-1565 -7551 +-1765 762 +-3653 8961 +-1607 -1642 +-1211 -4108 +-3931 2941 +-2087 3817 +1633 951 +173 -37 +-2789 -1650 +-3511 0 +-2789 1650 +173 37 +1633 -951 +-2087 -3817 +-3931 -2941 +-1211 4108 +-1607 1642 +-3653 -8961 +-1765 -762 +-1565 7551 +-4097 -3834 +-1065 -759 +4866 2527 +5693 -1765 +3791 4666 +2341 0 +1177 -235 +2257 3109 +2485 -1880 +-1961 2551 +-4230 -340 +-1178 -906 +259 1538 +1312 -4280 +5681 633 +5326 332 +-1492 -2758 +-4249 -507 +-1853 -2048 +-132 230 +1874 59 +3511 0 +1874 -59 +-132 -230 +-1853 2048 +-4249 507 +-1492 2758 +5326 -332 +5681 -633 +1312 4280 +259 -1538 +-1178 906 +-4230 340 +-1961 -2551 +2485 1880 +2257 -3109 +1177 235 +2341 0 +3791 -4666 +5693 1765 +4866 -2527 +-1065 759 +-4097 3834 +-1565 -7551 +-1765 762 +-3653 8961 +-1607 -1642 +-1211 -4108 +-3931 2941 +-2087 3817 +1633 951 +173 -37 +-2789 -1650 +2341 -3511 +-2341 -2185 +1057 -3916 +6213 2645 +1581 3975 +-6605 -4017 +-9130 -2631 +-2555 4960 +-1655 5308 +248 -662 +-413 -698 +218 1850 +6158 -2057 +-3140 698 +-1001 2945 +2917 -4344 +-4681 0 +2917 4344 +-1001 -2945 +-3140 -698 +6158 2057 +218 -1850 +-413 698 +248 662 +-1655 -5308 +-2555 -4960 +-9130 2631 +-6605 4017 +1581 -3975 +6213 -2645 +1057 3916 +-2341 2185 +2341 3511 +-3401 3707 +-2621 -975 +2446 61 +-210 21 +1042 165 +-2637 1154 +-2420 -3996 +1655 -2967 +-1116 611 +879 -2150 +2538 -600 +1833 1372 +3908 488 +4504 155 +2047 -1769 +0 0 +2047 1769 +4504 -155 +3908 -488 +1833 -1372 +2538 600 +879 2150 +-1116 -611 +1655 2967 +-2420 3996 +-2637 -1154 +1042 -165 +-210 -21 +2446 -61 +-2621 975 +-3401 -3707 +2341 -3511 +-2341 -2185 +1057 -3916 +6213 2645 +1581 3975 +-6605 -4017 +-9130 -2631 +-2555 4960 +-1655 5308 +248 -662 +-413 -698 +218 1850 +6158 -2057 +-3140 698 +-1001 2945 +2917 -4344 +2341 0 +580 761 +-713 26 +242 2981 +4249 -1022 +1860 1566 +1474 5556 +1440 -685 +343 1655 +-2892 3581 +-6389 502 +235 5056 +1065 -126 +-2637 -7395 +1651 -240 +569 3419 +-3511 0 +569 -3419 +1651 240 +-2637 7395 +1065 126 +235 -5056 +-6389 -502 +-2892 -3581 +343 -1655 +1440 685 +1474 -5556 +1860 -1566 +4249 1022 +242 -2981 +-713 -26 +580 -761 +2341 0 +2446 4948 +-2659 -323 +2041 -4301 +2087 -2288 +-984 -1110 +1017 3153 +-2539 3578 +1998 -1655 +-1111 -3495 +-4094 -3094 +6524 -3824 +1961 -3184 +-660 231 +351 -1997 +-5114 -7137 +-5851 0 +-5114 7137 +351 1997 +-660 -231 +1961 3184 +6524 3824 +-4094 3094 +-1111 3495 +1998 1655 +-2539 -3578 +1017 -3153 +-984 1110 +2087 2288 +2041 4301 +-2659 323 +2446 -4948 +2341 0 +580 761 +-713 26 +242 2981 +4249 -1022 +1860 1566 +1474 5556 +1440 -685 +343 1655 +-2892 3581 +-6389 502 +235 5056 +1065 -126 +-2637 -7395 +1651 -240 +569 3419 +0 0 +-2281 1717 +2467 -3364 +1283 131 +1870 3886 +-3169 4 +-6446 -2050 +-2271 -3409 +544 -1170 +795 1881 +1482 263 +1131 -203 +-2325 -439 +-893 1219 +-394 3453 +-4537 575 +-5851 0 +-4537 -575 +-394 -3453 +-893 -1219 +-2325 439 +1131 203 +1482 -263 +795 -1881 +544 1170 +-2271 3409 +-6446 2050 +-3169 -4 +1870 -3886 +1283 -131 +2467 3364 +-2281 -1717 +0 0 +5006 229 +-4420 -3480 +1150 326 +7091 -2231 +317 -2299 +-608 7627 +343 4709 +8819 -1170 +5458 -581 +-2419 -4048 +3512 -2093 +-1954 2094 +-3332 -762 +976 -934 +-2513 1371 +-3511 0 +-2513 -1371 +976 934 +-3332 762 +-1954 -2094 +3512 2093 +-2419 4048 +5458 581 +8819 1170 +343 -4709 +-608 -7627 +317 2299 +7091 2231 +1150 -326 +-4420 3480 +5006 -229 +0 0 +-2281 1717 +2467 -3364 +1283 131 +1870 3886 +-3169 4 +-6446 -2050 +-2271 -3409 +544 -1170 +795 1881 +1482 263 +1131 -203 +-2325 -439 +-893 1219 +-394 3453 +-4537 575 +3511 5851 +5302 451 +2390 -5091 +-1164 2095 +-828 3011 +-1298 -1887 +-827 -3291 +3404 998 +3310 3168 +4918 1284 +1759 -2119 +-1649 -4483 +828 3095 +-5615 3696 +-569 -3619 +3438 360 +-3511 0 +3438 -360 +-569 3619 +-5615 -3696 +828 -3095 +-1649 4483 +1759 2119 +4918 -1284 +3310 -3168 +3404 -998 +-827 3291 +-1298 1887 +-828 -3011 +-1164 -2095 +2390 5091 +5302 -451 +3511 -5851 +3362 3619 +4874 1644 +-1466 -2627 +-828 2640 +555 532 +-5912 -2689 +-2695 -2901 +-3310 1513 +-5123 5820 +-1639 -551 +-1695 -3345 +828 -2126 +-908 -1338 +-75 3482 +634 3354 +-3511 0 +634 -3354 +-75 -3482 +-908 1338 +828 2126 +-1695 3345 +-1639 551 +-5123 -5820 +-3310 -1513 +-2695 2901 +-5912 2689 +555 -532 +-828 -2640 +-1466 2627 +4874 -1644 +3362 -3619 +3511 5851 +5302 451 +2390 -5091 +-1164 2095 +-828 3011 +-1298 -1887 +-827 -3291 +3404 998 +3310 3168 +4918 1284 +1759 -2119 +-1649 -4483 +828 3095 +-5615 3696 +-569 -3619 +3438 360 +-3511 0 +-1806 -1532 +3393 -3234 +-615 5871 +-626 439 +3145 -660 +1069 744 +-54 -2688 +2683 6821 +4907 4445 +-1550 -2789 +-6160 2231 +-4317 2231 +-5122 -1510 +527 -2301 +1147 -344 +-4681 0 +1147 344 +527 2301 +-5122 1510 +-4317 -2231 +-6160 -2231 +-1550 2789 +4907 -4445 +2683 -6821 +-54 2688 +1069 -744 +3145 660 +-626 -439 +-615 -5871 +3393 3234 +-1806 1532 +-3511 0 +-389 3644 +603 -2535 +55 -2916 +-4055 -2094 +-925 951 +-383 5550 +-4612 3329 +4338 201 +7394 -494 +2235 4403 +2422 1370 +-364 -3886 +580 1155 +3468 1213 +33 -854 +-2341 0 +33 854 +3468 -1213 +580 -1155 +-364 3886 +2422 -1370 +2235 -4403 +7394 494 +4338 -201 +-4612 -3329 +-383 -5550 +-925 -951 +-4055 2094 +55 2916 +603 2535 +-389 -3644 +-3511 0 +-1806 -1532 +3393 -3234 +-615 5871 +-626 439 +3145 -660 +1069 744 +-54 -2688 +2683 6821 +4907 4445 +-1550 -2789 +-6160 2231 +-4317 2231 +-5122 -1510 +527 -2301 +1147 -344 +3511 -4681 +-1534 -1907 +-76 6597 +-1156 2522 +3536 -2631 +4939 -4775 +-416 -4229 +1156 -908 +-343 2825 +-1306 1333 +1101 -4374 +1244 273 +4288 -1186 +2059 -3305 +-2694 3045 +-196 -2555 +2341 0 +-196 2555 +-2694 -3045 +2059 3305 +4288 1186 +1244 -273 +1101 4374 +-1306 -1333 +-343 -2825 +1156 908 +-416 4229 +4939 4775 +3536 2631 +-1156 -2522 +-76 -6597 +-1534 1907 +3511 4681 +2189 -998 +-5322 -246 +1646 34 +2115 -1364 +-3323 -1342 +-658 -1128 +-618 -4457 +-1998 -485 +-5348 4246 +-4708 -4292 +-327 -1562 +-5257 1872 +-5083 -2550 +3412 -4 +5657 -2645 +4681 0 +5657 2645 +3412 4 +-5083 2550 +-5257 -1872 +-327 1562 +-4708 4292 +-5348 -4246 +-1998 485 +-618 4457 +-658 1128 +-3323 1342 +2115 1364 +1646 -34 +-5322 246 +2189 998 +3511 -4681 +-1534 -1907 +-76 6597 +-1156 2522 +3536 -2631 +4939 -4775 +-416 -4229 +1156 -908 +-343 2825 +-1306 1333 +1101 -4374 +1244 273 +4288 -1186 +2059 -3305 +-2694 3045 +-196 -2555 +-2341 1170 +-7759 -2725 +-3964 -2795 +-150 -1686 +828 -4317 +1372 -3038 +3304 942 +-285 5614 +485 828 +2162 -1899 +-1110 2755 +-2625 773 +-828 4055 +3434 2510 +-3233 -1032 +-2302 8678 +7022 0 +-2302 -8678 +-3233 1032 +3434 -2510 +-828 -4055 +-2625 -773 +-1110 -2755 +2162 1899 +485 -828 +-285 -5614 +3304 -942 +1372 3038 +828 4317 +-150 1686 +-3964 2795 +-7759 2725 +-2341 -1170 +7320 4986 +2680 4586 +1012 -2074 +828 -364 +-1588 -396 +3572 3383 +-1115 5743 +-2825 -828 +1029 -579 +-2455 1570 +-1483 -29 +-828 626 +29 1785 +1207 2823 +949 2350 +2341 0 +949 -2350 +1207 -2823 +29 -1785 +-828 -626 +-1483 29 +-2455 -1570 +1029 579 +-2825 828 +-1115 -5743 +3572 -3383 +-1588 396 +828 364 +1012 2074 +2680 -4586 +7320 -4986 +-2341 1170 +-7759 -2725 +-3964 -2795 +-150 -1686 +828 -4317 +1372 -3038 +3304 942 +-285 5614 +485 828 +2162 -1899 +-1110 2755 +-2625 773 +-828 4055 +3434 2510 +-3233 -1032 +-2302 8678 +-4681 2341 +-2092 -3473 +2592 -315 +4020 640 +2103 343 +697 584 +413 -4665 +-722 -1968 +-1513 3795 +782 -873 +4250 -1584 +3937 3938 +-574 -1998 +-4295 -754 +-3681 5690 +-512 -3322 +1170 0 +-512 3322 +-3681 -5690 +-4295 754 +-574 1998 +3937 -3938 +4250 1584 +782 873 +-1513 -3795 +-722 1968 +413 4665 +697 -584 +2103 -343 +4020 -640 +2592 315 +-2092 3473 +-4681 -2341 +-2809 -4062 +-251 -3562 +388 -1308 +1207 343 +2797 -7110 +1928 -1913 +-1579 -1319 +-3168 -6135 +-1791 2687 +-1909 255 +-4121 -2828 +-2736 -1998 +3198 1101 +6021 5045 +2104 -5732 +-1170 0 +2104 5732 +6021 -5045 +3198 -1101 +-2736 1998 +-4121 2828 +-1909 -255 +-1791 -2687 +-3168 6135 +-1579 1319 +1928 1913 +2797 7110 +1207 -343 +388 1308 +-251 3562 +-2809 4062 +-4681 2341 +-2092 -3473 +2592 -315 +4020 640 +2103 343 +697 584 +413 -4665 +-722 -1968 +-1513 3795 +782 -873 +4250 -1584 +3937 3938 +-574 -1998 +-4295 -754 +-3681 5690 +-512 -3322 +-3511 2341 +3164 -3798 +3406 -2928 +-1791 4911 +3712 -1791 +3146 -599 +-1215 -3534 +281 -6750 +828 -686 +615 -5765 +-2971 -3193 +-5589 3978 +-1634 4325 +889 -992 +1940 -7779 +1619 -740 +0 0 +1619 740 +1940 7779 +889 992 +-1634 -4325 +-5589 -3978 +-2971 3193 +615 5765 +828 686 +281 6750 +-1215 3534 +3146 599 +3712 1791 +-1791 -4911 +3406 2928 +3164 3798 +-3511 -2341 +2867 3310 +2455 2211 +-3865 1919 +283 1791 +-1348 -2134 +-5913 -73 +-3433 -53 +-828 -3996 +1522 -2409 +168 4267 +-1311 1281 +2320 -4325 +3248 -170 +2129 2380 +-15 1623 +-2341 0 +-15 -1623 +2129 -2380 +3248 170 +2320 4325 +-1311 -1281 +168 -4267 +1522 2409 +-828 3996 +-3433 53 +-5913 73 +-1348 2134 +283 -1791 +-3865 -1919 +2455 -2211 +2867 -3310 +-3511 2341 +3164 -3798 +3406 -2928 +-1791 4911 +3712 -1791 +3146 -599 +-1215 -3534 +281 -6750 +828 -686 +615 -5765 +-2971 -3193 +-5589 3978 +-1634 4325 +889 -992 +1940 -7779 +1619 -740 +0 -2341 +-2398 -1518 +-1923 -2716 +-1055 -1857 +1601 -68 +6205 -2820 +-1357 -4661 +-1987 -2048 +828 -485 +-2587 2020 +3829 -831 +3615 -4438 +-4372 2990 +-1801 1703 +2747 -4213 +-172 1727 +-3511 0 +-172 -1727 +2747 4213 +-1801 -1703 +-4372 -2990 +3615 4438 +3829 831 +-2587 -2020 +828 485 +-1987 2048 +-1357 4661 +6205 2820 +1601 68 +-1055 1857 +-1923 2716 +-2398 1518 +0 2341 +372 5639 +2060 2369 +-2510 -2526 +-2570 1723 +671 279 +-1313 -4167 +703 1667 +-828 2825 +1303 -1029 +2151 -3316 +3260 -6095 +10023 -1335 +-1765 1906 +-6193 -815 +-1854 1023 +-5851 0 +-1854 -1023 +-6193 815 +-1765 -1906 +10023 1335 +3260 6095 +2151 3316 +1303 1029 +-828 -2825 +703 -1667 +-1313 4167 +671 -279 +-2570 -1723 +-2510 2526 +2060 -2369 +372 -5639 +0 -2341 +-2398 -1518 +-1923 -2716 +-1055 -1857 +1601 -68 +6205 -2820 +-1357 -4661 +-1987 -2048 +828 -485 +-2587 2020 +3829 -831 +3615 -4438 +-4372 2990 +-1801 1703 +2747 -4213 +-172 1727 +-1170 -1170 +690 -256 +-716 3309 +2946 467 +-1433 -2267 +-5807 1277 +-587 -2871 +-538 -6631 +5166 -343 +680 2397 +-6072 -4405 +200 -8162 +-4862 -1812 +-2318 2019 +5375 -14 +3516 440 +3511 0 +3516 -440 +5375 14 +-2318 -2019 +-4862 1812 +200 8162 +-6072 4405 +680 -2397 +5166 343 +-538 6631 +-587 2871 +-5807 -1277 +-1433 2267 +2946 -467 +-716 -3309 +690 256 +-1170 1170 +697 4627 +2234 4115 +-162 -848 +-908 2953 +-2493 1096 +1602 -1768 +3538 3900 +1856 -1998 +-370 -5549 +-1563 1137 +4789 -1781 +2521 -2183 +-3777 1266 +-274 -553 +-1593 769 +-5851 0 +-1593 -769 +-274 553 +-3777 -1266 +2521 2183 +4789 1781 +-1563 -1137 +-370 5549 +1856 1998 +3538 -3900 +1602 1768 +-2493 -1096 +-908 -2953 +-162 848 +2234 -4115 +697 -4627 +-1170 -1170 +690 -256 +-716 3309 +2946 467 +-1433 -2267 +-5807 1277 +-587 -2871 +-538 -6631 +5166 -343 +680 2397 +-6072 -4405 +200 -8162 +-4862 -1812 +-2318 2019 +5375 -14 +3516 440 +0 -2341 +4824 -482 +5986 7285 +1307 968 +2045 -2215 +4732 -1342 +4844 105 +-1272 155 +-4338 0 +524 -2011 +-2325 -5353 +-3371 5511 +4704 4629 +3766 -1815 +-1013 1844 +-1142 -6615 +-1170 0 +-1142 6615 +-1013 -1844 +3766 1815 +4704 -4629 +-3371 -5511 +-2325 5353 +524 2011 +-4338 0 +-1272 -155 +4844 -105 +4732 1342 +2045 2215 +1307 -968 +5986 -7285 +4824 482 +0 2341 +3046 -2104 +1439 3957 +-3717 2177 +-3700 844 +-3580 682 +-204 -3985 +871 -2653 +-2683 0 +-1139 2822 +-943 -466 +-2883 -2861 +-3049 3362 +-2875 1649 +1579 -1903 +907 718 +-3511 0 +907 -718 +1579 1903 +-2875 -1649 +-3049 -3362 +-2883 2861 +-943 466 +-1139 -2822 +-2683 0 +871 2653 +-204 3985 +-3580 -682 +-3700 -844 +-3717 -2177 +1439 -3957 +3046 2104 +0 -2341 +4824 -482 +5986 7285 +1307 968 +2045 -2215 +4732 -1342 +4844 105 +-1272 155 +-4338 0 +524 -2011 +-2325 -5353 +-3371 5511 +4704 4629 +3766 -1815 +-1013 1844 +-1142 -6615 +2341 -5851 +1979 784 +-976 -2552 +-695 -4548 +485 -1983 +1799 1250 +-3527 -1445 +-1340 -494 +4681 3653 +-2747 -522 +-469 1174 +1479 -2004 +-2825 -9088 +5426 -4113 +291 314 +-4393 2277 +2341 0 +-4393 -2277 +291 -314 +5426 4113 +-2825 9088 +1479 2004 +-469 -1174 +-2747 522 +4681 -3653 +-1340 494 +-3527 1445 +1799 -1250 +485 1983 +-695 4548 +-976 2552 +1979 -784 +2341 5851 +-2031 6059 +-976 389 +1863 3025 +485 -1612 +-5451 -2924 +-3527 2340 +6478 2100 +4681 -1312 +-599 -3988 +-469 -278 +-2152 -2204 +-2825 -3868 +-2268 56 +291 -2476 +2654 -1550 +2341 0 +2654 1550 +291 2476 +-2268 -56 +-2825 3868 +-2152 2204 +-469 278 +-599 3988 +4681 1312 +6478 -2100 +-3527 -2340 +-5451 2924 +485 1612 +1863 -3025 +-976 -389 +-2031 -6059 +2341 -5851 +1979 784 +-976 -2552 +-695 -4548 +485 -1983 +1799 1250 +-3527 -1445 +-1340 -494 +4681 3653 +-2747 -522 +-469 1174 +1479 -2004 +-2825 -9088 +5426 -4113 +291 314 +-4393 2277 +-1170 2341 +-702 -2317 +-1577 438 +-4822 -2413 +-3632 -2728 +2366 7955 +1759 5753 +-1350 -890 +-3653 -1170 +1454 1968 +1918 744 +-4826 -8878 +-60 -5415 +2 -1390 +-2240 -4114 +1718 3418 +2341 0 +1718 -3418 +-2240 4114 +2 1390 +-60 5415 +-4826 8878 +1918 -744 +1454 -1968 +-3653 1170 +-1350 890 +1759 -5753 +2366 -7955 +-3632 2728 +-4822 2413 +-1577 -438 +-702 2317 +-1170 -2341 +-887 -870 +1714 -557 +-2426 -4878 +322 1073 +3195 3893 +-4429 1511 +948 782 +1312 -1170 +-2844 -1481 +4062 -100 +3590 3309 +3370 3760 +2921 -715 +-1207 -2625 +1662 -2132 +4681 0 +1662 2132 +-1207 2625 +2921 715 +3370 -3760 +3590 -3309 +4062 100 +-2844 1481 +1312 1170 +948 -782 +-4429 -1511 +3195 -3893 +322 -1073 +-2426 4878 +1714 557 +-887 870 +-1170 2341 +-702 -2317 +-1577 438 +-4822 -2413 +-3632 -2728 +2366 7955 +1759 5753 +-1350 -890 +-3653 -1170 +1454 1968 +1918 744 +-4826 -8878 +-60 -5415 +2 -1390 +-2240 -4114 +1718 3418 +0 2341 +322 3620 +-1110 -4497 +-2439 -3481 +2155 427 +-459 4810 +-1139 2108 +-44 -101 +-4823 4480 +1267 -943 +2489 -1120 +-903 2337 +4951 -2453 +1534 -4580 +-622 -748 +1217 5235 +-1170 0 +1217 -5235 +-622 748 +1534 4580 +4951 2453 +-903 -2337 +2489 1120 +1267 943 +-4823 -4480 +-44 101 +-1139 -2108 +-459 -4810 +2155 -427 +-2439 3481 +-1110 4497 +322 -3620 +0 -2341 +-2263 367 +-5808 -5986 +1133 -3772 +2526 -4423 +-2953 -5012 +-950 1264 +-704 1247 +142 -2140 +2791 570 +1538 3122 +1005 -1524 +-270 3139 +-3539 4962 +-3762 -1743 +4034 3853 +10533 0 +4034 -3853 +-3762 1743 +-3539 -4962 +-270 -3139 +1005 1524 +1538 -3122 +2791 -570 +142 2140 +-704 -1247 +-950 -1264 +-2953 5012 +2526 4423 +1133 3772 +-5808 5986 +-2263 -367 +0 2341 +322 3620 +-1110 -4497 +-2439 -3481 +2155 427 +-459 4810 +-1139 2108 +-44 -101 +-4823 4480 +1267 -943 +2489 -1120 +-903 2337 +4951 -2453 +1534 -4580 +-622 -748 +1217 5235 +-3511 2341 +-1330 -4121 +3461 -2870 +177 2504 +-848 1364 +651 3789 +-3763 -3020 +-3283 -5298 +-1998 4480 +-2290 -3166 +-510 -5577 +-200 478 +2199 -1872 +5300 610 +4933 1308 +865 -1226 +-2341 0 +865 1226 +4933 -1308 +5300 -610 +2199 1872 +-200 -478 +-510 5577 +-2290 3166 +-1998 -4480 +-3283 5298 +-3763 3020 +651 -3789 +-848 -1364 +177 -2504 +3461 2870 +-1330 4121 +-3511 -2341 +-3819 -2895 +-1121 3630 +-113 7073 +-4802 2631 +3305 4369 +6103 2513 +-2779 -4168 +-343 -2140 +1732 559 +2850 1760 +2865 -228 +-1230 1186 +1256 1060 +-2593 -3859 +-2336 1068 +4681 0 +-2336 -1068 +-2593 3859 +1256 -1060 +-1230 -1186 +2865 228 +2850 -1760 +1732 -559 +-343 2140 +-2779 4168 +6103 -2513 +3305 -4369 +-4802 -2631 +-113 -7073 +-1121 -3630 +-3819 2895 +-3511 2341 +-1330 -4121 +3461 -2870 +177 2504 +-848 1364 +651 3789 +-3763 -3020 +-3283 -5298 +-1998 4480 +-2290 -3166 +-510 -5577 +-200 478 +2199 -1872 +5300 610 +4933 1308 +865 -1226 +4681 0 +2999 4514 +2356 3310 +3398 -4140 +173 -68 +3117 5148 +2118 -7479 +1371 -7453 +1513 1170 +391 -1756 +602 1875 +-391 4595 +2073 2990 +1498 5190 +29 227 +-2106 -4690 +-5851 0 +-2106 4690 +29 -227 +1498 -5190 +2073 -2990 +-391 -4595 +602 -1875 +391 1756 +1513 -1170 +1371 7453 +2118 7479 +3117 -5148 +173 68 +3398 4140 +2356 -3310 +2999 -4514 +4681 0 +-3444 2399 +-701 -850 +-774 290 +-2514 1723 +2374 -3468 +-3773 -2126 +-723 1021 +3168 1170 +-2558 781 +-2257 -178 +-6115 1830 +-4414 -1335 +3513 -1554 +1626 4172 +-2551 1078 +-3511 0 +-2551 -1078 +1626 -4172 +3513 1554 +-4414 1335 +-6115 -1830 +-2257 178 +-2558 -781 +3168 -1170 +-723 -1021 +-3773 2126 +2374 3468 +-2514 -1723 +-774 -290 +-701 850 +-3444 -2399 +4681 0 +2999 4514 +2356 3310 +3398 -4140 +173 -68 +3117 5148 +2118 -7479 +1371 -7453 +1513 1170 +391 -1756 +602 1875 +-391 4595 +2073 2990 +1498 5190 +29 227 +-2106 -4690 +2341 0 +8 3909 +-2703 980 +1577 1912 +-1618 1335 +-7877 2162 +-1738 6704 +3288 1871 +2483 -1170 +3946 5369 +3259 5627 +841 -2216 +-2251 68 +-4980 2631 +-1172 -968 +1983 2876 +1170 0 +1983 -2876 +-1172 968 +-4980 -2631 +-2251 -68 +841 2216 +3259 -5627 +3946 -5369 +2483 1170 +3288 -1871 +-1738 -6704 +-7877 -2162 +-1618 -1335 +1577 -1912 +-2703 -980 +8 -3909 +2341 0 +1397 3538 +2839 3033 +769 -589 +-722 -2990 +1307 3207 +-932 2544 +-3984 2152 +-2483 -1170 +1852 -6092 +2721 1682 +-1906 -2939 +-89 -1723 +3649 4150 +-2274 -6321 +-1870 -2916 +3511 0 +-1870 2916 +-2274 6321 +3649 -4150 +-89 1723 +-1906 2939 +2721 -1682 +1852 6092 +-2483 1170 +-3984 -2152 +-932 -2544 +1307 -3207 +-722 2990 +769 589 +2839 -3033 +1397 -3538 +2341 0 +8 3909 +-2703 980 +1577 1912 +-1618 1335 +-7877 2162 +-1738 6704 +3288 1871 +2483 -1170 +3946 5369 +3259 5627 +841 -2216 +-2251 68 +-4980 2631 +-1172 -968 +1983 2876 +0 0 +-5160 -2124 +-3594 377 +-1889 -147 +3923 -1170 +5433 -4573 +122 1155 +1352 4599 +-343 -2341 +511 485 +6695 -1413 +1326 -3341 +-3467 1170 +1030 -1638 +2700 1322 +247 5665 +-1170 0 +247 -5665 +2700 -1322 +1030 1638 +-3467 -1170 +1326 3341 +6695 1413 +511 -485 +-343 2341 +1352 -4599 +122 -1155 +5433 4573 +3923 1170 +-1889 147 +-3594 -377 +-5160 2124 +0 0 +1884 1451 +-804 6870 +-154 199 +-1298 -1170 +1391 1227 +1574 -4349 +-1449 -7757 +-1998 -2341 +-4501 682 +2910 -5091 +4586 -1796 +-3838 1170 +1517 -101 +-241 2614 +-6124 -2013 +-3511 0 +-6124 2013 +-241 -2614 +1517 101 +-3838 -1170 +4586 1796 +2910 5091 +-4501 -682 +-1998 2341 +-1449 7757 +1574 4349 +1391 -1227 +-1298 1170 +-154 -199 +-804 -6870 +1884 -1451 +0 0 +-5160 -2124 +-3594 377 +-1889 -147 +3923 -1170 +5433 -4573 +122 1155 +1352 4599 +-343 -2341 +511 485 +6695 -1413 +1326 -3341 +-3467 1170 +1030 -1638 +2700 1322 +247 5665 +1170 1170 +932 -244 +-3475 -744 +-1398 -1800 +-2990 1744 +-666 -3920 +-1606 -5743 +-228 -1550 +9646 -2483 +1118 850 +-3336 -3720 +6453 -5682 +1723 37 +-3528 -3329 +-3457 -2457 +-2038 1762 +1170 0 +-2038 -1762 +-3457 2457 +-3528 3329 +1723 -37 +6453 5682 +-3336 3720 +1118 -850 +9646 2483 +-228 1550 +-1606 5743 +-666 3920 +-2990 -1744 +-1398 1800 +-3475 744 +932 244 +1170 -1170 +-4508 -2021 +2030 905 +4130 754 +1335 3907 +2170 758 +-2897 -5636 +-5353 -2617 +-284 2483 +3686 2618 +3157 333 +1469 4039 +-68 933 +-2009 -2818 +221 1246 +-229 -3012 +-3511 0 +-229 3012 +221 -1246 +-2009 2818 +-68 -933 +1469 -4039 +3157 -333 +3686 -2618 +-284 -2483 +-5353 2617 +-2897 5636 +2170 -758 +1335 -3907 +4130 -754 +2030 -905 +-4508 2021 +1170 1170 +932 -244 +-3475 -744 +-1398 -1800 +-2990 1744 +-666 -3920 +-1606 -5743 +-228 -1550 +9646 -2483 +1118 850 +-3336 -3720 +6453 -5682 +1723 37 +-3528 -3329 +-3457 -2457 +-2038 1762 +2341 0 +300 -482 +3136 -2581 +2095 -2177 +-2764 -1804 +-2676 138 +-2853 1827 +2623 -250 +3653 -3026 +-802 -1091 +-3368 329 +-783 -3386 +9321 -359 +5425 3664 +-2946 2639 +-479 4308 +1170 0 +-479 -4308 +-2946 -2639 +5425 -3664 +9321 359 +-783 3386 +-3368 -329 +-802 1091 +3653 3026 +2623 250 +-2853 -1827 +-2676 -138 +-2764 1804 +2095 2177 +3136 2581 +300 482 +2341 0 +-136 -1186 +-4 -445 +425 6471 +140 -537 +424 -4328 +-1902 4509 +1652 -4397 +-1312 -6336 +-6007 769 +-3179 -6665 +-3082 -2595 +-2016 2699 +-1828 -1161 +1753 387 +2848 -1651 +-1170 0 +2848 1651 +1753 -387 +-1828 1161 +-2016 -2699 +-3082 2595 +-3179 6665 +-6007 -769 +-1312 6336 +1652 4397 +-1902 -4509 +424 4328 +140 537 +425 -6471 +-4 445 +-136 1186 +2341 0 +300 -482 +3136 -2581 +2095 -2177 +-2764 -1804 +-2676 138 +-2853 1827 +2623 -250 +3653 -3026 +-802 -1091 +-3368 329 +-783 -3386 +9321 -359 +5425 3664 +-2946 2639 +-479 4308 +3511 -1170 +1090 -3905 +280 3927 +3559 -64 +2425 -1097 +1523 5146 +-303 -1729 +-1385 -4431 +-1170 627 +-2192 2376 +2206 2399 +4728 -124 +2796 -2983 +3791 3569 +-236 4896 +-4366 -5853 +-3511 0 +-4366 5853 +-236 -4896 +3791 -3569 +2796 2983 +4728 124 +2206 -2399 +-2192 -2376 +-1170 -627 +-1385 4431 +-303 1729 +1523 -5146 +2425 1097 +3559 64 +280 -3927 +1090 3905 +3511 1170 +-1054 -214 +1123 26 +3403 -2427 +-2425 4123 +-1370 4388 +692 5158 +-1873 169 +-1170 -7648 +621 576 +716 1030 +-2585 -1140 +-2796 -3354 +192 -7495 +-4477 -942 +-4081 -413 +1170 0 +-4081 413 +-4477 942 +192 7495 +-2796 3354 +-2585 1140 +716 -1030 +621 -576 +-1170 7648 +-1873 -169 +692 -5158 +-1370 -4388 +-2425 -4123 +3403 2427 +1123 -26 +-1054 214 +3511 -1170 +1090 -3905 +280 3927 +3559 -64 +2425 -1097 +1523 5146 +-303 -1729 +-1385 -4431 +-1170 627 +-2192 2376 +2206 2399 +4728 -124 +2796 -2983 +3791 3569 +-236 4896 +-4366 -5853 +3511 -3511 +4354 -2369 +4571 3078 +-1313 1531 +-1529 516 +1417 -1138 +-3313 -2995 +-516 -1601 +-485 1312 +-2076 1587 +1177 -2524 +-856 -5367 +-633 -4071 +-3965 2991 +-5762 3813 +681 -4601 +3511 0 +681 4601 +-5762 -3813 +-3965 -2991 +-633 4071 +-856 5367 +1177 2524 +-2076 -1587 +-485 -1312 +-516 1601 +-3313 2995 +1417 1138 +-1529 -516 +-1313 -1531 +4571 -3078 +4354 2369 +3511 3511 +609 -1088 +-3465 2691 +-5610 2158 +1529 -2171 +3110 -3593 +-5007 -3300 +1464 1228 +2825 -3653 +-3470 -5270 +-848 911 +-122 -2673 +633 2416 +720 4008 +3285 -2724 +5574 4455 +3511 0 +5574 -4455 +3285 2724 +720 -4008 +633 -2416 +-122 2673 +-848 -911 +-3470 5270 +2825 3653 +1464 -1228 +-5007 3300 +3110 3593 +1529 2171 +-5610 -2158 +-3465 -2691 +609 1088 +3511 -3511 +4354 -2369 +4571 3078 +-1313 1531 +-1529 516 +1417 -1138 +-3313 -2995 +-516 -1601 +-485 1312 +-2076 1587 +1177 -2524 +-856 -5367 +-633 -4071 +-3965 2991 +-5762 3813 +681 -4601 +4681 -1170 +-2699 975 +1528 -2508 +6513 1026 +2437 642 +566 413 +-1464 -1857 +-191 127 +0 1312 +-4021 -3272 +482 3076 +1514 836 +-1888 -1783 +-244 2678 +-6087 -574 +-6309 3017 +0 0 +-6309 -3017 +-6087 574 +-244 -2678 +-1888 1783 +1514 -836 +482 -3076 +-4021 3272 +0 -1312 +-191 -127 +-1464 1857 +566 -413 +2437 -642 +6513 -1026 +1528 2508 +-2699 -975 +4681 1170 +2089 5104 +-4257 -2965 +-1390 -1137 +-96 1013 +2339 -462 +3836 -557 +180 -6691 +0 -3653 +-796 2825 +-4225 1130 +-2124 1649 +4228 3438 +6066 -256 +825 1722 +-1493 9179 +0 0 +-1493 -9179 +825 -1722 +6066 256 +4228 -3438 +-2124 -1649 +-4225 -1130 +-796 -2825 +0 3653 +180 6691 +3836 557 +2339 462 +-96 -1013 +-1390 1137 +-4257 2965 +2089 -5104 +4681 -1170 +-2699 975 +1528 -2508 +6513 1026 +2437 642 +566 413 +-1464 -1857 +-191 127 +0 1312 +-4021 -3272 +482 3076 +1514 836 +-1888 -1783 +-244 2678 +-6087 -574 +-6309 3017 +2341 -3511 +-91 -1506 +616 -453 +3423 2876 +-275 1909 +2885 1116 +2214 -1591 +-5948 -4896 +-4681 1998 +817 646 +4427 -2112 +4929 2144 +992 1275 +-2228 2909 +-4280 1462 +-1553 -4510 +2341 0 +-1553 4510 +-4280 -1462 +-2228 -2909 +992 -1275 +4929 -2144 +4427 2112 +817 -646 +-4681 -1998 +-5948 4896 +2214 1591 +2885 -1116 +-275 -1909 +3423 -2876 +616 453 +-91 1506 +2341 3511 +-3657 -28 +69 1349 +5374 6908 +-2066 -254 +-1383 -857 +1782 3753 +-3417 4212 +-4681 343 +-2397 -1330 +-432 4274 +-1601 -1886 +-3333 380 +1842 6875 +4965 -566 +3006 2976 +2341 0 +3006 -2976 +4965 566 +1842 -6875 +-3333 -380 +-1601 1886 +-432 -4274 +-2397 1330 +-4681 -343 +-3417 -4212 +1782 -3753 +-1383 857 +-2066 254 +5374 -6908 +69 -1349 +-3657 28 +2341 -3511 +-91 -1506 +616 -453 +3423 2876 +-275 1909 +2885 1116 +2214 -1591 +-5948 -4896 +-4681 1998 +817 646 +4427 -2112 +4929 2144 +992 1275 +-2228 2909 +-4280 1462 +-1553 -4510 +1170 -3511 +-2957 -4227 +182 -220 +2967 -521 +-252 -1013 +-2496 -1437 +254 1386 +629 3549 +1856 -343 +709 910 +-635 3313 +4709 -2531 +4577 -3438 +2959 2535 +2360 5093 +-507 4036 +-1170 0 +-507 -4036 +2360 -5093 +2959 -2535 +4577 3438 +4709 2531 +-635 -3313 +709 -910 +1856 343 +629 -3549 +254 -1386 +-2496 1437 +-252 1013 +2967 521 +182 220 +-2957 4227 +1170 3511 +279 -4048 +-5969 -1224 +-3767 2604 +-6368 -642 +-2791 3583 +3385 3117 +-883 -5762 +5166 -1998 +560 2217 +-4376 -3491 +5680 -2216 +2043 1783 +-640 -726 +-4564 -1857 +-4450 -352 +3511 0 +-4450 352 +-4564 1857 +-640 726 +2043 -1783 +5680 2216 +-4376 3491 +560 -2217 +5166 1998 +-883 5762 +3385 -3117 +-2791 -3583 +-6368 642 +-3767 -2604 +-5969 1224 +279 4048 +1170 -3511 +-2957 -4227 +182 -220 +2967 -521 +-252 -1013 +-2496 -1437 +254 1386 +629 3549 +1856 -343 +709 910 +-635 3313 +4709 -2531 +4577 -3438 +2959 2535 +2360 5093 +-507 4036 +-1170 -4681 +-4158 -2798 +-922 -4055 +4815 -4366 +1715 -2094 +883 -2525 +2397 4317 +694 2990 +343 -5851 +-433 -1562 +3177 -364 +2384 -2187 +-1977 -3886 +2635 -2800 +-1303 626 +-5725 -5473 +-2341 0 +-5725 5473 +-1303 -626 +2635 2800 +-1977 3886 +2384 2187 +3177 364 +-433 1562 +343 5851 +694 -2990 +2397 -4317 +883 2525 +1715 2094 +4815 4366 +-922 4055 +-4158 2798 +-1170 4681 +205 2081 +-733 -4055 +-1386 -3866 +-1715 439 +-4312 2007 +-742 4317 +3260 -3734 +1998 -5851 +4387 5499 +-1522 -364 +-5813 -3013 +1977 2231 +794 -751 +-352 626 +1771 75 +0 0 +1771 -75 +-352 -626 +794 751 +1977 -2231 +-5813 3013 +-1522 364 +4387 -5499 +1998 5851 +3260 3734 +-742 -4317 +-4312 -2007 +-1715 -439 +-1386 3866 +-733 4055 +205 -2081 +-1170 -4681 +-4158 -2798 +-922 -4055 +4815 -4366 +1715 -2094 +883 -2525 +2397 4317 +694 2990 +343 -5851 +-433 -1562 +3177 -364 +2384 -2187 +-1977 -3886 +2635 -2800 +-1303 626 +-5725 -5473 +4681 -3511 +6 -1781 +1605 -738 +2226 981 +-6078 -173 +-5211 -2129 +-615 -1795 +3710 -2537 +2825 1513 +-3258 5100 +-996 842 +1123 -358 +-1483 2073 +-2420 3111 +462 3124 +2795 964 +2341 0 +2795 -964 +462 -3124 +-2420 -3111 +-1483 -2073 +1123 358 +-996 -842 +-3258 -5100 +2825 -1513 +3710 2537 +-615 1795 +-5211 2129 +-6078 173 +2226 -981 +1605 738 +6 1781 +4681 3511 +5095 2125 +2601 -199 +-4842 73 +-1228 2514 +1387 7952 +-4857 3747 +1424 -2626 +-485 3168 +-4682 2053 +-152 -261 +1925 3019 +4108 -4414 +-807 -2477 +1952 3930 +1531 -4287 +-7022 0 +1531 4287 +1952 -3930 +-807 2477 +4108 4414 +1925 -3019 +-152 261 +-4682 -2053 +-485 -3168 +1424 2626 +-4857 -3747 +1387 -7952 +-1228 -2514 +-4842 -73 +2601 199 +5095 -2125 +4681 -3511 +6 -1781 +1605 -738 +2226 981 +-6078 -173 +-5211 -2129 +-615 -1795 +3710 -2537 +2825 1513 +-3258 5100 +-996 842 +1123 -358 +-1483 2073 +-2420 3111 +462 3124 +2795 964 +-2341 1170 +-2776 315 +-5284 1377 +127 3261 +1296 -1993 +3635 -2182 +3308 -3602 +-2603 -2785 +1655 343 +1031 -5389 +-4850 -2656 +-2375 1073 +-1118 -820 +-77 -2344 +-259 -2067 +-2437 5072 +-2341 0 +-2437 -5072 +-259 2067 +-77 2344 +-1118 820 +-2375 -1073 +-4850 2656 +1031 5389 +1655 -343 +-2603 2785 +3308 3602 +3635 2182 +1296 1993 +127 -3261 +-5284 -1377 +-2776 -315 +-2341 -1170 +-5131 -1171 +570 -6300 +4379 -3526 +4354 5019 +2244 1307 +-386 -5478 +-1778 2082 +-1655 1998 +4364 -3305 +5239 4877 +1598 -577 +149 -5516 +-2911 708 +1662 -918 +2710 2063 +-2341 0 +2710 -2063 +1662 918 +-2911 -708 +149 5516 +1598 577 +5239 -4877 +4364 3305 +-1655 -1998 +-1778 -2082 +-386 5478 +2244 -1307 +4354 -5019 +4379 3526 +570 6300 +-5131 1171 +-2341 1170 +-2776 315 +-5284 1377 +127 3261 +1296 -1993 +3635 -2182 +3308 -3602 +-2603 -2785 +1655 343 +1031 -5389 +-4850 -2656 +-2375 1073 +-1118 -820 +-77 -2344 +-259 -2067 +-2437 5072 +5851 1170 +-5811 -1571 +-3539 -373 +2041 921 +3155 2691 +3986 1224 +-573 -1656 +2414 -533 +-485 -343 +-2591 -3660 +-728 -5678 +-7085 -2245 +-2962 1508 +1831 -1460 +1243 -1170 +-1374 4055 +-5851 0 +-1374 -4055 +1243 1170 +1831 1460 +-2962 -1508 +-7085 2245 +-728 5678 +-2591 3660 +-485 343 +2414 533 +-573 1656 +3986 -1224 +3155 -2691 +2041 -921 +-3539 373 +-5811 1571 +5851 -1170 +1719 -2632 +-786 3116 +2100 2465 +-5495 -2005 +-3903 1059 +-1219 1698 +969 -118 +2825 -1998 +-519 -6774 +2519 -2271 +1663 1574 +621 -5504 +5988 -849 +3082 5285 +-1427 -2058 +-1170 0 +-1427 2058 +3082 -5285 +5988 849 +621 5504 +1663 -1574 +2519 2271 +-519 6774 +2825 1998 +969 118 +-1219 -1698 +-3903 -1059 +-5495 2005 +2100 -2465 +-786 -3116 +1719 2632 +5851 1170 +-5811 -1571 +-3539 -373 +2041 921 +3155 2691 +3986 1224 +-573 -1656 +2414 -533 +-485 -343 +-2591 -3660 +-728 -5678 +-7085 -2245 +-2962 1508 +1831 -1460 +1243 -1170 +-1374 4055 +3511 2341 +2041 3024 +-683 -1058 +-2677 2961 +-5630 -1529 +359 -4857 +3280 -1940 +638 -1049 +1513 -2341 +4813 -3381 +-1055 -636 +-5387 276 +-402 633 +-3360 3406 +-193 2068 +2738 -194 +-2341 0 +2738 194 +-193 -2068 +-3360 -3406 +-402 -633 +-5387 -276 +-1055 636 +4813 3381 +1513 2341 +638 1049 +3280 1940 +359 4857 +-5630 1529 +-2677 -2961 +-683 1058 +2041 -3024 +3511 -2341 +-1742 8893 +2457 1776 +1229 -5089 +-1676 1529 +4424 5508 +2329 5548 +2641 -1025 +3168 -2341 +-457 649 +-1244 -438 +-914 2587 +3027 -633 +-293 -579 +-4891 3331 +-4051 -4531 +-4681 0 +-4051 4531 +-4891 -3331 +-293 579 +3027 633 +-914 -2587 +-1244 438 +-457 -649 +3168 2341 +2641 1025 +2329 -5548 +4424 -5508 +-1676 -1529 +1229 5089 +2457 -1776 +-1742 -8893 +3511 2341 +2041 3024 +-683 -1058 +-2677 2961 +-5630 -1529 +359 -4857 +3280 -1940 +638 -1049 +1513 -2341 +4813 -3381 +-1055 -636 +-5387 276 +-402 633 +-3360 3406 +-193 2068 +2738 -194 +4681 3511 +4348 5133 +2754 -807 +-8458 -1873 +-5456 2103 +3653 3262 +-4782 1932 +-3750 491 +-1170 828 +576 -2695 +5418 -3274 +3263 2702 +1671 574 +-2279 -1813 +2377 367 +3050 -2037 +-4681 0 +3050 2037 +2377 -367 +-2279 1813 +1671 -574 +3263 -2702 +5418 3274 +576 2695 +-1170 -828 +-3750 -491 +-4782 -1932 +3653 -3262 +-5456 -2103 +-8458 1873 +2754 807 +4348 -5133 +4681 -3511 +-1878 -3128 +-2187 -4592 +2423 1125 +-4190 1207 +-1689 -1321 +1513 -858 +-3247 -3903 +-1170 -828 +1824 2593 +-779 -333 +-1678 2549 +-1387 2736 +-1854 -2245 +5047 -1085 +5699 732 +0 0 +5699 -732 +5047 1085 +-1854 2245 +-1387 -2736 +-1678 -2549 +-779 333 +1824 -2593 +-1170 828 +-3247 3903 +1513 858 +-1689 1321 +-4190 -1207 +2423 -1125 +-2187 4592 +-1878 3128 +4681 3511 +4348 5133 +2754 -807 +-8458 -1873 +-5456 2103 +3653 3262 +-4782 1932 +-3750 491 +-1170 828 +576 -2695 +5418 -3274 +3263 2702 +1671 574 +-2279 -1813 +2377 367 +3050 -2037 +-2341 -4681 +-3699 1649 +-2100 3903 +996 1242 +5572 -1587 +7562 2151 +-2015 1131 +-3804 -406 +4823 6821 +980 -331 +-3604 -4968 +1505 427 +5681 -4645 +5485 -2976 +38 2045 +-1485 -1958 +1170 0 +-1485 1958 +38 -2045 +5485 2976 +5681 4645 +1505 -427 +-3604 4968 +980 331 +4823 -6821 +-3804 406 +-2015 -1131 +7562 -2151 +5572 1587 +996 -1242 +-2100 -3903 +-3699 -1649 +-2341 4681 +-2044 2709 +-1644 1570 +-3938 2320 +-3231 -3378 +2798 -427 +-714 1283 +-4150 1518 +-142 201 +-1438 -4673 +-1658 763 +-920 -1237 +-3340 -320 +-247 4005 +2334 -3192 +2399 200 +3511 0 +2399 -200 +2334 3192 +-247 -4005 +-3340 320 +-920 1237 +-1658 -763 +-1438 4673 +-142 -201 +-4150 -1518 +-714 -1283 +2798 427 +-3231 3378 +-3938 -2320 +-1644 -1570 +-2044 -2709 +-2341 -4681 +-3699 1649 +-2100 3903 +996 1242 +5572 -1587 +7562 2151 +-2015 1131 +-3804 -406 +4823 6821 +980 -331 +-3604 -4968 +1505 427 +5681 -4645 +5485 -2976 +38 2045 +-1485 -1958 +-3511 -2341 +2854 3790 +3650 4591 +-1080 207 +933 -343 +4456 -182 +-538 -5669 +-911 2328 +2967 10817 +-1228 534 +1542 -3030 +3107 -1651 +-1744 1998 +3024 4146 +974 -2051 +-1802 510 +2341 0 +-1802 -510 +974 2051 +3024 -4146 +-1744 -1998 +3107 1651 +1542 3030 +-1228 -534 +2967 -10817 +-911 -2328 +-538 5669 +4456 182 +933 343 +-1080 -207 +3650 -4591 +2854 -3790 +-3511 2341 +-441 2076 +2000 850 +-2025 2950 +37 -343 +-437 -606 +-431 481 +1099 1484 +-5308 886 +-5077 -1046 +-2511 3894 +-4593 2653 +-3907 1998 +-2452 803 +4677 -5181 +5506 1030 +0 0 +5506 -1030 +4677 5181 +-2452 -803 +-3907 -1998 +-4593 -2653 +-2511 -3894 +-5077 1046 +-5308 -886 +1099 -1484 +-431 -481 +-437 606 +37 343 +-2025 -2950 +2000 -850 +-441 -2076 +-3511 -2341 +2854 3790 +3650 4591 +-1080 207 +933 -343 +4456 -182 +-538 -5669 +-911 2328 +2967 10817 +-1228 534 +1542 -3030 +3107 -1651 +-1744 1998 +3024 4146 +974 -2051 +-1802 510 +-1170 4681 +-2426 -4929 +-4989 -2757 +-4181 3127 +-448 3675 +764 -797 +4237 641 +1240 -944 +-4338 -1170 +1676 4183 +3372 -75 +-1347 928 +-1081 -2272 +-1689 -5092 +-1432 794 +-964 -6323 +-2341 0 +-964 6323 +-1432 -794 +-1689 5092 +-1081 2272 +-1347 -928 +3372 75 +1676 -4183 +-4338 1170 +1240 944 +4237 -641 +764 797 +-448 -3675 +-4181 -3127 +-4989 2757 +-2426 4929 +-1170 -4681 +1346 -3471 +1350 5994 +1442 -1972 +448 -649 +-3935 4578 +-2033 -819 +-1441 -229 +-2683 -1170 +3627 487 +2415 4578 +-3116 47 +1081 -4064 +5443 -3180 +6443 -2239 +3562 -2854 +0 0 +3562 2854 +6443 2239 +5443 3180 +1081 4064 +-3116 -47 +2415 -4578 +3627 -487 +-2683 1170 +-1441 229 +-2033 819 +-3935 -4578 +448 649 +1442 1972 +1350 -5994 +1346 3471 +-1170 4681 +-2426 -4929 +-4989 -2757 +-4181 3127 +-448 3675 +764 -797 +4237 641 +1240 -944 +-4338 -1170 +1676 4183 +3372 -75 +-1347 928 +-1081 -2272 +-1689 -5092 +-1432 794 +-964 -6323 +-2341 2341 +-1874 2497 +3519 -2651 +1231 -1004 +2678 252 +4208 3061 +-4276 -835 +-3513 -4742 +1998 1655 +-276 101 +-2894 -315 +-548 2909 +3175 4577 +266 6374 +-4154 2111 +-4060 347 +-3511 0 +-4060 -347 +-4154 -2111 +266 -6374 +3175 -4577 +-548 -2909 +-2894 315 +-276 -101 +1998 -1655 +-3513 4742 +-4276 835 +4208 -3061 +2678 -252 +1231 1004 +3519 2651 +-1874 -2497 +-2341 -2341 +-84 -2453 +298 -4984 +-1384 5234 +-4333 6368 +3211 -428 +3517 -684 +-2989 -1797 +343 -1655 +-1634 4157 +343 5416 +4075 -2424 +-1520 2043 +2182 5071 +3646 -3126 +1189 1132 +3511 0 +1189 -1132 +3646 3126 +2182 -5071 +-1520 -2043 +4075 2424 +343 -5416 +-1634 -4157 +343 1655 +-2989 1797 +3517 684 +3211 428 +-4333 -6368 +-1384 -5234 +298 4984 +-84 2453 +-2341 2341 +-1874 2497 +3519 -2651 +1231 -1004 +2678 252 +4208 3061 +-4276 -835 +-3513 -4742 +1998 1655 +-276 101 +-2894 -315 +-548 2909 +3175 4577 +266 6374 +-4154 2111 +-4060 347 +-4681 0 +2104 -1775 +4658 2355 +1179 -3946 +2572 -1222 +1632 4447 +1300 2891 +-1144 2952 +-5993 -686 +-545 2212 +2227 5079 +-2474 2325 +-864 6695 +1204 6626 +499 -1349 +964 -4387 +1170 0 +964 4387 +499 1349 +1204 -6626 +-864 -6695 +-2474 -2325 +2227 -5079 +-545 -2212 +-5993 686 +-1144 -2952 +1300 -2891 +1632 -4447 +2572 1222 +1179 3946 +4658 -2355 +2104 1775 +-4681 0 +-2293 -4206 +-4480 1092 +-4318 2278 +4734 -2489 +3066 -4125 +145 3089 +2095 -450 +-1028 -3996 +-405 2082 +1009 -2409 +-2225 2322 +-1760 3637 +1935 -3969 +4004 1485 +-775 197 +-5851 0 +-775 -197 +4004 -1485 +1935 3969 +-1760 -3637 +-2225 -2322 +1009 2409 +-405 -2082 +-1028 3996 +2095 450 +145 -3089 +3066 4125 +4734 2489 +-4318 -2278 +-4480 -1092 +-2293 4206 +-4681 0 +2104 -1775 +4658 2355 +1179 -3946 +2572 -1222 +1632 4447 +1300 2891 +-1144 2952 +-5993 -686 +-545 2212 +2227 5079 +-2474 2325 +-864 6695 +1204 6626 +499 -1349 +964 -4387 +-1170 1170 +1179 -888 +1396 -463 +3271 -4638 +4080 -1646 +3444 -396 +-1478 -3731 +-5171 338 +-485 -1028 +3351 -1398 +527 1412 +-3153 -3198 +1141 -4967 +7777 1177 +3259 1870 +-1856 -4146 +-1170 0 +-1856 4146 +3259 -1870 +7777 -1177 +1141 4967 +-3153 3198 +527 -1412 +3351 1398 +-485 1028 +-5171 -338 +-1478 3731 +3444 396 +4080 1646 +3271 4638 +1396 463 +1179 888 +-1170 -1170 +-3627 -2804 +-1007 -5010 +-1395 -5162 +-770 -9 +106 -2186 +-3235 1317 +2407 -659 +2825 -5993 +-5416 3225 +876 2794 +1897 2051 +-4451 3312 +1291 -181 +-337 -722 +-4107 -6761 +-1170 0 +-4107 6761 +-337 722 +1291 181 +-4451 -3312 +1897 -2051 +876 -2794 +-5416 -3225 +2825 5993 +2407 659 +-3235 -1317 +106 2186 +-770 9 +-1395 5162 +-1007 5010 +-3627 2804 +-1170 1170 +1179 -888 +1396 -463 +3271 -4638 +4080 -1646 +3444 -396 +-1478 -3731 +-5171 338 +-485 -1028 +3351 -1398 +527 1412 +-3153 -3198 +1141 -4967 +7777 1177 +3259 1870 +-1856 -4146 +-2341 2341 +4696 4580 +34 3039 +873 1270 +-1356 -565 +-3467 102 +4558 1508 +-1605 -2589 +-3168 -3511 +630 334 +-2326 3925 +-1203 2835 +1440 -4519 +7173 -1635 +1280 6209 +-2614 2456 +3511 0 +-2614 -2456 +1280 -6209 +7173 1635 +1440 4519 +-1203 -2835 +-2326 -3925 +630 -334 +-3168 3511 +-1605 2589 +4558 -1508 +-3467 -102 +-1356 565 +873 -1270 +34 -3039 +4696 -4580 +-2341 -2341 +-3340 -3027 +-2406 -2396 +-5704 3069 +-985 -1090 +-1378 -4223 +704 -1389 +4636 -5725 +-1513 -3511 +-1870 4088 +5055 2814 +1723 2198 +-3781 2864 +1983 1887 +2464 1054 +-533 -1408 +1170 0 +-533 1408 +2464 -1054 +1983 -1887 +-3781 -2864 +1723 -2198 +5055 -2814 +-1870 -4088 +-1513 3511 +4636 5725 +704 1389 +-1378 4223 +-985 1090 +-5704 -3069 +-2406 2396 +-3340 3027 +-2341 2341 +4696 4580 +34 3039 +873 1270 +-1356 -565 +-3467 102 +4558 1508 +-1605 -2589 +-3168 -3511 +630 334 +-2326 3925 +-1203 2835 +1440 -4519 +7173 -1635 +1280 6209 +-2614 2456 +0 -4681 +-1340 -2383 +-3390 80 +45 2910 +528 1625 +1389 -3983 +4027 -1617 +1826 295 +343 1655 +6646 954 +-1035 -6164 +-8259 -1235 +-612 3595 +-6230 -3327 +-4423 -4225 +2392 -1427 +-1170 0 +2392 1427 +-4423 4225 +-6230 3327 +-612 -3595 +-8259 1235 +-1035 6164 +6646 -954 +343 -1655 +1826 -295 +4027 1617 +1389 3983 +528 -1625 +45 -2910 +-3390 -80 +-1340 2383 +0 4681 +-4444 1793 +-99 -216 +2116 -3160 +157 -3966 +-341 -159 +-2161 -1053 +956 29 +1998 -1655 +3309 -4955 +1109 184 +-1942 -1115 +4608 -1254 +-17 4868 +-3390 779 +3896 -3487 +5851 0 +3896 3487 +-3390 -779 +-17 -4868 +4608 1254 +-1942 1115 +1109 -184 +3309 4955 +1998 1655 +956 -29 +-2161 1053 +-341 159 +157 3966 +2116 3160 +-99 216 +-4444 -1793 +0 -4681 +-1340 -2383 +-3390 80 +45 2910 +528 1625 +1389 -3983 +4027 -1617 +1826 295 +343 1655 +6646 954 +-1035 -6164 +-8259 -1235 +-612 3595 +-6230 -3327 +-4423 -4225 +2392 -1427 +-1170 0 +-7 -5984 +5833 -1747 +-2926 1724 +-1909 -1296 +1287 1340 +-2194 4163 +5732 -1030 +2483 -3996 +-522 70 +1111 2622 +-2841 -196 +1275 -1118 +-1071 2146 +339 11 +449 -4641 +-7022 0 +449 4641 +339 -11 +-1071 -2146 +1275 1118 +-2841 196 +1111 -2622 +-522 -70 +2483 3996 +5732 1030 +-2194 -4163 +1287 -1340 +-1909 1296 +-2926 -1724 +5833 1747 +-7 5984 +-1170 0 +2118 -3211 +-553 -2248 +-29 -5809 +254 -4354 +-996 -502 +-3996 -3477 +-6373 -2650 +-2483 -686 +4473 -5269 +3140 -3307 +-760 2048 +380 149 +716 1404 +5683 3985 +749 547 +-9362 0 +749 -547 +5683 -3985 +716 -1404 +380 -149 +-760 -2048 +3140 3307 +4473 5269 +-2483 686 +-6373 2650 +-3996 3477 +-996 502 +254 4354 +-29 5809 +-553 2248 +2118 3211 +-1170 0 +-7 -5984 +5833 -1747 +-2926 1724 +-1909 -1296 +1287 1340 +-2194 4163 +5732 -1030 +2483 -3996 +-522 70 +1111 2622 +-2841 -196 +1275 -1118 +-1071 2146 +339 11 +449 -4641 +1170 2341 +2304 2255 +-356 3484 +129 -1296 +-2131 -2162 +263 1638 +3865 1772 +-3060 834 +-3168 -1655 +2815 -3901 +220 -2122 +3480 3392 +7792 -896 +666 -7367 +-1025 -1081 +2398 2026 +2341 0 +2398 -2026 +-1025 1081 +666 7367 +7792 896 +3480 -3392 +220 2122 +2815 3901 +-3168 1655 +-3060 -834 +3865 -1772 +263 -1638 +-2131 2162 +129 1296 +-356 -3484 +2304 -2255 +1170 -2341 +-5359 -2217 +-5253 -2515 +-2582 -134 +-493 2162 +2254 -888 +1220 3879 +53 1563 +-1513 1655 +-4909 7461 +-1994 -3529 +1638 -767 +-486 896 +772 -8170 +3324 112 +-862 1917 +-4681 0 +-862 -1917 +3324 -112 +772 8170 +-486 -896 +1638 767 +-1994 3529 +-4909 -7461 +-1513 -1655 +53 -1563 +1220 -3879 +2254 888 +-493 -2162 +-2582 134 +-5253 2515 +-5359 2217 +1170 2341 +2304 2255 +-356 3484 +129 -1296 +-2131 -2162 +263 1638 +3865 1772 +-3060 834 +-3168 -1655 +2815 -3901 +220 -2122 +3480 3392 +7792 -896 +666 -7367 +-1025 -1081 +2398 2026 +5851 0 +-4600 1116 +-671 2675 +787 1384 +-2006 -3982 +6827 -1492 +1718 1692 +-869 1833 +4338 -1170 +537 -2798 +-5225 -608 +-9403 -4427 +-3655 -3789 +1386 519 +-1745 -819 +-280 873 +2341 0 +-280 -873 +-1745 819 +1386 -519 +-3655 3789 +-9403 4427 +-5225 608 +537 2798 +4338 1170 +-869 -1833 +1718 -1692 +6827 1492 +-2006 3982 +787 -1384 +-671 -2675 +-4600 -1116 +5851 0 +1621 3648 +-3461 -1916 +-2474 -2314 +-3644 4668 +1656 -83 +266 -2200 +-1121 1092 +2683 -1170 +1454 -4718 +-1440 -3209 +919 2110 +4624 -206 +302 -2190 +1196 -1732 +3259 -6550 +0 0 +3259 6550 +1196 1732 +302 2190 +4624 206 +919 -2110 +-1440 3209 +1454 4718 +2683 1170 +-1121 -1092 +266 2200 +1656 83 +-3644 -4668 +-2474 2314 +-3461 1916 +1621 -3648 +5851 0 +-4600 1116 +-671 2675 +787 1384 +-2006 -3982 +6827 -1492 +1718 1692 +-869 1833 +4338 -1170 +537 -2798 +-5225 -608 +-9403 -4427 +-3655 -3789 +1386 519 +-1745 -819 +-280 873 +1170 2341 +-625 4266 +1080 -2743 +-2688 -6046 +-6069 4423 +2654 940 +1391 249 +-3100 3660 +1998 -1170 +2523 2157 +3144 4536 +3829 3576 +1828 -3139 +3637 -3542 +524 3812 +-2100 -3682 +0 0 +-2100 3682 +524 -3812 +3637 3542 +1828 3139 +3829 -3576 +3144 -4536 +2523 -2157 +1998 1170 +-3100 -3660 +1391 -249 +2654 -940 +-6069 -4423 +-2688 6046 +1080 2743 +-625 -4266 +1170 -2341 +-3141 98 +-3124 3058 +2280 -1229 +418 -427 +3549 3478 +4978 976 +-1530 -1063 +343 -1170 +1330 4107 +-2892 4680 +-605 1263 +-859 2453 +-6035 -571 +-5100 -4869 +22 -4271 +2341 0 +22 4271 +-5100 4869 +-6035 571 +-859 -2453 +-605 -1263 +-2892 -4680 +1330 -4107 +343 1170 +-1530 1063 +4978 -976 +3549 -3478 +418 427 +2280 1229 +-3124 -3058 +-3141 -98 +1170 2341 +-625 4266 +1080 -2743 +-2688 -6046 +-6069 4423 +2654 940 +1391 249 +-3100 3660 +1998 -1170 +2523 2157 +3144 4536 +3829 3576 +1828 -3139 +3637 -3542 +524 3812 +-2100 -3682 +0 0 +-2378 -2528 +-1471 -1807 +-486 -785 +-4055 -2894 +-2394 4221 +1893 1181 +-201 -2240 +-4138 2140 +-3682 -1163 +726 1472 +1260 595 +-364 2505 +491 6643 +-1395 -3918 +-98 -4826 +3511 0 +-98 4826 +-1395 3918 +491 -6643 +-364 -2505 +1260 -595 +726 -1472 +-3682 1163 +-4138 -2140 +-201 2240 +1893 -1181 +-2394 -4221 +-4055 2894 +-486 785 +-1471 1807 +-2378 2528 +0 0 +2039 -235 +1471 32 +-3266 3125 +-626 -1102 +3167 467 +-1893 4428 +2228 -5052 +4138 -4480 +-4462 2876 +-726 827 +501 -2380 +-4317 -1820 +727 -1413 +1395 -1166 +6554 1707 +15214 0 +6554 -1707 +1395 1166 +727 1413 +-4317 1820 +501 2380 +-726 -827 +-4462 -2876 +4138 4480 +2228 5052 +-1893 -4428 +3167 -467 +-626 1102 +-3266 -3125 +1471 -32 +2039 235 +0 0 +-2378 -2528 +-1471 -1807 +-486 -785 +-4055 -2894 +-2394 4221 +1893 1181 +-201 -2240 +-4138 2140 +-3682 -1163 +726 1472 +1260 595 +-364 2505 +491 6643 +-1395 -3918 +-98 -4826 +-1170 4681 +-2169 -3841 +-1382 3020 +-2303 3356 +-2468 -3333 +-1053 3760 +2615 3188 +-2017 1703 +-2483 3310 +1121 411 +2344 2485 +2579 518 +-5009 275 +-4913 4544 +857 2145 +3978 1371 +7022 0 +3978 -1371 +857 -2145 +-4913 -4544 +-5009 -275 +2579 -518 +2344 -2485 +1121 -411 +-2483 -3310 +-2017 -1703 +2615 -3188 +-1053 -3760 +-2468 3333 +-2303 -3356 +-1382 -3020 +-2169 3841 +-1170 -4681 +6718 -1194 +1560 3782 +-3138 -2088 +2752 992 +2916 -869 +-1170 -4650 +-3661 -1438 +2483 -3310 +4285 -1664 +892 4044 +897 3388 +-4638 2066 +-1606 4359 +3646 3285 +-1634 -1305 +-4681 0 +-1634 1305 +3646 -3285 +-1606 -4359 +-4638 -2066 +897 -3388 +892 -4044 +4285 1664 +2483 3310 +-3661 1438 +-1170 4650 +2916 869 +2752 -992 +-3138 2088 +1560 -3782 +6718 1194 +-1170 4681 +-2169 -3841 +-1382 3020 +-2303 3356 +-2468 -3333 +-1053 3760 +2615 3188 +-2017 1703 +-2483 3310 +1121 411 +2344 2485 +2579 518 +-5009 275 +-4913 4544 +857 2145 +3978 1371 +-4681 4681 +-3796 -2251 +1975 3520 +-2251 9289 +-3095 439 +-1434 210 +-1801 -133 +275 -519 +-828 2140 +-320 -4339 +-177 -1946 +-97 2301 +2640 2231 +-419 3302 +599 -1135 +4328 -619 +3511 0 +4328 619 +599 1135 +-419 -3302 +2640 -2231 +-97 -2301 +-177 1946 +-320 4339 +-828 -2140 +275 519 +-1801 133 +-1434 -210 +-3095 -439 +-2251 -9289 +1975 -3520 +-3796 2251 +-4681 -4681 +284 -2274 +-320 3026 +1098 -1712 +2126 -2094 +2005 4575 +146 3265 +-987 1536 +828 -4480 +-4069 -8751 +-1478 3139 +7161 1321 +3011 -3886 +558 370 +1056 -3620 +-2335 -2031 +-3511 0 +-2335 2031 +1056 3620 +558 -370 +3011 3886 +7161 -1321 +-1478 -3139 +-4069 8751 +828 4480 +-987 -1536 +146 -3265 +2005 -4575 +2126 2094 +1098 1712 +-320 -3026 +284 2274 +-4681 4681 +-3796 -2251 +1975 3520 +-2251 9289 +-3095 439 +-1434 210 +-1801 -133 +275 -519 +-828 2140 +-320 -4339 +-177 -1946 +-97 2301 +2640 2231 +-419 3302 +599 -1135 +4328 -619 +0 -2341 +3091 5791 +1768 1497 +2642 -1511 +-186 3349 +130 -2969 +-148 -8213 +-4902 -3138 +3168 -1170 +-404 846 +-4126 2009 +5137 -125 +2610 2260 +3240 2048 +-1122 -117 +-1142 2454 +8192 0 +-1142 -2454 +-1122 117 +3240 -2048 +2610 -2260 +5137 125 +-4126 -2009 +-404 -846 +3168 1170 +-4902 3138 +-148 8213 +130 2969 +-186 -3349 +2642 1511 +1768 -1497 +3091 -5791 +0 2341 +-198 5058 +468 2870 +-1410 2233 +186 -4034 +-1450 -4363 +-2444 2307 +1184 1548 +1513 -1170 +-5304 -3451 +-4583 -6544 +2026 -2104 +-2610 1735 +-3695 -2845 +824 -3508 +1056 760 +1170 0 +1056 -760 +824 3508 +-3695 2845 +-2610 -1735 +2026 2104 +-4583 6544 +-5304 3451 +1513 1170 +1184 -1548 +-2444 -2307 +-1450 4363 +186 4034 +-1410 -2233 +468 -2870 +-198 -5058 +0 -2341 +3091 5791 +1768 1497 +2642 -1511 +-186 3349 +130 -2969 +-148 -8213 +-4902 -3138 +3168 -1170 +-404 846 +-4126 2009 +5137 -125 +2610 2260 +3240 2048 +-1122 -117 +-1142 2454 +3511 2341 +-1827 259 +-3874 -2072 +3397 2821 +1081 -1090 +-284 -437 +3411 4367 +4621 62 +9303 1170 +3263 362 +-5842 -4632 +-2498 -2867 +-448 2864 +-120 -22 +-274 -4391 +-1007 2254 +0 0 +-1007 -2254 +-274 4391 +-120 22 +-448 -2864 +-2498 2867 +-5842 4632 +3263 -362 +9303 -1170 +4621 -62 +3411 -4367 +-284 437 +1081 1090 +3397 -2821 +-3874 2072 +-1827 -259 +3511 -2341 +2936 -3161 +2664 879 +-487 8080 +-1081 -565 +62 -304 +-24 2179 +-313 -4017 +-2282 1170 +-2469 1527 +-5537 -123 +-4916 -681 +448 -4519 +-1775 1496 +113 1259 +1417 -5933 +-2341 0 +1417 5933 +113 -1259 +-1775 -1496 +448 4519 +-4916 681 +-5537 123 +-2469 -1527 +-2282 -1170 +-313 4017 +-24 -2179 +62 304 +-1081 565 +-487 -8080 +2664 -879 +2936 3161 +3511 2341 +-1827 259 +-3874 -2072 +3397 2821 +1081 -1090 +-284 -437 +3411 4367 +4621 62 +9303 1170 +3263 362 +-5842 -4632 +-2498 -2867 +-448 2864 +-120 -22 +-274 -4391 +-1007 2254 +-1170 3511 +2676 -4596 +1765 177 +-532 -1148 +1707 -2857 +-854 -1127 +-1349 579 +631 5230 +-201 1998 +794 -1886 +937 -2618 +3003 1057 +3870 6412 +-2490 347 +-2652 -2613 +1214 2455 +1170 0 +1214 -2455 +-2652 2613 +-2490 -347 +3870 -6412 +3003 -1057 +937 2618 +794 1886 +-201 -1998 +631 -5230 +-1349 -579 +-854 1127 +1707 2857 +-532 1148 +1765 -177 +2676 4596 +-1170 -3511 +2884 -3287 +6006 6562 +3912 2682 +2974 -170 +453 -675 +-2839 -1223 +-7879 -1787 +-6821 343 +2129 648 +-58 -4646 +-4393 1822 +812 -76 +901 -3494 +-1809 2732 +-2449 -5657 +-3511 0 +-2449 5657 +-1809 -2732 +901 3494 +812 76 +-4393 -1822 +-58 4646 +2129 -648 +-6821 -343 +-7879 1787 +-2839 1223 +453 675 +2974 170 +3912 -2682 +6006 -6562 +2884 3287 +-1170 3511 +2676 -4596 +1765 177 +-532 -1148 +1707 -2857 +-854 -1127 +-1349 579 +631 5230 +-201 1998 +794 -1886 +937 -2618 +3003 1057 +3870 6412 +-2490 347 +-2652 -2613 +1214 2455 +0 -5851 +-222 1940 +-2075 2422 +3974 1306 +3789 -341 +-2474 1836 +-1389 2022 +267 -2466 +1170 4823 +1295 6775 +-4198 5650 +-2530 6601 +4668 -2959 +1454 -1765 +-3018 5104 +-85 167 +2341 0 +-85 -167 +-3018 -5104 +1454 1765 +4668 2959 +-2530 -6601 +-4198 -5650 +1295 -6775 +1170 -4823 +267 2466 +-1389 -2022 +-2474 -1836 +3789 341 +3974 -1306 +-2075 -2422 +-222 -1940 +0 5851 +-3516 2231 +-1162 772 +807 3438 +206 -8620 +445 -4906 +1211 1915 +2825 -1390 +1170 -142 +-804 -2219 +-305 1597 +-4090 1275 +-3982 -1321 +2415 4213 +1573 1401 +240 -824 +2341 0 +240 824 +1573 -1401 +2415 -4213 +-3982 1321 +-4090 -1275 +-305 -1597 +-804 2219 +1170 142 +2825 1390 +1211 -1915 +445 4906 +206 8620 +807 -3438 +-1162 -772 +-3516 -2231 +0 -5851 +-222 1940 +-2075 2422 +3974 1306 +3789 -341 +-2474 1836 +-1389 2022 +267 -2466 +1170 4823 +1295 6775 +-4198 5650 +-2530 6601 +4668 -2959 +1454 -1765 +-3018 5104 +-85 167 +-2341 -1170 +-8371 -5587 +-2431 -3636 +-45 4320 +-126 -2057 +1849 -1754 +-1704 4098 +4440 -1310 +5651 -343 +87 8136 +651 4207 +-1152 -4365 +2288 21 +4575 2271 +1835 -4663 +951 -4380 +0 0 +951 4380 +1835 4663 +4575 -2271 +2288 -21 +-1152 4365 +651 -4207 +87 -8136 +5651 343 +4440 1310 +-1704 -4098 +1849 1754 +-126 2057 +-45 -4320 +-2431 3636 +-8371 5587 +-2341 1170 +1072 3183 +1165 -1196 +-178 -858 +-3184 1372 +-2120 -1378 +-1354 244 +-539 -3644 +-969 -1998 +2127 2536 +2408 -3174 +-1110 1382 +1022 3975 +-1819 -2539 +-568 -3479 +232 -5000 +-4681 0 +232 5000 +-568 3479 +-1819 2539 +1022 -3975 +-1110 -1382 +2408 3174 +2127 -2536 +-969 1998 +-539 3644 +-1354 -244 +-2120 1378 +-3184 -1372 +-178 858 +1165 1196 +1072 -3183 +-2341 -1170 +-8371 -5587 +-2431 -3636 +-45 4320 +-126 -2057 +1849 -1754 +-1704 4098 +4440 -1310 +5651 -343 +87 8136 +651 4207 +-1152 -4365 +2288 21 +4575 2271 +1835 -4663 +951 -4380 +-1170 -1170 +3229 1437 +1094 703 +383 -1517 +4270 231 +-1420 -2013 +-1835 4245 +1512 2559 +-1170 -7648 +-1029 -4466 +-1869 -4081 +-1493 1580 +-307 3205 +-3414 -4803 +332 -2168 +2235 -2582 +-1170 0 +2235 2582 +332 2168 +-3414 4803 +-307 -3205 +-1493 -1580 +-1869 4081 +-1029 4466 +-1170 7648 +1512 -2559 +-1835 -4245 +-1420 2013 +4270 -231 +383 1517 +1094 -703 +3229 -1437 +-1170 1170 +3425 3370 +2933 3115 +-1999 -3727 +6062 2393 +4444 6014 +-5082 -3486 +-2168 -2611 +-1170 627 +-4432 90 +-2515 1530 +1003 4212 +4018 4101 +2497 1350 +-2420 2675 +-2773 3065 +-1170 0 +-2773 -3065 +-2420 -2675 +2497 -1350 +4018 -4101 +1003 -4212 +-2515 -1530 +-4432 -90 +-1170 -627 +-2168 2611 +-5082 3486 +4444 -6014 +6062 -2393 +-1999 3727 +2933 -3115 +3425 -3370 +-1170 -1170 +3229 1437 +1094 703 +383 -1517 +4270 231 +-1420 -2013 +-1835 4245 +1512 2559 +-1170 -7648 +-1029 -4466 +-1869 -4081 +-1493 1580 +-307 3205 +-3414 -4803 +332 -2168 +2235 -2582 +-1170 3511 +-4275 2497 +-6174 4661 +-725 -1326 +4407 -3975 +2710 7754 +1834 4605 +2631 -2407 +-2825 2683 +-2966 3358 +-3815 1175 +-2125 1235 +5673 2057 +-2020 -737 +-1665 -855 +4484 5537 +1170 0 +4484 -5537 +-1665 855 +-2020 737 +5673 -2057 +-2125 -1235 +-3815 -1175 +-2966 -3358 +-2825 -2683 +2631 2407 +1834 -4605 +2710 -7754 +4407 3975 +-725 1326 +-6174 -4661 +-4275 -2497 +-1170 -3511 +-884 389 +524 2214 +-1420 -371 +2615 -21 +4939 -2312 +-865 -2579 +1236 1627 +485 4338 +1632 187 +4784 -2459 +592 2416 +1348 -1372 +-1951 -2751 +-3986 4420 +-1859 1673 +-3511 0 +-1859 -1673 +-3986 -4420 +-1951 2751 +1348 1372 +592 -2416 +4784 2459 +1632 -187 +485 -4338 +1236 -1627 +-865 2579 +4939 2312 +2615 21 +-1420 371 +524 -2214 +-884 -389 +-1170 3511 +-4275 2497 +-6174 4661 +-725 -1326 +4407 -3975 +2710 7754 +1834 4605 +2631 -2407 +-2825 2683 +-2966 3358 +-3815 1175 +-2125 1235 +5673 2057 +-2020 -737 +-1665 -855 +4484 5537 +3511 -2341 +-4382 2898 +-105 -1683 +5665 3432 +3674 -194 +-2617 -4086 +-1738 2269 +502 139 +-5993 -1170 +-4597 1850 +-1813 632 +-4680 -2486 +-2684 702 +-3897 640 +-2724 -2342 +4386 3792 +7022 0 +4386 -3792 +-2724 2342 +-3897 -640 +-2684 -702 +-4680 2486 +-1813 -632 +-4597 -1850 +-5993 1170 +502 -139 +-1738 -2269 +-2617 4086 +3674 194 +5665 -3432 +-105 1683 +-4382 -2898 +3511 2341 +3482 4299 +-3890 -4086 +2885 -971 +7628 -1461 +4570 1892 +1052 4026 +-2213 -2896 +-1028 -1170 +-1327 -1297 +1128 981 +4246 3602 +745 -2357 +449 -1489 +-1272 1254 +-2471 95 +0 0 +-2471 -95 +-1272 -1254 +449 1489 +745 2357 +4246 -3602 +1128 -981 +-1327 1297 +-1028 1170 +-2213 2896 +1052 -4026 +4570 -1892 +7628 1461 +2885 971 +-3890 4086 +3482 -4299 +3511 -2341 +-4382 2898 +-105 -1683 +5665 3432 +3674 -194 +-2617 -4086 +-1738 2269 +502 139 +-5993 -1170 +-4597 1850 +-1813 632 +-4680 -2486 +-2684 702 +-3897 640 +-2724 -2342 +4386 3792 +5851 0 +-1250 -323 +-6013 167 +-2047 -4911 +2183 -4377 +4967 1816 +3915 3255 +-43 -974 +828 -1655 +1043 3103 +-2686 -1825 +-2309 -2200 +-2267 3733 +-5326 -952 +-3847 1310 +-1816 7850 +-2341 0 +-1816 -7850 +-3847 -1310 +-5326 952 +-2267 -3733 +-2309 2200 +-2686 1825 +1043 -3103 +828 1655 +-43 974 +3915 -3255 +4967 -1816 +2183 4377 +-2047 4911 +-6013 -167 +-1250 323 +5851 0 +2952 -1739 +-669 -3581 +987 -597 +1812 3006 +2737 -2239 +1887 -1632 +-1391 -595 +-828 1655 +-1400 1445 +-4487 -5915 +-1070 4310 +2953 4258 +2061 -2023 +2539 4638 +1905 -3797 +0 0 +1905 3797 +2539 -4638 +2061 2023 +2953 -4258 +-1070 -4310 +-4487 5915 +-1400 -1445 +-828 -1655 +-1391 595 +1887 1632 +2737 2239 +1812 -3006 +987 597 +-669 3581 +2952 1739 +5851 0 +-1250 -323 +-6013 167 +-2047 -4911 +2183 -4377 +4967 1816 +3915 3255 +-43 -974 +828 -1655 +1043 3103 +-2686 -1825 +-2309 -2200 +-2267 3733 +-5326 -952 +-3847 1310 +-1816 7850 +1170 4681 +-4000 1715 +-3413 952 +635 3600 +-4928 2505 +-3935 -3963 +-337 989 +240 6841 +-1028 -1170 +-1181 383 +2258 1630 +1125 -7520 +1059 -1102 +1630 4004 +-126 1244 +2271 6590 +4681 0 +2271 -6590 +-126 -1244 +1630 -4004 +1059 1102 +1125 7520 +2258 -1630 +-1181 -383 +-1028 1170 +240 -6841 +-337 -989 +-3935 3963 +-4928 -2505 +635 -3600 +-3413 -952 +-4000 -1715 +1170 -4681 +1248 -2533 +4235 1598 +-1281 -1888 +-4033 -1820 +688 3544 +1663 2828 +1599 422 +-5993 -1170 +-657 -1531 +7718 -1122 +2122 -3844 +3221 -2894 +-984 3 +-2635 -2003 +481 -2579 +-2341 0 +481 2579 +-2635 2003 +-984 -3 +3221 2894 +2122 3844 +7718 1122 +-657 1531 +-5993 1170 +1599 -422 +1663 -2828 +688 -3544 +-4033 1820 +-1281 1888 +4235 -1598 +1248 2533 +1170 4681 +-4000 1715 +-3413 952 +635 3600 +-4928 2505 +-3935 -3963 +-337 989 +240 6841 +-1028 -1170 +-1181 383 +2258 1630 +1125 -7520 +1059 -1102 +1630 4004 +-126 1244 +2271 6590 +3511 -5851 +1956 667 +-4759 6403 +-2526 -275 +2373 -1401 +1663 -680 +-296 2967 +-1844 5823 +1170 2683 +-1408 -171 +544 -210 +5775 2078 +-2729 -2035 +-3626 -4913 +-2894 873 +-4484 1704 +-1170 0 +-4484 -1704 +-2894 -873 +-3626 4913 +-2729 2035 +5775 -2078 +544 210 +-1408 171 +1170 -2683 +-1844 -5823 +-296 -2967 +1663 680 +2373 1401 +-2526 275 +-4759 -6403 +1956 -667 +3511 5851 +429 -2822 +46 861 +1208 2733 +-3744 -3564 +-3742 4187 +3218 3773 +1943 -964 +1170 4338 +-483 2882 +-155 329 +629 -6 +-5262 -2930 +620 -3427 +4297 -229 +3890 3355 +8192 0 +3890 -3355 +4297 229 +620 3427 +-5262 2930 +629 6 +-155 -329 +-483 -2882 +1170 -4338 +1943 964 +3218 -3773 +-3742 -4187 +-3744 3564 +1208 -2733 +46 -861 +429 2822 +3511 -5851 +1956 667 +-4759 6403 +-2526 -275 +2373 -1401 +1663 -680 +-296 2967 +-1844 5823 +1170 2683 +-1408 -171 +544 -210 +5775 2078 +-2729 -2035 +-3626 -4913 +-2894 873 +-4484 1704 +5851 2341 +-2256 2955 +-4070 1352 +41 2852 +1133 3675 +-620 692 +-3010 -3115 +-960 -1006 +1312 -485 +4857 2225 +1177 5433 +-1396 -2138 +5077 -2272 +-740 211 +-781 -2833 +3582 1792 +0 0 +3582 -1792 +-781 2833 +-740 -211 +5077 2272 +-1396 2138 +1177 -5433 +4857 -2225 +1312 485 +-960 1006 +-3010 3115 +-620 -692 +1133 -3675 +41 -2852 +-4070 -1352 +-2256 -2955 +5851 -2341 +4317 3398 +2118 1632 +2796 -1386 +238 -649 +-4333 -1396 +-4043 893 +802 2491 +-3653 2825 +-5714 2569 +-2114 3647 +1248 4744 +2914 -4064 +-3616 -2055 +1363 7757 +1992 1251 +-7022 0 +1992 -1251 +1363 -7757 +-3616 2055 +2914 4064 +1248 -4744 +-2114 -3647 +-5714 -2569 +-3653 -2825 +802 -2491 +-4043 -893 +-4333 1396 +238 649 +2796 1386 +2118 -1632 +4317 -3398 +5851 2341 +-2256 2955 +-4070 1352 +41 2852 +1133 3675 +-620 692 +-3010 -3115 +-960 -1006 +1312 -485 +4857 2225 +1177 5433 +-1396 -2138 +5077 -2272 +-740 211 +-781 -2833 +3582 1792 +3511 -2341 +-1719 -3457 +232 -211 +-3673 687 +-4249 -4354 +749 2536 +-1027 4075 +970 -3996 +1797 -3310 +1442 -2398 +3355 1798 +-1479 3396 +-1065 149 +3279 -523 +-1336 -1161 +-2772 2165 +0 0 +-2772 -2165 +-1336 1161 +3279 523 +-1065 -149 +-1479 -3396 +3355 -1798 +1442 2398 +1797 3310 +970 3996 +-1027 -4075 +749 -2536 +-4249 4354 +-3673 -687 +232 211 +-1719 3457 +3511 2341 +2010 -1229 +-306 -3260 +4872 -1822 +-2087 -1296 +-1390 3950 +4515 3993 +-3925 2504 +-6478 3310 +-1797 -612 +4458 4900 +5429 4105 +-1961 -1118 +-1167 7023 +-530 5681 +-829 -1750 +2341 0 +-829 1750 +-530 -5681 +-1167 -7023 +-1961 1118 +5429 -4105 +4458 -4900 +-1797 612 +-6478 -3310 +-3925 -2504 +4515 -3993 +-1390 -3950 +-2087 1296 +4872 1822 +-306 3260 +2010 1229 +3511 -2341 +-1719 -3457 +232 -211 +-3673 687 +-4249 -4354 +749 2536 +-1027 4075 +970 -3996 +1797 -3310 +1442 -2398 +3355 1798 +-1479 3396 +-1065 149 +3279 -523 +-1336 -1161 +-2772 2165 +0 1170 +-7348 -4066 +-925 4369 +-1751 7290 +-4697 -1715 +3020 -1332 +-1039 -3044 +297 -4239 +2825 1513 +-1738 3673 +278 1517 +-2562 -3786 +-2146 -1977 +1414 3063 +-3039 1356 +-4194 1359 +-2341 0 +-4194 -1359 +-3039 -1356 +1414 -3063 +-2146 1977 +-2562 3786 +278 -1517 +-1738 -3673 +2825 -1513 +297 4239 +-1039 3044 +3020 1332 +-4697 1715 +-1751 -7290 +-925 -4369 +-7348 4066 +0 -1170 +4337 400 +6831 3563 +3613 398 +-1639 1715 +5072 624 +4664 -4146 +-328 -3230 +-485 3168 +2784 817 +4088 -4025 +-429 2806 +-880 1977 +-1757 -2267 +-1496 1895 +-430 315 +-2341 0 +-430 -315 +-1496 -1895 +-1757 2267 +-880 -1977 +-429 -2806 +4088 4025 +2784 -817 +-485 -3168 +-328 3230 +4664 4146 +5072 -624 +-1639 -1715 +3613 -398 +6831 -3563 +4337 -400 +0 1170 +-7348 -4066 +-925 4369 +-1751 7290 +-4697 -1715 +3020 -1332 +-1039 -3044 +297 -4239 +2825 1513 +-1738 3673 +278 1517 +-2562 -3786 +-2146 -1977 +1414 3063 +-3039 1356 +-4194 1359 +0 2341 +-1619 -155 +2577 -997 +3480 -2570 +-2446 1380 +-1102 1565 +2255 -1437 +6024 3176 +-343 1655 +-4249 -1914 +7600 1214 +5040 -735 +-1424 663 +2919 7063 +-457 4899 +-3299 569 +-1170 0 +-3299 -569 +-457 -4899 +2919 -7063 +-1424 -663 +5040 735 +7600 -1214 +-4249 1914 +-343 -1655 +6024 -3176 +2255 1437 +-1102 -1565 +-2446 -1380 +3480 2570 +2577 997 +-1619 155 +0 -2341 +-431 -2293 +659 2401 +-689 694 +-1550 -411 +-3906 1985 +-2077 1048 +5552 -412 +-1998 -1655 +-3744 -151 +-3097 1708 +-8681 1990 +738 4988 +2940 2006 +1902 -186 +1767 5393 +-3511 0 +1767 -5393 +1902 186 +2940 -2006 +738 -4988 +-8681 -1990 +-3097 -1708 +-3744 151 +-1998 1655 +5552 412 +-2077 -1048 +-3906 -1985 +-1550 411 +-689 -694 +659 -2401 +-431 2293 +0 2341 +-1619 -155 +2577 -997 +3480 -2570 +-2446 1380 +-1102 1565 +2255 -1437 +6024 3176 +-343 1655 +-4249 -1914 +7600 1214 +5040 -735 +-1424 663 +2919 7063 +-457 4899 +-3299 569 +5851 -3511 +526 -7536 +-100 680 +-694 6082 +485 -7404 +3369 -4894 +2079 2809 +4058 402 +-1170 4338 +-3167 2825 +1319 -3805 +-3307 -2914 +-2825 -1896 +-1522 -2903 +-2385 -1500 +463 715 +1170 0 +463 -715 +-2385 1500 +-1522 2903 +-2825 1896 +-3307 2914 +1319 3805 +-3167 -2825 +-1170 -4338 +4058 -402 +2079 -2809 +3369 4894 +485 7404 +-694 -6082 +-100 -680 +526 7536 +5851 3511 +5380 3038 +1891 -2261 +-820 -1084 +485 3408 +-1650 -2259 +-6404 -976 +538 1825 +-1170 2683 +-4235 2355 +3006 -2353 +812 1962 +-2825 2582 +-2807 -1881 +594 1290 +3057 483 +1170 0 +3057 -483 +594 -1290 +-2807 1881 +-2825 -2582 +812 -1962 +3006 2353 +-4235 -2355 +-1170 -2683 +538 -1825 +-6404 976 +-1650 2259 +485 -3408 +-820 1084 +1891 2261 +5380 -3038 +5851 -3511 +526 -7536 +-100 680 +-694 6082 +485 -7404 +3369 -4894 +2079 2809 +4058 402 +-1170 4338 +-3167 2825 +1319 -3805 +-3307 -2914 +-2825 -1896 +-1522 -2903 +-2385 -1500 +463 715 +-2341 1170 +-1675 4071 +-8062 3033 +-1209 1486 +828 -3632 +-2076 -3794 +3767 1388 +-1427 3093 +485 3168 +5311 -3171 +4659 -6711 +6561 598 +-828 60 +618 -2639 +4119 1557 +-1280 936 +-2341 0 +-1280 -936 +4119 -1557 +618 2639 +-828 -60 +6561 -598 +4659 6711 +5311 3171 +485 -3168 +-1427 -3093 +3767 -1388 +-2076 3794 +828 3632 +-1209 -1486 +-8062 -3033 +-1675 -4071 +-2341 -1170 +-546 1909 +2260 -1049 +-891 -1623 +828 322 +-1264 348 +-2458 -838 +-1123 -423 +-2825 1513 +-1747 501 +2023 2579 +1882 2848 +-828 -3370 +3001 2776 +3054 5108 +-4134 -6916 +-7022 0 +-4134 6916 +3054 -5108 +3001 -2776 +-828 3370 +1882 -2848 +2023 -2579 +-1747 -501 +-2825 -1513 +-1123 423 +-2458 838 +-1264 -348 +828 -322 +-891 1623 +2260 1049 +-546 -1909 +-2341 1170 +-1675 4071 +-8062 3033 +-1209 1486 +828 -3632 +-2076 -3794 +3767 1388 +-1427 3093 +485 3168 +5311 -3171 +4659 -6711 +6561 598 +-828 60 +618 -2639 +4119 1557 +-1280 936 +-1170 -5851 +-2559 -1155 +-671 2720 +224 -4307 +1968 -334 +233 2147 +-6398 2222 +-916 -1811 +1655 -4823 +-3187 1184 +6907 -2298 +8562 -1913 +-1597 -1314 +562 -3980 +-859 -102 +-2660 -4493 +1170 0 +-2660 4493 +-859 102 +562 3980 +-1597 1314 +8562 1913 +6907 2298 +-3187 -1184 +1655 4823 +-916 1811 +-6398 -2222 +233 -2147 +1968 334 +224 4307 +-671 -2720 +-2559 1155 +-1170 5851 +-1980 -1914 +-3011 -1065 +-2070 110 +-3623 1304 +-897 2928 +4488 -567 +3653 -2047 +-1655 142 +-3637 3964 +1624 643 +4838 516 +3252 6965 +1788 2673 +-2081 -1553 +-1954 1068 +1170 0 +-1954 -1068 +-2081 1553 +1788 -2673 +3252 -6965 +4838 -516 +1624 -643 +-3637 -3964 +-1655 -142 +3653 2047 +4488 567 +-897 -2928 +-3623 -1304 +-2070 -110 +-3011 1065 +-1980 1914 +-1170 -5851 +-2559 -1155 +-671 2720 +224 -4307 +1968 -334 +233 2147 +-6398 2222 +-916 -1811 +1655 -4823 +-3187 1184 +6907 -2298 +8562 -1913 +-1597 -1314 +562 -3980 +-859 -102 +-2660 -4493 +0 -4681 +-2388 -4235 +991 -1157 +2291 -779 +-2633 1723 +278 -2181 +1716 -2171 +-3176 -2685 +-4138 -1170 +624 5410 +7499 -719 +3820 -472 +-3770 -1335 +266 -5438 +1420 1784 +-4051 -831 +-5851 0 +-4051 831 +1420 -1784 +266 5438 +-3770 1335 +3820 472 +7499 719 +624 -5410 +-4138 1170 +-3176 2685 +1716 2171 +278 2181 +-2633 -1723 +2291 779 +991 1157 +-2388 4235 +0 4681 +-2090 -745 +-1572 -1005 +4365 -1564 +6912 -68 +4772 764 +-778 3066 +538 3553 +4138 -1170 +-283 4107 +-446 1614 +-458 -4528 +-5191 2990 +-2093 -488 +532 -3947 +-2417 4502 +-3511 0 +-2417 -4502 +532 3947 +-2093 488 +-5191 -2990 +-458 4528 +-446 -1614 +-283 -4107 +4138 1170 +538 -3553 +-778 -3066 +4772 -764 +6912 68 +4365 1564 +-1572 1005 +-2090 745 +0 -4681 +-2388 -4235 +991 -1157 +2291 -779 +-2633 1723 +278 -2181 +1716 -2171 +-3176 -2685 +-4138 -1170 +624 5410 +7499 -719 +3820 -472 +-3770 -1335 +266 -5438 +1420 1784 +-4051 -831 +0 2341 +-1167 2271 +1901 3479 +-1279 722 +-2530 4533 +-774 2841 +987 457 +4420 3296 +-1998 0 +-6394 2006 +-606 -842 +1657 -7185 +-1879 -3385 +-3456 163 +2018 1900 +309 1456 +-5851 0 +309 -1456 +2018 -1900 +-3456 -163 +-1879 3385 +1657 7185 +-606 842 +-6394 -2006 +-1998 0 +4420 -3296 +987 -457 +-774 -2841 +-2530 -4533 +-1279 -722 +1901 -3479 +-1167 -2271 +0 -2341 +-2702 1713 +-2797 4590 +7831 -798 +3215 5799 +-1919 2967 +1176 -4978 +-3430 510 +-343 0 +2094 1380 +-1557 4313 +4347 678 +5875 -327 +215 3427 +-1122 4797 +249 -635 +1170 0 +249 635 +-1122 -4797 +215 -3427 +5875 327 +4347 -678 +-1557 -4313 +2094 -1380 +-343 0 +-3430 -510 +1176 4978 +-1919 -2967 +3215 -5799 +7831 798 +-2797 -4590 +-2702 -1713 +0 2341 +-1167 2271 +1901 3479 +-1279 722 +-2530 4533 +-774 2841 +987 457 +4420 3296 +-1998 0 +-6394 2006 +-606 -842 +1657 -7185 +-1879 -3385 +-3456 163 +2018 1900 +309 1456 +-2341 -1170 +2276 -1974 +-2272 -5491 +-6865 -2104 +-3996 -3079 +588 -3599 +2485 4185 +3239 1222 +6336 1312 +-1816 6879 +-3786 -2151 +4730 -5380 +-686 -105 +-1851 930 +-24 122 +589 -3246 +4681 0 +589 3246 +-24 -122 +-1851 -930 +-686 105 +4730 5380 +-3786 2151 +-1816 -6879 +6336 -1312 +3239 -1222 +2485 -4185 +588 3599 +-3996 3079 +-6865 2104 +-2272 5491 +2276 1974 +-2341 1170 +-3048 4827 +480 3717 +409 -1025 +-3996 -917 +-2615 991 +1840 1424 +512 2303 +3026 -3653 +598 -2998 +-539 4449 +3414 -118 +-686 791 +2191 2413 +1815 -5206 +-2350 -2907 +0 0 +-2350 2907 +1815 5206 +2191 -2413 +-686 -791 +3414 118 +-539 -4449 +598 2998 +3026 3653 +512 -2303 +1840 -1424 +-2615 -991 +-3996 917 +409 1025 +480 -3717 +-3048 -4827 +-2341 -1170 +2276 -1974 +-2272 -5491 +-6865 -2104 +-3996 -3079 +588 -3599 +2485 4185 +3239 1222 +6336 1312 +-1816 6879 +-3786 -2151 +4730 -5380 +-686 -105 +-1851 930 +-24 122 +589 -3246 +1170 0 +-3349 -18 +-4183 3406 +549 2012 +-722 -1956 +-2040 -1513 +804 3606 +478 1789 +-343 -4480 +-360 -430 +-2193 -1779 +-1856 -4322 +-89 3086 +1643 5041 +3954 1734 +1263 -595 +-2341 0 +1263 595 +3954 -1734 +1643 -5041 +-89 -3086 +-1856 4322 +-2193 1779 +-360 430 +-343 4480 +478 -1789 +804 -3606 +-2040 1513 +-722 1956 +549 -2012 +-4183 -3406 +-3349 18 +1170 0 +714 1021 +3465 1847 +4771 3853 +-1618 5952 +-795 2026 +2803 4368 +-2929 3159 +-1998 2140 +6393 3587 +3267 -2919 +-3958 510 +-2251 -3772 +1686 -3501 +1444 9571 +-2210 -194 +-4681 0 +-2210 194 +1444 -9571 +1686 3501 +-2251 3772 +-3958 -510 +3267 2919 +6393 -3587 +-1998 -2140 +-2929 -3159 +2803 -4368 +-795 -2026 +-1618 -5952 +4771 -3853 +3465 -1847 +714 -1021 +1170 0 +-3349 -18 +-4183 3406 +549 2012 +-722 -1956 +-2040 -1513 +804 3606 +478 1789 +-343 -4480 +-360 -430 +-2193 -1779 +-1856 -4322 +-89 3086 +1643 5041 +3954 1734 +1263 -595 +-1170 -4681 +1279 -2833 +2332 1029 +1223 1715 +-1863 2768 +-961 1722 +204 -3006 +-2434 231 +-1312 485 +-941 -3880 +-128 1325 +795 -1909 +681 -4794 +1388 -3070 +-4951 -2181 +-1866 7563 +7022 0 +-1866 -7563 +-4951 2181 +1388 3070 +681 4794 +795 1909 +-128 -1325 +-941 3880 +-1312 -485 +-2434 -231 +204 3006 +-961 -1722 +-1863 -2768 +1223 -1715 +2332 -1029 +1279 2833 +-1170 4681 +3128 305 +3784 3863 +-2232 5569 +2833 -2082 +2552 3760 +-2737 4483 +2250 -4014 +3653 -2825 +4435 -1036 +2662 1523 +-5697 855 +-6331 798 +-3689 1075 +-1166 -919 +769 4758 +0 0 +769 -4758 +-1166 919 +-3689 -1075 +-6331 -798 +-5697 -855 +2662 -1523 +4435 1036 +3653 2825 +2250 4014 +-2737 -4483 +2552 -3760 +2833 2082 +-2232 -5569 +3784 -3863 +3128 -305 +-1170 -4681 +1279 -2833 +2332 1029 +1223 1715 +-1863 2768 +-961 1722 +204 -3006 +-2434 231 +-1312 485 +-941 -3880 +-128 1325 +795 -1909 +681 -4794 +1388 -3070 +-4951 -2181 +-1866 7563 +-2341 5851 +-1565 3782 +-3813 -2020 +-2384 4565 +-2647 2804 +-4553 -2199 +2255 2277 +3143 942 +-686 -1312 +-955 -3014 +-4170 -4413 +927 -2644 +3721 -887 +-2752 16 +3217 -358 +837 643 +-9362 0 +837 -643 +3217 358 +-2752 -16 +3721 887 +927 2644 +-4170 4413 +-955 3014 +-686 1312 +3143 -942 +2255 -2277 +-4553 2199 +-2647 -2804 +-2384 -4565 +-3813 2020 +-1565 -3782 +-2341 -5851 +-292 -419 +1692 4435 +-424 3239 +1678 -1149 +3469 3079 +964 -1130 +-2200 -1319 +-3996 3653 +-1780 -3480 +2323 -1059 +4482 990 +1930 2542 +1235 5255 +6895 -3847 +2811 -3396 +-4681 0 +2811 3396 +6895 3847 +1235 -5255 +1930 -2542 +4482 -990 +2323 1059 +-1780 3480 +-3996 -3653 +-2200 1319 +964 1130 +3469 -3079 +1678 1149 +-424 -3239 +1692 -4435 +-292 419 +-2341 5851 +-1565 3782 +-3813 -2020 +-2384 4565 +-2647 2804 +-4553 -2199 +2255 2277 +3143 942 +-686 -1312 +-955 -3014 +-4170 -4413 +927 -2644 +3721 -887 +-2752 16 +3217 -358 +837 643 +-3511 1170 +6192 2054 +5441 4214 +-802 1301 +-1678 3079 +4422 -4032 +617 -3186 +-2591 6680 +3511 1998 +-1648 595 +-3470 1768 +-613 -101 +-1930 105 +3467 1344 +-830 3192 +-4131 -267 +1170 0 +-4131 267 +-830 -3192 +3467 -1344 +-1930 -105 +-613 101 +-3470 -1768 +-1648 -595 +3511 -1998 +-2591 -6680 +617 3186 +4422 4032 +-1678 -3079 +-802 -1301 +5441 -4214 +6192 -2054 +-3511 -1170 +-5740 -3493 +2194 3210 +1264 4965 +2647 917 +3232 -1854 +-2135 -1454 +2343 -1395 +3511 343 +-1415 1527 +-1631 -5037 +-3731 -2119 +-3721 -791 +-619 -7395 +-184 -3758 +370 -1593 +1170 0 +370 1593 +-184 3758 +-619 7395 +-3721 791 +-3731 2119 +-1631 5037 +-1415 -1527 +3511 -343 +2343 1395 +-2135 1454 +3232 1854 +2647 -917 +1264 -4965 +2194 -3210 +-5740 3493 +-3511 1170 +6192 2054 +5441 4214 +-802 1301 +-1678 3079 +4422 -4032 +617 -3186 +-2591 6680 +3511 1998 +-1648 595 +-3470 1768 +-613 -101 +-1930 105 +3467 1344 +-830 3192 +-4131 -267 +-1170 -5851 +1106 1564 +4475 -2561 +-785 -6143 +1433 -283 +986 -3389 +-5011 -4415 +-412 1664 +485 -343 +4625 -36 +1366 4849 +-4924 3849 +4862 2320 +-232 -856 +-3689 -3836 +-964 -753 +-5851 0 +-964 753 +-3689 3836 +-232 856 +4862 -2320 +-4924 -3849 +1366 -4849 +4625 36 +485 343 +-412 -1664 +-5011 4415 +986 3389 +1433 283 +-785 6143 +4475 2561 +1106 -1564 +-1170 5851 +55 4121 +1641 -183 +2528 2400 +908 -3712 +2445 -6013 +2477 4373 +-418 5240 +-2825 -1998 +-485 -1289 +1168 3101 +-1816 2649 +-2521 -1634 +-4821 -2971 +-2427 -278 +3113 951 +3511 0 +3113 -951 +-2427 278 +-4821 2971 +-2521 1634 +-1816 -2649 +1168 -3101 +-485 1289 +-2825 1998 +-418 -5240 +2477 -4373 +2445 6013 +908 3712 +2528 -2400 +1641 183 +55 -4121 +-1170 -5851 +1106 1564 +4475 -2561 +-785 -6143 +1433 -283 +986 -3389 +-5011 -4415 +-412 1664 +485 -343 +4625 -36 +1366 4849 +-4924 3849 +4862 2320 +-232 -856 +-3689 -3836 +-964 -753 +0 1170 +106 -1568 +-1627 -1504 +4458 593 +1655 -1676 +-4518 -3038 +-2471 -3203 +-1776 -3246 +2341 -2683 +-2131 -1833 +-3116 3321 +1344 3540 +-1655 -3027 +4010 2481 +-3466 9249 +-6206 2917 +4681 0 +-6206 -2917 +-3466 -9249 +4010 -2481 +-1655 3027 +1344 -3540 +-3116 -3321 +-2131 1833 +2341 2683 +-1776 3246 +-2471 3203 +-4518 3038 +1655 1676 +4458 -593 +-1627 1504 +106 1568 +0 -1170 +-305 1807 +-714 2729 +-1231 -2959 +1655 -5630 +9 -1255 +130 4260 +2558 1064 +2341 -4338 +1622 -1868 +776 -3635 +-2175 -6818 +-1655 402 +4722 2789 +1125 -33 +-487 2424 +4681 0 +-487 -2424 +1125 33 +4722 -2789 +-1655 -402 +-2175 6818 +776 3635 +1622 1868 +2341 4338 +2558 -1064 +130 -4260 +9 1255 +1655 5630 +-1231 2959 +-714 -2729 +-305 -1807 +0 1170 +106 -1568 +-1627 -1504 +4458 593 +1655 -1676 +-4518 -3038 +-2471 -3203 +-1776 -3246 +2341 -2683 +-2131 -1833 +-3116 3321 +1344 3540 +-1655 -3027 +4010 2481 +-3466 9249 +-6206 2917 +1170 -4681 +-580 -2785 +-5833 2563 +-1513 -301 +5824 -1060 +-440 1387 +-231 165 +2215 3710 +1998 4480 +1394 -8 +-5033 -405 +-2580 25 +1104 924 +-2135 -269 +-179 -3424 +2552 -1686 +2341 0 +2552 1686 +-179 3424 +-2135 269 +1104 -924 +-2580 -25 +-5033 405 +1394 8 +1998 -4480 +2215 -3710 +-231 -165 +-440 -1387 +5824 1060 +-1513 301 +-5833 -2563 +-580 2785 +1170 4681 +580 4570 +-2624 4669 +1513 1059 +3137 5056 +440 5521 +424 -1028 +-2215 1206 +343 -2140 +-1394 -5517 +159 5594 +2580 6142 +-5383 -1609 +2135 286 +3955 -2016 +-2552 -6970 +0 0 +-2552 6970 +3955 2016 +2135 -286 +-5383 1609 +2580 -6142 +159 -5594 +-1394 5517 +343 2140 +-2215 -1206 +424 1028 +440 -5521 +3137 -5056 +1513 -1059 +-2624 -4669 +580 -4570 +1170 -4681 +-580 -2785 +-5833 2563 +-1513 -301 +5824 -1060 +-440 1387 +-231 165 +2215 3710 +1998 4480 +1394 -8 +-5033 -405 +-2580 25 +1104 924 +-2135 -269 +-179 -3424 +2552 -1686 +2341 0 +795 5398 +2536 -2529 +-230 -2031 +173 -617 +-279 -800 +-1620 61 +-351 -5311 +-3168 -7790 +-1649 -6121 +37 -2388 +-1098 -1211 +2073 1006 +1906 2170 +1922 -1964 +317 61 +-3511 0 +317 -61 +1922 1964 +1906 -2170 +2073 -1006 +-1098 1211 +37 2388 +-1649 6121 +-3168 7790 +-351 5311 +-1620 -61 +-279 800 +173 617 +-230 2031 +2536 2529 +795 -5398 +2341 0 +3124 988 +-1599 -2796 +-2470 3832 +-2514 -2409 +1786 -1229 +3572 4501 +-2161 -33 +-1513 5450 +-164 777 +-618 -9032 +-2201 -817 +-4414 5330 +2586 -369 +5131 -619 +88 6325 +-1170 0 +88 -6325 +5131 619 +2586 369 +-4414 -5330 +-2201 817 +-618 9032 +-164 -777 +-1513 -5450 +-2161 33 +3572 -4501 +1786 1229 +-2514 2409 +-2470 -3832 +-1599 2796 +3124 -988 +2341 0 +795 5398 +2536 -2529 +-230 -2031 +173 -617 +-279 -800 +-1620 61 +-351 -5311 +-3168 -7790 +-1649 -6121 +37 -2388 +-1098 -1211 +2073 1006 +1906 2170 +1922 -1964 +317 61 +2341 0 +-1581 720 +-4225 6214 +-1164 669 +-4391 -2082 +-1904 -883 +3009 -432 +815 1434 +3168 485 +-1631 1022 +-7380 -2478 +179 -2870 +3758 798 +607 -461 +-1927 -1169 +1320 -2650 +5851 0 +1320 2650 +-1927 1169 +607 461 +3758 -798 +179 2870 +-7380 2478 +-1631 -1022 +3168 -485 +815 -1434 +3009 432 +-1904 883 +-4391 2082 +-1164 -669 +-4225 -6214 +-1581 -720 +2341 0 +3237 -212 +4418 -3114 +-491 -7844 +-2229 2768 +249 49 +767 -2416 +840 7038 +1513 -2825 +3286 -6301 +-1076 1002 +-1834 -2015 +2862 -4794 +-2262 -4147 +-2947 -3723 +335 -3973 +-1170 0 +335 3973 +-2947 3723 +-2262 4147 +2862 4794 +-1834 2015 +-1076 -1002 +3286 6301 +1513 2825 +840 -7038 +767 2416 +249 -49 +-2229 -2768 +-491 7844 +4418 3114 +3237 212 +2341 0 +-1581 720 +-4225 6214 +-1164 669 +-4391 -2082 +-1904 -883 +3009 -432 +815 1434 +3168 485 +-1631 1022 +-7380 -2478 +179 -2870 +3758 798 +607 -461 +-1927 -1169 +1320 -2650 +-3511 -4681 +2206 1302 +1920 3345 +-5186 503 +-3907 3527 +-4255 391 +239 -5566 +2832 -4478 +-1998 -485 +-5863 -2102 +80 -2770 +8057 2595 +933 -976 +-2281 -1894 +2582 3578 +2685 -833 +2341 0 +2685 833 +2582 -3578 +-2281 1894 +933 976 +8057 -2595 +80 2770 +-5863 2102 +-1998 485 +2832 4478 +239 5566 +-4255 -391 +-3907 -3527 +-5186 -503 +1920 -3345 +2206 -1302 +-3511 4681 +3389 1243 +-1371 1739 +-5847 1552 +-1744 469 +184 5824 +6427 3267 +1171 1671 +-343 2825 +4897 -2497 +-2064 -2839 +-1957 -705 +37 291 +-1956 -376 +1550 -1804 +1922 1587 +0 0 +1922 -1587 +1550 1804 +-1956 376 +37 -291 +-1957 705 +-2064 2839 +4897 2497 +-343 -2825 +1171 -1671 +6427 -3267 +184 -5824 +-1744 -469 +-5847 -1552 +-1371 -1739 +3389 -1243 +-3511 -4681 +2206 1302 +1920 3345 +-5186 503 +-3907 3527 +-4255 391 +239 -5566 +2832 -4478 +-1998 -485 +-5863 -2102 +80 -2770 +8057 2595 +933 -976 +-2281 -1894 +2582 3578 +2685 -833 +0 1170 +-2561 1684 +-3726 3404 +3454 3215 +1723 4317 +-4281 2607 +-927 -1932 +836 -2376 +-2825 -1513 +2452 -2258 +5066 -1798 +-380 -2876 +1335 -4055 +-469 -412 +-3015 1185 +-332 -1361 +0 0 +-332 1361 +-3015 -1185 +-469 412 +1335 4055 +-380 2876 +5066 1798 +2452 2258 +-2825 1513 +836 2376 +-927 1932 +-4281 -2607 +1723 -4317 +3454 -3215 +-3726 -3404 +-2561 -1684 +0 -1170 +2116 -4447 +4758 -2138 +-1544 3179 +-68 364 +-2788 -3379 +-3906 -1126 +9261 -792 +485 -3168 +-4137 -3058 +3076 -1260 +-3495 669 +-2990 -626 +-3736 -3991 +-1327 82 +5606 5812 +4681 0 +5606 -5812 +-1327 -82 +-3736 3991 +-2990 626 +-3495 -669 +3076 1260 +-4137 3058 +485 3168 +9261 792 +-3906 1126 +-2788 3379 +-68 -364 +-1544 -3179 +4758 2138 +2116 4447 +0 1170 +-2561 1684 +-3726 3404 +3454 3215 +1723 4317 +-4281 2607 +-927 -1932 +836 -2376 +-2825 -1513 +2452 -2258 +5066 -1798 +-380 -2876 +1335 -4055 +-469 -412 +-3015 1185 +-332 -1361 +2341 0 +6341 -1733 +-5136 -244 +-1011 209 +2251 -2357 +-3849 -1866 +5617 1129 +5757 5166 +4138 5166 +3029 -3370 +-4630 -7476 +-817 -1504 +722 -194 +408 -4885 +1381 -4447 +159 -786 +1170 0 +159 786 +1381 4447 +408 4885 +722 194 +-817 1504 +-4630 7476 +3029 3370 +4138 -5166 +5757 -5166 +5617 -1129 +-3849 1866 +2251 2357 +-1011 -209 +-5136 244 +6341 1733 +2341 0 +-681 1088 +-651 867 +-2889 -2995 +89 702 +-3462 3025 +-1978 -4306 +-906 -3758 +-4138 1856 +531 -1338 +-380 -2321 +-2817 131 +1618 -1461 +1197 -435 +-3585 -1550 +-991 -5975 +3511 0 +-991 5975 +-3585 1550 +1197 435 +1618 1461 +-2817 -131 +-380 2321 +531 1338 +-4138 -1856 +-906 3758 +-1978 4306 +-3462 -3025 +89 -702 +-2889 2995 +-651 -867 +-681 -1088 +2341 0 +6341 -1733 +-5136 -244 +-1011 209 +2251 -2357 +-3849 -1866 +5617 1129 +5757 5166 +4138 5166 +3029 -3370 +-4630 -7476 +-817 -1504 +722 -194 +408 -4885 +1381 -4447 +159 -786 +3511 0 +-1446 -508 +-3035 3911 +792 7338 +-738 4839 +-3129 -298 +462 -3989 +64 -1612 +-5509 2625 +-3539 215 +3413 -4713 +4351 455 +2446 2677 +2843 -313 +2052 651 +-842 -2288 +-2341 0 +-842 2288 +2052 -651 +2843 313 +2446 -2677 +4351 -455 +3413 4713 +-3539 -215 +-5509 -2625 +64 1612 +462 3989 +-3129 298 +-738 -4839 +792 -7338 +-3035 -3911 +-1446 508 +3511 0 +502 -527 +-3841 -4985 +-1183 -939 +1424 1781 +1099 -7294 +1564 3272 +-96 4055 +-3854 -7306 +-4064 856 +-2129 -686 +-802 -55 +1550 3943 +2649 -1280 +1513 2956 +2802 2625 +4681 0 +2802 -2625 +1513 -2956 +2649 1280 +1550 -3943 +-802 55 +-2129 686 +-4064 -856 +-3854 7306 +-96 -4055 +1564 -3272 +1099 7294 +1424 -1781 +-1183 939 +-3841 4985 +502 527 +3511 0 +-1446 -508 +-3035 3911 +792 7338 +-738 4839 +-3129 -298 +462 -3989 +64 -1612 +-5509 2625 +-3539 215 +3413 -4713 +4351 455 +2446 2677 +2843 -313 +2052 651 +-842 -2288 +1170 1170 +-224 -3299 +1173 -3167 +5093 -3129 +2243 955 +-816 5643 +-1900 -1907 +-497 -672 +3310 4823 +1259 -899 +2654 2779 +2592 1698 +-2589 -1841 +-1150 3406 +-4878 466 +-4891 -844 +1170 0 +-4891 844 +-4878 -466 +-1150 -3406 +-2589 1841 +2592 -1698 +2654 -2779 +1259 899 +3310 -4823 +-497 672 +-1900 1907 +-816 -5643 +2243 -955 +5093 3129 +1173 3167 +-224 3299 +1170 -1170 +1624 -6961 +1318 -4468 +-985 -2361 +-1557 -4265 +-46 991 +3901 388 +1849 -1676 +-3310 -142 +-820 -1954 +-6027 2322 +-6055 850 +6585 -1470 +1366 257 +-5605 -1480 +1699 3320 +5851 0 +1699 -3320 +-5605 1480 +1366 -257 +6585 1470 +-6055 -850 +-6027 -2322 +-820 1954 +-3310 142 +1849 1676 +3901 -388 +-46 -991 +-1557 4265 +-985 2361 +1318 4468 +1624 6961 +1170 1170 +-224 -3299 +1173 -3167 +5093 -3129 +2243 955 +-816 5643 +-1900 -1907 +-497 -672 +3310 4823 +1259 -899 +2654 2779 +2592 1698 +-2589 -1841 +-1150 3406 +-4878 466 +-4891 -844 +-1170 1170 +-598 2745 +-5872 1309 +-5526 43 +976 1715 +4701 1736 +3276 -4432 +3050 -3279 +3996 3854 +-2145 5440 +-1617 2925 +3029 -3470 +469 1977 +948 2582 +-1205 -5345 +-2200 3546 +1170 0 +-2200 -3546 +-1205 5345 +948 -2582 +469 -1977 +3029 3470 +-1617 -2925 +-2145 -5440 +3996 -3854 +3050 3279 +3276 4432 +4701 -1736 +976 -1715 +-5526 -43 +-5872 -1309 +-598 -2745 +-1170 -1170 +532 -2745 +-286 -2744 +-6232 -43 +-291 -1715 +132 -1736 +-4858 -2782 +756 3279 +686 5509 +-919 -5440 +1827 -778 +2579 3470 +3527 -1977 +369 -2582 +-628 -5452 +1524 -3546 +1170 0 +1524 3546 +-628 5452 +369 2582 +3527 1977 +2579 -3470 +1827 778 +-919 5440 +686 -5509 +756 -3279 +-4858 2782 +132 1736 +-291 1715 +-6232 43 +-286 2744 +532 2745 +-1170 1170 +-598 2745 +-5872 1309 +-5526 43 +976 1715 +4701 1736 +3276 -4432 +3050 -3279 +3996 3854 +-2145 5440 +-1617 2925 +3029 -3470 +469 1977 +948 2582 +-1205 -5345 +-2200 3546 +-1170 0 +-3718 -340 +515 -3188 +1034 -2489 +-5065 -165 +-563 -2617 +2560 -2590 +396 -3901 +5993 -1170 +5480 4059 +3305 -4576 +2079 -4823 +-4921 -1238 +-3090 -2894 +-628 3103 +-2824 2207 +-2341 0 +-2824 -2207 +-628 -3103 +-3090 2894 +-4921 1238 +2079 4823 +3305 4576 +5480 -4059 +5993 1170 +396 3901 +2560 2590 +-563 2617 +-5065 165 +1034 2489 +515 3188 +-3718 340 +-1170 0 +2995 2711 +2543 -436 +-312 -2843 +-586 4160 +-3189 3096 +-3826 -1945 +-371 -3063 +1028 -1170 +338 1293 +-2039 -1330 +4479 2139 +5891 553 +-7058 -2859 +-2430 1264 +4324 -3501 +0 0 +4324 3501 +-2430 -1264 +-7058 2859 +5891 -553 +4479 -2139 +-2039 1330 +338 -1293 +1028 1170 +-371 3063 +-3826 1945 +-3189 -3096 +-586 -4160 +-312 2843 +2543 436 +2995 -2711 +-1170 0 +-3718 -340 +515 -3188 +1034 -2489 +-5065 -165 +-563 -2617 +2560 -2590 +396 -3901 +5993 -1170 +5480 4059 +3305 -4576 +2079 -4823 +-4921 -1238 +-3090 -2894 +-628 3103 +-2824 2207 +-1170 0 +-975 2148 +-2088 -4657 +-3657 599 +-5093 2647 +-1072 1815 +1638 -2448 +-1450 -3200 +-1513 2341 +-1538 -530 +-4517 -693 +-1854 472 +2297 3721 +2376 2833 +2703 -4516 +514 1056 +-2341 0 +514 -1056 +2703 4516 +2376 -2833 +2297 -3721 +-1854 -472 +-4517 693 +-1538 530 +-1513 -2341 +-1450 3200 +1638 2448 +-1072 -1815 +-5093 -2647 +-3657 -599 +-2088 4657 +-975 -2148 +-1170 0 +-2975 5537 +475 -1130 +3366 1694 +128 -1678 +1426 -6511 +4132 -1192 +-661 1082 +-3168 2341 +340 -5136 +3428 -4318 +4809 6051 +2668 1930 +1225 4057 +3591 6720 +127 -3540 +-4681 0 +127 3540 +3591 -6720 +1225 -4057 +2668 -1930 +4809 -6051 +3428 4318 +340 5136 +-3168 -2341 +-661 -1082 +4132 1192 +1426 6511 +128 1678 +3366 -1694 +475 1130 +-2975 -5537 +-1170 0 +-975 2148 +-2088 -4657 +-3657 599 +-5093 2647 +-1072 1815 +1638 -2448 +-1450 -3200 +-1513 2341 +-1538 -530 +-4517 -693 +-1854 472 +2297 3721 +2376 2833 +2703 -4516 +514 1056 +3511 0 +-2956 -356 +-3708 -862 +694 1462 +-1149 -4862 +327 -757 +-771 5599 +-1696 -5427 +-828 -3996 +-1363 3498 +516 -3736 +-1647 -3410 +-2542 908 +1133 -311 +2713 3217 +242 4777 +-2341 0 +242 -4777 +2713 -3217 +1133 311 +-2542 -908 +-1647 3410 +516 3736 +-1363 -3498 +-828 3996 +-1696 5427 +-771 -5599 +327 757 +-1149 4862 +694 -1462 +-3708 862 +-2956 356 +3511 0 +5029 3432 +7914 894 +3467 2248 +2804 2521 +2157 -1442 +-4701 2003 +-110 2035 +828 -686 +635 2116 +-1664 -1333 +-6953 -5261 +887 1433 +822 6911 +-298 2867 +219 -2058 +-4681 0 +219 2058 +-298 -2867 +822 -6911 +887 -1433 +-6953 5261 +-1664 1333 +635 -2116 +828 686 +-110 -2035 +-4701 -2003 +2157 1442 +2804 -2521 +3467 -2248 +7914 -894 +5029 -3432 +3511 0 +-2956 -356 +-3708 -862 +694 1462 +-1149 -4862 +327 -757 +-771 5599 +-1696 -5427 +-828 -3996 +-1363 3498 +516 -3736 +-1647 -3410 +-2542 908 +1133 -311 +2713 3217 +242 4777 +0 0 +-4819 -1746 +1312 -1469 +3368 416 +-2736 -3019 +-4647 -797 +-1020 1518 +1892 -4888 +-2483 -2825 +4532 2158 +4836 668 +-4723 1468 +2103 217 +1901 -1025 +2220 -2158 +4140 -6183 +-1170 0 +4140 6183 +2220 2158 +1901 1025 +2103 -217 +-4723 -1468 +4836 -668 +4532 -2158 +-2483 2825 +1892 4888 +-1020 -1518 +-4647 797 +-2736 3019 +3368 -416 +1312 1469 +-4819 1746 +0 0 +1271 1803 +3538 1976 +4553 -1419 +-574 -4286 +-2081 -3286 +-4572 -4069 +249 -4798 +2483 485 +-3090 1249 +755 92 +2801 713 +1207 -2841 +-1172 2407 +-7070 5976 +-4174 -3270 +1170 0 +-4174 3270 +-7070 -5976 +-1172 -2407 +1207 2841 +2801 -713 +755 -92 +-3090 -1249 +2483 -485 +249 4798 +-4572 4069 +-2081 3286 +-574 4286 +4553 1419 +3538 -1976 +1271 -1803 +0 0 +-4819 -1746 +1312 -1469 +3368 416 +-2736 -3019 +-4647 -797 +-1020 1518 +1892 -4888 +-2483 -2825 +4532 2158 +4836 668 +-4723 1468 +2103 217 +1901 -1025 +2220 -2158 +4140 -6183 +0 -5851 +1569 2269 +3906 626 +877 -98 +-110 4317 +325 -60 +2250 -3348 +-2293 -912 +-2825 -828 +806 -1285 +-4506 2104 +-4318 2913 +-246 -4055 +1290 -5377 +3875 1843 +2373 3543 +0 0 +2373 -3543 +3875 -1843 +1290 5377 +-246 4055 +-4318 -2913 +-4506 -2104 +806 1285 +-2825 828 +-2293 912 +2250 3348 +325 60 +-110 -4317 +877 98 +3906 -626 +1569 -2269 +0 5851 +2705 2138 +-1331 -4485 +-2937 1107 +-6226 364 +-930 1652 +7981 6703 +-510 1096 +485 828 +982 4779 +-4354 3190 +-179 1988 +-2780 -626 +-749 3076 +1541 5599 +988 -2446 +4681 0 +988 2446 +1541 -5599 +-749 -3076 +-2780 626 +-179 -1988 +-4354 -3190 +982 -4779 +485 -828 +-510 -1096 +7981 -6703 +-930 -1652 +-6226 -364 +-2937 -1107 +-1331 4485 +2705 -2138 +0 -5851 +1569 2269 +3906 626 +877 -98 +-110 4317 +325 -60 +2250 -3348 +-2293 -912 +-2825 -828 +806 -1285 +-4506 2104 +-4318 2913 +-246 -4055 +1290 -5377 +3875 1843 +2373 3543 +-2341 1170 +-3738 1904 +-1667 2838 +3663 -1389 +4988 -5009 +4440 822 +-4833 4597 +-2112 1216 +2341 -2683 +-5540 -4761 +31 32 +3572 3162 +-1380 -2752 +1913 -2293 +2084 429 +-1698 -3443 +-2341 0 +-1698 3443 +2084 -429 +1913 2293 +-1380 2752 +3572 -3162 +31 -32 +-5540 4761 +2341 2683 +-2112 -1216 +-4833 -4597 +4440 -822 +4988 5009 +3663 1389 +-1667 -2838 +-3738 -1904 +-2341 -1170 +-2569 -1094 +2773 -1942 +-1602 -2969 +663 -4638 +5623 -5826 +-3488 -2435 +507 -2245 +2341 -4338 +-1267 1199 +298 2130 +-2691 -2050 +411 2468 +-1679 4051 +-4561 467 +3176 1719 +7022 0 +3176 -1719 +-4561 -467 +-1679 -4051 +411 -2468 +-2691 2050 +298 -2130 +-1267 -1199 +2341 4338 +507 2245 +-3488 2435 +5623 5826 +663 4638 +-1602 2969 +2773 1942 +-2569 1094 +-2341 1170 +-3738 1904 +-1667 2838 +3663 -1389 +4988 -5009 +4440 822 +-4833 4597 +-2112 1216 +2341 -2683 +-5540 -4761 +31 32 +3572 3162 +-1380 -2752 +1913 -2293 +2084 429 +-1698 -3443 +-4681 5851 +1191 2132 +3937 -1778 +-4424 7052 +-4080 3923 +2675 -1966 +531 4813 +4894 378 +5651 -1998 +2364 1165 +2468 375 +-1811 7761 +-1141 3467 +-1546 -3286 +-2883 2243 +-1482 -996 +-2341 0 +-1482 996 +-2883 -2243 +-1546 3286 +-1141 -3467 +-1811 -7761 +2468 -375 +2364 -1165 +5651 1998 +4894 -378 +531 -4813 +2675 1966 +-4080 -3923 +-4424 -7052 +3937 1778 +1191 -2132 +-4681 -5851 +-3103 811 +2180 4328 +-473 842 +770 -1298 +3136 118 +-3065 -996 +-380 -136 +-969 -343 +-4344 -3309 +65 133 +2117 3484 +4451 3838 +327 1671 +-3233 -3003 +861 -2325 +2341 0 +861 2325 +-3233 3003 +327 -1671 +4451 -3838 +2117 -3484 +65 -133 +-4344 3309 +-969 343 +-380 136 +-3065 996 +3136 -118 +770 1298 +-473 -842 +2180 -4328 +-3103 -811 +-4681 5851 +1191 2132 +3937 -1778 +-4424 7052 +-4080 3923 +2675 -1966 +531 4813 +4894 378 +5651 -1998 +2364 1165 +2468 375 +-1811 7761 +-1141 3467 +-1546 -3286 +-2883 2243 +-1482 -996 +-4681 0 +-4021 -1788 +1156 2092 +-1734 5272 +1207 3653 +-613 5576 +-4543 7595 +1082 -1084 +-142 -1170 +-725 4957 +2400 -2139 +-831 -173 +-2736 1312 +-1865 -1962 +2230 2634 +3596 -1495 +1170 0 +3596 1495 +2230 -2634 +-1865 1962 +-2736 -1312 +-831 173 +2400 2139 +-725 -4957 +-142 1170 +1082 1084 +-4543 -7595 +-613 -5576 +1207 -3653 +-1734 -5272 +1156 -2092 +-4021 1788 +-4681 0 +4021 890 +3946 102 +1734 -257 +2103 3653 +613 -1727 +-3092 -888 +-1082 2848 +4823 -1170 +725 -303 +-1385 -3826 +831 -4985 +-574 1312 +1865 7333 +-711 5613 +-3596 -5876 +-1170 0 +-3596 5876 +-711 -5613 +1865 -7333 +-574 -1312 +831 4985 +-1385 3826 +725 303 +4823 1170 +-1082 -2848 +-3092 888 +613 1727 +2103 -3653 +1734 257 +3946 -102 +4021 -890 +-4681 0 +-4021 -1788 +1156 2092 +-1734 5272 +1207 3653 +-613 5576 +-4543 7595 +1082 -1084 +-142 -1170 +-725 4957 +2400 -2139 +-831 -173 +-2736 1312 +-1865 -1962 +2230 2634 +3596 -1495 +-1170 2341 +239 3494 +-2276 264 +-318 -1258 +875 1707 +-1628 1527 +-1006 1074 +-1392 -171 +-1513 -4681 +1057 -1327 +1805 406 +3259 -4055 +3534 -3870 +2978 3053 +5751 5256 +1457 -2045 +-4681 0 +1457 2045 +5751 -5256 +2978 -3053 +3534 3870 +3259 4055 +1805 -406 +1057 1327 +-1513 4681 +-1392 171 +-1006 -1074 +-1628 -1527 +875 -1707 +-318 1258 +-2276 -264 +239 -3494 +-1170 -2341 +-372 398 +4109 -2139 +-732 2171 +-4870 2974 +-568 -6118 +796 2831 +-1227 2772 +-3168 -4681 +-2763 3928 +-223 756 +-2854 -536 +-4220 -812 +-136 -2140 +407 8852 +3001 5937 +7022 0 +3001 -5937 +407 -8852 +-136 2140 +-4220 812 +-2854 536 +-223 -756 +-2763 -3928 +-3168 4681 +-1227 -2772 +796 -2831 +-568 6118 +-4870 -2974 +-732 -2171 +4109 2139 +-372 -398 +-1170 2341 +239 3494 +-2276 264 +-318 -1258 +875 1707 +-1628 1527 +-1006 1074 +-1392 -171 +-1513 -4681 +1057 -1327 +1805 406 +3259 -4055 +3534 -3870 +2978 3053 +5751 5256 +1457 -2045 +2341 -2341 +1804 1000 +-212 764 +-5272 -4429 +-60 924 +-1102 4417 +-4066 -205 +1167 -785 +3854 -1856 +1684 -1046 +3052 1780 +5406 1343 +322 5056 +-1887 3045 +-1316 -5528 +-3187 -4206 +-3511 0 +-3187 4206 +-1316 5528 +-1887 -3045 +322 -5056 +5406 -1343 +3052 -1780 +1684 1046 +3854 1856 +1167 785 +-4066 205 +-1102 -4417 +-60 -924 +-5272 4429 +-212 -764 +1804 -1000 +2341 2341 +2477 -949 +-6453 -1989 +-354 578 +3370 -1609 +-2086 -5603 +-66 -851 +-846 2307 +5509 -5166 +3838 -5067 +-3601 -1466 +588 -4049 +-3632 -1060 +-1913 -1794 +3300 -3689 +-317 3242 +-1170 0 +-317 -3242 +3300 3689 +-1913 1794 +-3632 1060 +588 4049 +-3601 1466 +3838 5067 +5509 5166 +-846 -2307 +-66 851 +-2086 5603 +3370 1609 +-354 -578 +-6453 1989 +2477 949 +2341 -2341 +1804 1000 +-212 764 +-5272 -4429 +-60 924 +-1102 4417 +-4066 -205 +1167 -785 +3854 -1856 +1684 -1046 +3052 1780 +5406 1343 +322 5056 +-1887 3045 +-1316 -5528 +-3187 -4206 +2341 1170 +2838 -1837 +-2695 -4737 +-1646 -2070 +2483 -1291 +3085 -1781 +1819 1197 +2640 1010 +3795 -2483 +-8130 -1168 +-3779 2077 +6020 1040 +-2483 -2281 +2401 -3631 +-2187 -1153 +-6724 1553 +2341 0 +-6724 -1553 +-2187 1153 +2401 3631 +-2483 2281 +6020 -1040 +-3779 -2077 +-8130 1168 +3795 2483 +2640 -1010 +1819 -1197 +3085 1781 +2483 1291 +-1646 2070 +-2695 4737 +2838 1837 +2341 -1170 +2401 492 +3455 160 +4050 169 +2483 2662 +-2698 284 +-1312 -1449 +-2769 2820 +-6135 2483 +-2686 317 +-38 4291 +-1577 2144 +-2483 -5710 +3606 -2951 +4738 3196 +-809 1783 +-2341 0 +-809 -1783 +4738 -3196 +3606 2951 +-2483 5710 +-1577 -2144 +-38 -4291 +-2686 -317 +-6135 -2483 +-2769 -2820 +-1312 1449 +-2698 -284 +2483 -2662 +4050 -169 +3455 -160 +2401 -492 +2341 1170 +2838 -1837 +-2695 -4737 +-1646 -2070 +2483 -1291 +3085 -1781 +1819 1197 +2640 1010 +3795 -2483 +-8130 -1168 +-3779 2077 +6020 1040 +-2483 -2281 +2401 -3631 +-2187 -1153 +-6724 1553 +0 -1170 +505 -2681 +-3519 -469 +2136 -3390 +1529 3163 +-5829 3137 +-4655 -3841 +-5351 3440 +686 4338 +859 -902 +-2442 3021 +2682 1914 +633 -350 +643 943 +-3471 12 +-3183 988 +4681 0 +-3183 -988 +-3471 -12 +643 -943 +633 350 +2682 -1914 +-2442 -3021 +859 902 +686 -4338 +-5351 -3440 +-4655 3841 +-5829 -3137 +1529 -3163 +2136 3390 +-3519 469 +505 2681 +0 1170 +2407 -3655 +4237 3317 +616 2363 +-1529 -3849 +-3252 -703 +1048 -1051 +1955 -1229 +3996 2683 +9430 1594 +1368 79 +-5560 1535 +-633 4346 +1945 5664 +-1928 1464 +-2 -2223 +4681 0 +-2 2223 +-1928 -1464 +1945 -5664 +-633 -4346 +-5560 -1535 +1368 -79 +9430 -1594 +3996 -2683 +1955 1229 +1048 1051 +-3252 703 +-1529 3849 +616 -2363 +4237 -3317 +2407 3655 +0 -1170 +505 -2681 +-3519 -469 +2136 -3390 +1529 3163 +-5829 3137 +-4655 -3841 +-5351 3440 +686 4338 +859 -902 +-2442 3021 +2682 1914 +633 -350 +643 943 +-3471 12 +-3183 988 +-2341 1170 +-1950 1096 +-3395 -1635 +-2425 1137 +-1090 2788 +-1156 -652 +5770 -2368 +-128 2239 +-4480 1513 +-35 2901 +-3178 7448 +-2999 -511 +-2864 -3422 +75 -1174 +5887 -1794 +-417 3638 +-7022 0 +-417 -3638 +5887 1794 +75 1174 +-2864 3422 +-2999 511 +-3178 -7448 +-35 -2901 +-4480 -1513 +-128 -2239 +5770 2368 +-1156 652 +-1090 -2788 +-2425 -1137 +-3395 1635 +-1950 -1096 +-2341 -1170 +3389 4307 +1394 -602 +-583 -4370 +-565 1893 +-1898 3087 +4712 -224 +2645 -566 +2140 3168 +5153 2083 +686 1260 +4535 6256 +4519 -1259 +-2169 -5368 +-2514 1497 +-2037 -1545 +-2341 0 +-2037 1545 +-2514 -1497 +-2169 5368 +4519 1259 +4535 -6256 +686 -1260 +5153 -2083 +2140 -3168 +2645 566 +4712 224 +-1898 -3087 +-565 -1893 +-583 4370 +1394 602 +3389 -4307 +-2341 1170 +-1950 1096 +-3395 -1635 +-2425 1137 +-1090 2788 +-1156 -652 +5770 -2368 +-128 2239 +-4480 1513 +-35 2901 +-3178 7448 +-2999 -511 +-2864 -3422 +75 -1174 +5887 -1794 +-417 3638 +4681 -1170 +759 -932 +1341 638 +5165 -1370 +-126 -1921 +-3664 1722 +-1126 -2067 +-2227 189 +-2341 -343 +-220 -2375 +-1068 5475 +372 -5974 +2288 -5959 +-1041 5924 +-3497 -4424 +-2764 -4263 +-2341 0 +-2764 4263 +-3497 4424 +-1041 -5924 +2288 5959 +372 5974 +-1068 -5475 +-220 2375 +-2341 343 +-2227 -189 +-1126 2067 +-3664 -1722 +-126 1921 +5165 1370 +1341 -638 +759 932 +4681 1170 +1153 -258 +-1341 478 +-268 2564 +-3184 -2075 +-2146 -2072 +1126 -1330 +-2286 -4996 +-2341 -1998 +2200 -2076 +1068 -2820 +-678 2734 +1022 6644 +2260 1743 +3497 -7132 +3385 -5934 +2341 0 +3385 5934 +3497 7132 +2260 -1743 +1022 -6644 +-678 -2734 +1068 2820 +2200 2076 +-2341 1998 +-2286 4996 +1126 1330 +-2146 2072 +-3184 2075 +-268 -2564 +-1341 -478 +1153 258 +4681 -1170 +759 -932 +1341 638 +5165 -1370 +-126 -1921 +-3664 1722 +-1126 -2067 +-2227 189 +-2341 -343 +-220 -2375 +-1068 5475 +372 -5974 +2288 -5959 +-1041 5924 +-3497 -4424 +-2764 -4263 +2341 2341 +173 -230 +-261 -4866 +-1286 827 +-681 1335 +-2600 442 +-199 -2342 +1664 -3578 +828 2825 +-1512 4945 +-3124 3389 +-4642 2977 +-2833 68 +4312 -4336 +-3747 -2533 +-5610 3352 +3511 0 +-5610 -3352 +-3747 2533 +4312 4336 +-2833 -68 +-4642 -2977 +-3124 -3389 +-1512 -4945 +828 -2825 +1664 3578 +-199 2342 +-2600 -442 +-681 -1335 +-1286 -827 +-261 4866 +173 230 +2341 -2341 +7040 6831 +842 2704 +3405 -3705 +6331 -2990 +-2702 -1608 +-738 3238 +1014 1301 +-828 -485 +-1907 -602 +-3930 -2494 +-497 2477 +1863 -1723 +4011 -5162 +1795 371 +-861 -3371 +1170 0 +-861 3371 +1795 -371 +4011 5162 +1863 1723 +-497 -2477 +-3930 2494 +-1907 602 +-828 485 +1014 -1301 +-738 -3238 +-2702 1608 +6331 2990 +3405 3705 +842 -2704 +7040 -6831 +2341 2341 +173 -230 +-261 -4866 +-1286 827 +-681 1335 +-2600 442 +-199 -2342 +1664 -3578 +828 2825 +-1512 4945 +-3124 3389 +-4642 2977 +-2833 68 +4312 -4336 +-3747 -2533 +-5610 3352 +-1170 2341 +4478 -317 +-61 -3871 +-2305 -982 +1093 -4086 +3562 -2264 +2708 5372 +-1535 -1918 +1998 -5166 +-2161 -655 +-4269 -5662 +241 -2466 +-5131 7260 +-1307 4223 +-1898 -1034 +-2632 -538 +4681 0 +-2632 538 +-1898 1034 +-1307 -4223 +-5131 -7260 +241 2466 +-4269 5662 +-2161 655 +1998 5166 +-1535 1918 +2708 -5372 +3562 2264 +1093 4086 +-2305 982 +-61 3871 +4478 317 +-1170 -2341 +-2075 -2031 +-1012 -3109 +548 1761 +1247 2030 +-3912 -2688 +-1990 -2465 +-2061 -2763 +343 -1856 +5757 -2235 +-1130 -4103 +109 1838 +7472 4727 +3064 880 +-1709 106 +229 -18 +2341 0 +229 18 +-1709 -106 +3064 -880 +7472 -4727 +109 -1838 +-1130 4103 +5757 2235 +343 1856 +-2061 2763 +-1990 2465 +-3912 2688 +1247 -2030 +548 -1761 +-1012 3109 +-2075 2031 +-1170 2341 +4478 -317 +-61 -3871 +-2305 -982 +1093 -4086 +3562 -2264 +2708 5372 +-1535 -1918 +1998 -5166 +-2161 -655 +-4269 -5662 +241 -2466 +-5131 7260 +-1307 4223 +-1898 -1034 +-2632 -538 +1170 1170 +-298 5599 +-1208 -3126 +4084 1621 +1998 5035 +-1539 -3843 +-2007 -3548 +-2390 -532 +-969 -2483 +-1384 -3056 +-150 2381 +2713 1922 +343 -2981 +-2077 788 +-2724 3050 +-4716 759 +-5851 0 +-4716 -759 +-2724 -3050 +-2077 -788 +343 2981 +2713 -1922 +-150 -2381 +-1384 3056 +-969 2483 +-2390 532 +-2007 3548 +-1539 3843 +1998 -5035 +4084 -1621 +-1208 3126 +-298 -5599 +1170 -1170 +-2525 2161 +2685 -184 +5935 825 +1998 -5035 +95 -2893 +-1093 238 +5023 507 +5651 2483 +-6078 498 +-4741 929 +1026 -2542 +343 2981 +3003 7775 +-124 260 +-872 4467 +3511 0 +-872 -4467 +-124 -260 +3003 -7775 +343 -2981 +1026 2542 +-4741 -929 +-6078 -498 +5651 -2483 +5023 -507 +-1093 -238 +95 2893 +1998 5035 +5935 -825 +2685 184 +-2525 -2161 +1170 1170 +-298 5599 +-1208 -3126 +4084 1621 +1998 5035 +-1539 -3843 +-2007 -3548 +-2390 -532 +-969 -2483 +-1384 -3056 +-150 2381 +2713 1922 +343 -2981 +-2077 788 +-2724 3050 +-4716 759 +-3511 -3511 +-3893 4145 +4959 -1814 +10923 -2408 +-194 5436 +-255 1393 +3299 -2634 +1375 2285 +2341 4823 +2588 1247 +1457 -1881 +-199 -1366 +-702 299 +-1641 3709 +-310 3088 +1731 -2255 +1170 0 +1731 2255 +-310 -3088 +-1641 -3709 +-702 -299 +-199 1366 +1457 1881 +2588 -1247 +2341 -4823 +1375 -2285 +3299 2634 +-255 -1393 +-194 -5436 +10923 2408 +4959 1814 +-3893 -4145 +-3511 3511 +-6115 3110 +-2797 -4522 +-2851 -1474 +-1461 215 +720 3298 +-2403 5660 +2687 -624 +2341 -142 +-534 770 +-2353 -1145 +-2799 3167 +2357 670 +-3897 -1119 +-1853 3248 +2161 505 +-3511 0 +2161 -505 +-1853 -3248 +-3897 1119 +2357 -670 +-2799 -3167 +-2353 1145 +-534 -770 +2341 142 +2687 624 +-2403 -5660 +720 -3298 +-1461 -215 +-2851 1474 +-2797 4522 +-6115 -3110 +-3511 -3511 +-3893 4145 +4959 -1814 +10923 -2408 +-194 5436 +-255 1393 +3299 -2634 +1375 2285 +2341 4823 +2588 1247 +1457 -1881 +-199 -1366 +-702 299 +-1641 3709 +-310 3088 +1731 -2255 +1170 -1170 +1739 3766 +-4822 -3890 +904 -1269 +3870 5989 +-4284 951 +-2597 344 +-3930 -2475 +-2825 -3653 +1493 917 +751 2690 +4602 7113 +2974 135 +-1419 -6846 +-3473 2084 +-4689 3656 +-3511 0 +-4689 -3656 +-3473 -2084 +-1419 6846 +2974 -135 +4602 -7113 +751 -2690 +1493 -917 +-2825 3653 +-3930 2475 +-2597 -344 +-4284 -951 +3870 -5989 +904 1269 +-4822 3890 +1739 -3766 +1170 1170 +-398 -122 +827 -5190 +2000 -935 +812 -1023 +-815 674 +1912 2640 +570 -856 +485 1312 +348 5178 +-1437 2233 +-518 356 +1707 4830 +6150 3866 +-523 138 +-1754 2795 +5851 0 +-1754 -2795 +-523 -138 +6150 -3866 +1707 -4830 +-518 -356 +-1437 -2233 +348 -5178 +485 -1312 +570 856 +1912 -2640 +-815 -674 +812 1023 +2000 935 +827 5190 +-398 122 +1170 -1170 +1739 3766 +-4822 -3890 +904 -1269 +3870 5989 +-4284 951 +-2597 344 +-3930 -2475 +-2825 -3653 +1493 917 +751 2690 +4602 7113 +2974 135 +-1419 -6846 +-3473 2084 +-4689 3656 +1170 1170 +3692 3350 +-79 1190 +-6115 -4335 +1735 -1851 +2866 377 +-2477 -3784 +720 -3263 +2625 -3168 +3251 -2896 +1418 -3169 +-5303 -547 +-3349 4003 +2741 -435 +1030 -3876 +-1436 -1493 +-1170 0 +-1436 1493 +1030 3876 +2741 435 +-3349 -4003 +-5303 547 +1418 3169 +3251 2896 +2625 3168 +720 3263 +-2477 3784 +2866 -377 +1735 1851 +-6115 4335 +-79 -1190 +3692 -3350 +1170 -1170 +3182 6809 +-428 3684 +-536 -1389 +2260 5161 +-2557 -2128 +-74 -4672 +369 840 +-7306 -1513 +-5355 -185 +-2178 -606 +-107 1007 +4034 -693 +2391 -335 +2787 4069 +2197 -4988 +-1170 0 +2197 4988 +2787 -4069 +2391 335 +4034 693 +-107 -1007 +-2178 606 +-5355 185 +-7306 1513 +369 -840 +-74 4672 +-2557 2128 +2260 -5161 +-536 1389 +-428 -3684 +3182 -6809 +1170 1170 +3692 3350 +-79 1190 +-6115 -4335 +1735 -1851 +2866 377 +-2477 -3784 +720 -3263 +2625 -3168 +3251 -2896 +1418 -3169 +-5303 -547 +-3349 4003 +2741 -435 +1030 -3876 +-1436 -1493 +0 4681 +-2348 -5298 +-883 -2929 +383 4421 +-1081 -4423 +-1525 -5485 +-1288 630 +912 370 +-2483 485 +-2630 -2310 +-2232 -5745 +-5271 -2379 +448 3139 +-362 2894 +-2084 2058 +2732 4572 +3511 0 +2732 -4572 +-2084 -2058 +-362 -2894 +448 -3139 +-5271 2379 +-2232 5745 +-2630 2310 +-2483 -485 +912 -370 +-1288 -630 +-1525 5485 +-1081 4423 +383 -4421 +-883 2929 +-2348 5298 +0 -4681 +1702 -4065 +2675 22 +-2222 2893 +1081 427 +4277 -3613 +-3036 -1558 +2335 -5278 +2483 -2825 +-616 3162 +6557 -1235 +2519 -1295 +-448 -2453 +2201 481 +293 7707 +-2087 1188 +-3511 0 +-2087 -1188 +293 -7707 +2201 -481 +-448 2453 +2519 1295 +6557 1235 +-616 -3162 +2483 2825 +2335 5278 +-3036 1558 +4277 3613 +1081 -427 +-2222 -2893 +2675 -22 +1702 4065 +0 4681 +-2348 -5298 +-883 -2929 +383 4421 +-1081 -4423 +-1525 -5485 +-1288 630 +912 370 +-2483 485 +-2630 -2310 +-2232 -5745 +-5271 -2379 +448 3139 +-362 2894 +-2084 2058 +2732 4572 +0 -2341 +-2174 784 +3896 3942 +1024 986 +4362 -2780 +1834 -1952 +-4302 921 +4285 2035 +-828 -485 +1174 -2494 +8630 -93 +-3473 1324 +-5698 110 +-2411 1424 +1844 2578 +1136 749 +-5851 0 +1136 -749 +1844 -2578 +-2411 -1424 +-5698 -110 +-3473 -1324 +8630 93 +1174 2494 +-828 485 +4285 -2035 +-4302 -921 +1834 1952 +4362 2780 +1024 -986 +3896 -3942 +-2174 -784 +0 2341 +2676 2919 +-5743 4588 +-7069 3066 +-3392 -246 +-1496 2060 +2182 2760 +4434 -385 +828 2825 +1052 4144 +1482 -2846 +-1693 -1217 +47 6226 +44 2629 +1375 -668 +658 2954 +-3511 0 +658 -2954 +1375 668 +44 -2629 +47 -6226 +-1693 1217 +1482 2846 +1052 -4144 +828 -2825 +4434 385 +2182 -2760 +-1496 -2060 +-3392 246 +-7069 -3066 +-5743 -4588 +2676 -2919 +0 -2341 +-2174 784 +3896 3942 +1024 986 +4362 -2780 +1834 -1952 +-4302 921 +4285 2035 +-828 -485 +1174 -2494 +8630 -93 +-3473 1324 +-5698 110 +-2411 1424 +1844 2578 +1136 749 +4681 -3511 +1429 1627 +5653 -5908 +7405 -5446 +-1461 448 +-3855 107 +252 -836 +-1603 -2257 +-2825 3854 +-1713 3666 +-2692 -3602 +-310 -3253 +2357 -1081 +612 1659 +-155 4638 +-924 3995 +-2341 0 +-924 -3995 +-155 -4638 +612 -1659 +2357 1081 +-310 3253 +-2692 3602 +-1713 -3666 +-2825 -3854 +-1603 2257 +252 836 +-3855 -107 +-1461 -448 +7405 5446 +5653 5908 +1429 -1627 +4681 3511 +3675 -365 +-1835 -564 +-2724 7649 +-194 -448 +1507 2186 +-1011 1192 +-3349 -5054 +485 5509 +2341 2353 +142 -5404 +867 -2718 +-702 1081 +-3501 1642 +-353 -1748 +145 1236 +-2341 0 +145 -1236 +-353 1748 +-3501 -1642 +-702 -1081 +867 2718 +142 5404 +2341 -2353 +485 -5509 +-3349 5054 +-1011 -1192 +1507 -2186 +-194 448 +-2724 -7649 +-1835 564 +3675 365 +4681 -3511 +1429 1627 +5653 -5908 +7405 -5446 +-1461 448 +-3855 107 +252 -836 +-1603 -2257 +-2825 3854 +-1713 3666 +-2692 -3602 +-310 -3253 +2357 -1081 +612 1659 +-155 4638 +-924 3995 +-1170 -2341 +3515 -2423 +1100 1040 +-320 -2071 +-1036 -3691 +-412 1796 +2872 108 +-2154 1917 +-1797 4681 +733 -252 +-748 -248 +-481 -51 +-147 -262 +5991 882 +1989 964 +-4698 3652 +-2341 0 +-4698 -3652 +1989 -964 +5991 -882 +-147 262 +-481 51 +-748 248 +733 252 +-1797 -4681 +-2154 -1917 +2872 -108 +-412 -1796 +-1036 3691 +-320 2071 +1100 -1040 +3515 2423 +-1170 2341 +-2363 559 +-1608 -71 +85 3330 +3660 3691 +12 1071 +-5423 5543 +-255 5897 +6478 4681 +157 2608 +-11 -5403 +-133 -1827 +-7159 262 +1879 -7110 +1829 -1933 +-1556 5008 +4681 0 +-1556 -5008 +1829 1933 +1879 7110 +-7159 -262 +-133 1827 +-11 5403 +157 -2608 +6478 -4681 +-255 -5897 +-5423 -5543 +12 -1071 +3660 -3691 +85 -3330 +-1608 71 +-2363 -559 +-1170 -2341 +3515 -2423 +1100 1040 +-320 -2071 +-1036 -3691 +-412 1796 +2872 108 +-2154 1917 +-1797 4681 +733 -252 +-748 -248 +-481 -51 +-147 -262 +5991 882 +1989 964 +-4698 3652 +2341 -1170 +-520 -1375 +-5226 3327 +-2604 2646 +-1751 -1699 +3361 3438 +1533 704 +-9216 -2183 +-3310 1312 +2186 -1895 +-1223 3116 +2899 5104 +5883 558 +2097 319 +-3011 -1817 +794 647 +7022 0 +794 -647 +-3011 1817 +2097 -319 +5883 -558 +2899 -5104 +-1223 -3116 +2186 1895 +-3310 -1312 +-9216 2183 +1533 -704 +3361 -3438 +-1751 1699 +-2604 -2646 +-5226 -3327 +-520 1375 +2341 1170 +-1267 -1029 +-1828 -808 +-1810 222 +782 -1328 +936 -1496 +-952 -4489 +1326 -6067 +3310 -3653 +3913 -240 +-729 2461 +-2871 -628 +-233 5778 +-2009 5082 +2074 -5026 +2784 3065 +-2341 0 +2784 -3065 +2074 5026 +-2009 -5082 +-233 -5778 +-2871 628 +-729 -2461 +3913 240 +3310 3653 +1326 6067 +-952 4489 +936 1496 +782 1328 +-1810 -222 +-1828 808 +-1267 1029 +2341 -1170 +-520 -1375 +-5226 3327 +-2604 2646 +-1751 -1699 +3361 3438 +1533 704 +-9216 -2183 +-3310 1312 +2186 -1895 +-1223 3116 +2899 5104 +5883 558 +2097 319 +-3011 -1817 +794 647 +1170 -4681 +-1495 1685 +637 3123 +-1252 4559 +128 1170 +10085 -6605 +4420 2774 +639 2583 +828 -7991 +-3740 -1131 +-1695 473 +701 -3972 +2668 -1170 +2035 -1724 +200 -371 +574 3252 +0 0 +574 -3252 +200 371 +2035 1724 +2668 1170 +701 3972 +-1695 -473 +-3740 1131 +828 7991 +639 -2583 +4420 -2774 +10085 6605 +128 -1170 +-1252 -4559 +637 -3123 +-1495 -1685 +1170 4681 +-213 1956 +1525 -1468 +-2902 -550 +-5093 1170 +2248 3942 +-3525 -1119 +-4789 -2745 +-828 -1371 +-2313 -5504 +799 -2128 +2236 1666 +2297 -1170 +90 -3274 +-2363 -1284 +-1904 3279 +-2341 0 +-1904 -3279 +-2363 1284 +90 3274 +2297 1170 +2236 -1666 +799 2128 +-2313 5504 +-828 1371 +-4789 2745 +-3525 1119 +2248 -3942 +-5093 -1170 +-2902 550 +1525 1468 +-213 -1956 +1170 -4681 +-1495 1685 +637 3123 +-1252 4559 +128 1170 +10085 -6605 +4420 2774 +639 2583 +828 -7991 +-3740 -1131 +-1695 473 +701 -3972 +2668 -1170 +2035 -1724 +200 -371 +574 3252 +1170 -3511 +-237 -3485 +-1209 -723 +-942 -3298 +3088 -2446 +813 736 +-4120 47 +2445 -291 +2825 3653 +-2046 -457 +-5436 -2508 +-4577 6215 +3207 1424 +1309 -797 +714 6348 +-3760 253 +-12873 0 +-3760 -253 +714 -6348 +1309 797 +3207 -1424 +-4577 -6215 +-5436 2508 +-2046 457 +2825 -3653 +2445 291 +-4120 -47 +813 -736 +3088 2446 +-942 3298 +-1209 723 +-237 3485 +1170 3511 +1596 -3352 +1461 -1110 +-149 1365 +2563 -1550 +3880 -1288 +2077 -258 +4214 2090 +-485 -1312 +-1302 -3943 +859 927 +-3427 -1072 +-4176 -738 +-3528 1817 +5654 -190 +5711 2692 +-3511 0 +5711 -2692 +5654 190 +-3528 -1817 +-4176 738 +-3427 1072 +859 -927 +-1302 3943 +-485 1312 +4214 -2090 +2077 258 +3880 1288 +2563 1550 +-149 -1365 +1461 1110 +1596 3352 +1170 -3511 +-237 -3485 +-1209 -723 +-942 -3298 +3088 -2446 +813 736 +-4120 47 +2445 -291 +2825 3653 +-2046 -457 +-5436 -2508 +-4577 6215 +3207 1424 +1309 -797 +714 6348 +-3760 253 +-1170 2341 +-789 2809 +4700 -2513 +2547 1080 +-2542 2454 +1881 1623 +2941 -677 +1388 1750 +-2483 7306 +-1265 2064 +1829 -2852 +-712 -3860 +2804 -4736 +1959 243 +-2085 639 +-4086 -3949 +-7022 0 +-4086 3949 +-2085 -639 +1959 -243 +2804 4736 +-712 3860 +1829 2852 +-1265 -2064 +-2483 -7306 +1388 -1750 +2941 677 +1881 -1623 +-2542 -2454 +2547 -1080 +4700 2513 +-789 -2809 +-1170 -2341 +-2274 1654 +-197 -7599 +482 -2160 +887 3196 +2560 -6926 +296 -1171 +3718 2004 +2483 -2625 +-1035 675 +-384 -367 +-2952 3659 +-1149 5705 +856 -2841 +2264 -2759 +-2277 778 +-9362 0 +-2277 -778 +2264 2759 +856 2841 +-1149 -5705 +-2952 -3659 +-384 367 +-1035 -675 +2483 2625 +3718 -2004 +296 1171 +2560 6926 +887 -3196 +482 2160 +-197 7599 +-2274 -1654 +-1170 2341 +-789 2809 +4700 -2513 +2547 1080 +-2542 2454 +1881 1623 +2941 -677 +1388 1750 +-2483 7306 +-1265 2064 +1829 -2852 +-712 -3860 +2804 -4736 +1959 243 +-2085 639 +-4086 -3949 +-4681 -1170 +-756 1104 +-3242 2238 +-5024 6854 +686 -1812 +39 -5949 +2512 -460 +1251 -720 +-6336 343 +131 2715 +-8 2629 +-2349 -358 +3996 2953 +-1213 4101 +1034 -622 +6017 3756 +2341 0 +6017 -3756 +1034 622 +-1213 -4101 +3996 -2953 +-2349 358 +-8 -2629 +131 -2715 +-6336 -343 +1251 720 +2512 460 +39 5949 +686 1812 +-5024 -6854 +-3242 -2238 +-756 -1104 +-4681 1170 +-3485 852 +1198 -6056 +3535 -3467 +686 -2183 +956 -2688 +3856 -299 +-408 2819 +-3026 1998 +-975 -3505 +260 -79 +1354 726 +3996 -2267 +2703 -1070 +-5611 115 +-1776 4673 +7022 0 +-1776 -4673 +-5611 -115 +2703 1070 +3996 2267 +1354 -726 +260 79 +-975 3505 +-3026 -1998 +-408 -2819 +3856 299 +956 2688 +686 2183 +3535 3467 +1198 6056 +-3485 -852 +-4681 -1170 +-756 1104 +-3242 2238 +-5024 6854 +686 -1812 +39 -5949 +2512 -460 +1251 -720 +-6336 343 +131 2715 +-8 2629 +-2349 -358 +3996 2953 +-1213 4101 +1034 -622 +6017 3756 +-3511 -1170 +-3501 3075 +-3630 2150 +-2030 -6869 +-359 2953 +2927 3027 +1252 -975 +-6095 7203 +-4480 1998 +1475 585 +4252 2945 +1197 -2656 +537 -2183 +7407 335 +2475 -1154 +-3531 -3348 +-1170 0 +-3531 3348 +2475 1154 +7407 -335 +537 2183 +1197 2656 +4252 -2945 +1475 -585 +-4480 -1998 +-6095 -7203 +1252 975 +2927 -3027 +-359 -2953 +-2030 6869 +-3630 -2150 +-3501 -3075 +-3511 1170 +497 -882 +-947 698 +-6215 -2157 +2699 -2267 +4834 -3136 +-1000 -3916 +2605 -534 +2140 343 +1238 3130 +2116 155 +467 -3653 +1804 -1812 +-1967 422 +-4519 2631 +691 -155 +3511 0 +691 155 +-4519 -2631 +-1967 -422 +1804 1812 +467 3653 +2116 -155 +1238 -3130 +2140 -343 +2605 534 +-1000 3916 +4834 3136 +2699 2267 +-6215 2157 +-947 -698 +497 882 +-3511 -1170 +-3501 3075 +-3630 2150 +-2030 -6869 +-359 2953 +2927 3027 +1252 -975 +-6095 7203 +-4480 1998 +1475 585 +4252 2945 +1197 -2656 +537 -2183 +7407 335 +2475 -1154 +-3531 -3348 +-2341 2341 +-2513 4032 +-3641 -2730 +1272 5670 +528 3459 +-4601 1878 +181 3289 +4210 795 +343 3310 +-2110 2159 +-67 555 +2686 -2195 +-612 2014 +-2974 5919 +3120 -2380 +1965 -1524 +-3511 0 +1965 1524 +3120 2380 +-2974 -5919 +-612 -2014 +2686 2195 +-67 -555 +-2110 -2159 +343 -3310 +4210 -795 +181 -3289 +-4601 -1878 +528 -3459 +1272 -5670 +-3641 2730 +-2513 -4032 +-2341 -2341 +-1813 7129 +2745 -2532 +1955 -903 +157 2192 +1554 -1524 +1982 455 +3731 -2925 +1998 -3310 +-3025 -622 +-2095 1818 +1138 2969 +4608 -1044 +5591 2010 +-2224 5109 +-7066 370 +-5851 0 +-7066 -370 +-2224 -5109 +5591 -2010 +4608 1044 +1138 -2969 +-2095 -1818 +-3025 622 +1998 3310 +3731 2925 +1982 -455 +1554 1524 +157 -2192 +1955 903 +2745 2532 +-1813 -7129 +-2341 2341 +-2513 4032 +-3641 -2730 +1272 5670 +528 3459 +-4601 1878 +181 3289 +4210 795 +343 3310 +-2110 2159 +-67 555 +2686 -2195 +-612 2014 +-2974 5919 +3120 -2380 +1965 -1524 +4681 2341 +-2416 3330 +-3128 4471 +3597 4419 +-807 -3430 +-1537 -3215 +-3330 1782 +560 -245 +4823 2140 +-2079 2925 +-32 -3614 +2752 -9 +-544 5205 +-1045 -913 +-2840 -387 +-1679 6084 +1170 0 +-1679 -6084 +-2840 387 +-1045 913 +-544 -5205 +2752 9 +-32 3614 +-2079 -2925 +4823 -2140 +560 245 +-3330 -1782 +-1537 3215 +-807 3430 +3597 -4419 +-3128 -4471 +-2416 -3330 +4681 -2341 +-1217 2858 +924 -265 +2063 -5452 +3147 -2906 +5097 -76 +-1680 3690 +1044 2389 +-142 -4480 +-1821 -781 +3671 2467 +2099 -3282 +2885 -2178 +213 -120 +-2947 -2028 +-5633 103 +-10533 0 +-5633 -103 +-2947 2028 +213 120 +2885 2178 +2099 3282 +3671 -2467 +-1821 781 +-142 4480 +1044 -2389 +-1680 -3690 +5097 76 +3147 2906 +2063 5452 +924 265 +-1217 -2858 +4681 2341 +-2416 3330 +-3128 4471 +3597 4419 +-807 -3430 +-1537 -3215 +-3330 1782 +560 -245 +4823 2140 +-2079 2925 +-32 -3614 +2752 -9 +-544 5205 +-1045 -913 +-2840 -387 +-1679 6084 +3511 -2341 +2240 -1746 +-1423 423 +-2411 3652 +128 1541 +3960 -1865 +1617 5286 +1572 2902 +-1513 -1655 +-1960 1643 +1666 878 +382 4632 +2668 4050 +840 -1573 +-404 -1878 +2698 -4416 +2341 0 +2698 4416 +-404 1878 +840 1573 +2668 -4050 +382 -4632 +1666 -878 +-1960 -1643 +-1513 1655 +1572 -2902 +1617 -5286 +3960 1865 +128 -1541 +-2411 -3652 +-1423 -423 +2240 1746 +3511 2341 +-2697 -2120 +2066 3024 +3712 6495 +-5093 799 +-5906 3613 +-1736 694 +723 -2824 +-3168 1655 +-335 -1418 +5073 1792 +1564 4093 +2297 -6391 +-2140 -3907 +-6860 2014 +-2241 -3180 +0 0 +-2241 3180 +-6860 -2014 +-2140 3907 +2297 6391 +1564 -4093 +5073 -1792 +-335 1418 +-3168 -1655 +723 2824 +-1736 -694 +-5906 -3613 +-5093 -799 +3712 -6495 +2066 -3024 +-2697 2120 +3511 -2341 +2240 -1746 +-1423 423 +-2411 3652 +128 1541 +3960 -1865 +1617 5286 +1572 2902 +-1513 -1655 +-1960 1643 +1666 878 +382 4632 +2668 4050 +840 -1573 +-404 -1878 +2698 -4416 +3511 -1170 +-3264 5153 +2602 -743 +2042 -1367 +633 2542 +7306 1386 +-1192 4017 +-1072 16 +4480 -1312 +1287 4142 +2536 -3421 +-1079 -4796 +-1529 2804 +2918 -488 +107 -5022 +-3056 -4133 +-3511 0 +-3056 4133 +107 5022 +2918 488 +-1529 -2804 +-1079 4796 +2536 3421 +1287 -4142 +4480 1312 +-1072 -16 +-1192 -4017 +7306 -1386 +633 -2542 +2042 1367 +2602 743 +-3264 -5153 +3511 1170 +3601 6434 +845 3158 +-249 -740 +-633 -887 +1413 -1070 +-4788 -2870 +-4973 2846 +-2140 3653 +-3654 -2715 +134 -2051 +3305 -2102 +1529 -1149 +-2416 529 +-243 816 +-2111 4923 +-8192 0 +-2111 -4923 +-243 -816 +-2416 -529 +1529 1149 +3305 2102 +134 2051 +-3654 2715 +-2140 -3653 +-4973 -2846 +-4788 2870 +1413 1070 +-633 887 +-249 740 +845 -3158 +3601 -6434 +3511 -1170 +-3264 5153 +2602 -743 +2042 -1367 +633 2542 +7306 1386 +-1192 4017 +-1072 16 +4480 -1312 +1287 4142 +2536 -3421 +-1079 -4796 +-1529 2804 +2918 -488 +107 -5022 +-3056 -4133 +-2341 -3511 +3690 2692 +3859 3773 +1097 67 +2551 3467 +-1523 2316 +-225 3505 +3306 729 +-2341 -5993 +-1963 -207 +2027 6056 +422 8062 +507 -1298 +2621 -2680 +4023 4507 +1146 -6279 +-2341 0 +1146 6279 +4023 -4507 +2621 2680 +507 1298 +422 -8062 +2027 -6056 +-1963 207 +-2341 5993 +3306 -729 +-225 -3505 +-1523 -2316 +2551 -3467 +1097 -67 +3859 -3773 +3690 -2692 +-2341 3511 +-1192 4989 +-2785 4176 +-3747 856 +759 3838 +-1622 1942 +-493 -762 +2590 3375 +-2341 -1028 +-624 -2820 +3372 -1942 +-588 -1236 +-3817 3923 +-3281 -448 +-416 -4549 +-335 209 +-2341 0 +-335 -209 +-416 4549 +-3281 448 +-3817 -3923 +-588 1236 +3372 1942 +-624 2820 +-2341 1028 +2590 -3375 +-493 762 +-1622 -1942 +759 -3838 +-3747 -856 +-2785 -4176 +-1192 -4989 +-2341 -3511 +3690 2692 +3859 3773 +1097 67 +2551 3467 +-1523 2316 +-225 3505 +3306 729 +-2341 -5993 +-1963 -207 +2027 6056 +422 8062 +507 -1298 +2621 -2680 +4023 4507 +1146 -6279 +-1170 -1170 +290 -1018 +-5827 839 +1118 3540 +7425 1909 +1352 -2897 +90 -780 +-3531 -383 +485 -7648 +395 -7934 +-3851 -2816 +2295 383 +-3268 1275 +-3051 -5133 +6288 -6250 +1709 1997 +-3511 0 +1709 -1997 +6288 6250 +-3051 5133 +-3268 -1275 +2295 -383 +-3851 2816 +395 7934 +485 7648 +-3531 383 +90 780 +1352 2897 +7425 -1909 +1118 -3540 +-5827 -839 +290 1018 +-1170 1170 +1251 633 +1365 1575 +-1441 606 +566 -254 +-1942 2629 +789 1928 +-611 239 +-2825 627 +1956 -3006 +-337 -2656 +2620 1497 +4639 380 +-951 2065 +1483 2044 +-1459 -3817 +-8192 0 +-1459 3817 +1483 -2044 +-951 -2065 +4639 -380 +2620 -1497 +-337 2656 +1956 3006 +-2825 -627 +-611 -239 +789 -1928 +-1942 -2629 +566 254 +-1441 -606 +1365 -1575 +1251 -633 +-1170 -1170 +290 -1018 +-5827 839 +1118 3540 +7425 1909 +1352 -2897 +90 -780 +-3531 -383 +485 -7648 +395 -7934 +-3851 -2816 +2295 383 +-3268 1275 +-3051 -5133 +6288 -6250 +1709 1997 +-3511 -2341 +-5698 2202 +1023 4711 +1276 -756 +-21 -1529 +-269 -645 +-4087 -1272 +-2656 -1294 +-2483 -4681 +3823 154 +5110 6758 +-406 860 +1372 633 +-5892 4680 +-2874 1380 +2893 318 +-2341 0 +2893 -318 +-2874 -1380 +-5892 -4680 +1372 -633 +-406 -860 +5110 -6758 +3823 -154 +-2483 4681 +-2656 1294 +-4087 1272 +-269 645 +-21 1529 +1276 756 +1023 -4711 +-5698 -2202 +-3511 2341 +-215 1045 +-709 1761 +-5690 3508 +-3975 1529 +6028 -1194 +2862 916 +1925 648 +2483 -4681 +-2349 -800 +4106 2248 +5088 -2699 +-2057 -633 +-134 -1928 +3931 -4269 +2278 2929 +0 0 +2278 -2929 +3931 4269 +-134 1928 +-2057 633 +5088 2699 +4106 -2248 +-2349 800 +2483 4681 +1925 -648 +2862 -916 +6028 1194 +-3975 -1529 +-5690 -3508 +-709 -1761 +-215 -1045 +-3511 -2341 +-5698 2202 +1023 4711 +1276 -756 +-21 -1529 +-269 -645 +-4087 -1272 +-2656 -1294 +-2483 -4681 +3823 154 +5110 6758 +-406 860 +1372 633 +-5892 4680 +-2874 1380 +2893 318 +-2341 -2341 +-2429 1259 +-4462 -437 +-2355 1781 +-1686 -4988 +-5824 -4030 +-1841 9069 +781 761 +2683 -1655 +2250 6760 +-239 -1571 +-324 -3081 +-5241 -1380 +-2769 -3148 +1785 516 +1855 -1879 +3511 0 +1855 1879 +1785 -516 +-2769 3148 +-5241 1380 +-324 3081 +-239 1571 +2250 -6760 +2683 1655 +781 -761 +-1841 -9069 +-5824 4030 +-1686 4988 +-2355 -1781 +-4462 437 +-2429 -1259 +-2341 2341 +220 5461 +-759 -1515 +865 -4899 +1001 -663 +-2640 1864 +2212 -2015 +4470 -2828 +4338 1655 +1924 3488 +-132 634 +2945 -2247 +1246 411 +3483 -391 +3435 -1097 +-2452 4934 +-3511 0 +-2452 -4934 +3435 1097 +3483 391 +1246 -411 +2945 2247 +-132 -634 +1924 -3488 +4338 -1655 +4470 2828 +2212 2015 +-2640 -1864 +1001 663 +865 4899 +-759 1515 +220 -5461 +-2341 -2341 +-2429 1259 +-4462 -437 +-2355 1781 +-1686 -4988 +-5824 -4030 +-1841 9069 +781 761 +2683 -1655 +2250 6760 +-239 -1571 +-324 -3081 +-5241 -1380 +-2769 -3148 +1785 516 +1855 -1879 +7022 2341 +508 4380 +103 -2312 +2699 436 +2103 2327 +1851 -1253 +3647 -2958 +1457 -1195 +-2483 1856 +2100 -1009 +1052 1898 +-3255 4589 +-574 2134 +-3325 2474 +-3185 878 +-43 982 +-1170 0 +-43 -982 +-3185 -878 +-3325 -2474 +-574 -2134 +-3255 -4589 +1052 -1898 +2100 1009 +-2483 -1856 +1457 1195 +3647 2958 +1851 1253 +2103 -2327 +2699 -436 +103 2312 +508 -4380 +7022 -2341 +-261 -2670 +-7545 -2579 +1645 3755 +1207 -6323 +-2313 -5704 +1647 1481 +2305 -1544 +2483 5166 +-2552 3371 +-4408 -4746 +407 -3911 +-2736 -1449 +-4329 2731 +-675 2222 +3106 -791 +5851 0 +3106 791 +-675 -2222 +-4329 -2731 +-2736 1449 +407 3911 +-4408 4746 +-2552 -3371 +2483 -5166 +2305 1544 +1647 -1481 +-2313 5704 +1207 6323 +1645 -3755 +-7545 2579 +-261 2670 +7022 2341 +508 4380 +103 -2312 +2699 436 +2103 2327 +1851 -1253 +3647 -2958 +1457 -1195 +-2483 1856 +2100 -1009 +1052 1898 +-3255 4589 +-574 2134 +-3325 2474 +-3185 878 +-43 982 +0 -3511 +-5066 -3618 +-2199 1075 +-2019 4712 +-4855 -8135 +-487 -1247 +2437 7946 +1743 677 +1170 828 +-2442 858 +-3967 521 +667 -531 +5211 1504 +3393 2693 +-2792 -3755 +-4142 -882 +-2341 0 +-4142 882 +-2792 3755 +3393 -2693 +5211 -1504 +667 531 +-3967 -521 +-2442 -858 +1170 -828 +1743 -677 +2437 -7946 +-487 1247 +-4855 8135 +-2019 -4712 +-2199 -1075 +-5066 3618 +0 3511 +442 4278 +-2693 -1372 +1676 1185 +1261 144 +-2504 -1252 +-961 763 +-697 -3822 +1170 -828 +2411 -693 +1119 -3113 +7426 1342 +7745 -133 +-1531 -106 +-308 1519 +1131 -1768 +-2341 0 +1131 1768 +-308 -1519 +-1531 106 +7745 133 +7426 -1342 +1119 3113 +2411 693 +1170 828 +-697 3822 +-961 -763 +-2504 1252 +1261 -144 +1676 -1185 +-2693 1372 +442 -4278 +0 -3511 +-5066 -3618 +-2199 1075 +-2019 4712 +-4855 -8135 +-487 -1247 +2437 7946 +1743 677 +1170 828 +-2442 858 +-3967 521 +667 -531 +5211 1504 +3393 2693 +-2792 -3755 +-4142 -882 +-2341 -1170 +4650 -1980 +7048 437 +-1193 -1059 +262 1001 +-954 3573 +-3106 809 +2466 307 +-3310 2967 +-241 1723 +3189 -2285 +-881 -4324 +3691 -1246 +-2590 3946 +-801 1965 +112 -3075 +-9362 0 +112 3075 +-801 -1965 +-2590 -3946 +3691 1246 +-881 4324 +3189 2285 +-241 -1723 +-3310 -2967 +2466 -307 +-3106 -809 +-954 -3573 +262 -1001 +-1193 1059 +7048 -437 +4650 1980 +-2341 1170 +601 -7108 +3452 -6595 +-812 678 +-262 -1686 +1056 -4386 +-3455 772 +1592 66 +3310 -5308 +-506 -2870 +1433 2495 +-2531 4526 +-3691 5241 +1284 3307 +1602 -132 +-2053 -912 +-4681 0 +-2053 912 +1602 132 +1284 -3307 +-3691 -5241 +-2531 -4526 +1433 -2495 +-506 2870 +3310 5308 +1592 -66 +-3455 -772 +1056 4386 +-262 1686 +-812 -678 +3452 6595 +601 7108 +-2341 -1170 +4650 -1980 +7048 437 +-1193 -1059 +262 1001 +-954 3573 +-3106 809 +2466 307 +-3310 2967 +-241 1723 +3189 -2285 +-881 -4324 +3691 -1246 +-2590 3946 +-801 1965 +112 -3075 +2341 0 +1469 4540 +1062 3717 +-341 -1499 +-2446 233 +-4508 -2719 +-5663 -490 +-2296 1961 +-343 2341 +-23 3878 +1942 -1384 +-794 1168 +-1424 -1751 +3252 -290 +2342 7451 +2711 -5575 +5851 0 +2711 5575 +2342 -7451 +3252 290 +-1424 1751 +-794 -1168 +1942 1384 +-23 -3878 +-343 -2341 +-2296 -1961 +-5663 490 +-4508 2719 +-2446 -233 +-341 1499 +1062 -3717 +1469 -4540 +2341 0 +-1756 -983 +-3224 -77 +2399 -4674 +-1550 -5883 +-5398 1595 +4767 2694 +6819 98 +-1998 2341 +-3724 1847 +-1046 -4403 +1273 -1871 +738 782 +-2504 -2720 +-180 -2440 +3419 -3183 +3511 0 +3419 3183 +-180 2440 +-2504 2720 +738 -782 +1273 1871 +-1046 4403 +-3724 -1847 +-1998 -2341 +6819 -98 +4767 -2694 +-5398 -1595 +-1550 5883 +2399 4674 +-3224 77 +-1756 983 +2341 0 +1469 4540 +1062 3717 +-341 -1499 +-2446 233 +-4508 -2719 +-5663 -490 +-2296 1961 +-343 2341 +-23 3878 +1942 -1384 +-794 1168 +-1424 -1751 +3252 -290 +2342 7451 +2711 -5575 +4681 0 +-219 806 +452 873 +2367 -1256 +-2183 30 +3016 4941 +1906 2102 +-1590 -1652 +-343 1655 +183 1383 +4062 -777 +1104 -1372 +2267 -1940 +5586 4583 +-4667 7024 +-3108 -580 +5851 0 +-3108 580 +-4667 -7024 +5586 -4583 +2267 1940 +1104 1372 +4062 777 +183 -1383 +-343 -1655 +-1590 1652 +1906 -2102 +3016 -4941 +-2183 -30 +2367 1256 +452 -873 +-219 -806 +4681 0 +1399 -526 +443 -1810 +-4390 1752 +-1812 5621 +2682 2353 +-4068 -149 +-730 -847 +-1998 -1655 +-3706 1814 +-1899 1358 +-9608 -1117 +-2953 2909 +5862 2113 +3772 30 +1152 3814 +-1170 0 +1152 -3814 +3772 -30 +5862 -2113 +-2953 -2909 +-9608 1117 +-1899 -1358 +-3706 -1814 +-1998 1655 +-730 847 +-4068 149 +2682 -2353 +-1812 -5621 +-4390 -1752 +443 1810 +1399 526 +4681 0 +-219 806 +452 873 +2367 -1256 +-2183 30 +3016 4941 +1906 2102 +-1590 -1652 +-343 1655 +183 1383 +4062 -777 +1104 -1372 +2267 -1940 +5586 4583 +-4667 7024 +-3108 -580 +2341 3511 +2181 4357 +1048 -5070 +-2121 2127 +1060 3147 +1133 -120 +-690 -834 +130 -2905 +-5166 142 +-2618 -2163 +6170 1493 +6212 5081 +924 -2885 +-3260 -2669 +342 -93 +-1105 -1852 +-7022 0 +-1105 1852 +342 93 +-3260 2669 +924 2885 +6212 -5081 +6170 -1493 +-2618 2163 +-5166 -142 +130 2905 +-690 834 +1133 120 +1060 -3147 +-2121 -2127 +1048 5070 +2181 -4357 +2341 -3511 +1910 1959 +2188 -3968 +2998 1282 +-5056 -807 +-6054 -5575 +869 -296 +103 -2485 +-1856 -4823 +-3731 -931 +-1667 687 +1242 -2365 +-1609 544 +-151 1250 +1103 -5635 +3130 -2777 +7022 0 +3130 2777 +1103 5635 +-151 -1250 +-1609 -544 +1242 2365 +-1667 -687 +-3731 931 +-1856 4823 +103 2485 +869 296 +-6054 5575 +-5056 807 +2998 -1282 +2188 3968 +1910 -1959 +2341 3511 +2181 4357 +1048 -5070 +-2121 2127 +1060 3147 +1133 -120 +-690 -834 +130 -2905 +-5166 142 +-2618 -2163 +6170 1493 +6212 5081 +924 -2885 +-3260 -2669 +342 -93 +-1105 -1852 +1170 -1170 +-950 3134 +-2243 985 +-356 587 +-3258 -2171 +-624 -172 +-612 305 +-5295 -2123 +-1170 1998 +-1869 -1358 +-3073 166 +4027 5825 +8835 2416 +7360 1568 +-2806 1873 +-3390 1119 +3511 0 +-3390 -1119 +-2806 -1873 +7360 -1568 +8835 -2416 +4027 -5825 +-3073 -166 +-1869 1358 +-1170 -1998 +-5295 2123 +-612 -305 +-624 172 +-3258 2171 +-356 -587 +-2243 -985 +-950 -3134 +1170 1170 +-1951 -3237 +-486 -8798 +1771 2097 +-1991 516 +-3479 -2293 +2984 -379 +2778 -4536 +-1170 343 +2867 4125 +-670 1700 +-938 -2446 +5777 -4071 +-1140 340 +-2456 1615 +1188 1585 +-1170 0 +1188 -1585 +-2456 -1615 +-1140 -340 +5777 4071 +-938 2446 +-670 -1700 +2867 -4125 +-1170 -343 +2778 4536 +2984 379 +-3479 2293 +-1991 -516 +1771 -2097 +-486 8798 +-1951 3237 +1170 -1170 +-950 3134 +-2243 985 +-356 587 +-3258 -2171 +-624 -172 +-612 305 +-5295 -2123 +-1170 1998 +-1869 -1358 +-3073 166 +4027 5825 +8835 2416 +7360 1568 +-2806 1873 +-3390 1119 +-3511 0 +1393 -617 +5406 2658 +-3790 5684 +-3215 -2425 +-1726 -3944 +-5026 1104 +3633 -240 +5509 -3996 +-351 -1932 +-946 2202 +-3115 -2308 +-5875 2796 +-4927 6066 +357 -2226 +915 2423 +-2341 0 +915 -2423 +357 2226 +-4927 -6066 +-5875 -2796 +-3115 2308 +-946 -2202 +-351 1932 +5509 3996 +3633 240 +-5026 -1104 +-1726 3944 +-3215 2425 +-3790 -5684 +5406 -2658 +1393 617 +-3511 0 +-1362 -1128 +1469 -1584 +6781 1995 +2530 2425 +-136 576 +3000 -386 +990 2100 +3854 -686 +3362 -4199 +-338 3197 +3458 310 +1879 -2796 +-3165 242 +-3922 -1382 +-1961 3824 +0 0 +-1961 -3824 +-3922 1382 +-3165 -242 +1879 2796 +3458 -310 +-338 -3197 +3362 4199 +3854 686 +990 -2100 +3000 386 +-136 -576 +2530 -2425 +6781 -1995 +1469 1584 +-1362 1128 +-3511 0 +1393 -617 +5406 2658 +-3790 5684 +-3215 -2425 +-1726 -3944 +-5026 1104 +3633 -240 +5509 -3996 +-351 -1932 +-946 2202 +-3115 -2308 +-5875 2796 +-4927 6066 +357 -2226 +915 2423 +3511 -4681 +-787 4324 +-3124 -1978 +-1624 -3102 +2736 2357 +1852 -1785 +-261 608 +-2569 2072 +-1312 485 +-669 -1019 +1795 -3450 +3522 2726 +-2103 194 +81 -5836 +-199 -1660 +-1649 -2578 +2341 0 +-1649 2578 +-199 1660 +81 5836 +-2103 -194 +3522 -2726 +1795 3450 +-669 1019 +-1312 -485 +-2569 -2072 +-261 -608 +1852 1785 +2736 -2357 +-1624 3102 +-3124 1978 +-787 -4324 +3511 4681 +5740 -864 +-3930 -11951 +-3951 -3844 +574 -702 +-1694 -4030 +842 -3215 +-268 -3267 +3653 -2825 +5024 601 +-3747 2782 +-2665 884 +-1207 1461 +-2142 1697 +-738 -968 +1797 195 +4681 0 +1797 -195 +-738 968 +-2142 -1697 +-1207 -1461 +-2665 -884 +-3747 -2782 +5024 -601 +3653 2825 +-268 3267 +842 3215 +-1694 4030 +574 702 +-3951 3844 +-3930 11951 +5740 864 +3511 -4681 +-787 4324 +-3124 -1978 +-1624 -3102 +2736 2357 +1852 -1785 +-261 608 +-2569 2072 +-1312 485 +-669 -1019 +1795 -3450 +3522 2726 +-2103 194 +81 -5836 +-199 -1660 +-1649 -2578 +-8192 1170 +-4060 -2459 +-3748 1128 +-721 259 +1723 -5310 +1671 3670 +6670 3890 +1678 -4273 +1655 828 +5646 1905 +908 -2724 +1719 -606 +1335 1989 +4 115 +357 -1052 +0 942 +1170 0 +0 -942 +357 1052 +4 -115 +1335 -1989 +1719 606 +908 2724 +5646 -1905 +1655 -828 +1678 4273 +6670 -3890 +1671 -3670 +1723 5310 +-721 -259 +-3748 -1128 +-4060 2459 +-8192 -1170 +-2036 -1940 +2135 -1813 +-904 1379 +-68 2969 +1309 162 +-900 105 +-5323 -2145 +-1655 -828 +805 -688 +-1996 -1272 +-3923 5957 +-2990 351 +7464 -3579 +5937 1738 +-3331 -4327 +-3511 0 +-3331 4327 +5937 -1738 +7464 3579 +-2990 -351 +-3923 -5957 +-1996 1272 +805 688 +-1655 828 +-5323 2145 +-900 -105 +1309 -162 +-68 -2969 +-904 -1379 +2135 1813 +-2036 1940 +-8192 1170 +-4060 -2459 +-3748 1128 +-721 259 +1723 -5310 +1671 3670 +6670 3890 +1678 -4273 +1655 828 +5646 1905 +908 -2724 +1719 -606 +1335 1989 +4 115 +357 -1052 +0 942 +10533 1170 +3450 -120 +-2224 -13 +2728 467 +-799 -1812 +-163 3217 +-1767 3993 +-5820 -4380 +2825 -3653 +1186 900 +-4170 -630 +-3055 -3956 +-6391 2953 +-3008 2589 +-467 -6936 +100 -1034 +3511 0 +100 1034 +-467 6936 +-3008 -2589 +-6391 -2953 +-3055 3956 +-4170 630 +1186 -900 +2825 3653 +-5820 4380 +-1767 -3993 +-163 -3217 +-799 1812 +2728 -467 +-2224 13 +3450 120 +10533 -1170 +3006 -4983 +-117 -1761 +-1510 4214 +-1541 -2183 +1924 -869 +-574 1616 +-2323 -572 +-485 1312 +841 -1528 +1829 2929 +3827 4512 +4050 -2267 +-743 300 +-1874 1852 +-440 255 +-1170 0 +-440 -255 +-1874 -1852 +-743 -300 +4050 2267 +3827 -4512 +1829 -2929 +841 1528 +-485 -1312 +-2323 572 +-574 -1616 +1924 869 +-1541 2183 +-1510 -4214 +-117 1761 +3006 4983 +10533 1170 +3450 -120 +-2224 -13 +2728 467 +-799 -1812 +-163 3217 +-1767 3993 +-5820 -4380 +2825 -3653 +1186 900 +-4170 -630 +-3055 -3956 +-6391 2953 +-3008 2589 +-467 -6936 +100 -1034 +-2341 -2341 +3683 -5204 +4726 1438 +-1996 0 +-2526 -3856 +701 7345 +1362 1687 +782 -3199 +-828 2140 +760 -4110 +3655 -1273 +1288 -817 +270 -1501 +1737 1500 +-412 -3343 +549 1079 +3511 0 +549 -1079 +-412 3343 +1737 -1500 +270 1501 +1288 817 +3655 1273 +760 4110 +-828 -2140 +782 3199 +1362 -1687 +701 -7345 +-2526 3856 +-1996 0 +4726 -1438 +3683 5204 +-2341 2341 +-271 -1977 +673 -1396 +-3255 7079 +-2155 7852 +47 -1774 +-288 -5801 +523 -5607 +828 -4480 +1244 -1148 +-48 -1471 +-5346 -4830 +-4951 815 +204 982 +-305 -4606 +-651 1907 +1170 0 +-651 -1907 +-305 4606 +204 -982 +-4951 -815 +-5346 4830 +-48 1471 +1244 1148 +828 4480 +523 5607 +-288 5801 +47 1774 +-2155 -7852 +-3255 -7079 +673 1396 +-271 1977 +-2341 -2341 +3683 -5204 +4726 1438 +-1996 0 +-2526 -3856 +701 7345 +1362 1687 +782 -3199 +-828 2140 +760 -4110 +3655 -1273 +1288 -817 +270 -1501 +1737 1500 +-412 -3343 +549 1079 +1170 0 +4152 335 +-2192 5366 +-709 2577 +1304 -3401 +3073 -817 +4405 -440 +-4157 -1417 +-343 1170 +469 2154 +-2236 1655 +2503 2497 +-6965 3265 +-7591 579 +215 850 +839 4711 +2341 0 +839 -4711 +215 -850 +-7591 -579 +-6965 -3265 +2503 -2497 +-2236 -1655 +469 -2154 +-343 -1170 +-4157 1417 +4405 440 +3073 817 +1304 3401 +-709 -2577 +-2192 -5366 +4152 -335 +1170 0 +-1715 2473 +-1584 2881 +-1777 -687 +-334 2715 +-1501 -1238 +469 -5525 +-881 2171 +-1998 1170 +986 391 +2043 5053 +4574 -227 +1314 731 +1427 5636 +8242 1344 +306 -113 +-9362 0 +306 113 +8242 -1344 +1427 -5636 +1314 -731 +4574 227 +2043 -5053 +986 -391 +-1998 -1170 +-881 -2171 +469 5525 +-1501 1238 +-334 -2715 +-1777 687 +-1584 -2881 +-1715 -2473 +1170 0 +4152 335 +-2192 5366 +-709 2577 +1304 -3401 +3073 -817 +4405 -440 +-4157 -1417 +-343 1170 +469 2154 +-2236 1655 +2503 2497 +-6965 3265 +-7591 579 +215 850 +839 4711 +0 -4681 +-68 -379 +-2105 1300 +3291 -1198 +3826 0 +-3437 -633 +-3302 -2296 +-3792 -7745 +-3653 -7991 +-4111 -788 +-433 457 +5289 281 +761 0 +1586 1531 +1084 1946 +-345 -4058 +3511 0 +-345 4058 +1084 -1946 +1586 -1531 +761 0 +5289 -281 +-433 -457 +-4111 788 +-3653 7991 +-3792 7745 +-3302 2296 +-3437 633 +3826 0 +3291 1198 +-2105 -1300 +-68 379 +0 4681 +1710 -412 +1598 -1300 +-537 -758 +1139 0 +2066 6871 +751 2296 +1261 -4919 +1312 -1371 +-4302 1455 +-326 -457 +911 -2306 +-5726 0 +4071 -2390 +2734 -1946 +-3593 7235 +1170 0 +-3593 -7235 +2734 1946 +4071 2390 +-5726 0 +911 2306 +-326 457 +-4302 -1455 +1312 1371 +1261 4919 +751 -2296 +2066 -6871 +1139 0 +-537 758 +1598 1300 +1710 412 +0 -4681 +-68 -379 +-2105 1300 +3291 -1198 +3826 0 +-3437 -633 +-3302 -2296 +-3792 -7745 +-3653 -7991 +-4111 -788 +-433 457 +5289 281 +761 0 +1586 1531 +1084 1946 +-345 -4058 +1170 0 +2076 1023 +-1226 2169 +-6341 1793 +-2073 -469 +2017 -5447 +2161 -2024 +5009 -3404 +-343 -5166 +708 2061 +3456 -571 +-1745 -2785 +2514 -291 +1723 -3351 +-587 1236 +1536 7314 +0 0 +1536 -7314 +-587 -1236 +1723 3351 +2514 291 +-1745 2785 +3456 571 +708 -2061 +-343 5166 +5009 3404 +2161 2024 +2017 5447 +-2073 469 +-6341 -1793 +-1226 -2169 +2076 -1023 +1170 0 +-223 -3789 +-41 679 +1852 881 +4414 -3527 +-2409 892 +-5220 -2868 +4134 -5830 +-1998 -1856 +-6541 -2225 +-398 3671 +-1172 6963 +-173 976 +-543 -1225 +1854 241 +-79 1731 +-7022 0 +-79 -1731 +1854 -241 +-543 1225 +-173 -976 +-1172 -6963 +-398 -3671 +-6541 2225 +-1998 1856 +4134 5830 +-5220 2868 +-2409 -892 +4414 3527 +1852 -881 +-41 -679 +-223 3789 +1170 0 +2076 1023 +-1226 2169 +-6341 1793 +-2073 -469 +2017 -5447 +2161 -2024 +5009 -3404 +-343 -5166 +708 2061 +3456 -571 +-1745 -2785 +2514 -291 +1723 -3351 +-587 1236 +1536 7314 +2341 1170 +5036 531 +-566 814 +-4180 376 +-4354 -1234 +2778 832 +3456 1964 +-1641 -5802 +5651 -4338 +4455 1937 +-3412 -3698 +-387 1329 +-149 2997 +-1086 -2181 +978 3288 +2951 679 +4681 0 +2951 -679 +978 -3288 +-1086 2181 +-149 -2997 +-387 -1329 +-3412 3698 +4455 -1937 +5651 4338 +-1641 5802 +3456 -1964 +2778 -832 +-4354 1234 +-4180 -376 +-566 -814 +5036 -531 +2341 -1170 +-1572 1741 +429 275 +-2238 697 +-1296 7570 +1621 965 +-786 -3578 +-2191 -1756 +-969 -2683 +896 1029 +-2568 -2596 +-2998 -7019 +1118 -6023 +-131 -1492 +2468 2482 +-1313 -3864 +-9362 0 +-1313 3864 +2468 -2482 +-131 1492 +1118 6023 +-2998 7019 +-2568 2596 +896 -1029 +-969 2683 +-2191 1756 +-786 3578 +1621 -965 +-1296 -7570 +-2238 -697 +429 -275 +-1572 -1741 +2341 1170 +5036 531 +-566 814 +-4180 376 +-4354 -1234 +2778 832 +3456 1964 +-1641 -5802 +5651 -4338 +4455 1937 +-3412 -3698 +-387 1329 +-149 2997 +-1086 -2181 +978 3288 +2951 679 +0 1170 +-3834 2158 +-4182 223 +-4922 -53 +1170 254 +-158 -3327 +-1337 637 +2590 548 +-3996 -3653 +-3215 5699 +1109 4144 +-571 -2344 +1170 -380 +-3356 -2393 +-3992 -2193 +4068 -1197 +7022 0 +4068 1197 +-3992 2193 +-3356 2393 +1170 380 +-571 2344 +1109 -4144 +-3215 -5699 +-3996 3653 +2590 -548 +-1337 -637 +-158 3327 +1170 -254 +-4922 53 +-4182 -223 +-3834 -2158 +0 -1170 +575 5425 +-5108 3013 +1725 -6256 +1170 -1909 +-3848 -192 +4510 -815 +3067 1493 +-686 1312 +5193 4333 +5647 359 +3059 -2545 +1170 -1275 +1451 -2545 +3352 748 +-1823 788 +-7022 0 +-1823 -788 +3352 -748 +1451 2545 +1170 1275 +3059 2545 +5647 -359 +5193 -4333 +-686 -1312 +3067 -1493 +4510 815 +-3848 192 +1170 1909 +1725 6256 +-5108 -3013 +575 -5425 +0 1170 +-3834 2158 +-4182 223 +-4922 -53 +1170 254 +-158 -3327 +-1337 637 +2590 548 +-3996 -3653 +-3215 5699 +1109 4144 +-571 -2344 +1170 -380 +-3356 -2393 +-3992 -2193 +4068 -1197 +-2341 -2341 +1201 3263 +-59 -5610 +-1015 -1567 +4071 1529 +5958 975 +976 2105 +-1910 -2623 +-1312 2341 +1032 1866 +6602 -2823 +6720 1816 +-2171 -633 +-4065 -1595 +3129 770 +2787 -2633 +-1170 0 +2787 2633 +3129 -770 +-4065 1595 +-2171 633 +6720 -1816 +6602 2823 +1032 -1866 +-1312 -2341 +-1910 2623 +976 -2105 +5958 -975 +4071 -1529 +-1015 1567 +-59 5610 +1201 -3263 +-2341 2341 +-4711 3320 +-5025 -6454 +-5157 -2263 +-2416 -1529 +-548 371 +-3275 3101 +-2417 1126 +3653 2341 +4037 1318 +-993 -1333 +-1689 -5152 +516 633 +-205 2446 +-1355 -3472 +-19 4534 +1170 0 +-19 -4534 +-1355 3472 +-205 -2446 +516 -633 +-1689 5152 +-993 1333 +4037 -1318 +3653 -2341 +-2417 -1126 +-3275 -3101 +-548 -371 +-2416 1529 +-5157 2263 +-5025 6454 +-4711 -3320 +-2341 -2341 +1201 3263 +-59 -5610 +-1015 -1567 +4071 1529 +5958 975 +976 2105 +-1910 -2623 +-1312 2341 +1032 1866 +6602 -2823 +6720 1816 +-2171 -633 +-4065 -1595 +3129 770 +2787 -2633 +0 -1170 +4828 2470 +1473 -1689 +-2499 -1885 +-4668 1566 +-4056 -250 +3641 1352 +-3967 -811 +-6821 -828 +6310 1525 +8852 1384 +2613 7006 +-206 3273 +3140 -955 +659 1342 +-1220 -4759 +2341 0 +-1220 4759 +659 -1342 +3140 955 +-206 -3273 +2613 -7006 +8852 -1384 +6310 -1525 +-6821 828 +-3967 811 +3641 -1352 +-4056 250 +-4668 -1566 +-2499 1885 +1473 1689 +4828 -2470 +0 1170 +1278 -1546 +-1547 -1233 +-3311 3877 +3982 -596 +3814 -980 +-153 51 +-1279 -2399 +-201 828 +-1065 3676 +-1039 3330 +-2371 2708 +-3789 2377 +2670 651 +-2524 -954 +-4886 855 +2341 0 +-4886 -855 +-2524 954 +2670 -651 +-3789 -2377 +-2371 -2708 +-1039 -3330 +-1065 -3676 +-201 -828 +-1279 2399 +-153 -51 +3814 980 +3982 596 +-3311 -3877 +-1547 1233 +1278 1546 +0 -1170 +4828 2470 +1473 -1689 +-2499 -1885 +-4668 1566 +-4056 -250 +3641 1352 +-3967 -811 +-6821 -828 +6310 1525 +8852 1384 +2613 7006 +-206 3273 +3140 -955 +659 1342 +-1220 -4759 +2341 1170 +2705 523 +671 2695 +-2022 -1140 +1461 -2103 +-3652 2267 +-374 2450 +1830 -518 +-3511 -828 +-946 -68 +-1940 -1177 +-3322 -238 +-2357 -574 +-1717 -6873 +2271 -3861 +-1302 5877 +-7022 0 +-1302 -5877 +2271 3861 +-1717 6873 +-2357 574 +-3322 238 +-1940 1177 +-946 68 +-3511 828 +1830 518 +-374 -2450 +-3652 -2267 +1461 2103 +-2022 1140 +671 -2695 +2705 -523 +2341 -1170 +8144 -2843 +2428 2704 +1301 2184 +194 -1207 +-3679 1465 +3222 -3524 +-240 -7219 +-3511 828 +-1659 4291 +463 4784 +5551 3698 +702 -2736 +920 1024 +2620 4578 +-1912 -2857 +-2341 0 +-1912 2857 +2620 -4578 +920 -1024 +702 2736 +5551 -3698 +463 -4784 +-1659 -4291 +-3511 -828 +-240 7219 +3222 3524 +-3679 -1465 +194 1207 +1301 -2184 +2428 -2704 +8144 2843 +2341 1170 +2705 523 +671 2695 +-2022 -1140 +1461 -2103 +-3652 2267 +-374 2450 +1830 -518 +-3511 -828 +-946 -68 +-1940 -1177 +-3322 -238 +-2357 -574 +-1717 -6873 +2271 -3861 +-1302 5877 +-1170 -1170 +1623 4397 +3529 1434 +-1490 -788 +-2453 -2281 +-1968 -3503 +-5495 -2488 +-5383 -2485 +1655 828 +6025 678 +1952 385 +1343 1604 +4423 2662 +2470 6102 +2507 412 +2896 -6636 +1170 0 +2896 6636 +2507 -412 +2470 -6102 +4423 -2662 +1343 -1604 +1952 -385 +6025 -678 +1655 -828 +-5383 2485 +-5495 2488 +-1968 3503 +-2453 2281 +-1490 788 +3529 -1434 +1623 -4397 +-1170 1170 +-1566 -130 +-5303 -360 +-1440 1286 +3139 -5710 +-88 287 +-114 3206 +-1802 -1733 +-1655 -828 +2679 562 +347 5014 +1727 -76 +-427 -1291 +-7176 1883 +2577 -4019 +2148 379 +-8192 0 +2148 -379 +2577 4019 +-7176 -1883 +-427 1291 +1727 76 +347 -5014 +2679 -562 +-1655 828 +-1802 1733 +-114 -3206 +-88 -287 +3139 5710 +-1440 -1286 +-5303 360 +-1566 130 +-1170 -1170 +1623 4397 +3529 1434 +-1490 -788 +-2453 -2281 +-1968 -3503 +-5495 -2488 +-5383 -2485 +1655 828 +6025 678 +1952 385 +1343 1604 +4423 2662 +2470 6102 +2507 412 +2896 -6636 +-5851 3511 +1955 1747 +3835 3682 +-1651 1222 +-633 768 +573 3226 +728 2613 +-1001 3767 +-2825 627 +2165 -4000 +6096 -4801 +5867 -1739 +1529 506 +-3879 426 +263 2084 +2158 1007 +-1170 0 +2158 -1007 +263 -2084 +-3879 -426 +1529 -506 +5867 1739 +6096 4801 +2165 4000 +-2825 -627 +-1001 -3767 +728 -2613 +573 -3226 +-633 -768 +-1651 -1222 +3835 -3682 +1955 -1747 +-5851 -3511 +-1161 -237 +3218 1241 +1430 2093 +633 4197 +-2469 -1954 +-1309 6467 +2110 4999 +485 -7648 +-1755 3339 +-4144 2580 +-2957 -2833 +-1529 4459 +-3534 3666 +675 900 +2149 -2303 +-1170 0 +2149 2303 +675 -900 +-3534 -3666 +-1529 -4459 +-2957 2833 +-4144 -2580 +-1755 -3339 +485 7648 +2110 -4999 +-1309 -6467 +-2469 1954 +633 -4197 +1430 -2093 +3218 -1241 +-1161 237 +-5851 3511 +1955 1747 +3835 3682 +-1651 1222 +-633 768 +573 3226 +728 2613 +-1001 3767 +-2825 627 +2165 -4000 +6096 -4801 +5867 -1739 +1529 506 +-3879 426 +263 2084 +2158 1007 +3511 -2341 +1261 1474 +4931 1365 +4215 1001 +-1492 -154 +615 -242 +-131 -1104 +-2704 -3700 +-3653 -1170 +-3028 -454 +4108 1344 +1501 -2540 +-4540 -9507 +915 -185 +-663 4674 +-1999 -2553 +2341 0 +-1999 2553 +-663 -4674 +915 185 +-4540 9507 +1501 2540 +4108 -1344 +-3028 454 +-3653 1170 +-2704 3700 +-131 1104 +615 242 +-1492 154 +4215 -1001 +4931 -1365 +1261 -1474 +3511 2341 +-654 1013 +-1873 3274 +4739 3344 +2462 -2470 +-1175 5032 +-1135 537 +-1707 -7650 +1312 -1170 +1595 1419 +-2841 6080 +-3748 4167 +-1111 2201 +-443 4109 +-2395 -1406 +616 1374 +4681 0 +616 -1374 +-2395 1406 +-443 -4109 +-1111 -2201 +-3748 -4167 +-2841 -6080 +1595 -1419 +1312 1170 +-1707 7650 +-1135 -537 +-1175 -5032 +2462 2470 +4739 -3344 +-1873 -3274 +-654 -1013 +3511 -2341 +1261 1474 +4931 1365 +4215 1001 +-1492 -154 +615 -242 +-131 -1104 +-2704 -3700 +-3653 -1170 +-3028 -454 +4108 1344 +1501 -2540 +-4540 -9507 +915 -185 +-663 4674 +-1999 -2553 +-3511 -1170 +-7029 -574 +-687 -5333 +2641 3588 +-3058 4071 +-2272 1455 +1940 4470 +1739 -2315 +485 343 +-863 3996 +-3487 -300 +-5181 1957 +-1267 2171 +3282 -1001 +566 -2719 +-1953 -3253 +-1170 0 +-1953 3253 +566 2719 +3282 1001 +-1267 -2171 +-5181 -1957 +-3487 300 +-863 -3996 +485 -343 +1739 2315 +1940 -4470 +-2272 -1455 +-3058 -4071 +2641 -3588 +-687 5333 +-7029 574 +-3511 1170 +-2740 2040 +-419 -437 +7796 1207 +3058 -2416 +-1555 1588 +6380 1825 +4505 -291 +-2825 1998 +-784 -3292 +3158 1913 +5461 4396 +1267 -516 +-3551 2485 +1911 1630 +504 1409 +-5851 0 +504 -1409 +1911 -1630 +-3551 -2485 +1267 516 +5461 -4396 +3158 -1913 +-784 3292 +-2825 -1998 +4505 291 +6380 -1825 +-1555 -1588 +3058 2416 +7796 -1207 +-419 437 +-2740 -2040 +-3511 -1170 +-7029 -574 +-687 -5333 +2641 3588 +-3058 4071 +-2272 1455 +1940 4470 +1739 -2315 +485 343 +-863 3996 +-3487 -300 +-5181 1957 +-1267 2171 +3282 -1001 +566 -2719 +-1953 -3253 +-3511 3511 +3254 -1929 +-4920 -3082 +-7307 -1156 +1238 -2873 +3584 797 +5811 2852 +-981 4012 +969 4823 +6145 2227 +-1627 422 +2902 -697 +4160 3877 +-2225 3853 +2466 -2808 +389 -720 +-5851 0 +389 720 +2466 2808 +-2225 -3853 +4160 -3877 +2902 697 +-1627 -422 +6145 -2227 +969 -4823 +-981 -4012 +5811 -2852 +3584 -797 +1238 2873 +-7307 1156 +-4920 3082 +3254 1929 +-3511 -3511 +-766 1085 +2918 1815 +-971 160 +-553 2873 +3233 692 +4671 207 +-4539 230 +-5651 -142 +117 2015 +-865 2636 +722 2187 +-165 -3877 +62 -4848 +907 1541 +-3620 -124 +-5851 0 +-3620 124 +907 -1541 +62 4848 +-165 3877 +722 -2187 +-865 -2636 +117 -2015 +-5651 142 +-4539 -230 +4671 -207 +3233 -692 +-553 -2873 +-971 -160 +2918 -1815 +-766 -1085 +-3511 3511 +3254 -1929 +-4920 -3082 +-7307 -1156 +1238 -2873 +3584 797 +5811 2852 +-981 4012 +969 4823 +6145 2227 +-1627 422 +2902 -697 +4160 3877 +-2225 3853 +2466 -2808 +389 -720 +-1170 -1170 +-514 -3659 +-35 73 +-589 3246 +-3126 -89 +-988 -1221 +1969 -696 +245 1237 +1655 1513 +1640 -866 +-1037 -1921 +-5128 -5524 +-4257 1618 +4315 2775 +1856 -4366 +-665 5015 +3511 0 +-665 -5015 +1856 4366 +4315 -2775 +-4257 -1618 +-5128 5524 +-1037 1921 +1640 866 +1655 -1513 +245 -1237 +1969 696 +-988 1221 +-3126 89 +-589 -3246 +-35 -73 +-514 3659 +-1170 1170 +3349 -2397 +2450 315 +-3088 276 +4781 -2251 +5031 5489 +-3117 5409 +-911 2600 +-1655 3168 +-3508 -2273 +-4435 3324 +-5032 6061 +2602 722 +5479 895 +2350 1444 +364 4555 +-1170 0 +364 -4555 +2350 -1444 +5479 -895 +2602 -722 +-5032 -6061 +-4435 -3324 +-3508 2273 +-1655 -3168 +-911 -2600 +-3117 -5409 +5031 -5489 +4781 2251 +-3088 -276 +2450 -315 +3349 2397 +-1170 -1170 +-514 -3659 +-35 73 +-589 3246 +-3126 -89 +-988 -1221 +1969 -696 +245 1237 +1655 1513 +1640 -866 +-1037 -1921 +-5128 -5524 +-4257 1618 +4315 2775 +1856 -4366 +-665 5015 +-4681 -1170 +-8407 1832 +356 -977 +-1194 -1055 +-6723 448 +3348 -1506 +3787 1323 +120 1418 +-2140 828 +-2666 632 +5047 -2888 +7149 -759 +4729 -1081 +221 -185 +-1864 4439 +2467 94 +4681 0 +2467 -94 +-1864 -4439 +221 185 +4729 1081 +7149 759 +5047 2888 +-2666 -632 +-2140 -828 +120 -1418 +3787 -1323 +3348 1506 +-6723 -448 +-1194 1055 +356 977 +-8407 -1832 +-4681 1170 +193 405 +2492 -1364 +-3405 313 +-2923 -448 +6818 -1430 +1104 1018 +741 2838 +4480 -828 +-762 313 +-1947 548 +-3564 -5487 +-4445 1081 +-2754 2753 +387 -2098 +1694 5453 +0 0 +1694 -5453 +387 2098 +-2754 -2753 +-4445 -1081 +-3564 5487 +-1947 -548 +-762 -313 +4480 828 +741 -2838 +1104 -1018 +6818 1430 +-2923 448 +-3405 -313 +2492 1364 +193 -405 +-4681 -1170 +-8407 1832 +356 -977 +-1194 -1055 +-6723 448 +3348 -1506 +3787 1323 +120 1418 +-2140 828 +-2666 632 +5047 -2888 +7149 -759 +4729 -1081 +221 -185 +-1864 4439 +2467 94 +2341 3511 +6093 2892 +6418 -4601 +-559 -4172 +5250 -2035 +4329 342 +-201 1524 +2144 4325 +-4681 4338 +-2573 -3806 +2720 -1198 +-1188 38 +2311 -3564 +754 583 +-4337 1659 +670 1822 +4681 0 +670 -1822 +-4337 -1659 +754 -583 +2311 3564 +-1188 -38 +2720 1198 +-2573 3806 +-4681 -4338 +2144 -4325 +-201 -1524 +4329 -342 +5250 2035 +-559 4172 +6418 4601 +6093 -2892 +2341 -3511 +1321 -350 +-5015 98 +-549 1421 +401 -2930 +-5122 -722 +589 1713 +-249 58 +-4681 2683 +-337 197 +202 -247 +-3119 953 +-3281 -1401 +-1164 -4704 +-376 -1481 +-449 8711 +0 0 +-449 -8711 +-376 1481 +-1164 4704 +-3281 1401 +-3119 -953 +202 247 +-337 -197 +-4681 -2683 +-249 -58 +589 -1713 +-5122 722 +401 2930 +-549 -1421 +-5015 -98 +1321 350 +2341 3511 +6093 2892 +6418 -4601 +-559 -4172 +5250 -2035 +4329 342 +-201 1524 +2144 4325 +-4681 4338 +-2573 -3806 +2720 -1198 +-1188 38 +2311 -3564 +754 583 +-4337 1659 +670 1822 +0 -4681 +-5298 1431 +3183 4067 +3102 -6721 +1424 -4354 +2746 340 +-1346 -7817 +665 -3143 +-5993 3996 +-5206 -1613 +2570 -2681 +-2509 -403 +1550 149 +4219 1810 +1731 1960 +156 1392 +-3511 0 +156 -1392 +1731 -1960 +4219 -1810 +1550 -149 +-2509 403 +2570 2681 +-5206 1613 +-5993 -3996 +665 3143 +-1346 7817 +2746 -340 +1424 4354 +3102 6721 +3183 -4067 +-5298 -1431 +0 4681 +561 3256 +-1021 2462 +3824 -1417 +-738 -1296 +-1504 2114 +2241 1016 +1310 1867 +-1028 686 +-2612 -2616 +-3466 -2750 +-1539 -3343 +2446 -1118 +-1719 -165 +-3893 -3422 +3805 -2401 +8192 0 +3805 2401 +-3893 3422 +-1719 165 +2446 1118 +-1539 3343 +-3466 2750 +-2612 2616 +-1028 -686 +1310 -1867 +2241 -1016 +-1504 -2114 +-738 1296 +3824 1417 +-1021 -2462 +561 -3256 +0 -4681 +-5298 1431 +3183 4067 +3102 -6721 +1424 -4354 +2746 340 +-1346 -7817 +665 -3143 +-5993 3996 +-5206 -1613 +2570 -2681 +-2509 -403 +1550 149 +4219 1810 +1731 1960 +156 1392 +-2341 0 +-4080 -2388 +1513 1589 +2081 -1106 +135 3572 +-1249 2556 +-1649 -4583 +604 4971 +-1998 3996 +-3248 -3619 +438 -23 +-1670 1699 +1023 -381 +5941 -4521 +-2001 -4316 +-1521 548 +5851 0 +-1521 -548 +-2001 4316 +5941 4521 +1023 381 +-1670 -1699 +438 23 +-3248 3619 +-1998 -3996 +604 -4971 +-1649 4583 +-1249 -2556 +135 -3572 +2081 1106 +1513 -1589 +-4080 2388 +-2341 0 +1238 2262 +-2272 7669 +538 3719 +4830 3048 +1383 -2140 +1141 153 +1592 4670 +-343 686 +2843 2462 +3379 -1664 +-2788 864 +-5989 7002 +-4235 -81 +-549 -2408 +2571 -2109 +3511 0 +2571 2109 +-549 2408 +-4235 81 +-5989 -7002 +-2788 -864 +3379 1664 +2843 -2462 +-343 -686 +1592 -4670 +1141 -153 +1383 2140 +4830 -3048 +538 -3719 +-2272 -7669 +1238 -2262 +-2341 0 +-4080 -2388 +1513 1589 +2081 -1106 +135 3572 +-1249 2556 +-1649 -4583 +604 4971 +-1998 3996 +-3248 -3619 +438 -23 +-1670 1699 +1023 -381 +5941 -4521 +-2001 -4316 +-1521 548 +0 2341 +2610 4989 +5304 -1325 +4174 -2229 +-6001 -1804 +-1897 -302 +4523 -2948 +-3824 4443 +-5308 7991 +-494 -4422 +601 406 +3732 3158 +4818 -359 +3086 3609 +2712 1572 +738 3064 +-1170 0 +738 -3064 +2712 -1572 +3086 -3609 +4818 359 +3732 -3158 +601 -406 +-494 4422 +-5308 -7991 +-3824 -4443 +4523 2948 +-1897 302 +-6001 1804 +4174 2229 +5304 1325 +2610 -4989 +0 -2341 +-5731 4439 +-801 -330 +2276 382 +-1305 -537 +509 -2244 +-1287 1293 +-437 179 +2967 1371 +-1865 2186 +844 1249 +4276 2204 +-2194 2699 +-2916 2452 +-2534 83 +-4238 -495 +-3511 0 +-4238 495 +-2534 -83 +-2916 -2452 +-2194 -2699 +4276 -2204 +844 -1249 +-1865 -2186 +2967 -1371 +-437 -179 +-1287 -1293 +509 2244 +-1305 537 +2276 -382 +-801 330 +-5731 -4439 +0 2341 +2610 4989 +5304 -1325 +4174 -2229 +-6001 -1804 +-1897 -302 +4523 -2948 +-3824 4443 +-5308 7991 +-494 -4422 +601 406 +3732 3158 +4818 -359 +3086 3609 +2712 1572 +738 3064 +1170 -2341 +3261 3888 +-1887 2453 +-4586 1223 +888 -2357 +-210 -1796 +1285 -1474 +610 -3468 +-5308 -1170 +605 -583 +-138 1551 +31 370 +8009 -194 +258 1267 +-1131 -9 +1870 3945 +-2341 0 +1870 -3945 +-1131 9 +258 -1267 +8009 194 +31 -370 +-138 -1551 +605 583 +-5308 1170 +610 3468 +1285 1474 +-210 1796 +888 2357 +-4586 -1223 +-1887 -2453 +3261 -3888 +1170 2341 +-3462 5289 +-6434 4094 +123 7048 +3793 702 +-2529 822 +-3762 4606 +1989 -1202 +2967 -1170 +-1685 1757 +1244 -358 +3723 -4150 +-3328 -1461 +-3430 -2422 +1461 -4745 +3433 4456 +4681 0 +3433 -4456 +1461 4745 +-3430 2422 +-3328 1461 +3723 4150 +1244 358 +-1685 -1757 +2967 1170 +1989 1202 +-3762 -4606 +-2529 -822 +3793 -702 +123 -7048 +-6434 -4094 +-3462 -5289 +1170 -2341 +3261 3888 +-1887 2453 +-4586 1223 +888 -2357 +-210 -1796 +1285 -1474 +610 -3468 +-5308 -1170 +605 -583 +-138 1551 +31 370 +8009 -194 +258 1267 +-1131 -9 +1870 3945 +-2341 0 +-423 -320 +2050 321 +-466 219 +-574 2260 +2463 5660 +1364 1088 +-3869 -2319 +-2483 1454 +-1458 -134 +-634 -2114 +5118 -1006 +1207 -4034 +-2627 -1788 +-534 2661 +-2105 -236 +-3511 0 +-2105 236 +-534 -2661 +-2627 1788 +1207 4034 +5118 1006 +-634 2114 +-1458 134 +-2483 -1454 +-3869 2319 +1364 -1088 +2463 -5660 +-574 -2260 +-466 -219 +2050 -321 +-423 320 +-2341 0 +-3202 615 +-3841 2230 +644 3388 +-2736 1735 +-827 295 +2961 2729 +-2640 -1915 +2483 -8476 +-2236 -1211 +-3691 2622 +8515 -2045 +2103 3349 +419 5751 +2325 -3420 +2693 -5942 +8192 0 +2693 5942 +2325 3420 +419 -5751 +2103 -3349 +8515 2045 +-3691 -2622 +-2236 1211 +2483 8476 +-2640 1915 +2961 -2729 +-827 -295 +-2736 -1735 +644 -3388 +-3841 -2230 +-3202 -615 +-2341 0 +-423 -320 +2050 321 +-466 219 +-574 2260 +2463 5660 +1364 1088 +-3869 -2319 +-2483 1454 +-1458 -134 +-634 -2114 +5118 -1006 +1207 -4034 +-2627 -1788 +-534 2661 +-2105 -236 +-9362 1170 +2257 -541 +-828 -3074 +-938 -2961 +2124 -4169 +-505 -4595 +3776 639 +1875 -1805 +2140 -5509 +841 2329 +-3332 549 +630 -5390 +-1946 2759 +-819 2968 +1577 -1267 +-866 4279 +0 0 +-866 -4279 +1577 1267 +-819 -2968 +-1946 -2759 +630 5390 +-3332 -549 +841 -2329 +2140 5509 +1875 1805 +3776 -639 +-505 4595 +2124 4169 +-938 2961 +-828 3074 +2257 541 +-9362 -1170 +-6616 -132 +2273 3463 +4501 3013 +5182 -1482 +-1219 1249 +727 4074 +-763 -1353 +-4480 -3854 +4164 -1162 +3510 854 +-1440 252 +-679 -3728 +-210 -4708 +1659 -1654 +-892 -627 +-4681 0 +-892 627 +1659 1654 +-210 4708 +-679 3728 +-1440 -252 +3510 -854 +4164 1162 +-4480 3854 +-763 1353 +727 -4074 +-1219 -1249 +5182 1482 +4501 -3013 +2273 -3463 +-6616 132 +-9362 1170 +2257 -541 +-828 -3074 +-938 -2961 +2124 -4169 +-505 -4595 +3776 639 +1875 -1805 +2140 -5509 +841 2329 +-3332 549 +630 -5390 +-1946 2759 +-819 2968 +1577 -1267 +-866 4279 +-2341 3511 +-2253 -1381 +1188 -1233 +2236 742 +1587 186 +-1961 385 +2133 5499 +44 3405 +-3511 -828 +-995 -2190 +-4237 -4356 +-7741 764 +-4645 2610 +2473 232 +1356 887 +589 -2171 +4681 0 +589 2171 +1356 -887 +2473 -232 +-4645 -2610 +-7741 -764 +-4237 4356 +-995 2190 +-3511 828 +44 -3405 +2133 -5499 +-1961 -385 +1587 -186 +2236 -742 +1188 1233 +-2253 1381 +-2341 -3511 +4736 -6006 +-1146 684 +29 -64 +3378 -186 +-2968 6507 +1982 1166 +-1056 -1599 +-3511 828 +4575 687 +1494 6341 +-1081 2818 +-320 -2610 +2393 3757 +6593 3245 +980 -1905 +-4681 0 +980 1905 +6593 -3245 +2393 -3757 +-320 2610 +-1081 -2818 +1494 -6341 +4575 -687 +-3511 -828 +-1056 1599 +1982 -1166 +-2968 -6507 +3378 186 +29 64 +-1146 -684 +4736 6006 +-2341 3511 +-2253 -1381 +1188 -1233 +2236 742 +1587 186 +-1961 385 +2133 5499 +44 3405 +-3511 -828 +-995 -2190 +-4237 -4356 +-7741 764 +-4645 2610 +2473 232 +1356 887 +589 -2171 +-3511 3511 +-1592 -5296 +-5369 -6215 +-1691 -879 +5182 -5847 +2362 -2409 +3680 518 +311 -6909 +-2341 -4823 +-1102 2228 +-2433 587 +-604 -1998 +-679 4688 +1247 7788 +1278 -1408 +-465 -5896 +1170 0 +-465 5896 +1278 1408 +1247 -7788 +-679 -4688 +-604 1998 +-2433 -587 +-1102 -2228 +-2341 4823 +311 6909 +3680 -518 +2362 2409 +5182 5847 +-1691 879 +-5369 6215 +-1592 5296 +-3511 -3511 +2133 -761 +2818 816 +-1112 1062 +2124 1165 +1912 -423 +137 556 +-915 -2828 +-2341 142 +-3395 2143 +1925 -4194 +3965 328 +-1946 -7 +541 -3700 +-2038 690 +-1595 -2036 +5851 0 +-1595 2036 +-2038 -690 +541 3700 +-1946 7 +3965 -328 +1925 4194 +-3395 -2143 +-2341 -142 +-915 2828 +137 -556 +1912 423 +2124 -1165 +-1112 -1062 +2818 -816 +2133 761 +-3511 3511 +-1592 -5296 +-5369 -6215 +-1691 -879 +5182 -5847 +2362 -2409 +3680 518 +311 -6909 +-2341 -4823 +-1102 2228 +-2433 587 +-604 -1998 +-679 4688 +1247 7788 +1278 -1408 +-465 -5896 +4681 1170 +-344 901 +-8905 -2994 +-3173 2184 +4629 3163 +7246 -616 +1589 1970 +1327 -1980 +1655 -1998 +-5055 5448 +-4274 -1455 +-897 -6522 +-844 -350 +-1636 -2417 +-1934 -3088 +-2995 3259 +-4681 0 +-2995 -3259 +-1934 3088 +-1636 2417 +-844 350 +-897 6522 +-4274 1455 +-5055 -5448 +1655 1998 +1327 1980 +1589 -1970 +7246 616 +4629 -3163 +-3173 -2184 +-8905 2994 +-344 -901 +4681 -1170 +-2874 538 +196 146 +2334 823 +3362 -3849 +3816 -2439 +647 2921 +3288 -537 +-1655 -343 +-337 -330 +3976 -1645 +-738 4986 +2215 4346 +-331 323 +-659 1611 +369 -806 +-4681 0 +369 806 +-659 -1611 +-331 -323 +2215 -4346 +-738 -4986 +3976 1645 +-337 330 +-1655 343 +3288 537 +647 -2921 +3816 2439 +3362 3849 +2334 -823 +196 -146 +-2874 -538 +4681 1170 +-344 901 +-8905 -2994 +-3173 2184 +4629 3163 +7246 -616 +1589 1970 +1327 -1980 +1655 -1998 +-5055 5448 +-4274 -1455 +-897 -6522 +-844 -350 +-1636 -2417 +-1934 -3088 +-2995 3259 +-2341 0 +2331 587 +4151 5189 +1746 -1600 +2393 -1804 +-4074 4568 +-8409 -2848 +-5406 -886 +-1998 4965 +-2562 2178 +-125 2334 +-339 -1202 +-4101 -359 +3901 1191 +2855 -1205 +-2199 4060 +1170 0 +-2199 -4060 +2855 1205 +3901 -1191 +-4101 359 +-339 1202 +-125 -2334 +-2562 -2178 +-1998 -4965 +-5406 886 +-8409 2848 +-4074 -4568 +2393 1804 +1746 1600 +4151 -5189 +2331 -587 +-2341 0 +2371 3 +1128 2938 +1699 1850 +231 -537 +-5521 -425 +2219 4146 +3835 563 +-343 -4965 +1600 1824 +4376 5017 +3818 3552 +-3205 2699 +-1230 -2732 +3167 -3340 +30 855 +-1170 0 +30 -855 +3167 3340 +-1230 2732 +-3205 -2699 +3818 -3552 +4376 -5017 +1600 -1824 +-343 4965 +3835 -563 +2219 -4146 +-5521 425 +231 537 +1699 -1850 +1128 -2938 +2371 -3 +-2341 0 +2331 587 +4151 5189 +1746 -1600 +2393 -1804 +-4074 4568 +-8409 -2848 +-5406 -886 +-1998 4965 +-2562 2178 +-125 2334 +-339 -1202 +-4101 -359 +3901 1191 +2855 -1205 +-2199 4060 +1170 2341 +-5083 -520 +-3342 1234 +2586 -2470 +2251 -2631 +2282 1569 +-3505 -1512 +-633 -940 +1998 -4480 +-1159 -8442 +2452 1778 +2127 3823 +722 -1186 +416 -1641 +-146 -1931 +532 1172 +0 0 +532 -1172 +-146 1931 +416 1641 +722 1186 +2127 -3823 +2452 -1778 +-1159 8442 +1998 4480 +-633 940 +-3505 1512 +2282 -1569 +2251 2631 +2586 2470 +-3342 -1234 +-5083 520 +1170 -2341 +-6138 -3239 +-6633 1316 +-2066 507 +89 -1364 +5431 5219 +2683 5330 +3029 -4280 +343 2140 +-5324 7547 +308 -1271 +2896 1173 +1618 1872 +-432 -2114 +-1179 1171 +1536 -607 +2341 0 +1536 607 +-1179 -1171 +-432 2114 +1618 -1872 +2896 -1173 +308 1271 +-5324 -7547 +343 -2140 +3029 4280 +2683 -5330 +5431 -5219 +89 1364 +-2066 -507 +-6633 -1316 +-6138 3239 +1170 2341 +-5083 -520 +-3342 1234 +2586 -2470 +2251 -2631 +2282 1569 +-3505 -1512 +-633 -940 +1998 -4480 +-1159 -8442 +2452 1778 +2127 3823 +722 -1186 +416 -1641 +-146 -1931 +532 1172 +-3511 0 +2590 -2691 +1503 -4841 +2432 -2544 +-1356 815 +-3998 2917 +1690 1850 +-2996 -597 +343 -485 +1542 -2259 +-2933 66 +2950 2796 +1440 -3856 +3346 -1020 +3932 4038 +-4534 -3308 +-7022 0 +-4534 3308 +3932 -4038 +3346 1020 +1440 3856 +2950 -2796 +-2933 -66 +1542 2259 +343 485 +-2996 597 +1690 -1850 +-3998 -2917 +-1356 -815 +2432 2544 +1503 4841 +2590 2691 +-3511 0 +2215 -1640 +4148 -599 +-5946 769 +-985 -1501 +3299 1458 +-2659 3339 +-4196 -604 +1998 2825 +5650 2850 +1963 -929 +-2251 5904 +-3781 7852 +168 3569 +1719 3194 +-271 768 +0 0 +-271 -768 +1719 -3194 +168 -3569 +-3781 -7852 +-2251 -5904 +1963 929 +5650 -2850 +1998 -2825 +-4196 604 +-2659 -3339 +3299 -1458 +-985 1501 +-5946 -769 +4148 599 +2215 1640 +-3511 0 +2590 -2691 +1503 -4841 +2432 -2544 +-1356 815 +-3998 2917 +1690 1850 +-2996 -597 +343 -485 +1542 -2259 +-2933 66 +2950 2796 +1440 -3856 +3346 -1020 +3932 4038 +-4534 -3308 +0 5851 +244 -2540 +-4854 -1459 +-3876 1200 +-2974 147 +-1634 4286 +6595 1068 +4964 2236 +3310 5993 +1527 2011 +-2948 1285 +653 1074 +-812 3660 +-2670 3306 +-1411 -710 +-150 3334 +2341 0 +-150 -3334 +-1411 710 +-2670 -3306 +-812 -3660 +653 -1074 +-2948 -1285 +1527 -2011 +3310 -5993 +4964 -2236 +6595 -1068 +-1634 -4286 +-2974 -147 +-3876 -1200 +-4854 1459 +244 2540 +0 -5851 +-1647 -821 +301 1249 +3487 -659 +-1707 7159 +-1288 211 +7706 -7226 +-251 -447 +-3310 1028 +3186 792 +-51 548 +-3575 -1679 +-3870 -1036 +2282 -1247 +4024 -871 +-1254 940 +-2341 0 +-1254 -940 +4024 871 +2282 1247 +-3870 1036 +-3575 1679 +-51 -548 +3186 -792 +-3310 -1028 +-251 447 +7706 7226 +-1288 -211 +-1707 -7159 +3487 659 +301 -1249 +-1647 821 +0 5851 +244 -2540 +-4854 -1459 +-3876 1200 +-2974 147 +-1634 4286 +6595 1068 +4964 2236 +3310 5993 +1527 2011 +-2948 1285 +653 1074 +-812 3660 +-2670 3306 +-1411 -710 +-150 3334 +0 4681 +-459 -886 +4037 2070 +1955 -3575 +202 -759 +-1570 2306 +-2105 -4735 +87 -1923 +-1312 2341 +2832 -64 +4117 -2414 +-3074 -1401 +-5145 -3817 +-3495 -2773 +771 2607 +-724 -1470 +-5851 0 +-724 1470 +771 -2607 +-3495 2773 +-5145 3817 +-3074 1401 +4117 2414 +2832 64 +-1312 -2341 +87 1923 +-2105 4735 +-1570 -2306 +202 759 +1955 3575 +4037 -2070 +-459 886 +0 -4681 +1675 -2798 +-2204 6114 +-2748 -2860 +-3228 -2551 +-301 848 +1895 -411 +168 3451 +3653 2341 +2014 816 +-2536 -4672 +-2689 -4871 +-1191 507 +5303 -6468 +5387 -5725 +1027 3630 +1170 0 +1027 -3630 +5387 5725 +5303 6468 +-1191 -507 +-2689 4871 +-2536 4672 +2014 -816 +3653 -2341 +168 -3451 +1895 411 +-301 -848 +-3228 2551 +-2748 2860 +-2204 -6114 +1675 2798 +0 4681 +-459 -886 +4037 2070 +1955 -3575 +202 -759 +-1570 2306 +-2105 -4735 +87 -1923 +-1312 2341 +2832 -64 +4117 -2414 +-3074 -1401 +-5145 -3817 +-3495 -2773 +771 2607 +-724 -1470 +-2341 1170 +1059 -537 +3755 2284 +1454 2429 +4190 9664 +3628 4113 +-4457 -4456 +-6431 -2148 +-2140 142 +38 2494 +-781 256 +-1522 889 +1387 -2138 +2387 -3240 +-1898 4508 +-1076 144 +2341 0 +-1076 -144 +-1898 -4508 +2387 3240 +1387 2138 +-1522 -889 +-781 -256 +38 -2494 +-2140 -142 +-6431 2148 +-4457 4456 +3628 -4113 +4190 -9664 +1454 -2429 +3755 -2284 +1059 537 +-2341 -1170 +1390 -2385 +-487 4382 +-2616 -1026 +5456 -1673 +5382 600 +-2968 324 +-255 2536 +4480 -4823 +1546 -2882 +214 293 +147 -5602 +-1671 767 +-2240 1837 +-2742 -2524 +-2892 2778 +-2341 0 +-2892 -2778 +-2742 2524 +-2240 -1837 +-1671 -767 +147 5602 +214 -293 +1546 2882 +4480 4823 +-255 -2536 +-2968 -324 +5382 -600 +5456 1673 +-2616 1026 +-487 -4382 +1390 2385 +-2341 1170 +1059 -537 +3755 2284 +1454 2429 +4190 9664 +3628 4113 +-4457 -4456 +-6431 -2148 +-2140 142 +38 2494 +-781 256 +-1522 889 +1387 -2138 +2387 -3240 +-1898 4508 +-1076 144 +0 -1170 +983 5406 +-507 1042 +-4390 -6424 +1433 -2930 +985 1788 +-1522 -2265 +-969 -271 +-3310 1028 +3637 -7196 +4725 -1929 +730 1773 +4862 -1401 +2837 1658 +2846 1409 +-28 1872 +-7022 0 +-28 -1872 +2846 -1409 +2837 -1658 +4862 1401 +730 -1773 +4725 1929 +3637 7196 +-3310 -1028 +-969 271 +-1522 2265 +985 -1788 +1433 2930 +-4390 6424 +-507 -1042 +983 -5406 +0 1170 +913 -1938 +-2415 -3011 +83 4367 +908 -2035 +-2093 -4454 +119 -615 +1190 3893 +3310 5993 +3776 -5823 +-11 -5632 +-1140 515 +-2521 -3564 +-3631 -1503 +-3235 1302 +-2882 938 +-2341 0 +-2882 -938 +-3235 -1302 +-3631 1503 +-2521 3564 +-1140 -515 +-11 5632 +3776 5823 +3310 -5993 +1190 -3893 +119 615 +-2093 4454 +908 2035 +83 -4367 +-2415 3011 +913 1938 +0 -1170 +983 5406 +-507 1042 +-4390 -6424 +1433 -2930 +985 1788 +-1522 -2265 +-969 -271 +-3310 1028 +3637 -7196 +4725 -1929 +730 1773 +4862 -1401 +2837 1658 +2846 1409 +-28 1872 +-3511 -3511 +-436 -1911 +-1353 -401 +-2463 -1386 +-1073 544 +-3081 857 +-1428 -4544 +507 811 +3996 4823 +679 -4790 +-3581 -2840 +2429 5646 +3760 3147 +5895 -1264 +5906 -198 +180 2668 +-1170 0 +180 -2668 +5906 198 +5895 1264 +3760 -3147 +2429 -5646 +-3581 2840 +679 4790 +3996 -4823 +507 -811 +-1428 4544 +-3081 -857 +-1073 -544 +-2463 1386 +-1353 401 +-436 1911 +-3511 3511 +-103 -976 +-2349 -4668 +-3079 -839 +2728 -2885 +4183 -1764 +2814 4512 +-1313 -1123 +686 -142 +127 1588 +-4425 -3244 +-3532 2452 +-5415 -807 +-353 -1317 +4416 7800 +358 918 +-1170 0 +358 -918 +4416 -7800 +-353 1317 +-5415 807 +-3532 -2452 +-4425 3244 +127 -1588 +686 142 +-1313 1123 +2814 -4512 +4183 1764 +2728 2885 +-3079 839 +-2349 4668 +-103 976 +-3511 -3511 +-436 -1911 +-1353 -401 +-2463 -1386 +-1073 544 +-3081 857 +-1428 -4544 +507 811 +3996 4823 +679 -4790 +-3581 -2840 +2429 5646 +3760 3147 +5895 -1264 +5906 -198 +180 2668 +0 2341 +4049 -77 +2257 390 +-3652 5153 +-3147 -1968 +750 436 +701 1501 +447 -1693 +1513 -3511 +602 -5535 +-29 1128 +-351 -1683 +-2885 -1597 +-2080 837 +3773 -4557 +6464 3454 +5851 0 +6464 -3454 +3773 4557 +-2080 -837 +-2885 1597 +-351 1683 +-29 -1128 +602 5535 +1513 3511 +447 1693 +701 -1501 +750 -436 +-3147 1968 +-3652 -5153 +2257 -390 +4049 77 +0 -2341 +-1498 5874 +-602 40 +-166 -3803 +807 3623 +-242 1713 +-2356 -902 +312 1645 +3168 -3511 +157 -8620 +-1626 -2468 +858 2669 +544 3252 +-1737 -3390 +-2118 -6314 +-3913 4219 +-5851 0 +-3913 -4219 +-2118 6314 +-1737 3390 +544 -3252 +858 -2669 +-1626 2468 +157 8620 +3168 3511 +312 -1645 +-2356 902 +-242 -1713 +807 -3623 +-166 3803 +-602 -40 +-1498 -5874 +0 2341 +4049 -77 +2257 390 +-3652 5153 +-3147 -1968 +750 436 +701 1501 +447 -1693 +1513 -3511 +602 -5535 +-29 1128 +-351 -1683 +-2885 -1597 +-2080 837 +3773 -4557 +6464 3454 +1170 2341 +3245 643 +-3069 1247 +-1052 625 +-836 -5541 +-3879 -162 +1886 1256 +-452 -3635 +-4338 -485 +-1751 -3716 +-688 -4870 +731 -1549 +-2484 -1216 +-3565 -1425 +3006 798 +869 6928 +-4681 0 +869 -6928 +3006 -798 +-3565 1425 +-2484 1216 +731 1549 +-688 4870 +-1751 3716 +-4338 485 +-452 3635 +1886 -1256 +-3879 162 +-836 5541 +-1052 -625 +-3069 -1247 +3245 -643 +1170 -2341 +3819 -3134 +7064 -4484 +-2460 -3843 +-2474 576 +-511 7015 +-1200 -1078 +590 -5490 +-2683 2825 +598 -70 +1374 367 +-1443 1510 +5794 -3749 +5557 -2064 +990 647 +-298 2541 +-2341 0 +-298 -2541 +990 -647 +5557 2064 +5794 3749 +-1443 -1510 +1374 -367 +598 70 +-2683 -2825 +590 5490 +-1200 1078 +-511 -7015 +-2474 -576 +-2460 3843 +7064 4484 +3819 3134 +1170 2341 +3245 643 +-3069 1247 +-1052 625 +-836 -5541 +-3879 -162 +1886 1256 +-452 -3635 +-4338 -485 +-1751 -3716 +-688 -4870 +731 -1549 +-2484 -1216 +-3565 -1425 +3006 798 +869 6928 +-3511 -1170 +-4206 -2952 +1208 1358 +1262 1609 +-857 1344 +2458 -1962 +455 -5242 +-195 937 +-969 -2483 +974 -3146 +2748 3668 +-2232 -4115 +-1112 -3244 +-353 -137 +1132 -3869 +3180 4242 +1170 0 +3180 -4242 +1132 3869 +-353 137 +-1112 3244 +-2232 4115 +2748 -3668 +974 3146 +-969 2483 +-195 -937 +455 5242 +2458 1962 +-857 -1344 +1262 -1609 +1208 -1358 +-4206 2952 +-3511 1170 +-2490 -1477 +-701 983 +-4846 1781 +-6449 -1344 +73 -1523 +2096 2901 +6157 1335 +5651 2483 +699 6076 +-1988 -1327 +-1818 -1582 +3737 3244 +-1165 -1427 +-4949 1528 +2501 7970 +5851 0 +2501 -7970 +-4949 -1528 +-1165 1427 +3737 -3244 +-1818 1582 +-1988 1327 +699 -6076 +5651 -2483 +6157 -1335 +2096 -2901 +73 1523 +-6449 1344 +-4846 -1781 +-701 -983 +-2490 1477 +-3511 -1170 +-4206 -2952 +1208 1358 +1262 1609 +-857 1344 +2458 -1962 +455 -5242 +-195 937 +-969 -2483 +974 -3146 +2748 3668 +-2232 -4115 +-1112 -3244 +-353 -137 +1132 -3869 +3180 4242 +0 0 +2404 -1586 +-3643 -4865 +-6572 5425 +1104 -702 +6416 -4884 +2751 1290 +174 -6206 +1513 -485 +277 5380 +-1415 -3010 +1213 -807 +3137 1461 +110 -2240 +-2514 -1516 +-709 253 +1170 0 +-709 -253 +-2514 1516 +110 2240 +3137 -1461 +1213 807 +-1415 3010 +277 -5380 +1513 485 +174 6206 +2751 -1290 +6416 4884 +1104 702 +-6572 -5425 +-3643 4865 +2404 1586 +0 0 +-3159 1522 +-352 -356 +-323 4375 +-5383 2357 +-6714 226 +-3437 -1661 +-118 -1618 +3168 2825 +3992 2066 +729 2639 +876 -814 +5824 194 +4993 1837 +-1481 -3704 +-2861 1712 +-1170 0 +-2861 -1712 +-1481 3704 +4993 -1837 +5824 -194 +876 814 +729 -2639 +3992 -2066 +3168 -2825 +-118 1618 +-3437 1661 +-6714 -226 +-5383 -2357 +-323 -4375 +-352 356 +-3159 -1522 +0 0 +2404 -1586 +-3643 -4865 +-6572 5425 +1104 -702 +6416 -4884 +2751 1290 +174 -6206 +1513 -485 +277 5380 +-1415 -3010 +1213 -807 +3137 1461 +110 -2240 +-2514 -1516 +-709 253 +-1170 -7022 +-99 3863 +4098 5354 +1076 -2659 +-2251 -2631 +-4084 238 +-4256 -1710 +-179 -853 +-1028 1170 +-364 -3692 +5418 -3802 +1222 -1767 +-722 -1186 +5231 1229 +1400 2258 +220 4144 +4681 0 +220 -4144 +1400 -2258 +5231 -1229 +-722 1186 +1222 1767 +5418 3802 +-364 3692 +-1028 -1170 +-179 853 +-4256 1710 +-4084 -238 +-2251 2631 +1076 2659 +4098 -5354 +-99 -3863 +-1170 7022 +2209 1375 +1301 -3328 +986 254 +-89 -1364 +-464 148 +5330 -1855 +3154 982 +-5993 1170 +-5144 -7125 +-1811 -3074 +-2791 -2676 +-1618 1872 +-1177 4778 +-2118 -3542 +203 3389 +2341 0 +203 -3389 +-2118 3542 +-1177 -4778 +-1618 -1872 +-2791 2676 +-1811 3074 +-5144 7125 +-5993 -1170 +3154 -982 +5330 1855 +-464 -148 +-89 1364 +986 -254 +1301 3328 +2209 -1375 +-1170 -7022 +-99 3863 +4098 5354 +1076 -2659 +-2251 -2631 +-4084 238 +-4256 -1710 +-179 -853 +-1028 1170 +-364 -3692 +5418 -3802 +1222 -1767 +-722 -1186 +5231 1229 +1400 2258 +220 4144 +-2341 -5851 +-783 954 +6573 2915 +3359 942 +-68 -2019 +2236 -1127 +-2923 507 +-1701 -731 +3511 4823 +461 6217 +-418 2874 +-4041 823 +-2990 -1029 +4556 1349 +-3124 2057 +-3799 320 +4681 0 +-3799 -320 +-3124 -2057 +4556 -1349 +-2990 1029 +-4041 -823 +-418 -2874 +461 -6217 +3511 -4823 +-1701 731 +-2923 -507 +2236 1127 +-68 2019 +3359 -942 +6573 -2915 +-783 -954 +-2341 5851 +-118 890 +-771 -574 +565 2199 +1723 -5973 +1310 -3634 +1615 -2847 +4645 -4628 +3511 -142 +-4923 -2150 +-6265 -533 +-519 260 +1335 2400 +-846 1014 +-4049 -4398 +-402 4329 +4681 0 +-402 -4329 +-4049 4398 +-846 -1014 +1335 -2400 +-519 -260 +-6265 533 +-4923 2150 +3511 142 +4645 4628 +1615 2847 +1310 3634 +1723 5973 +565 -2199 +-771 574 +-118 -890 +-2341 -5851 +-783 954 +6573 2915 +3359 942 +-68 -2019 +2236 -1127 +-2923 507 +-1701 -731 +3511 4823 +461 6217 +-418 2874 +-4041 823 +-2990 -1029 +4556 1349 +-3124 2057 +-3799 320 +1170 5851 +560 -1832 +-1818 -2021 +35 6405 +3362 -3648 +-509 -2192 +-3092 -462 +3552 -1032 +4480 8334 +-4298 -152 +-4252 -5023 +3232 -936 +2215 -2475 +-646 321 +2482 992 +1793 1029 +-1170 0 +1793 -1029 +2482 -992 +-646 -321 +2215 2475 +3232 936 +-4252 5023 +-4298 152 +4480 -8334 +3552 1032 +-3092 462 +-509 2192 +3362 3648 +35 -6405 +-1818 2021 +560 1832 +1170 -5851 +520 -75 +1132 -1215 +2704 254 +4629 3364 +3681 2295 +-904 640 +-3350 -1433 +-2140 3369 +-1005 3530 +257 520 +1231 -1767 +-844 -7171 +-3108 -3088 +-3167 453 +-4391 -3713 +-5851 0 +-4391 3713 +-3167 -453 +-3108 3088 +-844 7171 +1231 1767 +257 -520 +-1005 -3530 +-2140 -3369 +-3350 1433 +-904 -640 +3681 -2295 +4629 -3364 +2704 -254 +1132 1215 +520 75 +1170 5851 +560 -1832 +-1818 -2021 +35 6405 +3362 -3648 +-509 -2192 +-3092 -462 +3552 -1032 +4480 8334 +-4298 -152 +-4252 -5023 +3232 -936 +2215 -2475 +-646 321 +2482 992 +1793 1029 +-1170 -1170 +-751 -134 +-2485 -966 +-6756 1769 +-1513 4802 +5094 4029 +4001 -40 +2550 -2409 +-686 1513 +-393 917 +3596 -3273 +-2065 -3680 +-3168 -1230 +4377 -4150 +1973 -5531 +2653 2727 +8192 0 +2653 -2727 +1973 5531 +4377 4150 +-3168 1230 +-2065 3680 +3596 3273 +-393 -917 +-686 -1513 +2550 2409 +4001 40 +5094 -4029 +-1513 -4802 +-6756 -1769 +-2485 966 +-751 134 +-1170 1170 +-535 -1271 +-646 -1155 +1315 577 +-1513 848 +-5297 2541 +754 -3179 +1895 1713 +-3996 3168 +-742 -6714 +2950 1425 +-1042 2615 +-3168 2199 +-2247 5481 +-780 -4580 +1943 -2613 +3511 0 +1943 2613 +-780 4580 +-2247 -5481 +-3168 -2199 +-1042 -2615 +2950 -1425 +-742 6714 +-3996 -3168 +1895 -1713 +754 3179 +-5297 -2541 +-1513 -848 +1315 -577 +-646 1155 +-535 1271 +-1170 -1170 +-751 -134 +-2485 -966 +-6756 1769 +-1513 4802 +5094 4029 +4001 -40 +2550 -2409 +-686 1513 +-393 917 +3596 -3273 +-2065 -3680 +-3168 -1230 +4377 -4150 +1973 -5531 +2653 2727 +7022 -5851 +6744 -4501 +1455 145 +-806 482 +2699 3438 +1839 -470 +-1823 -2452 +1631 -484 +0 -1312 +-504 -1814 +-1119 -1882 +-3254 456 +1804 642 +-1422 175 +-1544 -635 +-114 -5021 +-4681 0 +-114 5021 +-1544 635 +-1422 -175 +1804 -642 +-3254 -456 +-1119 1882 +-504 1814 +0 1312 +1631 484 +-1823 2452 +1839 470 +2699 -3438 +-806 -482 +1455 -145 +6744 4501 +7022 5851 +-79 -2765 +-2141 3284 +-200 5095 +-359 -1783 +-3939 3713 +-2173 -1501 +5515 -6631 +0 3653 +-4347 3349 +-2876 -2071 +-3058 -795 +537 1013 +-2400 1819 +859 4064 +4394 6405 +0 0 +4394 -6405 +859 -4064 +-2400 -1819 +537 -1013 +-3058 795 +-2876 2071 +-4347 -3349 +0 -3653 +5515 6631 +-2173 1501 +-3939 -3713 +-359 1783 +-200 -5095 +-2141 -3284 +-79 2765 +7022 -5851 +6744 -4501 +1455 145 +-806 482 +2699 3438 +1839 -470 +-1823 -2452 +1631 -484 +0 -1312 +-504 -1814 +-1119 -1882 +-3254 456 +1804 642 +-1422 175 +-1544 -635 +-114 -5021 +0 0 +-3021 -2193 +1087 -2446 +742 5563 +2610 731 +4557 -3169 +-1844 -738 +2724 -4828 +5509 -2140 +1615 919 +-4415 -1424 +-5675 661 +186 -3401 +-6476 -5203 +-2857 1550 +4908 1247 +1170 0 +4908 -1247 +-2857 -1550 +-6476 5203 +186 3401 +-5675 -661 +-4415 1424 +1615 -919 +5509 2140 +2724 4828 +-1844 738 +4557 3169 +2610 -731 +742 -5563 +1087 2446 +-3021 2193 +0 0 +-1244 3981 +898 -2446 +3296 -4958 +-2610 3265 +-122 3710 +1295 -738 +1658 553 +3854 4480 +-154 2442 +283 -1424 +4046 1398 +-186 2715 +-6988 706 +-3808 1550 +134 1556 +-1170 0 +134 -1556 +-3808 -1550 +-6988 -706 +-186 -2715 +4046 -1398 +283 1424 +-154 -2442 +3854 -4480 +1658 -553 +1295 738 +-122 -3710 +-2610 -3265 +3296 4958 +898 2446 +-1244 -3981 +0 0 +-3021 -2193 +1087 -2446 +742 5563 +2610 731 +4557 -3169 +-1844 -738 +2724 -4828 +5509 -2140 +1615 919 +-4415 -1424 +-5675 661 +186 -3401 +-6476 -5203 +-2857 1550 +4908 1247 +2341 0 +-3533 -6510 +-3611 -1923 +-1147 -1243 +1059 -3549 +3003 1465 +-280 13 +-273 -3291 +2199 485 +-486 2548 +-2150 -3336 +-5168 -1394 +-4033 4561 +2641 128 +-536 -3272 +-7 -2192 +5851 0 +-7 2192 +-536 3272 +2641 -128 +-4033 -4561 +-5168 1394 +-2150 3336 +-486 -2548 +2199 -485 +-273 3291 +-280 -13 +3003 -1465 +1059 3549 +-1147 1243 +-3611 1923 +-3533 6510 +2341 0 +4744 2501 +2926 1027 +2116 -4722 +3221 3833 +833 408 +-3716 -2175 +2576 422 +7164 -2825 +-26 699 +-1845 1173 +-2993 5800 +-4928 5085 +715 -3559 +-149 2377 +-2995 4299 +-1170 0 +-2995 -4299 +-149 -2377 +715 3559 +-4928 -5085 +-2993 -5800 +-1845 -1173 +-26 -699 +7164 2825 +2576 -422 +-3716 2175 +833 -408 +3221 -3833 +2116 4722 +2926 -1027 +4744 -2501 +2341 0 +-3533 -6510 +-3611 -1923 +-1147 -1243 +1059 -3549 +3003 1465 +-280 13 +-273 -3291 +2199 485 +-486 2548 +-2150 -3336 +-5168 -1394 +-4033 4561 +2641 128 +-536 -3272 +-7 -2192 +3511 -2341 +3332 4155 +1634 -710 +-402 -4344 +-6085 4325 +-2147 -1241 +-133 -6849 +-353 2218 +1513 -686 +768 -1838 +513 6684 +541 4362 +4363 1791 +4722 -600 +-1589 -2887 +-4606 2994 +-4681 0 +-4606 -2994 +-1589 2887 +4722 600 +4363 -1791 +541 -4362 +513 -6684 +768 1838 +1513 686 +-353 -2218 +-133 6849 +-2147 1241 +-6085 -4325 +-402 4344 +1634 710 +3332 -4155 +3511 2341 +-2928 3192 +-1424 -977 +268 -3450 +3460 -4325 +442 -3458 +-6025 -2409 +3195 -2859 +3168 -3996 +-1818 -1338 +-2346 40 +-3160 -2945 +2943 -1791 +-264 -1078 +8 -1542 +2410 1820 +-2341 0 +2410 -1820 +8 1542 +-264 1078 +2943 1791 +-3160 2945 +-2346 -40 +-1818 1338 +3168 3996 +3195 2859 +-6025 2409 +442 3458 +3460 4325 +268 3450 +-1424 977 +-2928 -3192 +3511 -2341 +3332 4155 +1634 -710 +-402 -4344 +-6085 4325 +-2147 -1241 +-133 -6849 +-353 2218 +1513 -686 +768 -1838 +513 6684 +541 4362 +4363 1791 +4722 -600 +-1589 -2887 +-4606 2994 +0 1170 +3977 -4930 +2498 -2867 +-2075 850 +1781 2057 +415 5340 +1027 -1723 +2018 -3238 +969 3653 +-765 1826 +-1293 -349 +-187 -520 +-3943 -21 +559 148 +6152 1193 +1011 5457 +-2341 0 +1011 -5457 +6152 -1193 +559 -148 +-3943 21 +-187 520 +-1293 349 +-765 -1826 +969 -3653 +2018 3238 +1027 1723 +415 -5340 +1781 -2057 +-2075 -850 +2498 2867 +3977 4930 +0 -1170 +4978 2413 +95 5358 +643 686 +4839 -1372 +-1798 -2333 +-730 -278 +-4265 785 +-5651 -1312 +205 -3264 +-943 -3023 +794 -1574 +-2677 -3975 +-4971 2907 +2556 9290 +-539 -339 +-7022 0 +-539 339 +2556 -9290 +-4971 -2907 +-2677 3975 +794 1574 +-943 3023 +205 3264 +-5651 1312 +-4265 -785 +-730 278 +-1798 2333 +4839 1372 +643 -686 +95 -5358 +4978 -2413 +0 1170 +3977 -4930 +2498 -2867 +-2075 850 +1781 2057 +415 5340 +1027 -1723 +2018 -3238 +969 3653 +-765 1826 +-1293 -349 +-187 -520 +-3943 -21 +559 148 +6152 1193 +1011 5457 +-5851 -2341 +-4373 -7666 +-519 1981 +202 2520 +5025 -439 +4992 1249 +-181 620 +2907 589 +2967 -2825 +-268 2558 +2554 5750 +-1511 -1734 +-5287 -2231 +484 -3170 +-172 -1812 +-2119 4996 +0 0 +-2119 -4996 +-172 1812 +484 3170 +-5287 2231 +-1511 1734 +2554 -5750 +-268 -2558 +2967 2825 +2907 -589 +-181 -620 +4992 -1249 +5025 439 +202 -2520 +-519 -1981 +-4373 7666 +-5851 2341 +-1847 3138 +-62 4314 +2315 -5325 +1596 2094 +-2092 4987 +1119 469 +1197 527 +-5308 485 +-2821 3897 +4500 19 +3712 1078 +-1333 3886 +-1481 92 +2124 3425 +705 2435 +-2341 0 +705 -2435 +2124 -3425 +-1481 -92 +-1333 -3886 +3712 -1078 +4500 -19 +-2821 -3897 +-5308 -485 +1197 -527 +1119 -469 +-2092 -4987 +1596 -2094 +2315 5325 +-62 -4314 +-1847 -3138 +-5851 -2341 +-4373 -7666 +-519 1981 +202 2520 +5025 -439 +4992 1249 +-181 620 +2907 589 +2967 -2825 +-268 2558 +2554 5750 +-1511 -1734 +-5287 -2231 +484 -3170 +-172 -1812 +-2119 4996 +-2341 3511 +-2929 166 +714 2117 +-1128 2799 +210 738 +358 1069 +-130 3183 +721 -1375 +1655 -4338 +1143 2688 +-776 2632 +-2822 -2212 +-1833 2446 +2910 4056 +-1125 3893 +-1321 7543 +4681 0 +-1321 -7543 +-1125 -3893 +2910 -4056 +-1833 -2446 +-2822 2212 +-776 -2632 +1143 -2688 +1655 4338 +721 1375 +-130 -3183 +358 -1069 +210 -738 +-1128 -2799 +714 -2117 +-2929 -166 +-2341 -3511 +4520 -7230 +1627 -3425 +31 -1685 +-1581 -1424 +-542 4913 +2471 3989 +-1731 -3141 +-1655 -2683 +-3444 -74 +3116 3170 +6316 5627 +-6158 1550 +1498 1109 +3466 2790 +-3581 -857 +0 0 +-3581 857 +3466 -2790 +1498 -1109 +-6158 -1550 +6316 -5627 +3116 -3170 +-3444 74 +-1655 2683 +-1731 3141 +2471 -3989 +-542 -4913 +-1581 1424 +31 1685 +1627 3425 +4520 7230 +-2341 3511 +-2929 166 +714 2117 +-1128 2799 +210 738 +358 1069 +-130 3183 +721 -1375 +1655 -4338 +1143 2688 +-776 2632 +-2822 -2212 +-1833 2446 +2910 4056 +-1125 3893 +-1321 7543 +2341 -3511 +1367 4815 +-1680 1550 +-1689 1096 +-976 -2885 +1543 -841 +1484 3393 +-3211 -6948 +1170 -4138 +4546 1704 +-2906 -3468 +-2549 -2395 +-469 -807 +-4096 -905 +609 1069 +1925 1328 +-2341 0 +1925 -1328 +609 -1069 +-4096 905 +-469 807 +-2549 2395 +-2906 3468 +4546 -1704 +1170 4138 +-3211 6948 +1484 -3393 +1543 841 +-976 2885 +-1689 -1096 +-1680 -1550 +1367 -4815 +2341 3511 +3955 3943 +7153 -2235 +2704 1914 +291 544 +2348 1544 +-3898 603 +-6219 1223 +1170 4138 +2077 -3763 +-1300 -527 +-2119 3518 +-3527 3147 +-2763 7077 +539 -383 +2180 -4886 +2341 0 +2180 4886 +539 383 +-2763 -7077 +-3527 -3147 +-2119 -3518 +-1300 527 +2077 3763 +1170 -4138 +-6219 -1223 +-3898 -603 +2348 -1544 +291 -544 +2704 -1914 +7153 2235 +3955 -3943 +2341 -3511 +1367 4815 +-1680 1550 +-1689 1096 +-976 -2885 +1543 -841 +1484 3393 +-3211 -6948 +1170 -4138 +4546 1704 +-2906 -3468 +-2549 -2395 +-469 -807 +-4096 -905 +609 1069 +1925 1328 +-2341 -3511 +-162 -3644 +176 -3243 +-38 1193 +1244 1520 +-980 -5437 +-2469 -139 +392 972 +4681 -3653 +-1792 2604 +-4730 1673 +-2986 -1864 +-6643 2678 +2459 2996 +6151 -1479 +3500 -4038 +7022 0 +3500 4038 +6151 1479 +2459 -2996 +-6643 -2678 +-2986 1864 +-4730 -1673 +-1792 -2604 +4681 3653 +392 -972 +-2469 139 +-980 5437 +1244 -1520 +-38 -1193 +176 3243 +-162 3644 +-2341 3511 +485 1348 +-1877 4138 +-2313 753 +3035 -3175 +730 4137 +-6628 2301 +-5307 -515 +4681 1312 +2382 -2147 +-784 489 +1445 563 +-2318 -4333 +1683 -1050 +798 2375 +501 1742 +7022 0 +501 -1742 +798 -2375 +1683 1050 +-2318 4333 +1445 -563 +-784 -489 +2382 2147 +4681 -1312 +-5307 515 +-6628 -2301 +730 -4137 +3035 3175 +-2313 -753 +-1877 -4138 +485 -1348 +-2341 -3511 +-162 -3644 +176 -3243 +-38 1193 +1244 1520 +-980 -5437 +-2469 -139 +392 972 +4681 -3653 +-1792 2604 +-4730 1673 +-2986 -1864 +-6643 2678 +2459 2996 +6151 -1479 +3500 -4038 +-1170 -2341 +-1925 -2048 +-414 -3208 +-1591 1342 +1783 -233 +735 916 +-3260 2776 +54 2709 +2483 686 +4407 -6285 +1637 -3927 +-2045 503 +1013 1751 +-548 -2795 +-962 -7455 +1060 1647 +0 0 +1060 -1647 +-962 7455 +-548 2795 +1013 -1751 +-2045 -503 +1637 3927 +4407 6285 +2483 -686 +54 -2709 +-3260 -2776 +735 -916 +1783 233 +-1591 -1342 +-414 3208 +-1925 2048 +-1170 2341 +275 4865 +43 -1253 +1483 812 +-3438 5883 +-4788 -1414 +-1960 -3654 +-3757 7516 +-2483 3996 +-704 -5232 +3583 -261 +6097 -3682 +642 -782 +655 6145 +1333 -316 +590 2029 +2341 0 +590 -2029 +1333 316 +655 -6145 +642 782 +6097 3682 +3583 261 +-704 5232 +-2483 -3996 +-3757 -7516 +-1960 3654 +-4788 1414 +-3438 -5883 +1483 -812 +43 1253 +275 -4865 +-1170 -2341 +-1925 -2048 +-414 -3208 +-1591 1342 +1783 -233 +735 916 +-3260 2776 +54 2709 +2483 686 +4407 -6285 +1637 -3927 +-2045 503 +1013 1751 +-548 -2795 +-962 -7455 +1060 1647 +-5851 0 +1005 -40 +913 -145 +623 120 +3422 702 +784 4568 +4805 6031 +4578 1330 +-3653 2825 +-1061 2391 +1473 1086 +482 1894 +1893 -1461 +-254 -1856 +-138 1365 +-2462 2964 +-7022 0 +-2462 -2964 +-138 -1365 +-254 1856 +1893 1461 +482 -1894 +1473 -1086 +-1061 -2391 +-3653 -2825 +4578 -1330 +4805 -6031 +784 -4568 +3422 -702 +623 -120 +913 145 +1005 40 +-5851 0 +-2969 -7164 +-1301 -227 +-4353 9554 +1259 -2357 +1374 -4015 +-92 -811 +5073 -2508 +1312 -485 +-4264 400 +-2876 4135 +-849 -242 +2788 -194 +2192 3266 +-2783 -1736 +102 3163 +4681 0 +102 -3163 +-2783 1736 +2192 -3266 +2788 194 +-849 242 +-2876 -4135 +-4264 -400 +1312 485 +5073 2508 +-92 811 +1374 4015 +1259 2357 +-4353 -9554 +-1301 227 +-2969 7164 +-5851 0 +1005 -40 +913 -145 +623 120 +3422 702 +784 4568 +4805 6031 +4578 1330 +-3653 2825 +-1061 2391 +1473 1086 +482 1894 +1893 -1461 +-254 -1856 +-138 1365 +-2462 2964 +2341 0 +2136 3521 +-2886 3957 +216 2996 +574 -2809 +-4421 -3227 +453 2473 +7285 -247 +4823 -485 +-1589 3967 +-3175 -30 +1307 -4928 +-1207 2050 +-8209 2807 +-3373 -2125 +3261 3804 +3511 0 +3261 -3804 +-3373 2125 +-8209 -2807 +-1207 -2050 +1307 4928 +-3175 30 +-1589 -3967 +4823 485 +7285 247 +453 -2473 +-4421 3227 +574 2809 +216 -2996 +-2886 -3957 +2136 -3521 +2341 0 +-1045 -880 +1812 -2124 +3133 -3605 +2736 -5867 +2470 -1415 +264 -2263 +719 1661 +-142 2825 +-3104 -4072 +-2224 1611 +-2666 1301 +-2103 3317 +1550 4220 +-234 -4033 +-1042 3940 +1170 0 +-1042 -3940 +-234 4033 +1550 -4220 +-2103 -3317 +-2666 -1301 +-2224 -1611 +-3104 4072 +-142 -2825 +719 -1661 +264 2263 +2470 1415 +2736 5867 +3133 3605 +1812 2124 +-1045 880 +2341 0 +2136 3521 +-2886 3957 +216 2996 +574 -2809 +-4421 -3227 +453 2473 +7285 -247 +4823 -485 +-1589 3967 +-3175 -30 +1307 -4928 +-1207 2050 +-8209 2807 +-3373 -2125 +3261 3804 +-1170 2341 +-5275 3700 +-964 1681 +-2804 -374 +-1149 1433 +1378 -4543 +2153 -3787 +5844 430 +-828 -5651 +-3926 151 +2013 482 +143 -8378 +-2542 -4862 +-683 -1830 +2093 -2113 +722 -1103 +-2341 0 +722 1103 +2093 2113 +-683 1830 +-2542 4862 +143 8378 +2013 -482 +-3926 -151 +-828 5651 +5844 -430 +2153 3787 +1378 4543 +-1149 -1433 +-2804 374 +-964 -1681 +-5275 -3700 +-1170 -2341 +2416 5996 +69 5922 +5861 -4278 +2804 908 +-2975 261 +9 -2297 +47 4701 +828 969 +-1966 -780 +-4175 -514 +1454 -1327 +887 2521 +-2375 1117 +-1198 -2957 +2137 -4323 +4681 0 +2137 4323 +-1198 2957 +-2375 -1117 +887 -2521 +1454 1327 +-4175 514 +-1966 780 +828 -969 +47 -4701 +9 2297 +-2975 -261 +2804 -908 +5861 4278 +69 -5922 +2416 -5996 +-1170 2341 +-5275 3700 +-964 1681 +-2804 -374 +-1149 1433 +1378 -4543 +2153 -3787 +5844 430 +-828 -5651 +-3926 151 +2013 482 +143 -8378 +-2542 -4862 +-683 -1830 +2093 -2113 +722 -1103 +2341 1170 +-3603 -3189 +-3499 -65 +-2777 4361 +-1559 -761 +-4063 -3037 +-4888 3762 +1005 2094 +686 -343 +-2803 441 +-3286 -4900 +-1515 733 +-2573 1139 +-3042 -3424 +7810 3463 +5716 840 +-4681 0 +5716 -840 +7810 -3463 +-3042 3424 +-2573 -1139 +-1515 -733 +-3286 4900 +-2803 -441 +686 343 +1005 -2094 +-4888 -3762 +-4063 3037 +-1559 761 +-2777 -4361 +-3499 65 +-3603 3189 +2341 -1170 +4669 208 +2348 1153 +1371 1581 +-4092 5726 +-1734 -2259 +2456 -5376 +326 -952 +3996 -1998 +456 -669 +-4212 -1395 +2211 1963 +3543 3826 +2928 1375 +3272 2307 +854 -2450 +0 0 +854 2450 +3272 -2307 +2928 -1375 +3543 -3826 +2211 -1963 +-4212 1395 +456 669 +3996 1998 +326 952 +2456 5376 +-1734 2259 +-4092 -5726 +1371 -1581 +2348 -1153 +4669 -208 +2341 1170 +-3603 -3189 +-3499 -65 +-2777 4361 +-1559 -761 +-4063 -3037 +-4888 3762 +1005 2094 +686 -343 +-2803 441 +-3286 -4900 +-1515 733 +-2573 1139 +-3042 -3424 +7810 3463 +5716 840 +-2341 0 +3475 -876 +-1324 1663 +-1911 -2140 +4980 -194 +1888 8701 +1344 7997 +-1965 810 +-3168 3795 +-852 2976 +-2600 -1559 +2814 3945 +4466 702 +-1094 -1681 +-3451 2159 +-232 -4033 +3511 0 +-232 4033 +-3451 -2159 +-1094 1681 +4466 -702 +2814 -3945 +-2600 1559 +-852 -2976 +-3168 -3795 +-1965 -810 +1344 -7997 +1888 -8701 +4980 194 +-1911 2140 +-1324 -1663 +3475 876 +-2341 0 +-2579 -3826 +-4463 4334 +-251 5585 +5351 -1461 +274 894 +2295 1800 +1070 -2382 +-1513 -6135 +-43 -2015 +-2411 4736 +-652 -466 +-754 -2357 +-1068 -990 +1247 -2782 +1127 1864 +1170 0 +1127 -1864 +1247 2782 +-1068 990 +-754 2357 +-652 466 +-2411 -4736 +-43 2015 +-1513 6135 +1070 2382 +2295 -1800 +274 -894 +5351 1461 +-251 -5585 +-4463 -4334 +-2579 3826 +-2341 0 +3475 -876 +-1324 1663 +-1911 -2140 +4980 -194 +1888 8701 +1344 7997 +-1965 810 +-3168 3795 +-852 2976 +-2600 -1559 +2814 3945 +4466 702 +-1094 -1681 +-3451 2159 +-232 -4033 +0 0 +-1043 2478 +5909 669 +4148 -176 +-4722 -2551 +-1586 -1898 +-1126 -645 +-614 -1977 +-1028 2341 +-4527 2701 +189 -690 +-199 2050 +-2923 507 +-1497 423 +-3289 4956 +-1829 2205 +1170 0 +-1829 -2205 +-3289 -4956 +-1497 -423 +-2923 -507 +-199 -2050 +189 690 +-4527 -2701 +-1028 -2341 +-614 1977 +-1126 645 +-1586 1898 +-4722 2551 +4148 176 +5909 -669 +-1043 -2478 +0 0 +3845 3502 +6365 1315 +348 40 +-243 -759 +3646 -1548 +174 1194 +-2747 4647 +-5993 2341 +252 -5370 +2135 -3442 +-342 1396 +7889 -3817 +2102 -287 +-994 1709 +41 -8185 +-5851 0 +41 8185 +-994 -1709 +2102 287 +7889 3817 +-342 -1396 +2135 3442 +252 5370 +-5993 -2341 +-2747 -4647 +174 -1194 +3646 1548 +-243 759 +348 -40 +6365 -1315 +3845 -3502 +0 0 +-1043 2478 +5909 669 +4148 -176 +-4722 -2551 +-1586 -1898 +-1126 -645 +-614 -1977 +-1028 2341 +-4527 2701 +189 -690 +-199 2050 +-2923 507 +-1497 423 +-3289 4956 +-1829 2205 +2341 2341 +483 916 +-1915 -5836 +-472 -4379 +-3205 2825 +-3429 607 +1201 1432 +4756 1661 +-343 -1655 +-1950 1842 +-1119 30 +-2137 -2067 +2393 485 +975 -2658 +1623 1710 +1523 9597 +-3511 0 +1523 -9597 +1623 -1710 +975 2658 +2393 -485 +-2137 2067 +-1119 -30 +-1950 -1842 +-343 1655 +4756 -1661 +1201 -1432 +-3429 -607 +-3205 -2825 +-472 4379 +-1915 5836 +483 -916 +2341 -2341 +-2240 605 +1841 2915 +-557 -171 +-4101 2825 +2619 5850 +2287 -29 +248 2170 +-1998 1655 +3062 -307 +8932 4684 +414 112 +231 485 +2588 2937 +-3488 -1322 +-5881 2869 +-5851 0 +-5881 -2869 +-3488 1322 +2588 -2937 +231 -485 +414 -112 +8932 -4684 +3062 307 +-1998 -1655 +248 -2170 +2287 29 +2619 -5850 +-4101 -2825 +-557 171 +1841 -2915 +-2240 -605 +2341 2341 +483 916 +-1915 -5836 +-472 -4379 +-3205 2825 +-3429 607 +1201 1432 +4756 1661 +-343 -1655 +-1950 1842 +-1119 30 +-2137 -2067 +2393 485 +975 -2658 +1623 1710 +1523 9597 +-4681 1170 +-1871 3204 +1979 3817 +3233 166 +964 -1081 +-830 963 +1091 -2820 +-1371 342 +1170 3168 +1182 -2105 +-343 -1754 +4550 -2294 +5152 -448 +7025 4050 +1433 -223 +-4746 -2612 +-2341 0 +-4746 2612 +1433 223 +7025 -4050 +5152 448 +4550 2294 +-343 1754 +1182 2105 +1170 -3168 +-1371 -342 +1091 2820 +-830 -963 +964 1081 +3233 -166 +1979 -3817 +-1871 -3204 +-4681 -1170 +2810 1845 +572 760 +-8540 1163 +-2619 1081 +2813 -952 +-4908 3072 +-6242 2132 +1170 1513 +-1981 3144 +850 -4614 +4412 -4909 +-3497 448 +578 -573 +-674 -1820 +-1022 -3136 +7022 0 +-1022 3136 +-674 1820 +578 573 +-3497 -448 +4412 4909 +850 4614 +-1981 -3144 +1170 -1513 +-6242 -2132 +-4908 -3072 +2813 952 +-2619 -1081 +-8540 -1163 +572 -760 +2810 -1845 +-4681 1170 +-1871 3204 +1979 3817 +3233 166 +964 -1081 +-830 963 +1091 -2820 +-1371 342 +1170 3168 +1182 -2105 +-343 -1754 +4550 -2294 +5152 -448 +7025 4050 +1433 -223 +-4746 -2612 +5851 -4681 +4212 -2259 +3572 1710 +-655 2124 +-1207 1723 +1449 323 +-1482 3287 +1327 1580 +2967 -1170 +2553 1247 +-127 -130 +-2679 -1187 +2736 -1335 +143 1180 +-523 2103 +1182 -4124 +-2341 0 +1182 4124 +-523 -2103 +143 -1180 +2736 1335 +-2679 1187 +-127 130 +2553 -1247 +2967 1170 +1327 -1580 +-1482 -3287 +1449 -323 +-1207 -1723 +-655 -2124 +3572 -1710 +4212 2259 +5851 4681 +344 42 +-3960 3763 +-2415 4782 +-2103 -68 +999 2931 +6195 -873 +2844 -6221 +-5308 -1170 +-1896 1327 +-1276 -4076 +-2065 -6355 +574 2990 +-8018 4290 +-2399 -3250 +2674 -241 +-4681 0 +2674 241 +-2399 3250 +-8018 -4290 +574 -2990 +-2065 6355 +-1276 4076 +-1896 -1327 +-5308 1170 +2844 6221 +6195 873 +999 -2931 +-2103 68 +-2415 -4782 +-3960 -3763 +344 -42 +5851 -4681 +4212 -2259 +3572 1710 +-655 2124 +-1207 1723 +1449 323 +-1482 3287 +1327 1580 +2967 -1170 +2553 1247 +-127 -130 +-2679 -1187 +2736 -1335 +143 1180 +-523 2103 +1182 -4124 +-1170 4681 +-5351 5511 +159 3098 +318 -2151 +-3826 1751 +-2846 -1013 +-1680 -43 +1431 1587 +2483 -3310 +-3988 1850 +-4705 581 +42 1219 +-761 5883 +-123 712 +4716 -1482 +5792 -2950 +4681 0 +5792 2950 +4716 1482 +-123 -712 +-761 -5883 +42 -1219 +-4705 -581 +-3988 -1850 +2483 3310 +1431 -1587 +-1680 43 +-2846 1013 +-3826 -1751 +318 2151 +159 -3098 +-5351 -5511 +-1170 -4681 +3711 -13 +464 4505 +-1773 -5327 +-1139 -782 +3162 3301 +4857 -6041 +-1350 -276 +-2483 3310 +-2209 -182 +-5092 -613 +2175 -1820 +5726 -233 +-955 -1717 +1281 -3588 +1964 -558 +-2341 0 +1964 558 +1281 3588 +-955 1717 +5726 233 +2175 1820 +-5092 613 +-2209 182 +-2483 -3310 +-1350 276 +4857 6041 +3162 -3301 +-1139 782 +-1773 5327 +464 -4505 +3711 13 +-1170 4681 +-5351 5511 +159 3098 +318 -2151 +-3826 1751 +-2846 -1013 +-1680 -43 +1431 1587 +2483 -3310 +-3988 1850 +-4705 581 +42 1219 +-761 5883 +-123 712 +4716 -1482 +5792 -2950 +2341 -3511 +-2257 -5130 +3689 1703 +5581 139 +-1403 -3975 +-1806 372 +384 2466 +2124 -1696 +-3026 -343 +-2923 879 +2413 -2525 +-1399 1062 +-2922 2057 +-2999 -1230 +-48 734 +2390 672 +0 0 +2390 -672 +-48 -734 +-2999 1230 +-2922 -2057 +-1399 -1062 +2413 2525 +-2923 -879 +-3026 343 +2124 1696 +384 -2466 +-1806 -372 +-1403 3975 +5581 -139 +3689 -1703 +-2257 5130 +2341 3511 +2253 2962 +443 7513 +806 -1006 +4713 -21 +5921 6231 +-2368 -2780 +-4731 -2515 +-6336 -1998 +-3897 -4075 +4252 3581 +3128 440 +-388 -1372 +-2611 1882 +597 491 +420 4795 +-4681 0 +420 -4795 +597 -491 +-2611 -1882 +-388 1372 +3128 -440 +4252 -3581 +-3897 4075 +-6336 1998 +-4731 2515 +-2368 2780 +5921 -6231 +4713 21 +806 1006 +443 -7513 +2253 -2962 +2341 -3511 +-2257 -5130 +3689 1703 +5581 139 +-1403 -3975 +-1806 372 +384 2466 +2124 -1696 +-3026 -343 +-2923 879 +2413 -2525 +-1399 1062 +-2922 2057 +-2999 -1230 +-48 734 +2390 672 +5851 1170 +-1655 -2410 +-4937 -5048 +-265 -3135 +2162 -1149 +4960 -6138 +1376 -1848 +-623 1139 +4480 -343 +-486 1962 +-6114 -2807 +-2404 1391 +-896 2542 +-1046 557 +-709 8517 +8 2807 +1170 0 +8 -2807 +-709 -8517 +-1046 -557 +-896 -2542 +-2404 -1391 +-6114 2807 +-486 -1962 +4480 343 +-623 -1139 +1376 1848 +4960 6138 +2162 1149 +-265 3135 +-4937 5048 +-1655 2410 +5851 -1170 +2159 722 +416 1559 +-440 -3874 +-2162 2804 +6345 4278 +5321 -18 +-4762 3729 +-2140 -1998 +769 -2938 +-1954 2880 +-1267 -445 +896 -887 +737 1861 +-2762 -703 +-2030 -3719 +1170 0 +-2030 3719 +-2762 703 +737 -1861 +896 887 +-1267 445 +-1954 -2880 +769 2938 +-2140 1998 +-4762 -3729 +5321 18 +6345 -4278 +-2162 -2804 +-440 3874 +416 -1559 +2159 -722 +5851 1170 +-1655 -2410 +-4937 -5048 +-265 -3135 +2162 -1149 +4960 -6138 +1376 -1848 +-623 1139 +4480 -343 +-486 1962 +-6114 -2807 +-2404 1391 +-896 2542 +-1046 557 +-709 8517 +8 2807 +4681 -2341 +892 3974 +-1869 4753 +2729 -5707 +1470 -3527 +-2580 641 +1934 655 +2340 -3309 +-3168 -1170 +-3843 1037 +-1015 -6682 +2368 919 +955 976 +-2612 -4777 +246 1899 +-32 -4576 +-3511 0 +-32 4576 +246 -1899 +-2612 4777 +955 -976 +2368 -919 +-1015 6682 +-3843 -1037 +-3168 1170 +2340 3309 +1934 -655 +-2580 -641 +1470 3527 +2729 5707 +-1869 -4753 +892 -3974 +4681 2341 +3360 -1437 +77 2123 +-2589 2874 +1841 -469 +5953 2425 +2391 1371 +601 -4314 +-1513 -1170 +-5213 6965 +-3310 5398 +-3208 2294 +-4265 -291 +-63 -1786 +1546 1666 +1897 137 +3511 0 +1897 -137 +1546 -1666 +-63 1786 +-4265 291 +-3208 -2294 +-3310 -5398 +-5213 -6965 +-1513 1170 +601 4314 +2391 -1371 +5953 -2425 +1841 469 +-2589 -2874 +77 -2123 +3360 1437 +4681 -2341 +892 3974 +-1869 4753 +2729 -5707 +1470 -3527 +-2580 641 +1934 655 +2340 -3309 +-3168 -1170 +-3843 1037 +-1015 -6682 +2368 919 +955 976 +-2612 -4777 +246 1899 +-32 -4576 +-1170 -4681 +2228 -1270 +-1052 -555 +-7329 4917 +-2035 275 +-430 -4499 +1776 1342 +4774 1825 +-1513 -3310 +-1811 -3020 +-4680 638 +-5540 -1369 +3564 992 +591 -1707 +258 -6909 +6760 3308 +7022 0 +6760 -3308 +258 6909 +591 1707 +3564 -992 +-5540 1369 +-4680 -638 +-1811 3020 +-1513 3310 +4774 -1825 +1776 -1342 +-430 4499 +-2035 -275 +-7329 -4917 +-1052 555 +2228 1270 +-1170 4681 +-1859 3072 +-1887 -2114 +234 2338 +-2930 2066 +-1428 2482 +6754 2104 +1326 765 +-3168 3310 +2332 -862 +2770 -502 +778 -291 +1401 -3333 +-116 -43 +-3939 929 +-509 1384 +4681 0 +-509 -1384 +-3939 -929 +-116 43 +1401 3333 +778 291 +2770 502 +2332 862 +-3168 -3310 +1326 -765 +6754 -2104 +-1428 -2482 +-2930 -2066 +234 -2338 +-1887 2114 +-1859 -3072 +-1170 -4681 +2228 -1270 +-1052 -555 +-7329 4917 +-2035 275 +-430 -4499 +1776 1342 +4774 1825 +-1513 -3310 +-1811 -3020 +-4680 638 +-5540 -1369 +3564 992 +591 -1707 +258 -6909 +6760 3308 +1170 -3511 +-535 4135 +940 4584 +-1071 -914 +-165 -1081 +-1391 846 +1622 -3701 +4217 -4851 +686 828 +-123 -3319 +293 -4761 +-604 3520 +1238 -448 +5232 -3811 +-344 957 +-7817 -1169 +-8192 0 +-7817 1169 +-344 -957 +5232 3811 +1238 448 +-604 -3520 +293 4761 +-123 3319 +686 -828 +4217 4851 +1622 3701 +-1391 -846 +-165 1081 +-1071 914 +940 -4584 +-535 -4135 +1170 3511 +2123 3121 +-4565 -259 +3694 2171 +4160 1081 +-2577 1196 +2913 1910 +839 -2618 +3996 -828 +2975 2470 +-6199 2970 +-2287 5143 +-553 448 +-997 -1552 +-4022 3368 +-1679 1804 +5851 0 +-1679 -1804 +-4022 -3368 +-997 1552 +-553 -448 +-2287 -5143 +-6199 -2970 +2975 -2470 +3996 828 +839 2618 +2913 -1910 +-2577 -1196 +4160 -1081 +3694 -2171 +-4565 259 +2123 -3121 +1170 -3511 +-535 4135 +940 4584 +-1071 -914 +-165 -1081 +-1391 846 +1622 -3701 +4217 -4851 +686 828 +-123 -3319 +293 -4761 +-604 3520 +1238 -448 +5232 -3811 +-344 957 +-7817 -1169 +5851 3511 +3881 2380 +-1452 -2919 +2995 725 +3653 -1841 +647 -2938 +1680 -867 +-5560 1693 +-7991 4823 +636 -515 +3221 846 +-417 1754 +-1312 -4265 +1807 -3289 +2549 358 +2366 3541 +3511 0 +2366 -3541 +2549 -358 +1807 3289 +-1312 4265 +-417 -1754 +3221 -846 +636 515 +-7991 -4823 +-5560 -1693 +1680 867 +647 2938 +3653 1841 +2995 -725 +-1452 2919 +3881 -2380 +5851 -3511 +2232 827 +-2365 935 +-1808 4184 +3653 -1470 +-1897 -5649 +-921 318 +5510 1593 +-1371 -142 +-1600 2430 +-671 3286 +-3434 -2349 +-1312 955 +-4513 206 +-2042 -7023 +-844 1036 +-5851 0 +-844 -1036 +-2042 7023 +-4513 -206 +-1312 -955 +-3434 2349 +-671 -3286 +-1600 -2430 +-1371 142 +5510 -1593 +-921 -318 +-1897 5649 +3653 1470 +-1808 -4184 +-2365 -935 +2232 -827 +5851 3511 +3881 2380 +-1452 -2919 +2995 725 +3653 -1841 +647 -2938 +1680 -867 +-5560 1693 +-7991 4823 +636 -515 +3221 846 +-417 1754 +-1312 -4265 +1807 -3289 +2549 358 +2366 3541 +4681 -2341 +4576 1844 +3722 3348 +4482 87 +-1291 -3019 +-6179 726 +-1512 -1599 +712 -1174 +-1513 4480 +-3081 -1112 +-1937 140 +-63 2120 +2281 217 +4065 4231 +-1300 -1244 +-1374 -6487 +3511 0 +-1374 6487 +-1300 1244 +4065 -4231 +2281 -217 +-63 -2120 +-1937 -140 +-3081 1112 +-1513 -4480 +712 1174 +-1512 1599 +-6179 -726 +-1291 3019 +4482 -87 +3722 -3348 +4576 -1844 +4681 2341 +679 871 +-6062 -248 +-3231 1211 +2662 -4286 +-4266 -2471 +-829 -1249 +4913 -3861 +-3168 -2140 +-1767 -4937 +-403 -1617 +1081 1236 +5710 -2841 +-2510 -4452 +-1041 -3647 +1963 1567 +-3511 0 +1963 -1567 +-1041 3647 +-2510 4452 +5710 2841 +1081 -1236 +-403 1617 +-1767 4937 +-3168 2140 +4913 3861 +-829 1249 +-4266 2471 +2662 4286 +-3231 -1211 +-6062 248 +679 -871 +4681 -2341 +4576 1844 +3722 3348 +4482 87 +-1291 -3019 +-6179 726 +-1512 -1599 +712 -1174 +-1513 4480 +-3081 -1112 +-1937 140 +-63 2120 +2281 217 +4065 4231 +-1300 -1244 +-1374 -6487 +2341 0 +1065 -6665 +-5747 -4439 +-2632 -911 +3011 4012 +-977 1976 +-464 1249 +2688 -600 +1513 -2825 +-692 6900 +44 2879 +85 -4293 +-3095 1849 +-3602 1118 +-4007 -1591 +-3324 -1213 +-1170 0 +-3324 1213 +-4007 1591 +-3602 -1118 +-3095 -1849 +85 4293 +44 -2879 +-692 -6900 +1513 2825 +2688 600 +-464 -1249 +-977 -1976 +3011 -4012 +-2632 911 +-5747 4439 +1065 6665 +2341 0 +5168 -3202 +3543 3543 +-1079 1897 +2640 953 +-3922 354 +-4546 -3412 +6183 -2623 +3168 485 +2767 -1473 +3595 -5041 +-15 3040 +2126 3116 +-1098 -3715 +-1780 696 +-613 -4 +-3511 0 +-613 4 +-1780 -696 +-1098 3715 +2126 -3116 +-15 -3040 +3595 5041 +2767 1473 +3168 -485 +6183 2623 +-4546 3412 +-3922 -354 +2640 -953 +-1079 -1897 +3543 -3543 +5168 3202 +2341 0 +1065 -6665 +-5747 -4439 +-2632 -911 +3011 4012 +-977 1976 +-464 1249 +2688 -600 +1513 -2825 +-692 6900 +44 2879 +85 -4293 +-3095 1849 +-3602 1118 +-4007 -1591 +-3324 -1213 +-4681 0 +-2836 1426 +226 2283 +-2635 -4608 +4676 2990 +4437 1102 +-2155 -7940 +-2665 -1430 +-2483 3511 +3341 1873 +3031 -2094 +955 -403 +3518 1723 +-3163 -714 +-2262 -1276 +533 -4101 +-3511 0 +533 4101 +-2262 1276 +-3163 714 +3518 -1723 +955 403 +3031 2094 +3341 -1873 +-2483 -3511 +-2665 1430 +-2155 7940 +4437 -1102 +4676 -2990 +-2635 4608 +226 -2283 +-2836 -1426 +-4681 0 +3277 -3816 +1177 2220 +-3246 2121 +-2336 -1335 +618 1612 +2543 4703 +449 2778 +2483 3511 +2927 7467 +-109 3539 +1121 1746 +-1178 -68 +-4707 -402 +-2451 1098 +1594 -6280 +3511 0 +1594 6280 +-2451 -1098 +-4707 402 +-1178 68 +1121 -1746 +-109 -3539 +2927 -7467 +2483 -3511 +449 -2778 +2543 -4703 +618 -1612 +-2336 1335 +-3246 -2121 +1177 -2220 +3277 3816 +-4681 0 +-2836 1426 +226 2283 +-2635 -4608 +4676 2990 +4437 1102 +-2155 -7940 +-2665 -1430 +-2483 3511 +3341 1873 +3031 -2094 +955 -403 +3518 1723 +-3163 -714 +-2262 -1276 +533 -4101 +0 0 +-3697 -1761 +3685 1532 +370 490 +-3341 275 +-6318 -2244 +-2507 -1825 +3653 2365 +-3653 2341 +-2450 3137 +2579 844 +-1942 807 +-3586 992 +-5033 1211 +-882 4551 +4377 -62 +3511 0 +4377 62 +-882 -4551 +-5033 -1211 +-3586 -992 +-1942 -807 +2579 -844 +-2450 -3137 +-3653 -2341 +3653 -2365 +-2507 1825 +-6318 2244 +-3341 -275 +370 -490 +3685 -1532 +-3697 1761 +0 0 +8040 22 +-449 886 +-1536 -3648 +-654 2066 +-3180 1571 +2685 -3664 +2948 981 +1312 2341 +2469 2000 +1924 3596 +4820 2845 +2901 -3333 +-422 -44 +2327 7797 +-2099 114 +-8192 0 +-2099 -114 +2327 -7797 +-422 44 +2901 3333 +4820 -2845 +1924 -3596 +2469 -2000 +1312 -2341 +2948 -981 +2685 3664 +-3180 -1571 +-654 -2066 +-1536 3648 +-449 -886 +8040 -22 +0 0 +-3697 -1761 +3685 1532 +370 490 +-3341 275 +-6318 -2244 +-2507 -1825 +3653 2365 +-3653 2341 +-2450 3137 +2579 844 +-1942 807 +-3586 992 +-5033 1211 +-882 4551 +4377 -62 +0 1170 +-1185 4115 +4354 2876 +3033 -3029 +-5152 -2736 +266 -2050 +-3731 -4345 +-4451 -79 +1170 828 +-5426 -4707 +-5001 -875 +-768 2425 +2619 -2103 +2594 1021 +-6948 2557 +-298 -3767 +11703 0 +-298 3767 +-6948 -2557 +2594 -1021 +2619 2103 +-768 -2425 +-5001 875 +-5426 4707 +1170 -828 +-4451 79 +-3731 4345 +266 2050 +-5152 2736 +3033 3029 +4354 -2876 +-1185 -4115 +0 -1170 +-3500 -538 +181 732 +2726 1135 +3497 -574 +4627 -1047 +-636 -1054 +1288 -848 +1170 -828 +954 -1559 +1376 158 +-2607 1371 +-964 -1207 +-3252 -2641 +1042 -3631 +5998 -4616 +2341 0 +5998 4616 +1042 3631 +-3252 2641 +-964 1207 +-2607 -1371 +1376 -158 +954 1559 +1170 828 +1288 848 +-636 1054 +4627 1047 +3497 574 +2726 -1135 +181 -732 +-3500 538 +0 1170 +-1185 4115 +4354 2876 +3033 -3029 +-5152 -2736 +266 -2050 +-3731 -4345 +-4451 -79 +1170 828 +-5426 -4707 +-5001 -875 +-768 2425 +2619 -2103 +2594 1021 +-6948 2557 +-298 -3767 +-8192 -2341 +-306 -1172 +7335 3093 +2510 -1374 +722 343 +2730 3293 +-1114 -4459 +-3297 -764 +-5308 2825 +411 -3865 +4368 -2411 +-111 -1997 +89 -1998 +-1527 -31 +4283 -2615 +6414 -1062 +0 0 +6414 1062 +4283 2615 +-1527 31 +89 1998 +-111 1997 +4368 2411 +411 3865 +-5308 -2825 +-3297 764 +-1114 4459 +2730 -3293 +722 -343 +2510 1374 +7335 -3093 +-306 1172 +-8192 2341 +-4429 -2630 +1374 -1067 +-602 3725 +1618 343 +3351 -2081 +-1123 894 +1656 -1479 +2967 -485 +1230 -170 +-4071 -4464 +-5970 -1116 +2251 -1998 +-382 -1943 +-1691 1331 +-1678 -4532 +-7022 0 +-1678 4532 +-1691 -1331 +-382 1943 +2251 1998 +-5970 1116 +-4071 4464 +1230 170 +2967 485 +1656 1479 +-1123 -894 +3351 2081 +1618 -343 +-602 -3725 +1374 1067 +-4429 2630 +-8192 -2341 +-306 -1172 +7335 3093 +2510 -1374 +722 343 +2730 3293 +-1114 -4459 +-3297 -764 +-5308 2825 +411 -3865 +4368 -2411 +-111 -1997 +89 -1998 +-1527 -31 +4283 -2615 +6414 -1062 +4681 1170 +-3144 2979 +-3138 -4464 +4083 -2419 +1529 1340 +-228 -4746 +-736 -1967 +3181 39 +2341 343 +-3125 4972 +-1663 347 +810 -1845 +633 -1095 +-4762 -4070 +-4041 1065 +1768 5396 +2341 0 +1768 -5396 +-4041 -1065 +-4762 4070 +633 1095 +810 1845 +-1663 -347 +-3125 -4972 +2341 -343 +3181 -39 +-736 1967 +-228 4746 +1529 -1340 +4083 2419 +-3138 4464 +-3144 -2979 +4681 -1170 +925 2164 +3856 2987 +2575 4854 +-1529 4027 +1587 206 +-2871 -1133 +1512 3749 +2341 1998 +-4878 335 +589 4544 +1141 -3710 +-633 -7582 +1414 -1130 +-1358 -3913 +-2859 -5355 +-2341 0 +-2859 5355 +-1358 3913 +1414 1130 +-633 7582 +1141 3710 +589 -4544 +-4878 -335 +2341 -1998 +1512 -3749 +-2871 1133 +1587 -206 +-1529 -4027 +2575 -4854 +3856 -2987 +925 -2164 +4681 1170 +-3144 2979 +-3138 -4464 +4083 -2419 +1529 1340 +-228 -4746 +-736 -1967 +3181 39 +2341 343 +-3125 4972 +-1663 347 +810 -1845 +633 -1095 +-4762 -4070 +-4041 1065 +1768 5396 +-7022 -2341 +1031 5923 +3852 -1078 +2416 -2079 +2057 485 +849 -6986 +851 1966 +-4798 6180 +-3653 -3996 +179 -3883 +-717 -666 +1371 1703 +21 2825 +25 4261 +-1632 3374 +-2274 -4150 +1170 0 +-2274 4150 +-1632 -3374 +25 -4261 +21 -2825 +1371 -1703 +-717 666 +179 3883 +-3653 3996 +-4798 -6180 +851 -1966 +849 6986 +2057 -485 +2416 2079 +3852 1078 +1031 -5923 +-7022 2341 +-4336 67 +-1690 -2917 +-868 4873 +-1372 485 +-3247 -2802 +45 -1280 +-560 -2711 +1312 -686 +1869 -877 +-179 -20 +4337 4408 +3975 2825 +1737 -1550 +-530 622 +2269 4653 +8192 0 +2269 -4653 +-530 -622 +1737 1550 +3975 -2825 +4337 -4408 +-179 20 +1869 877 +1312 686 +-560 2711 +45 1280 +-3247 2802 +-1372 -485 +-868 -4873 +-1690 2917 +-4336 -67 +-7022 -2341 +1031 5923 +3852 -1078 +2416 -2079 +2057 485 +849 -6986 +851 1966 +-4798 6180 +-3653 -3996 +179 -3883 +-717 -666 +1371 1703 +21 2825 +25 4261 +-1632 3374 +-2274 -4150 +2341 0 +3384 4869 +-33 -422 +4439 1601 +2297 2425 +-2263 2940 +-717 498 +-4591 -3837 +-4338 -1655 +-247 -4184 +-799 745 +-1395 5719 +128 -2796 +-669 -5610 +-6993 -2121 +-2638 371 +5851 0 +-2638 -371 +-6993 2121 +-669 5610 +128 2796 +-1395 -5719 +-799 -745 +-247 4184 +-4338 1655 +-4591 3837 +-717 -498 +-2263 -2940 +2297 -2425 +4439 -1601 +-33 422 +3384 -4869 +2341 0 +1074 3292 +2332 422 +-1598 4749 +2668 -2425 +2668 -5077 +-1057 -498 +2885 -1938 +-2683 1655 +-2372 348 +5883 -745 +-801 3445 +-5093 2796 +-381 658 +1384 2121 +2505 5852 +3511 0 +2505 -5852 +1384 -2121 +-381 -658 +-5093 -2796 +-801 -3445 +5883 745 +-2372 -348 +-2683 -1655 +2885 1938 +-1057 498 +2668 5077 +2668 2425 +-1598 -4749 +2332 -422 +1074 -3292 +2341 0 +3384 4869 +-33 -422 +4439 1601 +2297 2425 +-2263 2940 +-717 498 +-4591 -3837 +-4338 -1655 +-247 -4184 +-799 745 +-1395 5719 +128 -2796 +-669 -5610 +-6993 -2121 +-2638 371 +4681 0 +-1092 1304 +-1063 4407 +2862 7498 +-738 -537 +3409 -4809 +8402 3676 +4420 -1180 +-1312 -1655 +-808 4992 +-2551 -1624 +-2371 6 +2446 2699 +-4233 -708 +-3693 1966 +222 323 +-3511 0 +222 -323 +-3693 -1966 +-4233 708 +2446 -2699 +-2371 -6 +-2551 1624 +-808 -4992 +-1312 1655 +4420 1180 +8402 -3676 +3409 4809 +-738 537 +2862 -7498 +-1063 -4407 +-1092 -1304 +4681 0 +-1125 -1501 +-2426 1573 +-1222 3353 +1424 -1804 +2789 -2045 +-6537 -3812 +-3343 -4025 +3653 1655 +2264 748 +2625 -1822 +2289 -2031 +1550 -359 +-3524 3146 +-4120 704 +-538 -2815 +-1170 0 +-538 2815 +-4120 -704 +-3524 -3146 +1550 359 +2289 2031 +2625 1822 +2264 -748 +3653 -1655 +-3343 4025 +-6537 3812 +2789 2045 +1424 1804 +-1222 -3353 +-2426 -1573 +-1125 1501 +4681 0 +-1092 1304 +-1063 4407 +2862 7498 +-738 -537 +3409 -4809 +8402 3676 +4420 -1180 +-1312 -1655 +-808 4992 +-2551 -1624 +-2371 6 +2446 2699 +-4233 -708 +-3693 1966 +222 323 +-5851 0 +4294 -546 +3230 -981 +-3425 753 +-173 -4160 +1713 1138 +394 4814 +2954 -2669 +3937 -2140 +-1040 1052 +-2987 2702 +-3446 1094 +-2073 -553 +3989 -1940 +1044 -1336 +-2401 6309 +0 0 +-2401 -6309 +1044 1336 +3989 1940 +-2073 553 +-3446 -1094 +-2987 -2702 +-1040 -1052 +3937 2140 +2954 2669 +394 -4814 +1713 -1138 +-173 4160 +-3425 -753 +3230 981 +4294 546 +-5851 0 +-1916 2436 +3687 2265 +1049 1793 +2514 165 +384 3461 +1694 2062 +-1324 399 +-10959 4480 +-3124 1003 +-1041 863 +-4767 1713 +4414 1238 +4504 2694 +3340 -690 +2556 -94 +-2341 0 +2556 94 +3340 690 +4504 -2694 +4414 -1238 +-4767 -1713 +-1041 -863 +-3124 -1003 +-10959 -4480 +-1324 -399 +1694 -2062 +384 -3461 +2514 -165 +1049 -1793 +3687 -2265 +-1916 -2436 +-5851 0 +4294 -546 +3230 -981 +-3425 753 +-173 -4160 +1713 1138 +394 4814 +2954 -2669 +3937 -2140 +-1040 1052 +-2987 2702 +-3446 1094 +-2073 -553 +3989 -1940 +1044 -1336 +-2401 6309 +3511 -1170 +-4984 -2473 +-4298 -3800 +4435 2772 +206 871 +-824 -30 +2291 460 +262 -3368 +-1655 4823 +-3092 4618 +-681 -5215 +594 -4012 +-3982 -1385 +-4403 -1411 +-1644 -775 +1237 9 +3511 0 +1237 -9 +-1644 775 +-4403 1411 +-3982 1385 +594 4012 +-681 5215 +-3092 -4618 +-1655 -4823 +262 3368 +2291 -460 +-824 30 +206 -871 +4435 -2772 +-4298 3800 +-4984 2473 +3511 1170 +-2925 1701 +-3652 2009 +5090 3684 +3789 500 +1865 -1997 +452 -4785 +-1026 -383 +1655 -142 +-972 -5836 +-3433 891 +660 -4132 +4668 -6606 +5823 1751 +1602 -1017 +-1740 1752 +-1170 0 +-1740 -1752 +1602 1017 +5823 -1751 +4668 6606 +660 4132 +-3433 -891 +-972 5836 +1655 142 +-1026 383 +452 4785 +1865 1997 +3789 -500 +5090 -3684 +-3652 -2009 +-2925 -1701 +3511 -1170 +-4984 -2473 +-4298 -3800 +4435 2772 +206 871 +-824 -30 +2291 460 +262 -3368 +-1655 4823 +-3092 4618 +-681 -5215 +594 -4012 +-3982 -1385 +-4403 -1411 +-1644 -775 +1237 9 +0 0 +-2956 -1586 +-370 192 +2085 -9 +1676 -149 +2548 -782 +3472 3073 +-1424 3655 +1312 -1655 +1740 -4398 +-4391 -6146 +-4419 -1020 +-3027 1296 +2811 -7869 +1994 -5711 +-2622 4242 +-1170 0 +-2622 -4242 +1994 5711 +2811 7869 +-3027 -1296 +-4419 1020 +-4391 6146 +1740 4398 +1312 1655 +-1424 -3655 +3472 -3073 +2548 782 +1676 149 +2085 9 +-370 -192 +-2956 1586 +0 0 +2753 -1164 +-2317 4056 +2512 454 +5630 1118 +1897 5855 +3015 -1716 +-4016 -521 +-3653 1655 +390 -2250 +-2096 2255 +3284 3139 +402 4354 +-4098 2619 +693 -4653 +-485 -793 +-3511 0 +-485 793 +693 4653 +-4098 -2619 +402 -4354 +3284 -3139 +-2096 -2255 +390 2250 +-3653 -1655 +-4016 521 +3015 1716 +1897 -5855 +5630 -1118 +2512 -454 +-2317 -4056 +2753 1164 +0 0 +-2956 -1586 +-370 192 +2085 -9 +1676 -149 +2548 -782 +3472 3073 +-1424 3655 +1312 -1655 +1740 -4398 +-4391 -6146 +-4419 -1020 +-3027 1296 +2811 -7869 +1994 -5711 +-2622 4242 +-1170 3511 +-148 3868 +503 -4205 +-2627 -4654 +469 819 +2683 -1124 +-3121 204 +1919 -2544 +2341 -142 +-2015 4021 +824 -6328 +-22 -4288 +-291 4139 +-2766 1004 +-2431 674 +2665 835 +3511 0 +2665 -835 +-2431 -674 +-2766 -1004 +-291 -4139 +-22 4288 +824 6328 +-2015 -4021 +2341 142 +1919 2544 +-3121 -204 +2683 1124 +469 -819 +-2627 4654 +503 4205 +-148 -3868 +-1170 -3511 +-903 -8683 +1498 -8636 +922 -208 +3527 -819 +1775 -2546 +-7362 -4425 +-1514 -864 +2341 4823 +-181 -1312 +1668 -635 +-111 3152 +976 -4139 +147 -3333 +-942 2468 +177 466 +-1170 0 +177 -466 +-942 -2468 +147 3333 +976 4139 +-111 -3152 +1668 635 +-181 1312 +2341 -4823 +-1514 864 +-7362 4425 +1775 2546 +3527 819 +922 208 +1498 8636 +-903 8683 +-1170 3511 +-148 3868 +503 -4205 +-2627 -4654 +469 819 +2683 -1124 +-3121 204 +1919 -2544 +2341 -142 +-2015 4021 +824 -6328 +-22 -4288 +-291 4139 +-2766 1004 +-2431 674 +2665 835 +-2341 -2341 +1011 2388 +5499 719 +884 -5797 +2251 -702 +1551 1967 +-2157 395 +1592 4728 +-4138 1170 +-1680 -5556 +2247 -1349 +-1978 1390 +722 1461 +-6129 5904 +-3692 3763 +655 -2726 +-5851 0 +655 2726 +-3692 -3763 +-6129 -5904 +722 -1461 +-1978 -1390 +2247 1349 +-1680 5556 +-4138 -1170 +1592 -4728 +-2157 -395 +1551 -1967 +2251 702 +884 5797 +5499 -719 +1011 -2388 +-2341 2341 +-2013 -319 +-1038 370 +-36 3795 +89 2357 +2775 1689 +1279 -2008 +-259 -3878 +4138 1170 +7982 -873 +1942 -4945 +-3867 -2142 +1618 194 +180 3669 +-4079 2006 +-668 -5225 +1170 0 +-668 5225 +-4079 -2006 +180 -3669 +1618 -194 +-3867 2142 +1942 4945 +7982 873 +4138 -1170 +-259 3878 +1279 2008 +2775 -1689 +89 -2357 +-36 -3795 +-1038 -370 +-2013 319 +-2341 -2341 +1011 2388 +5499 719 +884 -5797 +2251 -702 +1551 1967 +-2157 395 +1592 4728 +-4138 1170 +-1680 -5556 +2247 -1349 +-1978 1390 +722 1461 +-6129 5904 +-3692 3763 +655 -2726 +4681 -2341 +-811 -152 +-167 1084 +2078 -2158 +3975 -3459 +699 -2883 +-8175 -3349 +-3328 -1113 +-1998 2341 +-1945 2374 +566 641 +-2385 -1387 +2057 -2014 +-28 941 +-2764 2725 +64 930 +-1170 0 +64 -930 +-2764 -2725 +-28 -941 +2057 2014 +-2385 1387 +566 -641 +-1945 -2374 +-1998 -2341 +-3328 1113 +-8175 3349 +699 2883 +3975 3459 +2078 2158 +-167 -1084 +-811 152 +4681 2341 +369 474 +-2545 -1220 +-3174 -2032 +21 -2192 +8576 381 +614 679 +-2090 -556 +-343 2341 +-5374 283 +2314 -6621 +2264 -2907 +-1372 1044 +5211 -6922 +794 -6171 +-127 3717 +5851 0 +-127 -3717 +794 6171 +5211 6922 +-1372 -1044 +2264 2907 +2314 6621 +-5374 -283 +-343 -2341 +-2090 556 +614 -679 +8576 -381 +21 2192 +-3174 2032 +-2545 1220 +369 -474 +4681 -2341 +-811 -152 +-167 1084 +2078 -2158 +3975 -3459 +699 -2883 +-8175 -3349 +-3328 -1113 +-1998 2341 +-1945 2374 +566 641 +-2385 -1387 +2057 -2014 +-28 941 +-2764 2725 +64 930 +-2341 -7022 +-2219 -3790 +3623 6056 +643 1524 +-1344 1998 +582 2234 +-2172 3879 +-606 4710 +3168 -485 +1252 1048 +-9 -718 +-1716 420 +-3244 -343 +-1397 -5504 +-2335 616 +-2785 2024 +-1170 0 +-2785 -2024 +-2335 -616 +-1397 5504 +-3244 343 +-1716 -420 +-9 718 +1252 -1048 +3168 485 +-606 -4710 +-2172 -3879 +582 -2234 +-1344 -1998 +643 -1524 +3623 -6056 +-2219 3790 +-2341 7022 +2865 836 +1479 819 +1196 -5675 +1344 1998 +-3334 7284 +-5463 -1853 +-2641 3865 +1513 2825 +1994 -5566 +1024 -566 +4468 2834 +3244 -343 +-442 -1033 +3853 2949 +2139 4533 +-3511 0 +2139 -4533 +3853 -2949 +-442 1033 +3244 343 +4468 -2834 +1024 566 +1994 5566 +1513 -2825 +-2641 -3865 +-5463 1853 +-3334 -7284 +1344 -1998 +1196 5675 +1479 -819 +2865 -836 +-2341 -7022 +-2219 -3790 +3623 6056 +643 1524 +-1344 1998 +582 2234 +-2172 3879 +-606 4710 +3168 -485 +1252 1048 +-9 -718 +-1716 420 +-3244 -343 +-1397 -5504 +-2335 616 +-2785 2024 +1170 0 +-98 -4530 +-2676 -1350 +765 2790 +437 -953 +2769 -2022 +2635 1256 +-4311 2628 +1312 2825 +3064 2293 +4200 203 +2451 -2650 +-7187 -3116 +2167 -262 +786 -754 +-2265 -3504 +7022 0 +-2265 3504 +786 754 +2167 262 +-7187 3116 +2451 2650 +4200 -203 +3064 -2293 +1312 -2825 +-4311 -2628 +2635 -1256 +2769 2022 +437 953 +765 -2790 +-2676 1350 +-98 4530 +1170 0 +-1977 1329 +-2289 2246 +4134 -5937 +6183 -4012 +1931 4901 +2330 906 +-1922 -3866 +-3653 -485 +873 1150 +765 1960 +1261 848 +567 -1849 +-2237 1796 +-5751 1649 +-6605 -4379 +-4681 0 +-6605 4379 +-5751 -1649 +-2237 -1796 +567 1849 +1261 -848 +765 -1960 +873 -1150 +-3653 485 +-1922 3866 +2330 -906 +1931 -4901 +6183 4012 +4134 5937 +-2289 -2246 +-1977 -1329 +1170 0 +-98 -4530 +-2676 -1350 +765 2790 +437 -953 +2769 -2022 +2635 1256 +-4311 2628 +1312 2825 +3064 2293 +4200 203 +2451 -2650 +-7187 -3116 +2167 -262 +786 -754 +-2265 -3504 +-1170 2341 +-2565 301 +-1042 -1033 +5896 -203 +2708 770 +-5731 5568 +1967 -3696 +4364 -5079 +-828 2341 +3984 -2857 +2904 3590 +1600 3620 +5115 -4451 +-1461 -2286 +-4182 -4884 +-1544 -3193 +-2341 0 +-1544 3193 +-4182 4884 +-1461 2286 +5115 4451 +1600 -3620 +2904 -3590 +3984 2857 +-828 -2341 +4364 5079 +1967 3696 +-5731 -5568 +2708 -770 +5896 203 +-1042 1033 +-2565 -301 +-1170 -2341 +1648 -198 +1550 -951 +425 -1396 +1288 -4080 +-1945 -291 +584 3147 +6292 -1517 +828 2341 +-3423 4252 +-2144 542 +-4092 286 +-4430 1141 +-1311 2058 +365 -1782 +-2138 -4694 +-4681 0 +-2138 4694 +365 1782 +-1311 -2058 +-4430 -1141 +-4092 -286 +-2144 -542 +-3423 -4252 +828 -2341 +6292 1517 +584 -3147 +-1945 291 +1288 4080 +425 1396 +1550 951 +1648 198 +-1170 2341 +-2565 301 +-1042 -1033 +5896 -203 +2708 770 +-5731 5568 +1967 -3696 +4364 -5079 +-828 2341 +3984 -2857 +2904 3590 +1600 3620 +5115 -4451 +-1461 -2286 +-4182 -4884 +-1544 -3193 +0 0 +6465 -288 +6369 1539 +-1520 2585 +-2142 -1804 +-1760 6016 +-1365 2388 +-3807 -1268 +-5993 7306 +2954 3198 +5799 3670 +-955 3069 +-476 -359 +752 2368 +-801 -1753 +213 -1330 +1170 0 +213 1330 +-801 1753 +752 -2368 +-476 359 +-955 -3069 +5799 -3670 +2954 -3198 +-5993 -7306 +-3807 1268 +-1365 -2388 +-1760 -6016 +-2142 1804 +-1520 -2585 +6369 -1539 +6465 288 +0 0 +1107 3878 +-3682 1190 +-4459 1221 +6137 -537 +2968 -2032 +-5122 -15 +-3488 -4541 +-1028 -2625 +1534 3309 +688 74 +-1030 -2248 +1162 2699 +-616 1018 +-1887 -3510 +1641 1253 +3511 0 +1641 -1253 +-1887 3510 +-616 -1018 +1162 -2699 +-1030 2248 +688 -74 +1534 -3309 +-1028 2625 +-3488 4541 +-5122 15 +2968 2032 +6137 537 +-4459 -1221 +-3682 -1190 +1107 -3878 +0 0 +6465 -288 +6369 1539 +-1520 2585 +-2142 -1804 +-1760 6016 +-1365 2388 +-3807 -1268 +-5993 7306 +2954 3198 +5799 3670 +-955 3069 +-476 -359 +752 2368 +-801 -1753 +213 -1330 +0 -1170 +-4837 -3384 +861 -3795 +525 -314 +-3184 -2446 +955 1635 +2097 8074 +3236 -879 +-969 -343 +-3842 2788 +598 -3342 +1885 1900 +1022 1424 +-5636 176 +-6346 2961 +-1774 -6172 +-2341 0 +-1774 6172 +-6346 -2961 +-5636 -176 +1022 -1424 +1885 -1900 +598 3342 +-3842 -2788 +-969 343 +3236 879 +2097 -8074 +955 -1635 +-3184 2446 +525 314 +861 3795 +-4837 3384 +0 1170 +5409 1699 +5255 1665 +-337 -1890 +-126 -1550 +1458 3101 +-4630 425 +783 -1443 +5651 -1998 +2357 -4754 +1936 -832 +1818 -54 +2288 -738 +-669 4093 +229 961 +-1331 -4518 +-7022 0 +-1331 4518 +229 -961 +-669 -4093 +2288 738 +1818 54 +1936 832 +2357 4754 +5651 1998 +783 1443 +-4630 -425 +1458 -3101 +-126 1550 +-337 1890 +5255 -1665 +5409 -1699 +0 -1170 +-4837 -3384 +861 -3795 +525 -314 +-3184 -2446 +955 1635 +2097 8074 +3236 -879 +-969 -343 +-3842 2788 +598 -3342 +1885 1900 +1022 1424 +-5636 176 +-6346 2961 +-1774 -6172 +-5851 0 +2821 -1768 +1222 1384 +1949 -1856 +5630 -2796 +-1828 -731 +-3760 -4470 +-3049 704 +-828 686 +376 -2414 +1079 4636 +5634 -226 +402 -2425 +-3663 3566 +-1647 0 +121 -503 +2341 0 +121 503 +-1647 0 +-3663 -3566 +402 2425 +5634 226 +1079 -4636 +376 2414 +-828 -686 +-3049 -704 +-3760 4470 +-1828 731 +5630 2796 +1949 1856 +1222 -1384 +2821 1768 +-5851 0 +-2547 -1448 +1329 -3620 +-312 -4402 +1676 2796 +-542 4950 +-57 1878 +3789 -206 +828 3996 +-6218 10399 +-571 4072 +4371 -1013 +-3027 2425 +1012 701 +2406 -297 +-1913 2032 +0 0 +-1913 -2032 +2406 297 +1012 -701 +-3027 -2425 +4371 1013 +-571 -4072 +-6218 -10399 +828 -3996 +3789 206 +-57 -1878 +-542 -4950 +1676 -2796 +-312 4402 +1329 3620 +-2547 1448 +-5851 0 +2821 -1768 +1222 1384 +1949 -1856 +5630 -2796 +-1828 -731 +-3760 -4470 +-3049 704 +-828 686 +376 -2414 +1079 4636 +5634 -226 +402 -2425 +-3663 3566 +-1647 0 +121 -503 +2341 4681 +-7106 4546 +-3425 729 +-3920 -877 +-5927 -30 +117 -465 +3010 2245 +6612 3480 +-1312 686 +-424 1042 +8259 -1459 +-1803 -3693 +-2995 1940 +2000 635 +643 -4572 +91 -1243 +-1170 0 +91 1243 +643 4572 +2000 -635 +-2995 -1940 +-1803 3693 +8259 1459 +-424 -1042 +-1312 -686 +6612 -3480 +3010 -2245 +117 465 +-5927 30 +-3920 877 +-3425 -729 +-7106 -4546 +2341 -4681 +1106 -1738 +-2240 927 +1401 -1858 +560 -5621 +68 -3183 +-4371 -590 +-764 1929 +3653 3996 +692 42 +4404 -196 +-916 1837 +-5682 -2909 +3052 -1578 +3083 2917 +-208 -273 +1170 0 +-208 273 +3083 -2917 +3052 1578 +-5682 2909 +-916 -1837 +4404 196 +692 -42 +3653 -3996 +-764 -1929 +-4371 590 +68 3183 +560 5621 +1401 1858 +-2240 -927 +1106 1738 +2341 4681 +-7106 4546 +-3425 729 +-3920 -877 +-5927 -30 +117 -465 +3010 2245 +6612 3480 +-1312 686 +-424 1042 +8259 -1459 +-1803 -3693 +-2995 1940 +2000 635 +643 -4572 +91 -1243 +3511 1170 +-853 8101 +2078 4562 +2869 -4404 +-3310 254 +-1492 1235 +-129 -5386 +-533 -4887 +-2825 -1312 +-1480 -1465 +3084 793 +3954 4668 +3310 -380 +-2667 -2607 +-1437 1427 +536 -796 +-3511 0 +536 796 +-1437 -1427 +-2667 2607 +3310 380 +3954 -4668 +3084 -793 +-1480 1465 +-2825 1312 +-533 4887 +-129 5386 +-1492 -1235 +-3310 -254 +2869 4404 +2078 -4562 +-853 -8101 +3511 -1170 +-8 -708 +-675 -2819 +-1435 -3785 +-3310 -1909 +1252 -4894 +517 -7826 +-13 2361 +485 3653 +235 1087 +-162 1978 +611 -6892 +3310 -1275 +-3091 5215 +-3276 -2427 +2116 974 +1170 0 +2116 -974 +-3276 2427 +-3091 -5215 +3310 1275 +611 6892 +-162 -1978 +235 -1087 +485 -3653 +-13 -2361 +517 7826 +1252 4894 +-3310 1909 +-1435 3785 +-675 2819 +-8 708 +3511 1170 +-853 8101 +2078 4562 +2869 -4404 +-3310 254 +-1492 1235 +-129 -5386 +-533 -4887 +-2825 -1312 +-1480 -1465 +3084 793 +3954 4668 +3310 -380 +-2667 -2607 +-1437 1427 +536 -796 +-1170 1170 +1833 -3636 +690 4131 +1851 2054 +469 -4951 +-5574 3543 +-1717 3118 +1647 1360 +3310 2483 +456 -2407 +-4264 -1200 +-726 -212 +-291 2526 +-1732 5507 +-3793 23 +751 -1153 +8192 0 +751 1153 +-3793 -23 +-1732 -5507 +-291 -2526 +-726 212 +-4264 1200 +456 2407 +3310 -2483 +1647 -1360 +-1717 -3118 +-5574 -3543 +469 4951 +1851 -2054 +690 -4131 +1833 3636 +-1170 -1170 +213 947 +1801 194 +4096 -6583 +3527 270 +1960 -539 +3718 -4909 +-3541 -30 +-3310 -2483 +3229 -5417 +891 -592 +16 2712 +976 2155 +110 2700 +-6690 4302 +-4588 2550 +3511 0 +-4588 -2550 +-6690 -4302 +110 -2700 +976 -2155 +16 -2712 +891 592 +3229 5417 +-3310 2483 +-3541 30 +3718 4909 +1960 539 +3527 -270 +4096 6583 +1801 -194 +213 -947 +-1170 1170 +1833 -3636 +690 4131 +1851 2054 +469 -4951 +-5574 3543 +-1717 3118 +1647 1360 +3310 2483 +456 -2407 +-4264 -1200 +-726 -212 +-291 2526 +-1732 5507 +-3793 23 +751 -1153 +3511 2341 +2027 1256 +3028 -2106 +2057 -3757 +-3975 2974 +-3632 23 +-1300 -2879 +-3318 -1621 +828 -2341 +5322 1206 +-324 -1528 +-4512 -312 +-2057 -812 +1260 -4565 +4949 2212 +5854 1624 +4681 0 +5854 -1624 +4949 -2212 +1260 4565 +-2057 812 +-4512 312 +-324 1528 +5322 -1206 +828 2341 +-3318 1621 +-1300 2879 +-3632 -23 +-3975 -2974 +2057 3757 +3028 2106 +2027 -1256 +3511 -2341 +1136 2264 +-477 2403 +1318 -7727 +-21 1707 +-2127 4171 +-2518 -5829 +-2382 -327 +-828 -2341 +-637 -1783 +831 4121 +5170 -3486 +1372 -3870 +-6154 1072 +-4189 24 +-1382 525 +-2341 0 +-1382 -525 +-4189 -24 +-6154 -1072 +1372 3870 +5170 3486 +831 -4121 +-637 1783 +-828 2341 +-2382 327 +-2518 5829 +-2127 -4171 +-21 -1707 +1318 7727 +-477 -2403 +1136 -2264 +3511 2341 +2027 1256 +3028 -2106 +2057 -3757 +-3975 2974 +-3632 23 +-1300 -2879 +-3318 -1621 +828 -2341 +5322 1206 +-324 -1528 +-4512 -312 +-2057 -812 +1260 -4565 +4949 2212 +5854 1624 +1170 -3511 +-1812 -2410 +-4908 6079 +-449 -3924 +-1102 -396 +-4307 4670 +-674 3132 +-1072 1221 +-1655 -4823 +2636 -2274 +572 1364 +-1431 1752 +1820 -4443 +1448 -7074 +-343 29 +-1914 -2642 +-3511 0 +-1914 2642 +-343 -29 +1448 7074 +1820 4443 +-1431 -1752 +572 -1364 +2636 2274 +-1655 4823 +-1072 -1221 +-674 -3132 +-4307 -4670 +-1102 396 +-449 3924 +-4908 -6079 +-1812 2410 +1170 3511 +276 -9345 +1091 -1947 +-990 2163 +-2894 1767 +-810 1381 +1433 -1148 +3166 2800 +1655 142 +371 837 +1979 5301 +-1086 -446 +-2505 -3548 +1005 -2174 +850 -579 +4969 1411 +10533 0 +4969 -1411 +850 579 +1005 2174 +-2505 3548 +-1086 446 +1979 -5301 +371 -837 +1655 -142 +3166 -2800 +1433 1148 +-810 -1381 +-2894 -1767 +-990 -2163 +1091 1947 +276 9345 +1170 -3511 +-1812 -2410 +-4908 6079 +-449 -3924 +-1102 -396 +-4307 4670 +-674 3132 +-1072 1221 +-1655 -4823 +2636 -2274 +572 1364 +-1431 1752 +1820 -4443 +1448 -7074 +-343 29 +-1914 -2642 +8192 2341 +-1087 -1468 +3522 -1345 +6794 1400 +875 3184 +2100 3864 +-442 -31 +-1313 -2569 +-3168 -2341 +-1518 -5759 +532 -2968 +-1997 3571 +3534 1022 +1009 -82 +-1715 292 +2588 -3703 +2341 0 +2588 3703 +-1715 -292 +1009 82 +3534 -1022 +-1997 -3571 +532 2968 +-1518 5759 +-3168 2341 +-1313 2569 +-442 31 +2100 -3864 +875 -3184 +6794 -1400 +3522 1345 +-1087 1468 +8192 -2341 +-270 -3174 +-3015 -996 +3056 1125 +-4870 126 +-2094 -1797 +2993 2372 +1898 3319 +-1513 -2341 +-6701 -1483 +227 628 +3510 -133 +-4220 2288 +-5758 1235 +-2102 2049 +-217 7053 +0 0 +-217 -7053 +-2102 -2049 +-5758 -1235 +-4220 -2288 +3510 133 +227 -628 +-6701 1483 +-1513 2341 +1898 -3319 +2993 -2372 +-2094 1797 +-4870 -126 +3056 -1125 +-3015 996 +-270 3174 +8192 2341 +-1087 -1468 +3522 -1345 +6794 1400 +875 3184 +2100 3864 +-442 -31 +-1313 -2569 +-3168 -2341 +-1518 -5759 +532 -2968 +-1997 3571 +3534 1022 +1009 -82 +-1715 292 +2588 -3703 +2341 -3511 +-2022 -1296 +-492 1747 +4047 -2991 +-5250 -1139 +-4200 843 +612 3795 +-1987 8825 +-2341 343 +833 -1235 +2699 6015 +-844 -2098 +-2311 -5726 +-401 510 +1056 1074 +-1346 1572 +-4681 0 +-1346 -1572 +1056 -1074 +-401 -510 +-2311 5726 +-844 2098 +2699 -6015 +833 1235 +-2341 -343 +-1987 -8825 +612 -3795 +-4200 -843 +-5250 1139 +4047 2991 +-492 -1747 +-2022 1296 +2341 3511 +-3387 -911 +-2133 940 +-2191 -857 +-401 -3826 +6934 1661 +6693 2692 +3504 27 +-2341 1998 +1975 3467 +4607 473 +-99 -2018 +3281 761 +-3247 2261 +-3680 1613 +2430 2841 +0 0 +2430 -2841 +-3680 -1613 +-3247 -2261 +3281 -761 +-99 2018 +4607 -473 +1975 -3467 +-2341 -1998 +3504 -27 +6693 -2692 +6934 -1661 +-401 3826 +-2191 857 +-2133 -940 +-3387 911 +2341 -3511 +-2022 -1296 +-492 1747 +4047 -2991 +-5250 -1139 +-4200 843 +612 3795 +-1987 8825 +-2341 343 +833 -1235 +2699 6015 +-844 -2098 +-2311 -5726 +-401 510 +1056 1074 +-1346 1572 +2341 4681 +1566 -5623 +-3464 -2530 +-2107 -2116 +364 -3915 +2493 1447 +5785 3411 +-46 -1692 +-4823 -1856 +-5514 576 +-6947 -3395 +-3167 956 +626 2379 +-514 -1294 +-2033 2348 +1804 -508 +5851 0 +1804 508 +-2033 -2348 +-514 1294 +626 -2379 +-3167 -956 +-6947 3395 +-5514 -576 +-4823 1856 +-46 1692 +5785 -3411 +2493 -1447 +364 3915 +-2107 2116 +-3464 2530 +1566 5623 +2341 -4681 +2501 -1898 +-668 7065 +-2654 -2696 +4317 -3390 +-129 997 +-3801 955 +1889 -466 +142 -5166 +864 -1718 +282 -230 +27 -3613 +4055 -5004 +-568 -2000 +1484 3558 +3554 622 +-1170 0 +3554 -622 +1484 -3558 +-568 2000 +4055 5004 +27 3613 +282 230 +864 1718 +142 5166 +1889 466 +-3801 -955 +-129 -997 +4317 3390 +-2654 2696 +-668 -7065 +2501 1898 +2341 4681 +1566 -5623 +-3464 -2530 +-2107 -2116 +364 -3915 +2493 1447 +5785 3411 +-46 -1692 +-4823 -1856 +-5514 576 +-6947 -3395 +-3167 956 +626 2379 +-514 -1294 +-2033 2348 +1804 -508 +4681 0 +-415 -986 +-3965 -4085 +302 -1505 +2804 -2499 +3156 2557 +2811 5232 +5924 -2844 +3653 -1655 +-7080 -1303 +-4784 -1496 +2995 -89 +887 -5017 +254 -1505 +1118 2430 +1806 -1939 +3511 0 +1806 1939 +1118 -2430 +254 1505 +887 5017 +2995 89 +-4784 1496 +-7080 1303 +3653 1655 +5924 2844 +2811 -5232 +3156 -2557 +2804 2499 +302 1505 +-3965 4085 +-415 986 +4681 0 +-180 524 +-674 -1566 +1710 -1557 +-1149 560 +-1591 1205 +-3377 -6202 +-4200 -1499 +-1312 1655 +-2280 -6351 +-2640 2466 +-3042 541 +-2542 -6284 +2836 1752 +2151 3221 +-196 4788 +1170 0 +-196 -4788 +2151 -3221 +2836 -1752 +-2542 6284 +-3042 -541 +-2640 -2466 +-2280 6351 +-1312 -1655 +-4200 1499 +-3377 6202 +-1591 -1205 +-1149 -560 +1710 1557 +-674 1566 +-180 -524 +4681 0 +-415 -986 +-3965 -4085 +302 -1505 +2804 -2499 +3156 2557 +2811 5232 +5924 -2844 +3653 -1655 +-7080 -1303 +-4784 -1496 +2995 -89 +887 -5017 +254 -1505 +1118 2430 +1806 -1939 +-4681 0 +4814 5250 +201 3521 +-2966 1387 +367 1433 +-1212 5735 +254 2815 +941 -2565 +1312 -1655 +1588 -2170 +1356 2213 +4685 -179 +2775 -4862 +-1138 479 +623 1270 +548 812 +-1170 0 +548 -812 +623 -1270 +-1138 -479 +2775 4862 +4685 179 +1356 -2213 +1588 2170 +1312 1655 +941 2565 +254 -2815 +-1212 -5735 +367 -1433 +-2966 -1387 +201 -3521 +4814 -5250 +-4681 0 +744 998 +6093 -75 +-5970 4721 +-1053 908 +4173 588 +-1343 3165 +-1718 1408 +-3653 1655 +2226 -779 +4414 456 +-5616 2176 +-6770 2521 +-5196 1304 +-2236 -1133 +4096 3645 +5851 0 +4096 -3645 +-2236 1133 +-5196 -1304 +-6770 -2521 +-5616 -2176 +4414 -456 +2226 779 +-3653 -1655 +-1718 -1408 +-1343 -3165 +4173 -588 +-1053 -908 +-5970 -4721 +6093 75 +744 -998 +-4681 0 +4814 5250 +201 3521 +-2966 1387 +367 1433 +-1212 5735 +254 2815 +941 -2565 +1312 -1655 +1588 -2170 +1356 2213 +4685 -179 +2775 -4862 +-1138 479 +623 1270 +548 812 +2341 -2341 +5697 1517 +-1790 1942 +-2533 -137 +3067 1477 +4002 -4299 +4411 -5879 +-3519 -2154 +-1998 -686 +2829 4035 +-2433 2550 +1005 -471 +4578 4891 +2633 6822 +-785 2148 +-2635 -1273 +-1170 0 +-2635 1273 +-785 -2148 +2633 -6822 +4578 -4891 +1005 471 +-2433 -2550 +2829 -4035 +-1998 686 +-3519 2154 +4411 5879 +4002 4299 +3067 -1477 +-2533 137 +-1790 -1942 +5697 -1517 +2341 2341 +1654 1012 +506 2739 +-3037 -718 +-1412 -2848 +1514 3534 +2465 1197 +-865 -3890 +-343 -3996 +-236 718 +-1133 2131 +-2196 -2442 +-6234 3100 +-1389 -462 +-1241 -6829 +-2925 5237 +1170 0 +-2925 -5237 +-1241 6829 +-1389 462 +-6234 -3100 +-2196 2442 +-1133 -2131 +-236 -718 +-343 3996 +-865 3890 +2465 -1197 +1514 -3534 +-1412 2848 +-3037 718 +506 -2739 +1654 -1012 +2341 -2341 +5697 1517 +-1790 1942 +-2533 -137 +3067 1477 +4002 -4299 +4411 -5879 +-3519 -2154 +-1998 -686 +2829 4035 +-2433 2550 +1005 -471 +4578 4891 +2633 6822 +-785 2148 +-2635 -1273 +0 -2341 +1479 4029 +-1183 2028 +-156 -3683 +4608 1930 +3147 -4331 +-2466 -2907 +-2778 4722 +-343 686 +-699 -2941 +-545 -3243 +1243 5294 +528 2647 +-1903 -300 +-3314 8426 +-4793 320 +-5851 0 +-4793 -320 +-3314 -8426 +-1903 300 +528 -2647 +1243 -5294 +-545 3243 +-699 2941 +-343 -686 +-2778 -4722 +-2466 2907 +3147 4331 +4608 -1930 +-156 3683 +-1183 -2028 +1479 -4029 +0 2341 +-2105 -2552 +35 835 +699 6783 +-612 3721 +2866 -560 +6672 -4315 +2846 -1874 +-1998 3996 +-146 93 +2960 -5350 +2170 -403 +157 -1678 +-1446 -2800 +-2158 2428 +-425 -1797 +1170 0 +-425 1797 +-2158 -2428 +-1446 2800 +157 1678 +2170 403 +2960 5350 +-146 -93 +-1998 -3996 +2846 1874 +6672 4315 +2866 560 +-612 -3721 +699 -6783 +35 -835 +-2105 2552 +0 -2341 +1479 4029 +-1183 2028 +-156 -3683 +4608 1930 +3147 -4331 +-2466 -2907 +-2778 4722 +-343 686 +-699 -2941 +-545 -3243 +1243 5294 +528 2647 +-1903 -300 +-3314 8426 +-4793 320 +0 -1170 +3683 -1385 +1513 2141 +-11 554 +-411 1909 +1058 1623 +-2456 2108 +-2884 7530 +686 5993 +-4290 -2410 +1295 -6162 +4549 1849 +-4988 1275 +862 -4000 +3540 -1694 +-2527 -5289 +-2341 0 +-2527 5289 +3540 1694 +862 4000 +-4988 -1275 +4549 -1849 +1295 6162 +-4290 2410 +686 -5993 +-2884 -7530 +-2456 -2108 +1058 -1623 +-411 -1909 +-11 -554 +1513 -2141 +3683 1385 +0 1170 +-847 -207 +3200 -800 +-846 -2736 +1380 -254 +4517 -4250 +-466 -1677 +2736 4716 +3996 1028 +-664 549 +-1684 -4710 +-2489 -5639 +-663 380 +-1020 -2087 +-4943 1096 +-1828 5572 +2341 0 +-1828 -5572 +-4943 -1096 +-1020 2087 +-663 -380 +-2489 5639 +-1684 4710 +-664 -549 +3996 -1028 +2736 -4716 +-466 1677 +4517 4250 +1380 254 +-846 2736 +3200 800 +-847 207 +0 -1170 +3683 -1385 +1513 2141 +-11 554 +-411 1909 +1058 1623 +-2456 2108 +-2884 7530 +686 5993 +-4290 -2410 +1295 -6162 +4549 1849 +-4988 1275 +862 -4000 +3540 -1694 +-2527 -5289 +-3511 5851 +-6479 -1095 +2894 -2598 +5162 2682 +-1074 -3312 +400 -761 +-1820 4817 +3270 -619 +6821 -5993 +1955 -2686 +2505 2343 +-1280 82 +-5399 1646 +-3097 4902 +1102 1963 +131 1834 +-3511 0 +131 -1834 +1102 -1963 +-3097 -4902 +-5399 -1646 +-1280 -82 +2505 -2343 +1955 2686 +6821 5993 +3270 619 +-1820 -4817 +400 761 +-1074 3312 +5162 -2682 +2894 2598 +-6479 1095 +-3511 -5851 +-585 3143 +2894 2944 +-1651 -284 +-3607 4967 +3989 2523 +-1820 4011 +-3409 3924 +201 -1028 +-802 2681 +2505 1804 +1992 -1630 +718 9 +1105 806 +1102 3065 +-702 3524 +-3511 0 +-702 -3524 +1102 -3065 +1105 -806 +718 -9 +1992 1630 +2505 -1804 +-802 -2681 +201 1028 +-3409 -3924 +-1820 -4011 +3989 -2523 +-3607 -4967 +-1651 284 +2894 -2944 +-585 -3143 +-3511 5851 +-6479 -1095 +2894 -2598 +5162 2682 +-1074 -3312 +400 -761 +-1820 4817 +3270 -619 +6821 -5993 +1955 -2686 +2505 2343 +-1280 82 +-5399 1646 +-3097 4902 +1102 1963 +131 1834 +1170 -2341 +-1214 -2593 +1047 1629 +2398 -1604 +1508 388 +2156 2083 +-2559 1956 +-3530 -997 +-142 -1655 +-3427 3100 +-719 1874 +4906 2709 +2005 -1403 +169 917 +-768 3923 +-1301 -11809 +0 0 +-1301 11809 +-768 -3923 +169 -917 +2005 1403 +4906 -2709 +-719 -1874 +-3427 -3100 +-142 1655 +-3530 997 +-2559 -1956 +2156 -2083 +1508 -388 +2398 1604 +1047 -1629 +-1214 2593 +1170 2341 +2401 319 +1504 7080 +-3362 4169 +-5504 2922 +-634 3349 +-1259 281 +-321 2614 +4823 1655 +2177 392 +1227 -1577 +1207 -1181 +-2691 4713 +-219 486 +1527 -6515 +-1404 -4572 +-2341 0 +-1404 4572 +1527 6515 +-219 -486 +-2691 -4713 +1207 1181 +1227 1577 +2177 -392 +4823 -1655 +-321 -2614 +-1259 -281 +-634 -3349 +-5504 -2922 +-3362 -4169 +1504 -7080 +2401 -319 +1170 -2341 +-1214 -2593 +1047 1629 +2398 -1604 +1508 388 +2156 2083 +-2559 1956 +-3530 -997 +-142 -1655 +-3427 3100 +-719 1874 +4906 2709 +2005 -1403 +169 917 +-768 3923 +-1301 -11809 +4681 -3511 +-99 -3024 +1867 2429 +2038 4109 +-5376 254 +-990 -1153 +405 -2070 +229 -4908 +2341 -343 +524 2375 +3045 421 +2505 2429 +-23 -380 +2004 -2050 +2171 2739 +-1780 2124 +-4681 0 +-1780 -2124 +2171 -2739 +2004 2050 +-23 380 +2505 -2429 +3045 -421 +524 -2375 +2341 343 +229 4908 +405 2070 +-990 1153 +-5376 -254 +2038 -4109 +1867 -2429 +-99 3024 +4681 3511 +1431 -4282 +803 -3874 +-1342 3624 +-3585 -1909 +-415 -2352 +3041 6573 +6341 1725 +2341 -1998 +542 1721 +-3181 -599 +-2618 -1525 +4302 -1275 +-7801 -1791 +-8151 497 +-566 590 +-4681 0 +-566 -590 +-8151 -497 +-7801 1791 +4302 1275 +-2618 1525 +-3181 599 +542 -1721 +2341 1998 +6341 -1725 +3041 -6573 +-415 2352 +-3585 1909 +-1342 -3624 +803 3874 +1431 4282 +4681 -3511 +-99 -3024 +1867 2429 +2038 4109 +-5376 254 +-990 -1153 +405 -2070 +229 -4908 +2341 -343 +524 2375 +3045 421 +2505 2429 +-23 -380 +2004 -2050 +2171 2739 +-1780 2124 +0 2341 +-1551 -5705 +-2592 -736 +169 -243 +-1618 828 +-209 4632 +2631 1615 +1551 298 +1513 -485 +-1628 466 +-5263 784 +-4122 -498 +-2251 828 +125 1573 +1032 -429 +-470 -3469 +-1170 0 +-470 3469 +1032 429 +125 -1573 +-2251 -828 +-4122 498 +-5263 -784 +-1628 -466 +1513 485 +1551 -298 +2631 -1615 +-209 -4632 +-1618 -828 +169 243 +-2592 736 +-1551 5705 +0 -2341 +-1646 -4405 +2456 306 +1809 311 +-722 828 +2454 -7191 +39 -2214 +936 8819 +3168 2825 +-2378 -1874 +-717 557 +862 5426 +-89 828 +5532 3240 +2414 11301 +-1435 -1183 +1170 0 +-1435 1183 +2414 -11301 +5532 -3240 +-89 -828 +862 -5426 +-717 -557 +-2378 1874 +3168 -2825 +936 -8819 +39 2214 +2454 7191 +-722 -828 +1809 -311 +2456 -306 +-1646 4405 +0 2341 +-1551 -5705 +-2592 -736 +169 -243 +-1618 828 +-209 4632 +2631 1615 +1551 298 +1513 -485 +-1628 466 +-5263 784 +-4122 -498 +-2251 828 +125 1573 +1032 -429 +-470 -3469 +-2341 -1170 +-238 796 +-1075 1860 +422 -3989 +4988 -1909 +-4468 4625 +-5933 -103 +6 -1937 +-2341 1312 +-1362 1525 +2244 2352 +917 -99 +-1380 -1275 +1456 2773 +1448 177 +-1945 -4690 +-2341 0 +-1945 4690 +1448 -177 +1456 -2773 +-1380 1275 +917 99 +2244 -2352 +-1362 -1525 +-2341 -1312 +6 1937 +-5933 103 +-4468 -4625 +4988 1909 +422 3989 +-1075 -1860 +-238 -796 +-2341 1170 +-1818 1997 +-4905 362 +-2 -3439 +663 254 +-1125 3777 +5797 5027 +1720 4499 +-2341 -3653 +-1882 -3708 +1202 632 +3661 -2024 +411 -380 +5760 -4742 +1222 -9257 +-1100 -5 +7022 0 +-1100 5 +1222 9257 +5760 4742 +411 380 +3661 2024 +1202 -632 +-1882 3708 +-2341 3653 +1720 -4499 +5797 -5027 +-1125 -3777 +663 -254 +-2 3439 +-4905 -362 +-1818 -1997 +-2341 -1170 +-238 796 +-1075 1860 +422 -3989 +4988 -1909 +-4468 4625 +-5933 -103 +6 -1937 +-2341 1312 +-1362 1525 +2244 2352 +917 -99 +-1380 -1275 +1456 2773 +1448 177 +-1945 -4690 +1170 1170 +-2136 217 +365 443 +-2768 1078 +-6860 -3483 +-890 2183 +2368 4572 +731 4508 +1655 1513 +966 -1658 +-1226 6672 +-1507 757 +-1251 -1237 +-2729 2906 +-4422 -5327 +-557 -404 +3511 0 +-557 404 +-4422 5327 +-2729 -2906 +-1251 1237 +-1507 -757 +-1226 -6672 +966 1658 +1655 -1513 +731 -4508 +2368 -4572 +-890 -2183 +-6860 3483 +-2768 -1078 +365 -443 +-2136 -217 +1170 -1170 +2491 5751 +1080 4077 +3408 -605 +523 -796 +-1221 -507 +2135 2125 +-1022 5963 +-1655 3168 +2635 -1622 +1404 1397 +308 -3133 +-1775 -7724 +-1221 135 +7658 1856 +3512 -759 +-5851 0 +3512 759 +7658 -1856 +-1221 -135 +-1775 7724 +308 3133 +1404 -1397 +2635 1622 +-1655 -3168 +-1022 -5963 +2135 -2125 +-1221 507 +523 796 +3408 605 +1080 -4077 +2491 -5751 +1170 1170 +-2136 217 +365 443 +-2768 1078 +-6860 -3483 +-890 2183 +2368 4572 +731 4508 +1655 1513 +966 -1658 +-1226 6672 +-1507 757 +-1251 -1237 +-2729 2906 +-4422 -5327 +-557 -404 +-5851 1170 +-1285 3424 +378 1545 +-5714 1231 +-569 -1938 +4076 748 +-672 4680 +-1119 -91 +2825 343 +2039 94 +-5206 -298 +-6255 2461 +2370 665 +4972 -413 +1771 23 +2205 148 +3511 0 +2205 -148 +1771 -23 +4972 413 +2370 -665 +-6255 -2461 +-5206 298 +2039 -94 +2825 -343 +-1119 91 +-672 -4680 +4076 -748 +-569 1938 +-5714 -1231 +378 -1545 +-1285 -3424 +-5851 -1170 +-2467 388 +-4510 1006 +-2430 -2234 +4281 -5367 +2380 2409 +2656 -862 +2880 -1450 +-485 1998 +-1266 -5960 +-1459 805 +5915 2487 +7962 -3289 +-2945 1201 +-2320 -783 +-987 -661 +-5851 0 +-987 661 +-2320 783 +-2945 -1201 +7962 3289 +5915 -2487 +-1459 -805 +-1266 5960 +-485 -1998 +2880 1450 +2656 862 +2380 -2409 +4281 5367 +-2430 2234 +-4510 -1006 +-2467 -388 +-5851 1170 +-1285 3424 +378 1545 +-5714 1231 +-569 -1938 +4076 748 +-672 4680 +-1119 -91 +2825 343 +2039 94 +-5206 -298 +-6255 2461 +2370 665 +4972 -413 +1771 23 +2205 148 +-1170 -4681 +-1674 2871 +-2538 -2245 +1530 -3761 +4375 -1559 +-294 -6297 +5113 2042 +3327 3535 +-2483 -5651 +-824 -206 +-1132 2200 +447 163 +-1223 2573 +-2299 276 +1384 755 +4824 3773 +7022 0 +4824 -3773 +1384 -755 +-2299 -276 +-1223 -2573 +447 -163 +-1132 -2200 +-824 206 +-2483 5651 +3327 -3535 +5113 -2042 +-294 6297 +4375 1559 +1530 3761 +-2538 2245 +-1674 -2871 +-1170 4681 +2872 4634 +-3756 -1751 +-1884 -4181 +5271 -4092 +-3307 -1239 +-4025 -1356 +623 1397 +2483 969 +183 -5030 +-4637 -2885 +-156 -3101 +939 -3543 +-656 3000 +229 3240 +-2712 185 +-4681 0 +-2712 -185 +229 -3240 +-656 -3000 +939 3543 +-156 3101 +-4637 2885 +183 5030 +2483 -969 +623 -1397 +-4025 1356 +-3307 1239 +5271 4092 +-1884 4181 +-3756 1751 +2872 -4634 +-1170 -4681 +-1674 2871 +-2538 -2245 +1530 -3761 +4375 -1559 +-294 -6297 +5113 2042 +3327 3535 +-2483 -5651 +-824 -206 +-1132 2200 +447 163 +-1223 2573 +-2299 276 +1384 755 +4824 3773 +3511 2341 +-1089 -4662 +-2432 -4484 +1061 5956 +89 1186 +741 -3097 +1875 415 +1922 1310 +-343 4480 +1930 4870 +1447 -1217 +-2480 -2391 +1618 1364 +1156 -2606 +2565 -3595 +2710 4300 +-2341 0 +2710 -4300 +2565 3595 +1156 2606 +1618 -1364 +-2480 2391 +1447 1217 +1930 -4870 +-343 -4480 +1922 -1310 +1875 -415 +741 3097 +89 -1186 +1061 -5956 +-2432 4484 +-1089 4662 +3511 -2341 +-1212 -142 +-1893 -2941 +-1245 -2870 +2251 -1872 +3667 -2076 +-3667 4225 +-330 -303 +-1998 -2140 +-6832 5207 +345 4485 +1383 5951 +722 2631 +2338 -1557 +1759 4161 +-3719 2709 +-9362 0 +-3719 -2709 +1759 -4161 +2338 1557 +722 -2631 +1383 -5951 +345 -4485 +-6832 -5207 +-1998 2140 +-330 303 +-3667 -4225 +3667 2076 +2251 1872 +-1245 2870 +-1893 2941 +-1212 142 +3511 2341 +-1089 -4662 +-2432 -4484 +1061 5956 +89 1186 +741 -3097 +1875 415 +1922 1310 +-343 4480 +1930 4870 +1447 -1217 +-2480 -2391 +1618 1364 +1156 -2606 +2565 -3595 +2710 4300 +1170 -1170 +1960 4577 +1790 3598 +3411 -333 +3155 -2393 +3565 690 +-4618 -436 +-3710 990 +8476 2683 +2173 -3499 +-2135 3649 +-302 4979 +-2962 -4101 +2607 1432 +-282 4979 +51 1629 +8192 0 +51 -1629 +-282 -4979 +2607 -1432 +-2962 4101 +-302 -4979 +-2135 -3649 +2173 3499 +8476 -2683 +-3710 -990 +-4618 436 +3565 -690 +3155 2393 +3411 333 +1790 -3598 +1960 -4577 +1170 1170 +-2183 1638 +446 -1299 +-1196 1087 +-5495 -231 +-2382 2118 +2026 2210 +1448 1065 +-1454 4338 +-2445 1229 +-6574 1435 +-6998 -380 +621 -3205 +1295 1113 +-15 630 +2706 261 +3511 0 +2706 -261 +-15 -630 +1295 -1113 +621 3205 +-6998 380 +-6574 -1435 +-2445 -1229 +-1454 -4338 +1448 -1065 +2026 -2210 +-2382 -2118 +-5495 231 +-1196 -1087 +446 1299 +-2183 -1638 +1170 -1170 +1960 4577 +1790 3598 +3411 -333 +3155 -2393 +3565 690 +-4618 -436 +-3710 990 +8476 2683 +2173 -3499 +-2135 3649 +-302 4979 +-2962 -4101 +2607 1432 +-282 4979 +51 1629 +-2341 4681 +1127 314 +-5226 -2958 +-5822 1047 +-2057 -992 +-2902 -369 +4975 3099 +-446 814 +-4338 -4681 +3053 -2535 +-1709 988 +-2193 -3883 +-21 -2066 +-5150 -493 +-4957 -3313 +2888 2031 +8192 0 +2888 -2031 +-4957 3313 +-5150 493 +-21 2066 +-2193 3883 +-1709 -988 +3053 2535 +-4338 4681 +-446 -814 +4975 -3099 +-2902 369 +-2057 992 +-5822 -1047 +-5226 2958 +1127 -314 +-2341 -4681 +2639 2421 +4627 288 +1212 -2131 +1372 3333 +1538 1885 +5897 347 +1460 -2671 +-2683 -4681 +2552 -1113 +2139 -851 +-3063 1075 +-3975 -275 +3140 -4916 +3617 -2667 +-35 -1088 +1170 0 +-35 1088 +3617 2667 +3140 4916 +-3975 275 +-3063 -1075 +2139 851 +2552 1113 +-2683 4681 +1460 2671 +5897 -347 +1538 -1885 +1372 -3333 +1212 2131 +4627 -288 +2639 -2421 +-2341 4681 +1127 314 +-5226 -2958 +-5822 1047 +-2057 -992 +-2902 -369 +4975 3099 +-446 814 +-4338 -4681 +3053 -2535 +-1709 988 +-2193 -3883 +-21 -2066 +-5150 -493 +-4957 -3313 +2888 2031 +1170 0 +4188 -663 +-622 -4367 +-468 1997 +2393 2991 +-1411 1397 +-911 -414 +-1826 -1755 +-1513 -3026 +220 -7392 +2884 -3396 +4174 -878 +-4101 -7435 +-2238 -5047 +3469 1556 +-348 1174 +-2341 0 +-348 -1174 +3469 -1556 +-2238 5047 +-4101 7435 +4174 878 +2884 3396 +220 7392 +-1513 3026 +-1826 1755 +-911 414 +-1411 -1397 +2393 -2991 +-468 -1997 +-622 4367 +4188 663 +1170 0 +-1346 4565 +-3913 -3372 +-2151 265 +231 5000 +1278 -1620 +5277 3828 +-370 571 +-3168 -6336 +184 92 +740 -2552 +284 -1878 +-3205 6064 +532 4775 +2437 67 +-703 -3388 +0 0 +-703 3388 +2437 -67 +532 -4775 +-3205 -6064 +284 1878 +740 2552 +184 -92 +-3168 6336 +-370 -571 +5277 -3828 +1278 1620 +231 -5000 +-2151 -265 +-3913 3372 +-1346 -4565 +1170 0 +4188 -663 +-622 -4367 +-468 1997 +2393 2991 +-1411 1397 +-911 -414 +-1826 -1755 +-1513 -3026 +220 -7392 +2884 -3396 +4174 -878 +-4101 -7435 +-2238 -5047 +3469 1556 +-348 1174 +-3511 5851 +-1817 81 +-3874 -4605 +-3175 -1232 +702 1482 +2495 2409 +3619 3879 +3219 -635 +2341 -3168 +275 3436 +-1274 778 +2431 -1120 +1461 3728 +-3038 963 +793 -887 +751 19 +-3511 0 +751 -19 +793 887 +-3038 -963 +1461 -3728 +2431 1120 +-1274 -778 +275 -3436 +2341 3168 +3219 635 +3619 -3879 +2495 -2409 +702 -1482 +-3175 1232 +-3874 4605 +-1817 -81 +-3511 -5851 +-832 -2503 +1712 -6056 +-4430 -4804 +-2357 4169 +3155 -86 +-4515 938 +-7000 25 +2341 -1513 +6040 4960 +2170 -2012 +-1965 -3029 +194 -2759 +4527 -4110 +1369 2898 +-636 -2798 +1170 0 +-636 2798 +1369 -2898 +4527 4110 +194 2759 +-1965 3029 +2170 2012 +6040 -4960 +2341 1513 +-7000 -25 +-4515 -938 +3155 86 +-2357 -4169 +-4430 4804 +1712 6056 +-832 2503 +-3511 5851 +-1817 81 +-3874 -4605 +-3175 -1232 +702 1482 +2495 2409 +3619 3879 +3219 -635 +2341 -3168 +275 3436 +-1274 778 +2431 -1120 +1461 3728 +-3038 963 +793 -887 +751 19 +4681 -3511 +4359 -2861 +651 1574 +4251 319 +7687 -1372 +22 -2338 +-456 777 +-3457 4002 +-5651 -1998 +332 -118 +-2777 5503 +-3394 -2626 +423 -3975 +-1567 2033 +-2852 -628 +-2409 -2188 +-2341 0 +-2409 2188 +-2852 628 +-1567 -2033 +423 3975 +-3394 2626 +-2777 -5503 +332 118 +-5651 1998 +-3457 -4002 +-456 -777 +22 2338 +7687 1372 +4251 -319 +651 -1574 +4359 2861 +4681 3511 +1251 -2606 +-4783 -4318 +-1644 -971 +304 2057 +-18 836 +2441 -819 +-658 -806 +969 -343 +-2060 4328 +-3888 2446 +583 -3977 +948 -21 +8386 -1166 +2303 -3487 +-3978 4356 +2341 0 +-3978 -4356 +2303 3487 +8386 1166 +948 21 +583 3977 +-3888 -2446 +-2060 -4328 +969 343 +-658 806 +2441 819 +-18 -836 +304 -2057 +-1644 971 +-4783 4318 +1251 2606 +4681 -3511 +4359 -2861 +651 1574 +4251 319 +7687 -1372 +22 -2338 +-456 777 +-3457 4002 +-5651 -1998 +332 -118 +-2777 5503 +-3394 -2626 +423 -3975 +-1567 2033 +-2852 -628 +-2409 -2188 +3511 1170 +583 -1707 +-3416 -8361 +-3878 -3602 +-194 -754 +5870 -410 +-264 6749 +1353 627 +3996 -5509 +-2533 573 +-3130 -772 +-2755 -3705 +-702 -4980 +742 -3050 +479 2961 +1483 1175 +1170 0 +1483 -1175 +479 -2961 +742 3050 +-702 4980 +-2755 3705 +-3130 772 +-2533 -573 +3996 5509 +1353 -627 +-264 -6749 +5870 410 +-194 754 +-3878 3602 +-3416 8361 +583 1707 +3511 -1170 +-4830 2437 +180 3098 +4151 3265 +-1461 4466 +833 -15 +86 -3006 +1539 928 +686 -3854 +-3668 -4119 +-1373 3145 +-638 -4355 +2357 -5351 +2296 1699 +-1924 -232 +-547 1074 +1170 0 +-547 -1074 +-1924 232 +2296 -1699 +2357 5351 +-638 4355 +-1373 -3145 +-3668 4119 +686 3854 +1539 -928 +86 3006 +833 15 +-1461 -4466 +4151 -3265 +180 -3098 +-4830 -2437 +3511 1170 +583 -1707 +-3416 -8361 +-3878 -3602 +-194 -754 +5870 -410 +-264 6749 +1353 627 +3996 -5509 +-2533 573 +-3130 -772 +-2755 -3705 +-702 -4980 +742 -3050 +479 2961 +1483 1175 +0 1170 +-121 3094 +-1547 2882 +2283 5422 +1917 3849 +-5055 257 +-468 151 +594 730 +0 3653 +2449 2112 +-2721 -2423 +8 -2288 +2036 -4346 +-1414 -3483 +3633 -396 +2846 -3022 +-2341 0 +2846 3022 +3633 396 +-1414 3483 +2036 4346 +8 2288 +-2721 2423 +2449 -2112 +0 -3653 +594 -730 +-468 -151 +-5055 -257 +1917 -3849 +2283 -5422 +-1547 -2882 +-121 -3094 +0 -1170 +-3475 1074 +-7627 936 +-1934 -42 +1393 -3163 +3298 431 +4268 608 +-2996 -8509 +0 -1312 +-46 8268 +-1080 -128 +1749 -2992 +-5346 350 +1065 -983 +5541 904 +750 2746 +2341 0 +750 -2746 +5541 -904 +1065 983 +-5346 -350 +1749 2992 +-1080 128 +-46 -8268 +0 1312 +-2996 8509 +4268 -608 +3298 -431 +1393 3163 +-1934 42 +-7627 -936 +-3475 -1074 +0 1170 +-121 3094 +-1547 2882 +2283 5422 +1917 3849 +-5055 257 +-468 151 +594 730 +0 3653 +2449 2112 +-2721 -2423 +8 -2288 +2036 -4346 +-1414 -3483 +3633 -396 +2846 -3022 +4681 2341 +-621 1490 +-1980 -1236 +2939 1251 +-66 4034 +3958 950 +-534 -3671 +-4137 142 +1513 4480 +-2634 571 +-2625 2024 +2751 4743 +1967 -1735 +-1107 -2176 +-2702 -679 +-741 -5286 +1170 0 +-741 5286 +-2702 679 +-1107 2176 +1967 1735 +2751 -4743 +-2625 -2024 +-2634 -571 +1513 -4480 +-4137 -142 +-534 3671 +3958 -950 +-66 -4034 +2939 -1251 +-1980 1236 +-621 -1490 +4681 -2341 +-1951 1027 +1921 -241 +-1939 -2788 +-6554 -3349 +4352 -3958 +6353 571 +5505 2312 +3168 -2140 +489 867 +-1255 2868 +-1634 -2649 +4654 -2260 +-2699 -879 +-8540 -2169 +-2530 168 +-1170 0 +-2530 -168 +-8540 2169 +-2699 879 +4654 2260 +-1634 2649 +-1255 -2868 +489 -867 +3168 2140 +5505 -2312 +6353 -571 +4352 3958 +-6554 3349 +-1939 2788 +1921 241 +-1951 -1027 +4681 2341 +-621 1490 +-1980 -1236 +2939 1251 +-66 4034 +3958 950 +-534 -3671 +-4137 142 +1513 4480 +-2634 571 +-2625 2024 +2751 4743 +1967 -1735 +-1107 -2176 +-2702 -679 +-741 -5286 +-4681 0 +-996 -412 +344 -2043 +495 -1119 +3273 2304 +1253 2566 +394 4421 +1212 5468 +828 6821 +-3832 -476 +-1008 -4933 +5616 8302 +596 5719 +-186 -3095 +-1536 1040 +-2762 -2210 +1170 0 +-2762 2210 +-1536 -1040 +-186 3095 +596 -5719 +5616 -8302 +-1008 4933 +-3832 476 +828 -6821 +1212 -5468 +394 -4421 +1253 -2566 +3273 -2304 +495 1119 +344 2043 +-996 412 +-4681 0 +-2822 -3709 +-3791 2117 +264 5502 +2377 -2020 +1298 -25 +5586 -932 +-704 -5087 +-828 201 +4340 -515 +-1662 -2880 +-3065 2231 +-1566 3927 +945 -513 +1673 -2905 +-1056 -540 +-1170 0 +-1056 540 +1673 2905 +945 513 +-1566 -3927 +-3065 -2231 +-1662 2880 +4340 515 +-828 -201 +-704 5087 +5586 932 +1298 25 +2377 2020 +264 -5502 +-3791 -2117 +-2822 3709 +-4681 0 +-996 -412 +344 -2043 +495 -1119 +3273 2304 +1253 2566 +394 4421 +1212 5468 +828 6821 +-3832 -476 +-1008 -4933 +5616 8302 +596 5719 +-186 -3095 +-1536 1040 +-2762 -2210 +1170 -2341 +2201 -3748 +4904 -6524 +2477 276 +955 -1006 +3188 -3916 +-2218 1934 +-5989 1928 +-343 2825 +1792 -1862 +-406 -5062 +246 1842 +1841 2409 +912 1516 +-491 -911 +3232 -6018 +7022 0 +3232 6018 +-491 911 +912 -1516 +1841 -2409 +246 -1842 +-406 5062 +1792 1862 +-343 -2825 +-5989 -1928 +-2218 -1934 +3188 3916 +955 1006 +2477 -276 +4904 6524 +2201 3748 +1170 2341 +-882 -3291 +-1983 -3126 +-586 2254 +-4265 -5330 +-2594 624 +3621 4419 +-416 -2727 +-1998 -485 +287 -3618 +-4307 -4567 +-2631 -453 +1470 617 +-1011 -3668 +879 -5996 +-226 3660 +-4681 0 +-226 -3660 +879 5996 +-1011 3668 +1470 -617 +-2631 453 +-4307 4567 +287 3618 +-1998 485 +-416 2727 +3621 -4419 +-2594 -624 +-4265 5330 +-586 -2254 +-1983 3126 +-882 3291 +1170 -2341 +2201 -3748 +4904 -6524 +2477 276 +955 -1006 +3188 -3916 +-2218 1934 +-5989 1928 +-343 2825 +1792 -1862 +-406 -5062 +246 1842 +1841 2409 +912 1516 +-491 -911 +3232 -6018 +1170 -2341 +1562 -353 +-462 2205 +-2529 -2784 +3147 2978 +544 -961 +-7404 -1140 +-803 6248 +1998 1170 +-4704 882 +-1505 268 +2851 -2834 +2885 -2960 +1967 1465 +-4765 5559 +-4838 -2318 +0 0 +-4838 2318 +-4765 -5559 +1967 -1465 +2885 2960 +2851 2834 +-1505 -268 +-4704 -882 +1998 -1170 +-803 -6248 +-7404 1140 +544 961 +3147 -2978 +-2529 2784 +-462 -2205 +1562 353 +1170 2341 +1685 -3017 +-87 1361 +-223 -1127 +-807 -3663 +-2383 -3089 +739 -144 +1449 6946 +343 1170 +4058 -1166 +3489 1758 +-1012 71 +-544 6956 +785 9153 +633 1317 +1591 -1290 +2341 0 +1591 1290 +633 -1317 +785 -9153 +-544 -6956 +-1012 -71 +3489 -1758 +4058 1166 +343 -1170 +1449 -6946 +739 144 +-2383 3089 +-807 3663 +-223 1127 +-87 -1361 +1685 3017 +1170 -2341 +1562 -353 +-462 2205 +-2529 -2784 +3147 2978 +544 -961 +-7404 -1140 +-803 6248 +1998 1170 +-4704 882 +-1505 268 +2851 -2834 +2885 -2960 +1967 1465 +-4765 5559 +-4838 -2318 +4681 0 +-1664 -3853 +-3605 -198 +1203 5688 +-2446 5747 +957 1181 +5910 -210 +1912 2487 +-1312 3310 +-395 2981 +-699 -2276 +-2724 -3631 +-1424 5198 +-1481 884 +-643 -4840 +329 3986 +-1170 0 +329 -3986 +-643 4840 +-1481 -884 +-1424 -5198 +-2724 3631 +-699 2276 +-395 -2981 +-1312 -3310 +1912 -2487 +5910 210 +957 -1181 +-2446 -5747 +1203 -5688 +-3605 198 +-1664 3853 +4681 0 +4969 -325 +5767 2402 +-2751 1703 +-1550 3214 +1441 248 +-5014 -4801 +3446 -2566 +3653 -3310 +-1653 -4193 +-196 -1363 +-2983 -1476 +738 -918 +-281 -2771 +-1519 -947 +-324 6685 +-3511 0 +-324 -6685 +-1519 947 +-281 2771 +738 918 +-2983 1476 +-196 1363 +-1653 4193 +3653 3310 +3446 2566 +-5014 4801 +1441 -248 +-1550 -3214 +-2751 -1703 +5767 -2402 +4969 325 +4681 0 +-1664 -3853 +-3605 -198 +1203 5688 +-2446 5747 +957 1181 +5910 -210 +1912 2487 +-1312 3310 +-395 2981 +-699 -2276 +-2724 -3631 +-1424 5198 +-1481 884 +-643 -4840 +329 3986 +0 2341 +3697 1247 +1407 -1957 +-777 -596 +596 880 +2834 -1128 +-1150 -3257 +-5822 -456 +-1513 1170 +1317 -4737 +-1532 -1556 +574 292 +2377 -4697 +-873 959 +-1832 4764 +-3435 1208 +-5851 0 +-3435 -1208 +-1832 -4764 +-873 -959 +2377 4697 +574 -292 +-1532 1556 +1317 4737 +-1513 -1170 +-5822 456 +-1150 3257 +2834 1128 +596 -880 +-777 596 +1407 1957 +3697 -1247 +0 -2341 +-4033 -3448 +1514 1359 +4323 6975 +-1566 2146 +-585 -4187 +2553 -7614 +-2237 20 +-3168 1170 +-893 -3690 +-3181 1986 +-1304 -4235 +3273 -1639 +2428 4049 +2220 -3423 +4787 4582 +5851 0 +4787 -4582 +2220 3423 +2428 -4049 +3273 1639 +-1304 4235 +-3181 -1986 +-893 3690 +-3168 -1170 +-2237 -20 +2553 7614 +-585 4187 +-1566 -2146 +4323 -6975 +1514 -1359 +-4033 3448 +0 2341 +3697 1247 +1407 -1957 +-777 -596 +596 880 +2834 -1128 +-1150 -3257 +-5822 -456 +-1513 1170 +1317 -4737 +-1532 -1556 +574 292 +2377 -4697 +-873 959 +-1832 4764 +-3435 1208 +0 -3511 +1837 -3308 +-2660 1258 +2902 3244 +1050 -3781 +-1098 -3117 +5202 668 +-5348 -4125 +-3511 -828 +6787 5290 +1798 3242 +-4062 2207 +-7344 1356 +-70 3424 +2851 4536 +1172 -1325 +4681 0 +1172 1325 +2851 -4536 +-70 -3424 +-7344 -1356 +-4062 -2207 +1798 -3242 +6787 -5290 +-3511 828 +-5348 4125 +5202 -668 +-1098 3117 +1050 3781 +2902 -3244 +-2660 -1258 +1837 3308 +0 3511 +-735 3238 +-472 3204 +-2364 2989 +1575 1440 +292 1043 +-447 211 +-194 -575 +-3511 828 +-1245 -1578 +4748 946 +4868 6664 +39 985 +-469 513 +-1658 3235 +-2274 -3574 +0 0 +-2274 3574 +-1658 -3235 +-469 -513 +39 -985 +4868 -6664 +4748 -946 +-1245 1578 +-3511 -828 +-194 575 +-447 -211 +292 -1043 +1575 -1440 +-2364 -2989 +-472 -3204 +-735 -3238 +0 -3511 +1837 -3308 +-2660 1258 +2902 3244 +1050 -3781 +-1098 -3117 +5202 668 +-5348 -4125 +-3511 -828 +6787 5290 +1798 3242 +-4062 2207 +-7344 1356 +-70 3424 +2851 4536 +1172 -1325 +-5851 5851 +-4920 197 +-4915 941 +116 131 +4092 1634 +2173 -4676 +-250 -1917 +1051 7111 +1170 -1312 +-5164 -2274 +-2351 -1365 +1961 -4513 +-3543 283 +-1969 -877 +323 -834 +772 3758 +3511 0 +772 -3758 +323 834 +-1969 877 +-3543 -283 +1961 4513 +-2351 1365 +-5164 2274 +1170 1312 +1051 -7111 +-250 1917 +2173 4676 +4092 -1634 +116 -131 +-4915 -941 +-4920 -197 +-5851 -5851 +-1400 -3680 +590 6483 +-4003 -234 +1559 -2320 +2046 -958 +-1541 -2723 +3646 2750 +1170 3653 +-310 -181 +4142 -1903 +3246 2041 +2573 3712 +3050 1195 +4001 268 +-296 -3575 +-5851 0 +-296 3575 +4001 -268 +3050 -1195 +2573 -3712 +3246 -2041 +4142 1903 +-310 181 +1170 -3653 +3646 -2750 +-1541 2723 +2046 958 +1559 2320 +-4003 234 +590 -6483 +-1400 3680 +-5851 5851 +-4920 197 +-4915 941 +116 131 +4092 1634 +2173 -4676 +-250 -1917 +1051 7111 +1170 -1312 +-5164 -2274 +-2351 -1365 +1961 -4513 +-3543 283 +-1969 -877 +323 -834 +772 3758 +-4681 -5851 +-405 53 +-1398 -431 +-3565 -1263 +3088 338 +91 -1930 +-4024 -700 +289 1937 +686 1998 +-141 -466 +276 676 +1535 1228 +3207 -835 +1455 -747 +2411 -108 +4861 1901 +4681 0 +4861 -1901 +2411 108 +1455 747 +3207 835 +1535 -1228 +276 -676 +-141 466 +686 -1998 +289 -1937 +-4024 700 +91 1930 +3088 -338 +-3565 1263 +-1398 431 +-405 -53 +-4681 5851 +369 -2077 +-554 -1731 +-3396 4393 +2563 -6674 +-243 -3272 +-3029 1596 +2969 -1703 +3996 343 +1712 -1833 +-1213 220 +-3678 -314 +-4176 3861 +-5438 9993 +-1830 -2054 +3586 -6458 +4681 0 +3586 6458 +-1830 2054 +-5438 -9993 +-4176 -3861 +-3678 314 +-1213 -220 +1712 1833 +3996 -343 +2969 1703 +-3029 -1596 +-243 3272 +2563 6674 +-3396 -4393 +-554 1731 +369 2077 +-4681 -5851 +-405 53 +-1398 -431 +-3565 -1263 +3088 338 +91 -1930 +-4024 -700 +289 1937 +686 1998 +-141 -466 +276 676 +1535 1228 +3207 -835 +1455 -747 +2411 -108 +4861 1901 +0 -4681 +-3145 -842 +225 -1417 +-4496 3848 +-3506 1861 +4832 -3113 +-444 4266 +1571 -1687 +5509 -4480 +1194 5596 +-3063 2934 +-7260 3700 +-2348 5637 +-83 488 +-2641 740 +-210 -361 +1170 0 +-210 361 +-2641 -740 +-83 -488 +-2348 -5637 +-7260 -3700 +-3063 -2934 +1194 -5596 +5509 4480 +1571 1687 +-444 -4266 +4832 3113 +-3506 -1861 +-4496 -3848 +225 1417 +-3145 842 +0 4681 +554 792 +-2565 -6008 +-1905 4 +3506 5444 +5055 4300 +-1896 374 +-640 165 +3854 2140 +3718 517 +722 334 +179 -995 +2348 -3013 +-2943 -1738 +300 -173 +3577 1325 +-1170 0 +3577 -1325 +300 173 +-2943 1738 +2348 3013 +179 995 +722 -334 +3718 -517 +3854 -2140 +-640 -165 +-1896 -374 +5055 -4300 +3506 -5444 +-1905 -4 +-2565 6008 +554 -792 +0 -4681 +-3145 -842 +225 -1417 +-4496 3848 +-3506 1861 +4832 -3113 +-444 4266 +1571 -1687 +5509 -4480 +1194 5596 +-3063 2934 +-7260 3700 +-2348 5637 +-83 488 +-2641 740 +-210 -361 +1170 -1170 +-102 1256 +261 -128 +381 -4721 +2647 1053 +-147 2570 +-3985 -936 +-3335 1581 +201 -1998 +-677 -5924 +-2768 396 +-2049 -325 +-3721 -6770 +-699 -3373 +1247 608 +18 -1085 +1170 0 +18 1085 +1247 -608 +-699 3373 +-3721 6770 +-2049 325 +-2768 -396 +-677 5924 +201 1998 +-3335 -1581 +-3985 936 +-147 -2570 +2647 -1053 +381 4721 +261 128 +-102 -1256 +1170 1170 +2282 -3443 +-1083 -2423 +76 1611 +-1678 -367 +981 -821 +2659 -2882 +-2523 -4315 +6821 -343 +4002 -1135 +-7208 -904 +-4902 3866 +-1930 2775 +6358 2055 +1514 151 +335 -5426 +10533 0 +335 5426 +1514 -151 +6358 -2055 +-1930 -2775 +-4902 -3866 +-7208 904 +4002 1135 +6821 343 +-2523 4315 +2659 2882 +981 821 +-1678 367 +76 -1611 +-1083 2423 +2282 3443 +1170 -1170 +-102 1256 +261 -128 +381 -4721 +2647 1053 +-147 2570 +-3985 -936 +-3335 1581 +201 -1998 +-677 -5924 +-2768 396 +-2049 -325 +-3721 -6770 +-699 -3373 +1247 608 +18 -1085 +1170 0 +-1438 -4309 +-2360 2197 +-2541 5602 +1288 -3394 +2842 -4594 +-441 -7323 +772 -1994 +-828 3996 +-5883 -448 +-2984 3668 +-1904 -2402 +-4430 -2855 +-292 6058 +2454 -227 +649 704 +0 0 +649 -704 +2454 227 +-292 -6058 +-4430 2855 +-1904 2402 +-2984 -3668 +-5883 448 +-828 -3996 +772 1994 +-441 7323 +2842 4594 +1288 3394 +-2541 -5602 +-2360 -2197 +-1438 4309 +1170 0 +1655 562 +779 440 +3894 -714 +2708 1455 +-1443 504 +-1392 -3727 +-1634 -1334 +828 686 +2420 -2880 +-3174 1265 +-1288 -1688 +5115 -8446 +732 -1171 +-2244 123 +3459 -4452 +7022 0 +3459 4452 +-2244 -123 +732 1171 +5115 8446 +-1288 1688 +-3174 -1265 +2420 2880 +828 -686 +-1634 1334 +-1392 3727 +-1443 -504 +2708 -1455 +3894 714 +779 -440 +1655 -562 +1170 0 +-1438 -4309 +-2360 2197 +-2541 5602 +1288 -3394 +2842 -4594 +-441 -7323 +772 -1994 +-828 3996 +-5883 -448 +-2984 3668 +-1904 -2402 +-4430 -2855 +-292 6058 +2454 -227 +649 704 +0 -3511 +-5621 -1499 +-3353 -2087 +-283 708 +-1609 -89 +-4322 -1824 +2037 321 +3646 -3603 +1856 -3854 +1635 346 +-3338 -3701 +-1510 -3043 +1060 1618 +-528 -2368 +1057 -2885 +1166 2027 +0 0 +1166 -2027 +1057 2885 +-528 2368 +1060 -1618 +-1510 3043 +-3338 3701 +1635 -346 +1856 3854 +3646 3603 +2037 -321 +-4322 1824 +-1609 89 +-283 -708 +-3353 2087 +-5621 1499 +0 3511 +4407 4525 +-601 1402 +3034 -1906 +924 -2251 +-3298 5512 +1392 3675 +116 -8553 +5166 -5509 +4029 -186 +-91 -294 +3287 3568 +-5056 722 +-3000 751 +2896 3570 +-2758 -2667 +-4681 0 +-2758 2667 +2896 -3570 +-3000 -751 +-5056 -722 +3287 -3568 +-91 294 +4029 186 +5166 5509 +116 8553 +1392 -3675 +-3298 -5512 +924 2251 +3034 1906 +-601 -1402 +4407 -4525 +0 -3511 +-5621 -1499 +-3353 -2087 +-283 708 +-1609 -89 +-4322 -1824 +2037 321 +3646 -3603 +1856 -3854 +1635 346 +-3338 -3701 +-1510 -3043 +1060 1618 +-528 -2368 +1057 -2885 +1166 2027 +2341 7022 +-8922 131 +-997 -1601 +2230 2431 +-6457 1251 +-2448 3896 +1519 4049 +2824 -2870 +-828 -1170 +-435 2626 +60 -1290 +-5168 -2795 +425 523 +1852 3514 +1961 1793 +5154 -2260 +3511 0 +5154 2260 +1961 -1793 +1852 -3514 +425 -523 +-5168 2795 +60 1290 +-435 -2626 +-828 1170 +2824 2870 +1519 -4049 +-2448 -3896 +-6457 -1251 +2230 -2431 +-997 1601 +-8922 -131 +2341 -7022 +-273 -3007 +-2449 156 +1855 -1423 +-2503 1775 +3285 1858 +4461 454 +856 1375 +828 -1170 +-4764 -218 +-2730 1112 +3316 -5559 +3854 -6860 +1698 -631 +-1824 1443 +-1060 546 +1170 0 +-1060 -546 +-1824 -1443 +1698 631 +3854 6860 +3316 5559 +-2730 -1112 +-4764 218 +828 1170 +856 -1375 +4461 -454 +3285 -1858 +-2503 -1775 +1855 1423 +-2449 -156 +-273 3007 +2341 7022 +-8922 131 +-997 -1601 +2230 2431 +-6457 1251 +-2448 3896 +1519 4049 +2824 -2870 +-828 -1170 +-435 2626 +60 -1290 +-5168 -2795 +425 523 +1852 3514 +1961 1793 +5154 -2260 +1170 0 +-5430 2071 +5514 3242 +10928 2760 +887 3721 +1522 2555 +-2624 -4837 +-3631 -569 +828 3310 +1918 -3630 +2112 -2439 +-3427 -720 +-1149 -1678 +3027 -281 +-1456 -63 +-1949 1990 +0 0 +-1949 -1990 +-1456 63 +3027 281 +-1149 1678 +-3427 720 +2112 2439 +1918 3630 +828 -3310 +-3631 569 +-2624 4837 +1522 -2555 +887 -3721 +10928 -2760 +5514 -3242 +-5430 -2071 +1170 0 +-4218 2009 +-4619 -2111 +1755 -1942 +-2542 1930 +-1154 458 +462 -891 +-480 -5118 +-828 -3310 +-845 833 +50 -6599 +1030 -5272 +2804 2647 +-440 1455 +560 -2116 +1395 -4383 +-2341 0 +1395 4383 +560 2116 +-440 -1455 +2804 -2647 +1030 5272 +50 6599 +-845 -833 +-828 3310 +-480 5118 +462 891 +-1154 -458 +-2542 -1930 +1755 1942 +-4619 2111 +-4218 -2009 +1170 0 +-5430 2071 +5514 3242 +10928 2760 +887 3721 +1522 2555 +-2624 -4837 +-3631 -569 +828 3310 +1918 -3630 +2112 -2439 +-3427 -720 +-1149 -1678 +3027 -281 +-1456 -63 +-1949 1990 +0 -3511 +354 5442 +-3694 1709 +-1117 -3738 +2134 89 +476 -2371 +-1671 -796 +-1547 5163 +-1170 3168 +3073 -4291 +758 -7905 +1292 -3262 +6323 -1618 +-2894 647 +1094 2927 +5291 -3033 +-2341 0 +5291 3033 +1094 -2927 +-2894 -647 +6323 1618 +1292 3262 +758 7905 +3073 4291 +-1170 -3168 +-1547 -5163 +-1671 796 +476 2371 +2134 -89 +-1117 3738 +-3694 -1709 +354 -5442 +0 3511 +-3892 1953 +2799 -2920 +2670 -730 +-1449 2251 +2003 2496 +3833 -2591 +3857 -1624 +-1170 1513 +-2073 -1239 +-2920 -3474 +-7082 -5346 +-2327 -722 +-1970 2134 +-198 -2766 +1557 -1383 +-2341 0 +1557 1383 +-198 2766 +-1970 -2134 +-2327 722 +-7082 5346 +-2920 3474 +-2073 1239 +-1170 -1513 +3857 1624 +3833 2591 +2003 -2496 +-1449 -2251 +2670 730 +2799 2920 +-3892 -1953 +0 -3511 +354 5442 +-3694 1709 +-1117 -3738 +2134 89 +476 -2371 +-1671 -796 +-1547 5163 +-1170 3168 +3073 -4291 +758 -7905 +1292 -3262 +6323 -1618 +-2894 647 +1094 2927 +5291 -3033 +-2341 -1170 +-3221 -3387 +-2624 5561 +-4032 5466 +-1529 -6263 +2416 1709 +341 2146 +-51 72 +6336 4338 +7776 -1064 +1313 2566 +-1861 1296 +-633 -1127 +-1735 1829 +-2905 -4192 +-52 -1235 +2341 0 +-52 1235 +-2905 4192 +-1735 -1829 +-633 1127 +-1861 -1296 +1313 -2566 +7776 1064 +6336 -4338 +-51 -72 +341 -2146 +2416 -1709 +-1529 6263 +-4032 -5466 +-2624 -5561 +-3221 3387 +-2341 1170 +927 1949 +-983 -3728 +-2932 -8473 +1529 -1043 +1122 1346 +-5740 -1936 +-4021 2445 +3026 2683 +2139 -4054 +-595 -985 +1129 240 +633 -1498 +-727 266 +1831 -1966 +3123 -1219 +2341 0 +3123 1219 +1831 1966 +-727 -266 +633 1498 +1129 -240 +-595 985 +2139 4054 +3026 -2683 +-4021 -2445 +-5740 1936 +1122 -1346 +1529 1043 +-2932 8473 +-983 3728 +927 -1949 +-2341 -1170 +-3221 -3387 +-2624 5561 +-4032 5466 +-1529 -6263 +2416 1709 +341 2146 +-51 72 +6336 4338 +7776 -1064 +1313 2566 +-1861 1296 +-633 -1127 +-1735 1829 +-2905 -4192 +-52 -1235 +-2341 0 +218 1320 +2401 1597 +3538 -5390 +-596 -702 +-1386 895 +-208 -2955 +-1331 1696 +1513 2825 +1112 570 +3114 -2745 +4882 -875 +-2377 1461 +-1586 -1172 +2267 1996 +-119 3183 +-1170 0 +-119 -3183 +2267 -1996 +-1586 1172 +-2377 -1461 +4882 875 +3114 2745 +1112 -570 +1513 -2825 +-1331 -1696 +-208 2955 +-1386 -895 +-596 702 +3538 5390 +2401 -1597 +218 -1320 +-2341 0 +372 -1043 +1595 -2493 +-3788 -3545 +1566 2357 +5528 -1789 +894 793 +1654 7650 +3168 -485 +2890 2156 +-2428 583 +-7233 -6639 +-3273 194 +44 -1142 +1728 -2892 +-4796 3714 +-12873 0 +-4796 -3714 +1728 2892 +44 1142 +-3273 -194 +-7233 6639 +-2428 -583 +2890 -2156 +3168 485 +1654 -7650 +894 -793 +5528 1789 +1566 -2357 +-3788 3545 +1595 2493 +372 1043 +-2341 0 +218 1320 +2401 1597 +3538 -5390 +-596 -702 +-1386 895 +-208 -2955 +-1331 1696 +1513 2825 +1112 570 +3114 -2745 +4882 -875 +-2377 1461 +-1586 -1172 +2267 1996 +-119 3183 +0 0 +-2761 772 +-1834 3510 +3949 3229 +3079 8172 +1589 2494 +-35 -3670 +-7183 2249 +-4338 3996 +2204 1972 +23 15 +-1868 3205 +-105 2402 +625 -2554 +-1610 -1539 +2459 -3514 +8192 0 +2459 3514 +-1610 1539 +625 2554 +-105 -2402 +-1868 -3205 +23 -15 +2204 -1972 +-4338 -3996 +-7183 -2249 +-35 3670 +1589 -2494 +3079 -8172 +3949 -3229 +-1834 -3510 +-2761 -772 +0 0 +-131 -1405 +-2372 1753 +-556 1934 +917 789 +-1862 -2838 +5507 -74 +6246 3574 +-2683 686 +2043 688 +1125 -2388 +-1170 117 +791 1877 +-7328 -4599 +-804 -1190 +3743 2460 +-3511 0 +3743 -2460 +-804 1190 +-7328 4599 +791 -1877 +-1170 -117 +1125 2388 +2043 -688 +-2683 -686 +6246 -3574 +5507 74 +-1862 2838 +917 -789 +-556 -1934 +-2372 -1753 +-131 1405 +0 0 +-2761 772 +-1834 3510 +3949 3229 +3079 8172 +1589 2494 +-35 -3670 +-7183 2249 +-4338 3996 +2204 1972 +23 15 +-1868 3205 +-105 2402 +625 -2554 +-1610 -1539 +2459 -3514 +1170 3511 +144 6097 +1782 -1174 +1045 -6303 +-1529 2035 +-1591 94 +-1648 -2552 +-4425 -174 +-1170 -5024 +3150 -3929 +1540 -2476 +-2100 -848 +-633 3564 +5560 4481 +3868 1445 +1448 -5334 +3511 0 +1448 5334 +3868 -1445 +5560 -4481 +-633 -3564 +-2100 848 +1540 2476 +3150 3929 +-1170 5024 +-4425 174 +-1648 2552 +-1591 -94 +-1529 -2035 +1045 6303 +1782 1174 +144 -6097 +1170 -3511 +6242 112 +-127 278 +-6060 870 +1529 2930 +1277 -1491 +-7 389 +981 -137 +-1170 -6679 +2589 -350 +-3195 314 +-5998 -1647 +633 1401 +-5374 -1650 +-2213 -2340 +3112 -1788 +-1170 0 +3112 1788 +-2213 2340 +-5374 1650 +633 -1401 +-5998 1647 +-3195 -314 +2589 350 +-1170 6679 +981 137 +-7 -389 +1277 1491 +1529 -2930 +-6060 -870 +-127 -278 +6242 -112 +1170 3511 +144 6097 +1782 -1174 +1045 -6303 +-1529 2035 +-1591 94 +-1648 -2552 +-4425 -174 +-1170 -5024 +3150 -3929 +1540 -2476 +-2100 -848 +-633 3564 +5560 4481 +3868 1445 +1448 -5334 +-2341 5851 +-2901 1577 +-2537 -1890 +296 5276 +1141 2995 +5024 -1072 +2772 123 +-2623 3436 +-2341 5993 +2354 2777 +5 357 +-3482 48 +-770 560 +-2535 2701 +3437 3729 +3624 3628 +-4681 0 +3624 -3628 +3437 -3729 +-2535 -2701 +-770 -560 +-3482 -48 +5 -357 +2354 -2777 +-2341 -5993 +-2623 -3436 +2772 -123 +5024 1072 +1141 -2995 +296 -5276 +-2537 1890 +-2901 -1577 +-2341 -5851 +-3490 1081 +6144 56 +3267 2244 +-4451 5682 +2711 -70 +2627 -333 +-673 -142 +-2341 1028 +-2369 2035 +-723 -1938 +-944 -2205 +4080 -5927 +2282 -2815 +-2363 2429 +-544 -6072 +0 0 +-544 6072 +-2363 -2429 +2282 2815 +4080 5927 +-944 2205 +-723 1938 +-2369 -2035 +-2341 -1028 +-673 142 +2627 333 +2711 70 +-4451 -5682 +3267 -2244 +6144 -56 +-3490 -1081 +-2341 5851 +-2901 1577 +-2537 -1890 +296 5276 +1141 2995 +5024 -1072 +2772 123 +-2623 3436 +-2341 5993 +2354 2777 +5 357 +-3482 48 +-770 560 +-2535 2701 +3437 3729 +3624 3628 +0 0 +-4832 -292 +-1091 -1586 +-1995 3095 +-4123 3488 +189 1855 +2146 -857 +-47 -568 +1028 2341 +-3709 2831 +-1183 -1663 +3749 -6332 +-3354 74 +-442 1309 +-120 -2689 +796 3396 +8192 0 +796 -3396 +-120 2689 +-442 -1309 +-3354 -74 +3749 6332 +-1183 1663 +-3709 -2831 +1028 -2341 +-47 568 +2146 857 +189 -1855 +-4123 -3488 +-1995 -3095 +-1091 1586 +-4832 292 +0 0 +7674 7015 +1850 2853 +-624 2132 +1097 7813 +-322 1355 +-1639 -2201 +-2149 -89 +5993 2341 +4114 3726 +-2635 -1395 +709 -1255 +-2983 1865 +-1264 2483 +2670 3956 +-1846 1180 +-3511 0 +-1846 -1180 +2670 -3956 +-1264 -2483 +-2983 -1865 +709 1255 +-2635 1395 +4114 -3726 +5993 -2341 +-2149 89 +-1639 2201 +-322 -1355 +1097 -7813 +-624 -2132 +1850 -2853 +7674 -7015 +0 0 +-4832 -292 +-1091 -1586 +-1995 3095 +-4123 3488 +189 1855 +2146 -857 +-47 -568 +1028 2341 +-3709 2831 +-1183 -1663 +3749 -6332 +-3354 74 +-442 1309 +-120 -2689 +796 3396 +4681 -4681 +1410 -810 +-57 -142 +2295 -35 +-1275 -3817 +-3596 -4002 +-4406 4274 +-551 -921 +3653 -3310 +3028 -267 +-2532 -6945 +-3926 -3860 +-254 -2551 +-1247 -5890 +6049 1104 +3229 2319 +-8192 0 +3229 -2319 +6049 -1104 +-1247 5890 +-254 2551 +-3926 3860 +-2532 6945 +3028 267 +3653 3310 +-551 921 +-4406 -4274 +-3596 4002 +-1275 3817 +2295 35 +-57 142 +1410 810 +4681 4681 +-548 -41 +1593 -3272 +897 1532 +-380 507 +2243 -2581 +-4513 -2651 +-3558 2266 +-1312 3310 +-3245 -3069 +1521 -795 +3487 1958 +1909 -759 +-153 2706 +2346 4844 +233 1512 +-5851 0 +233 -1512 +2346 -4844 +-153 -2706 +1909 759 +3487 -1958 +1521 795 +-3245 3069 +-1312 -3310 +-3558 -2266 +-4513 2651 +2243 2581 +-380 -507 +897 -1532 +1593 3272 +-548 41 +4681 -4681 +1410 -810 +-57 -142 +2295 -35 +-1275 -3817 +-3596 -4002 +-4406 4274 +-551 -921 +3653 -3310 +3028 -267 +-2532 -6945 +-3926 -3860 +-254 -2551 +-1247 -5890 +6049 1104 +3229 2319 +2341 -1170 +6425 1818 +783 3593 +-1596 -3825 +1090 -626 +-2642 5198 +-3877 -1237 +-3621 -4173 +485 828 +1059 -1079 +66 -2085 +4982 5668 +2864 4317 +1666 -1276 +814 -3726 +-1440 -7721 +0 0 +-1440 7721 +814 3726 +1666 1276 +2864 -4317 +4982 -5668 +66 2085 +1059 1079 +485 -828 +-3621 4173 +-3877 1237 +-2642 -5198 +1090 626 +-1596 3825 +783 -3593 +6425 -1818 +2341 1170 +1925 1222 +3034 4399 +3524 -3321 +565 -4055 +-1545 4335 +3117 -134 +-686 -1682 +-2825 -828 +-1078 -94 +-2617 3457 +-2587 -816 +-4519 364 +-1803 -1189 +-1321 -4265 +-2586 6080 +0 0 +-2586 -6080 +-1321 4265 +-1803 1189 +-4519 -364 +-2587 816 +-2617 -3457 +-1078 94 +-2825 828 +-686 1682 +3117 134 +-1545 -4335 +565 4055 +3524 3321 +3034 -4399 +1925 -1222 +2341 -1170 +6425 1818 +783 3593 +-1596 -3825 +1090 -626 +-2642 5198 +-3877 -1237 +-3621 -4173 +485 828 +1059 -1079 +66 -2085 +4982 5668 +2864 4317 +1666 -1276 +814 -3726 +-1440 -7721 +0 2341 +1503 3857 +-1499 -1834 +3448 -2046 +1977 3663 +-2715 4895 +1946 -1258 +2951 218 +-142 1170 +-2102 -1747 +1027 4392 +3950 -2723 +1715 -6956 +4505 4313 +4036 3010 +-3072 -75 +-5851 0 +-3072 75 +4036 -3010 +4505 -4313 +1715 6956 +3950 2723 +1027 -4392 +-2102 1747 +-142 -1170 +2951 -218 +1946 1258 +-2715 -4895 +1977 -3663 +3448 2046 +-1499 1834 +1503 -3857 +0 -2341 +-471 1388 +233 -193 +-5929 -2851 +-1977 -2978 +-1880 3563 +-5004 4823 +4995 2806 +4823 1170 +272 -1492 +2031 2484 +-1888 1671 +-1715 2960 +509 3883 +-2769 -1726 +-4076 2934 +-3511 0 +-4076 -2934 +-2769 1726 +509 -3883 +-1715 -2960 +-1888 -1671 +2031 -2484 +272 1492 +4823 -1170 +4995 -2806 +-5004 -4823 +-1880 -3563 +-1977 2978 +-5929 2851 +233 193 +-471 -1388 +0 2341 +1503 3857 +-1499 -1834 +3448 -2046 +1977 3663 +-2715 4895 +1946 -1258 +2951 218 +-142 1170 +-2102 -1747 +1027 4392 +3950 -2723 +1715 -6956 +4505 4313 +4036 3010 +-3072 -75 +-3511 4681 +2178 -3162 +4731 -5010 +4160 31 +1909 -1625 +-1570 1849 +2649 2100 +438 -1554 +-2483 -3026 +517 -8661 +484 -4294 +-1886 1907 +-1275 -3595 +4057 -1950 +-1458 2854 +-3737 -128 +2341 0 +-3737 128 +-1458 -2854 +4057 1950 +-1275 3595 +-1886 -1907 +484 4294 +517 8661 +-2483 3026 +438 1554 +2649 -2100 +-1570 -1849 +1909 1625 +4160 -31 +4731 5010 +2178 3162 +-3511 -4681 +-1179 -1680 +-2568 1984 +-1287 6956 +-254 3966 +-6540 -2522 +-1753 4236 +4295 -295 +2483 -6336 +-1667 339 +-1380 -2042 +1346 -2223 +-380 1254 +1720 -69 +-705 172 +-844 -1825 +4681 0 +-844 1825 +-705 -172 +1720 69 +-380 -1254 +1346 2223 +-1380 2042 +-1667 -339 +2483 6336 +4295 295 +-1753 -4236 +-6540 2522 +-254 -3966 +-1287 -6956 +-2568 -1984 +-1179 1680 +-3511 4681 +2178 -3162 +4731 -5010 +4160 31 +1909 -1625 +-1570 1849 +2649 2100 +438 -1554 +-2483 -3026 +517 -8661 +484 -4294 +-1886 1907 +-1275 -3595 +4057 -1950 +-1458 2854 +-3737 -128 +-3511 -1170 +4489 -1253 +4364 10106 +677 1885 +-2699 -612 +-1263 3947 +-1508 289 +-3692 1075 +485 -343 +-637 -4659 +-640 -3318 +2938 1369 +-1804 -157 +-3505 672 +-2232 4683 +-1124 -1245 +1170 0 +-1124 1245 +-2232 -4683 +-3505 -672 +-1804 157 +2938 -1369 +-640 3318 +-637 4659 +485 343 +-3692 -1075 +-1508 -289 +-1263 -3947 +-2699 612 +677 -1885 +4364 -10106 +4489 1253 +-3511 1170 +-4454 -4946 +1035 -172 +-1406 -243 +359 4608 +3185 4466 +2582 3004 +2250 4583 +-2825 -1998 +-1504 1905 +4247 3301 +3790 -3900 +-537 -528 +-4416 3266 +1515 1940 +4672 -125 +1170 0 +4672 125 +1515 -1940 +-4416 -3266 +-537 528 +3790 3900 +4247 -3301 +-1504 -1905 +-2825 1998 +2250 -4583 +2582 -3004 +3185 -4466 +359 -4608 +-1406 243 +1035 172 +-4454 4946 +-3511 -1170 +4489 -1253 +4364 10106 +677 1885 +-2699 -612 +-1263 3947 +-1508 289 +-3692 1075 +485 -343 +-637 -4659 +-640 -3318 +2938 1369 +-1804 -157 +-3505 672 +-2232 4683 +-1124 -1245 +2341 1170 +1763 -751 +457 2347 +4833 -1371 +5980 6315 +2861 6810 +1300 -5496 +-3178 692 +-3310 1998 +2773 -839 +1946 6059 +-2285 2359 +-3446 -4398 +-1691 -1959 +2296 -2405 +-1414 -5916 +-7022 0 +-1414 5916 +2296 2405 +-1691 1959 +-3446 4398 +-2285 -2359 +1946 -6059 +2773 839 +-3310 -1998 +-3178 -692 +1300 5496 +2861 -6810 +5980 -6315 +4833 1371 +457 -2347 +1763 751 +2341 -1170 +990 -210 +-457 -216 +-4187 -6258 +-2670 2361 +386 2671 +-1300 -3003 +1339 -1302 +3310 343 +-934 3119 +-1946 -1886 +-962 -1884 +136 -969 +1045 -5313 +-2296 -1517 +-1338 -1517 +2341 0 +-1338 1517 +-2296 1517 +1045 5313 +136 969 +-962 1884 +-1946 1886 +-934 -3119 +3310 -343 +1339 1302 +-1300 3003 +386 -2671 +-2670 -2361 +-4187 6258 +-457 216 +990 210 +2341 1170 +1763 -751 +457 2347 +4833 -1371 +5980 6315 +2861 6810 +1300 -5496 +-3178 692 +-3310 1998 +2773 -839 +1946 6059 +-2285 2359 +-3446 -4398 +-1691 -1959 +2296 -2405 +-1414 -5916 +-3511 1170 +2418 3769 +-303 -742 +-1383 1346 +1513 807 +393 1071 +-3184 -1200 +-4956 -1358 +2341 4138 +6756 -1722 +4439 -3331 +5621 588 +3168 -544 +-1881 -2252 +-1689 -4769 +1552 -1994 +3511 0 +1552 1994 +-1689 4769 +-1881 2252 +3168 544 +5621 -588 +4439 3331 +6756 1722 +2341 -4138 +-4956 1358 +-3184 1200 +393 -1071 +1513 -807 +-1383 -1346 +-303 742 +2418 -3769 +-3511 -1170 +-2766 3295 +-2409 413 +-6038 2165 +1513 -3147 +850 -5461 +-4377 2305 +-416 1220 +2341 -4138 +4461 569 +-1559 5807 +-4058 124 +3168 2885 +-124 4245 +-281 -3551 +-427 1423 +-5851 0 +-427 -1423 +-281 3551 +-124 -4245 +3168 -2885 +-4058 -124 +-1559 -5807 +4461 -569 +2341 4138 +-416 -1220 +-4377 -2305 +850 5461 +1513 3147 +-6038 -2165 +-2409 -413 +-2766 -3295 +-3511 1170 +2418 3769 +-303 -742 +-1383 1346 +1513 807 +393 1071 +-3184 -1200 +-4956 -1358 +2341 4138 +6756 -1722 +4439 -3331 +5621 588 +3168 -544 +-1881 -2252 +-1689 -4769 +1552 -1994 +-2341 -3511 +99 4237 +1029 2525 +-4808 541 +896 -738 +-1253 1959 +-5004 2692 +4857 -526 +3996 -343 +963 771 +4358 3835 +4765 -546 +2162 -2446 +-2314 2322 +810 121 +-1774 645 +-9362 0 +-1774 -645 +810 -121 +-2314 -2322 +2162 2446 +4765 546 +4358 -3835 +963 -771 +3996 343 +4857 526 +-5004 -2692 +-1253 -1959 +896 738 +-4808 -541 +1029 -2525 +99 -4237 +-2341 3511 +-162 1484 +-3562 -7609 +-367 -1179 +-896 1424 +-4997 739 +-1112 -394 +-1688 -5079 +686 -1998 +5022 2629 +1758 1773 +982 -3229 +-2162 -1550 +-5248 -71 +1723 -1895 +5924 4719 +4681 0 +5924 -4719 +1723 1895 +-5248 71 +-2162 1550 +982 3229 +1758 -1773 +5022 -2629 +686 1998 +-1688 5079 +-1112 394 +-4997 -739 +-896 -1424 +-367 1179 +-3562 7609 +-162 -1484 +-2341 -3511 +99 4237 +1029 2525 +-4808 541 +896 -738 +-1253 1959 +-5004 2692 +4857 -526 +3996 -343 +963 771 +4358 3835 +4765 -546 +2162 -2446 +-2314 2322 +810 121 +-1774 645 +-1170 1170 +-6260 -1897 +-1962 1054 +864 -2164 +-2757 -532 +-3280 942 +1562 2983 +-736 3075 +-3996 -4823 +1876 -386 +-167 2203 +-741 -2292 +3475 1536 +-3579 4036 +-1944 1434 +2231 -1945 +-1170 0 +2231 1945 +-1944 -1434 +-3579 -4036 +3475 -1536 +-741 2292 +-167 -2203 +1876 386 +-3996 4823 +-736 -3075 +1562 -2983 +-3280 -942 +-2757 532 +864 2164 +-1962 -1054 +-6260 1897 +-1170 -1170 +3748 -1951 +3543 2004 +462 -229 +-4549 5213 +-1013 1878 +271 -1716 +-3513 5696 +-686 142 +582 -3580 +6325 -936 +9358 -4042 +-850 -6218 +-2072 -2342 +1734 1624 +2071 -1399 +3511 0 +2071 1399 +1734 -1624 +-2072 2342 +-850 6218 +9358 4042 +6325 936 +582 3580 +-686 -142 +-3513 -5696 +271 1716 +-1013 -1878 +-4549 -5213 +462 229 +3543 -2004 +3748 1951 +-1170 1170 +-6260 -1897 +-1962 1054 +864 -2164 +-2757 -532 +-3280 942 +1562 2983 +-736 3075 +-3996 -4823 +1876 -386 +-167 2203 +-741 -2292 +3475 1536 +-3579 4036 +-1944 1434 +2231 -1945 +2341 1170 +-407 5098 +-94 -2456 +-1375 1940 +-457 3422 +1139 -5048 +-3406 -2248 +2295 -4706 +1170 -2483 +-3701 6268 +3115 -2857 +1436 -7228 +-4393 -1893 +-5629 483 +-2018 284 +1150 -4635 +0 0 +1150 4635 +-2018 -284 +-5629 -483 +-4393 1893 +1436 7228 +3115 2857 +-3701 -6268 +1170 2483 +2295 4706 +-3406 2248 +1139 5048 +-457 -3422 +-1375 -1940 +-94 2456 +-407 -5098 +2341 -1170 +-2212 1693 +-1933 -2645 +1780 588 +-1199 1259 +-2190 -3759 +-160 -5387 +2163 -183 +1170 2483 +3568 144 +3761 1842 +1406 360 +6048 -2788 +3433 106 +734 1235 +-2856 124 +-9362 0 +-2856 -124 +734 -1235 +3433 -106 +6048 2788 +1406 -360 +3761 -1842 +3568 -144 +1170 -2483 +2163 183 +-160 5387 +-2190 3759 +-1199 -1259 +1780 -588 +-1933 2645 +-2212 -1693 +2341 1170 +-407 5098 +-94 -2456 +-1375 1940 +-457 3422 +1139 -5048 +-3406 -2248 +2295 -4706 +1170 -2483 +-3701 6268 +3115 -2857 +1436 -7228 +-4393 -1893 +-5629 483 +-2018 284 +1150 -4635 +-1170 -1170 +-1904 1202 +760 -4897 +108 -930 +2922 506 +3345 -1054 +-2536 3043 +1511 4818 +3511 2683 +-2183 -351 +-2804 -2742 +-2898 -3939 +-4713 4197 +-1454 3774 +5199 -3646 +3533 4216 +-1170 0 +3533 -4216 +5199 3646 +-1454 -3774 +-4713 -4197 +-2898 3939 +-2804 2742 +-2183 351 +3511 -2683 +1511 -4818 +-2536 -3043 +3345 1054 +2922 -506 +108 930 +760 4897 +-1904 -1202 +-1170 1170 +-2102 3904 +-1445 646 +2137 4594 +388 4459 +2311 4083 +-1459 2237 +-4708 1545 +3511 4338 +278 -2712 +-1192 -3280 +4876 1124 +1403 768 +-1806 667 +-5885 -2544 +-1046 -1916 +8192 0 +-1046 1916 +-5885 2544 +-1806 -667 +1403 -768 +4876 -1124 +-1192 3280 +278 2712 +3511 -4338 +-4708 -1545 +-1459 -2237 +2311 -4083 +388 -4459 +2137 -4594 +-1445 -646 +-2102 -3904 +-1170 -1170 +-1904 1202 +760 -4897 +108 -930 +2922 506 +3345 -1054 +-2536 3043 +1511 4818 +3511 2683 +-2183 -351 +-2804 -2742 +-2898 -3939 +-4713 4197 +-1454 3774 +5199 -3646 +3533 4216 +2341 1170 +-2058 -3803 +-1032 -5317 +3977 287 +-5838 2736 +-6127 -275 +6522 1250 +-463 -3243 +-2825 -4823 +1015 1004 +-3245 -2524 +-2513 -2786 +-1377 2103 +3351 2584 +3645 1539 +-1897 -1661 +-2341 0 +-1897 1661 +3645 -1539 +3351 -2584 +-1377 -2103 +-2513 2786 +-3245 2524 +1015 -1004 +-2825 4823 +-463 3243 +6522 -1250 +-6127 275 +-5838 -2736 +3977 -287 +-1032 5317 +-2058 3803 +2341 -1170 +3715 -3089 +5398 2977 +6684 3789 +2812 574 +1110 2081 +-616 1090 +-4353 2565 +485 142 +-251 -4993 +-1290 184 +399 1282 +-4959 1207 +-260 4802 +-20 802 +-2328 -1921 +2341 0 +-2328 1921 +-20 -802 +-260 -4802 +-4959 -1207 +399 -1282 +-1290 -184 +-251 4993 +485 -142 +-4353 -2565 +-616 -1090 +1110 -2081 +2812 -574 +6684 -3789 +5398 -2977 +3715 3089 +2341 1170 +-2058 -3803 +-1032 -5317 +3977 287 +-5838 2736 +-6127 -275 +6522 1250 +-463 -3243 +-2825 -4823 +1015 1004 +-3245 -2524 +-2513 -2786 +-1377 2103 +3351 2584 +3645 1539 +-1897 -1661 +-1170 -1170 +3959 -5865 +1383 -1229 +-153 816 +-2036 2857 +-268 1588 +1890 -5044 +-7894 1253 +-2825 4338 +4471 -3804 +1750 745 +1575 2963 +-1393 -6412 +2157 -3709 +-621 2942 +-2654 331 +3511 0 +-2654 -331 +-621 -2942 +2157 3709 +-1393 6412 +1575 -2963 +1750 -745 +4471 3804 +-2825 -4338 +-7894 -1253 +1890 5044 +-268 -1588 +-2036 -2857 +-153 -816 +1383 1229 +3959 5865 +-1170 1170 +-237 -2576 +272 -1185 +287 -3237 +5346 170 +512 3167 +-3545 3896 +-2106 7339 +485 2683 +1205 1095 +-3405 4728 +-3611 -148 +-1917 76 +-500 3226 +2276 1264 +3258 2529 +3511 0 +3258 -2529 +2276 -1264 +-500 -3226 +-1917 -76 +-3611 148 +-3405 -4728 +1205 -1095 +485 -2683 +-2106 -7339 +-3545 -3896 +512 -3167 +5346 -170 +287 3237 +272 1185 +-237 2576 +-1170 -1170 +3959 -5865 +1383 -1229 +-153 816 +-2036 2857 +-268 1588 +1890 -5044 +-7894 1253 +-2825 4338 +4471 -3804 +1750 745 +1575 2963 +-1393 -6412 +2157 -3709 +-621 2942 +-2654 331 +-5851 -3511 +-1161 1117 +2222 -2521 +329 -1729 +3751 5989 +-2400 1316 +-3136 -1153 +288 5424 +1856 3653 +4805 63 +-452 -171 +234 -1182 +3618 135 +-1282 -1000 +-472 -314 +3310 4694 +3511 0 +3310 -4694 +-472 314 +-1282 1000 +3618 -135 +234 1182 +-452 171 +4805 -63 +1856 -3653 +288 -5424 +-3136 1153 +-2400 -1316 +3751 -5989 +329 1729 +2222 2521 +-1161 -1117 +-5851 3511 +-191 1926 +-5965 -1982 +-1884 -115 +7551 -1023 +3130 1290 +407 4389 +-712 -630 +5166 -1312 +3254 1422 +-4810 -1274 +-2483 478 +-5557 4830 +-2263 2466 +2844 492 +-2973 1659 +-5851 0 +-2973 -1659 +2844 -492 +-2263 -2466 +-5557 -4830 +-2483 -478 +-4810 1274 +3254 -1422 +5166 1312 +-712 630 +407 -4389 +3130 -1290 +7551 1023 +-1884 115 +-5965 1982 +-191 -1926 +-5851 -3511 +-1161 1117 +2222 -2521 +329 -1729 +3751 5989 +-2400 1316 +-3136 -1153 +288 5424 +1856 3653 +4805 63 +-452 -171 +234 -1182 +3618 135 +-1282 -1000 +-472 -314 +3310 4694 +2341 -2341 +1248 827 +5861 5395 +2229 -3434 +1440 -2990 +52 4458 +-3509 2810 +71 -3114 +-1513 -485 +217 3699 +6822 235 +3333 2376 +-985 -1723 +-761 -2572 +1242 8883 +933 4648 +-1170 0 +933 -4648 +1242 -8883 +-761 2572 +-985 1723 +3333 -2376 +6822 -235 +217 -3699 +-1513 485 +71 3114 +-3509 -2810 +52 -4458 +1440 2990 +2229 3434 +5861 -5395 +1248 -827 +2341 2341 +2404 -3700 +-3132 -1797 +-489 2284 +-3781 1335 +-5190 124 +1136 3509 +-1238 2575 +-3168 2825 +-3375 4411 +-3078 -3279 +13 -1377 +-1356 68 +813 -2161 +4020 4077 +-260 1129 +-3511 0 +-260 -1129 +4020 -4077 +813 2161 +-1356 -68 +13 1377 +-3078 3279 +-3375 -4411 +-3168 -2825 +-1238 -2575 +1136 -3509 +-5190 -124 +-3781 -1335 +-489 -2284 +-3132 1797 +2404 3700 +2341 -2341 +1248 827 +5861 5395 +2229 -3434 +1440 -2990 +52 4458 +-3509 2810 +71 -3114 +-1513 -485 +217 3699 +6822 235 +3333 2376 +-985 -1723 +-761 -2572 +1242 8883 +933 4648 +0 1170 +-6586 1496 +-3508 -4049 +338 4165 +-896 3079 +784 1801 +1233 3997 +-6560 1533 +-7991 1998 +2247 2289 +4607 818 +-2475 -4339 +-2162 105 +1616 1542 +-601 -5842 +-1503 1539 +0 0 +-1503 -1539 +-601 5842 +1616 -1542 +-2162 -105 +-2475 4339 +4607 -818 +2247 -2289 +-7991 -1998 +-6560 -1533 +1233 -3997 +784 -1801 +-896 -3079 +338 -4165 +-3508 4049 +-6586 -1496 +0 -1170 +6490 4758 +4330 -66 +555 3705 +896 917 +2011 -1187 +93 3953 +-2933 -642 +-1371 343 +3936 120 +5369 -860 +2990 3939 +2162 -791 +801 -1307 +-2160 3098 +-1711 -387 +0 0 +-1711 387 +-2160 -3098 +801 1307 +2162 791 +2990 -3939 +5369 860 +3936 -120 +-1371 -343 +-2933 642 +93 -3953 +2011 1187 +896 -917 +555 -3705 +4330 66 +6490 -4758 +0 1170 +-6586 1496 +-3508 -4049 +338 4165 +-896 3079 +784 1801 +1233 3997 +-6560 1533 +-7991 1998 +2247 2289 +4607 818 +-2475 -4339 +-2162 105 +1616 1542 +-601 -5842 +-1503 1539 +-5851 1170 +586 -1400 +477 2997 +5516 2204 +4012 -1618 +-2864 2213 +1983 912 +-234 -4540 +1655 -828 +2070 3246 +-2641 4167 +2302 4670 +-1849 2251 +-3604 2583 +810 732 +-1904 -4954 +-3511 0 +-1904 4954 +810 -732 +-3604 -2583 +-1849 -2251 +2302 -4670 +-2641 -4167 +2070 -3246 +1655 828 +-234 4540 +1983 -912 +-2864 -2213 +4012 1618 +5516 -2204 +477 -2997 +586 1400 +-5851 -1170 +-2294 -3699 +2234 998 +633 4239 +953 -722 +330 -5573 +5579 -1598 +1512 1636 +-1655 828 +6078 1485 +-239 -3481 +-5611 -6511 +-3116 89 +-3322 -1242 +1160 -4728 +806 870 +-3511 0 +806 -870 +1160 4728 +-3322 1242 +-3116 -89 +-5611 6511 +-239 3481 +6078 -1485 +-1655 -828 +1512 -1636 +5579 1598 +330 5573 +953 722 +633 -4239 +2234 -998 +-2294 3699 +-5851 1170 +586 -1400 +477 2997 +5516 2204 +4012 -1618 +-2864 2213 +1983 912 +-234 -4540 +1655 -828 +2070 3246 +-2641 4167 +2302 4670 +-1849 2251 +-3604 2583 +810 732 +-1904 -4954 +2341 -1170 +-1678 -706 +-1382 -569 +1854 633 +-2398 -1893 +-3310 -258 +-2750 832 +-178 -907 +3511 5509 +-3357 2165 +-6496 -1684 +48 3687 +2938 1259 +5320 -546 +5876 667 +768 -1030 +-2341 0 +768 1030 +5876 -667 +5320 546 +2938 -1259 +48 -3687 +-6496 1684 +-3357 -2165 +3511 -5509 +-178 907 +-2750 -832 +-3310 258 +-2398 1893 +1854 -633 +-1382 569 +-1678 706 +2341 1170 +-1513 -4693 +-917 -5547 +-1637 441 +-7248 -2788 +-153 -3350 +4524 -3365 +617 189 +3511 3854 +4709 -1448 +1412 -849 +-910 -80 +-2654 3422 +-1212 -527 +-267 -6783 +632 6428 +2341 0 +632 -6428 +-267 6783 +-1212 527 +-2654 -3422 +-910 80 +1412 849 +4709 1448 +3511 -3854 +617 -189 +4524 3365 +-153 3350 +-7248 2788 +-1637 -441 +-917 5547 +-1513 4693 +2341 -1170 +-1678 -706 +-1382 -569 +1854 633 +-2398 -1893 +-3310 -258 +-2750 832 +-178 -907 +3511 5509 +-3357 2165 +-6496 -1684 +48 3687 +2938 1259 +5320 -546 +5876 667 +768 -1030 +4681 0 +4020 -2402 +-2585 -1563 +-50 -3798 +-1081 -2841 +-932 4015 +2186 -3496 +-1828 -2105 +-3168 8476 +-993 286 +2437 -636 +2778 929 +448 -3019 +5308 2356 +5014 4733 +711 3769 +1170 0 +711 -3769 +5014 -4733 +5308 -2356 +448 3019 +2778 -929 +2437 636 +-993 -286 +-3168 -8476 +-1828 2105 +2186 3496 +-932 -4015 +-1081 2841 +-50 3798 +-2585 1563 +4020 2402 +4681 0 +-3748 -2676 +-4798 -2255 +-1133 -1413 +1081 217 +-2970 4827 +-2710 2736 +2051 -389 +-1513 -1454 +-1764 -393 +-1912 3187 +-4992 -5179 +-448 -4286 +1992 1942 +2369 -5240 +1551 -2583 +-1170 0 +1551 2583 +2369 5240 +1992 -1942 +-448 4286 +-4992 5179 +-1912 -3187 +-1764 393 +-1513 1454 +2051 389 +-2710 -2736 +-2970 -4827 +1081 -217 +-1133 1413 +-4798 2255 +-3748 2676 +4681 0 +4020 -2402 +-2585 -1563 +-50 -3798 +-1081 -2841 +-932 4015 +2186 -3496 +-1828 -2105 +-3168 8476 +-993 286 +2437 -636 +2778 929 +448 -3019 +5308 2356 +5014 4733 +711 3769 +-4681 -1170 +-4791 1566 +2032 4500 +1701 -862 +2260 173 +980 2890 +-3368 62 +282 902 +-2140 -1513 +2621 -3295 +8017 -172 +3084 -2974 +4034 -2073 +-2095 2612 +-1086 -1119 +3862 -3845 +0 0 +3862 3845 +-1086 1119 +-2095 -2612 +4034 2073 +3084 2974 +8017 172 +2621 3295 +-2140 1513 +282 -902 +-3368 -62 +980 -2890 +2260 -173 +1701 862 +2032 -4500 +-4791 -1566 +-4681 1170 +-1916 287 +-3670 2554 +-5894 212 +1735 -2514 +6675 -634 +-4911 519 +-2122 -914 +4480 -3168 +-1557 329 +261 2124 +-1313 -969 +-3349 4414 +3482 6521 +2724 181 +-2999 2 +-4681 0 +-2999 -2 +2724 -181 +3482 -6521 +-3349 -4414 +-1313 969 +261 -2124 +-1557 -329 +4480 3168 +-2122 914 +-4911 -519 +6675 634 +1735 2514 +-5894 -212 +-3670 -2554 +-1916 -287 +-4681 -1170 +-4791 1566 +2032 4500 +1701 -862 +2260 173 +980 2890 +-3368 62 +282 902 +-2140 -1513 +2621 -3295 +8017 -172 +3084 -2974 +4034 -2073 +-2095 2612 +-1086 -1119 +3862 -3845 +1170 3511 +-5748 -783 +-6802 808 +405 5348 +565 2126 +3185 1875 +4612 325 +-824 -2336 +-1371 -1513 +-1309 -963 +1475 91 +1071 -5610 +-4519 -3011 +1711 1314 +4393 -4810 +-1835 -645 +-3511 0 +-1835 645 +4393 4810 +1711 -1314 +-4519 3011 +1071 5610 +1475 -91 +-1309 963 +-1371 1513 +-824 2336 +4612 -325 +3185 -1875 +565 -2126 +405 -5348 +-6802 -808 +-5748 783 +1170 -3511 +1303 -3375 +1879 -1138 +2702 -2356 +1090 -3095 +2255 1448 +4467 781 +-3772 -198 +-7991 -3168 +2595 -6673 +747 2386 +-3201 1299 +2864 -2640 +-1508 663 +-1408 -3510 +2970 -1994 +1170 0 +2970 1994 +-1408 3510 +-1508 -663 +2864 2640 +-3201 -1299 +747 -2386 +2595 6673 +-7991 3168 +-3772 198 +4467 -781 +2255 -1448 +1090 3095 +2702 2356 +1879 1138 +1303 3375 +1170 3511 +-5748 -783 +-6802 808 +405 5348 +565 2126 +3185 1875 +4612 325 +-824 -2336 +-1371 -1513 +-1309 -963 +1475 91 +1071 -5610 +-4519 -3011 +1711 1314 +4393 -4810 +-1835 -645 +-1170 0 +-2788 -4515 +183 -4082 +-6016 -2709 +-1646 -3543 +5894 -2324 +1097 -1646 +1977 530 +-828 2341 +1696 2029 +3817 917 +-2122 -2331 +4967 -1559 +1679 2428 +-4995 2640 +1731 1511 +4681 0 +1731 -1511 +-4995 -2640 +1679 -2428 +4967 1559 +-2122 2331 +3817 -917 +1696 -2029 +-828 -2341 +1977 -530 +1097 1646 +5894 2324 +-1646 3543 +-6016 2709 +183 4082 +-2788 4515 +-1170 0 +2599 543 +3770 -2675 +2876 -6297 +-9 2573 +-1196 -186 +-4527 -7644 +-1026 951 +828 2341 +-2647 -695 +-387 -276 +-2577 -7155 +-3312 -4092 +1461 4192 +1041 534 +-1542 -1752 +-2341 0 +-1542 1752 +1041 -534 +1461 -4192 +-3312 4092 +-2577 7155 +-387 276 +-2647 695 +828 -2341 +-1026 -951 +-4527 7644 +-1196 186 +-9 -2573 +2876 6297 +3770 2675 +2599 -543 +-1170 0 +-2788 -4515 +183 -4082 +-6016 -2709 +-1646 -3543 +5894 -2324 +1097 -1646 +1977 530 +-828 2341 +1696 2029 +3817 917 +-2122 -2331 +4967 -1559 +1679 2428 +-4995 2640 +1731 1511 +-3511 1170 +625 951 +869 -1620 +480 473 +1380 1812 +-1687 1059 +-2874 503 +4045 289 +6821 1998 +1321 -135 +864 -1386 +3240 -3013 +-663 -2953 +-806 1505 +4737 459 +1723 -959 +-3511 0 +1723 959 +4737 -459 +-806 -1505 +-663 2953 +3240 3013 +864 1386 +1321 135 +6821 -1998 +4045 -289 +-2874 -503 +-1687 -1059 +1380 -1812 +480 -473 +869 1620 +625 -951 +-3511 -1170 +-4969 -3100 +-1883 395 +-29 7074 +-411 2183 +-1376 -2722 +-2228 -1559 +-1197 4414 +201 343 +-859 -4946 +-2383 1700 +-3488 -1603 +-4988 2267 +-2955 345 +2898 -9675 +5931 5009 +5851 0 +5931 -5009 +2898 9675 +-2955 -345 +-4988 -2267 +-3488 1603 +-2383 -1700 +-859 4946 +201 -343 +-1197 -4414 +-2228 1559 +-1376 2722 +-411 -2183 +-29 -7074 +-1883 -395 +-4969 3100 +-3511 1170 +625 951 +869 -1620 +480 473 +1380 1812 +-1687 1059 +-2874 503 +4045 289 +6821 1998 +1321 -135 +864 -1386 +3240 -3013 +-663 -2953 +-806 1505 +4737 459 +1723 -959 +2341 1170 +-3626 3387 +-4599 4384 +-262 -1757 +2437 -2045 +2057 1164 +2224 2871 +-40 340 +3310 -1312 +3248 -1216 +337 -6304 +1659 -2344 +-1888 4704 +1045 -649 +3356 960 +1026 8058 +2341 0 +1026 -8058 +3356 -960 +1045 649 +-1888 -4704 +1659 2344 +337 6304 +3248 1216 +3310 1312 +-40 -340 +2224 -2871 +2057 -1164 +2437 2045 +-262 1757 +-4599 -4384 +-3626 -3387 +2341 -1170 +3328 -2422 +-5513 2356 +-3308 2311 +-96 3700 +-2001 4666 +-376 -3515 +-6854 601 +-3310 3653 +1855 -1930 +-3556 -960 +2610 -4562 +4228 -3049 +-1800 1707 +-1235 -841 +1062 2061 +2341 0 +1062 -2061 +-1235 841 +-1800 -1707 +4228 3049 +2610 4562 +-3556 960 +1855 1930 +-3310 -3653 +-6854 -601 +-376 3515 +-2001 -4666 +-96 -3700 +-3308 -2311 +-5513 -2356 +3328 2422 +2341 1170 +-3626 3387 +-4599 4384 +-262 -1757 +2437 -2045 +2057 1164 +2224 2871 +-40 340 +3310 -1312 +3248 -1216 +337 -6304 +1659 -2344 +-1888 4704 +1045 -649 +3356 960 +1026 8058 +-4681 2341 +595 -897 +566 479 +-5731 -252 +-2199 -1587 +2416 2848 +-2616 -2699 +-4261 -1180 +-4823 5166 +376 181 +4818 4207 +3527 4947 +4802 -4645 +-547 -1335 +1102 3440 +2414 70 +-3511 0 +2414 -70 +1102 -3440 +-547 1335 +4802 4645 +3527 -4947 +4818 -4207 +376 -181 +-4823 -5166 +-4261 1180 +-2616 2699 +2416 -2848 +-2199 1587 +-5731 252 +566 -479 +595 897 +-4681 -2341 +-3941 1129 +2163 -1627 +2079 -7203 +1230 -3378 +742 -1971 +243 -1506 +4209 -845 +142 1856 +-2116 3910 +-1074 -1792 +-2359 -1536 +848 -320 +-126 -3586 +4160 2032 +2724 6279 +-5851 0 +2724 -6279 +4160 -2032 +-126 3586 +848 320 +-2359 1536 +-1074 1792 +-2116 -3910 +142 -1856 +4209 845 +243 1506 +742 1971 +1230 3378 +2079 7203 +2163 1627 +-3941 -1129 +-4681 2341 +595 -897 +566 479 +-5731 -252 +-2199 -1587 +2416 2848 +-2616 -2699 +-4261 -1180 +-4823 5166 +376 181 +4818 4207 +3527 4947 +4802 -4645 +-547 -1335 +1102 3440 +2414 70 +0 -1170 +1613 -1465 +2365 -1255 +-1511 -4989 +-2483 5973 +-955 5400 +-4141 2730 +-1678 6706 +2825 142 +-1247 -1539 +-455 1000 +4989 3483 +2483 -2400 +816 -7635 +3075 3824 +-586 6613 +-4681 0 +-586 -6613 +3075 -3824 +816 7635 +2483 2400 +4989 -3483 +-455 -1000 +-1247 1539 +2825 -142 +-1678 -6706 +-4141 -2730 +-955 -5400 +-2483 -5973 +-1511 4989 +2365 1255 +1613 1465 +0 1170 +-2367 -4108 +-2872 1255 +-758 433 +-2483 2019 +-935 3067 +1590 -2730 +-2921 -2590 +-485 -4823 +4055 -3112 +-304 -1000 +1226 -3071 +2483 1029 +-2871 -1098 +742 -3824 +3132 1649 +0 0 +3132 -1649 +742 3824 +-2871 1098 +2483 -1029 +1226 3071 +-304 1000 +4055 3112 +-485 4823 +-2921 2590 +1590 2730 +-935 -3067 +-2483 -2019 +-758 -433 +-2872 -1255 +-2367 4108 +0 -1170 +1613 -1465 +2365 -1255 +-1511 -4989 +-2483 5973 +-955 5400 +-4141 2730 +-1678 6706 +2825 142 +-1247 -1539 +-455 1000 +4989 3483 +2483 -2400 +816 -7635 +3075 3824 +-586 6613 +-3511 -4681 +-2179 -621 +-4408 -4993 +-4279 -2242 +2416 -537 +2733 -428 +-3568 2399 +-1827 -1777 +3168 -284 +387 -574 +-1860 -3690 +460 1489 +-516 2699 +-1075 -1445 +3081 -3666 +4008 -2858 +2341 0 +4008 2858 +3081 3666 +-1075 1445 +-516 -2699 +460 -1489 +-1860 3690 +387 574 +3168 284 +-1827 1777 +-3568 -2399 +2733 428 +2416 537 +-4279 2242 +-4408 4993 +-2179 621 +-3511 4681 +-1383 1232 +2245 -4149 +-725 -1908 +-4071 -1804 +-975 6464 +2673 1404 +2637 -1002 +1513 9646 +1336 -1849 +2756 -5180 +3898 1658 +2171 -359 +-37 3768 +-918 576 +-2979 -5537 +-4681 0 +-2979 5537 +-918 -576 +-37 -3768 +2171 359 +3898 -1658 +2756 5180 +1336 1849 +1513 -9646 +2637 1002 +2673 -1404 +-975 -6464 +-4071 1804 +-725 1908 +2245 4149 +-1383 -1232 +-3511 -4681 +-2179 -621 +-4408 -4993 +-4279 -2242 +2416 -537 +2733 -428 +-3568 2399 +-1827 -1777 +3168 -284 +387 -574 +-1860 -3690 +460 1489 +-516 2699 +-1075 -1445 +3081 -3666 +4008 -2858 +1170 4681 +-79 3066 +-3567 -1856 +-4657 1534 +2526 -194 +3350 -3925 +133 2178 +-2092 -3867 +-343 -6135 +830 2097 +-248 -497 +-2518 -190 +-270 702 +8718 586 +351 3009 +-6013 -2227 +0 0 +-6013 2227 +351 -3009 +8718 -586 +-270 -702 +-2518 190 +-248 497 +830 -2097 +-343 6135 +-2092 3867 +133 -2178 +3350 3925 +2526 194 +-4657 -1534 +-3567 1856 +-79 -3066 +1170 -4681 +4935 -4926 +-428 -4690 +369 -2446 +2155 -1461 +-3643 -943 +-818 -5310 +-1967 -3142 +-1998 3795 +1710 321 +-437 -695 +1796 1165 +4951 -2357 +3204 -2274 +-4347 1746 +-3944 3174 +2341 0 +-3944 -3174 +-4347 -1746 +3204 2274 +4951 2357 +1796 -1165 +-437 695 +1710 -321 +-1998 -3795 +-1967 3142 +-818 5310 +-3643 943 +2155 1461 +369 2446 +-428 4690 +4935 4926 +1170 4681 +-79 3066 +-3567 -1856 +-4657 1534 +2526 -194 +3350 -3925 +133 2178 +-2092 -3867 +-343 -6135 +830 2097 +-248 -497 +-2518 -190 +-270 702 +8718 586 +351 3009 +-6013 -2227 +-3511 4681 +3045 4349 +5168 -4647 +133 -6512 +3490 1804 +316 2013 +-3083 -2815 +2536 155 +-4823 1655 +-4880 -1021 +-3550 1078 +-5139 1989 +4883 359 +-662 3726 +-1992 3792 +49 -1400 +-7022 0 +49 1400 +-1992 -3792 +-662 -3726 +4883 -359 +-5139 -1989 +-3550 -1078 +-4880 1021 +-4823 -1655 +2536 -155 +-3083 2815 +316 -2013 +3490 -1804 +133 6512 +5168 4647 +3045 -4349 +-3511 -4681 +-774 154 +4629 2992 +1194 3276 +-464 537 +3430 -568 +2460 1160 +3147 -333 +142 -1655 +-3336 1200 +-2447 577 +-4724 -3434 +1454 -2699 +5452 -490 +-1186 -2137 +214 -3103 +4681 0 +214 3103 +-1186 2137 +5452 490 +1454 2699 +-4724 3434 +-2447 -577 +-3336 -1200 +142 1655 +3147 333 +2460 -1160 +3430 568 +-464 -537 +1194 -3276 +4629 -2992 +-774 -154 +-3511 4681 +3045 4349 +5168 -4647 +133 -6512 +3490 1804 +316 2013 +-3083 -2815 +2536 155 +-4823 1655 +-4880 -1021 +-3550 1078 +-5139 1989 +4883 359 +-662 3726 +-1992 3792 +49 -1400 +-2341 3511 +-2178 -4739 +-5226 947 +-3707 2710 +1051 -3490 +-1313 1324 +1533 -755 +4417 -4014 +3996 -1998 +548 -2505 +-1223 -513 +2124 1425 +1814 4883 +1246 23 +-3011 -9066 +654 -3416 +9362 0 +654 3416 +-3011 9066 +1246 -23 +1814 -4883 +2124 -1425 +-1223 513 +548 2505 +3996 1998 +4417 4014 +1533 755 +-1313 -1324 +1051 3490 +-3707 -2710 +-5226 -947 +-2178 4739 +-2341 -3511 +860 40 +-1828 3091 +1816 -2521 +7909 464 +719 -2275 +-952 -4046 +1987 874 +686 -343 +-2627 -634 +-729 -1545 +261 -2376 +-6094 1454 +-1146 166 +2074 -2879 +-3660 -1282 +-4681 0 +-3660 1282 +2074 2879 +-1146 -166 +-6094 -1454 +261 2376 +-729 1545 +-2627 634 +686 343 +1987 -874 +-952 4046 +719 2275 +7909 -464 +1816 2521 +-1828 -3091 +860 -40 +-2341 3511 +-2178 -4739 +-5226 947 +-3707 2710 +1051 -3490 +-1313 1324 +1533 -755 +4417 -4014 +3996 -1998 +548 -2505 +-1223 -513 +2124 1425 +1814 4883 +1246 23 +-3011 -9066 +654 -3416 +1170 -2341 +5756 560 +2126 1149 +-1806 534 +796 -941 +493 -5086 +-265 887 +3136 972 +5993 -2825 +-1918 4042 +-2811 2542 +-250 -1488 +-7724 1568 +-662 1997 +4918 2804 +2331 3020 +4681 0 +2331 -3020 +4918 -2804 +-662 -1997 +-7724 -1568 +-250 1488 +-2811 -2542 +-1918 -4042 +5993 2825 +3136 -972 +-265 -887 +493 5086 +796 941 +-1806 -534 +2126 -1149 +5756 -560 +1170 2341 +898 -776 +110 1149 +190 818 +3483 -1683 +2530 3686 +-2327 887 +-3792 -1835 +1028 485 +-3543 -579 +-5897 2542 +-240 -1703 +-1237 -8873 +-255 -2436 +-5215 2804 +-2869 1088 +7022 0 +-2869 -1088 +-5215 -2804 +-255 2436 +-1237 8873 +-240 1703 +-5897 -2542 +-3543 579 +1028 -485 +-3792 1835 +-2327 -887 +2530 -3686 +3483 1683 +190 -818 +110 -1149 +898 776 +1170 -2341 +5756 560 +2126 1149 +-1806 534 +796 -941 +493 -5086 +-265 887 +3136 972 +5993 -2825 +-1918 4042 +-2811 2542 +-250 -1488 +-7724 1568 +-662 1997 +4918 2804 +2331 3020 +0 -5851 +1540 -377 +2161 1983 +2681 1675 +-3378 -4003 +-1781 -4107 +-2769 2032 +-4421 3822 +-1170 2483 +-2962 -3928 +-3584 -4462 +-368 208 +320 -5161 +-2367 -1670 +-317 4901 +2906 -2824 +2341 0 +2906 2824 +-317 -4901 +-2367 1670 +320 5161 +-368 -208 +-3584 4462 +-2962 3928 +-1170 -2483 +-4421 -3822 +-2769 -2032 +-1781 4107 +-3378 4003 +2681 -1675 +2161 -1983 +1540 377 +0 5851 +-4570 3851 +2923 -5398 +1423 -1969 +-1587 693 +5020 -4267 +5068 -409 +2913 1848 +-1170 -2483 +145 -3733 +-2025 -3277 +-4662 -318 +4645 1851 +54 277 +-1457 1047 +4449 2329 +2341 0 +4449 -2329 +-1457 -1047 +54 -277 +4645 -1851 +-4662 318 +-2025 3277 +145 3733 +-1170 2483 +2913 -1848 +5068 409 +5020 4267 +-1587 -693 +1423 1969 +2923 5398 +-4570 -3851 +0 -5851 +1540 -377 +2161 1983 +2681 1675 +-3378 -4003 +-1781 -4107 +-2769 2032 +-4421 3822 +-1170 2483 +-2962 -3928 +-3584 -4462 +-368 208 +320 -5161 +-2367 -1670 +-317 4901 +2906 -2824 +1170 -2341 +-544 -7140 +796 -2077 +-6155 1992 +-6344 -702 +3425 -1353 +3043 2820 +-953 -453 +-343 -1170 +2639 6528 +1314 1076 +-1999 -700 +2820 1461 +4938 -3683 +931 968 +2122 4422 +4681 0 +2122 -4422 +931 -968 +4938 3683 +2820 -1461 +-1999 700 +1314 -1076 +2639 -6528 +-343 1170 +-953 453 +3043 -2820 +3425 1353 +-6344 702 +-6155 -1992 +796 2077 +-544 7140 +1170 2341 +970 506 +141 -2426 +1863 -5990 +-1648 2357 +383 1591 +-1091 417 +-5966 2976 +-1998 -1170 +-3354 1335 +-1895 -2520 +-290 -5955 +-4191 194 +4455 -587 +6123 -789 +-1532 903 +-2341 0 +-1532 -903 +6123 789 +4455 587 +-4191 -194 +-290 5955 +-1895 2520 +-3354 -1335 +-1998 1170 +-5966 -2976 +-1091 -417 +383 -1591 +-1648 -2357 +1863 5990 +141 2426 +970 -506 +1170 -2341 +-544 -7140 +796 -2077 +-6155 1992 +-6344 -702 +3425 -1353 +3043 2820 +-953 -453 +-343 -1170 +2639 6528 +1314 1076 +-1999 -700 +2820 1461 +4938 -3683 +931 968 +2122 4422 +3511 0 +646 -2529 +4048 -3301 +-476 -1027 +-6994 -4645 +271 2355 +2696 1120 +2962 -5366 +4338 -1170 +-3581 -66 +-3635 314 +375 -4874 +-2274 -3378 +1961 569 +4050 -4403 +-1915 1452 +-4681 0 +-1915 -1452 +4050 4403 +1961 -569 +-2274 3378 +375 4874 +-3635 -314 +-3581 66 +4338 1170 +2962 5366 +2696 -1120 +271 -2355 +-6994 4645 +-476 1027 +4048 3301 +646 2529 +3511 0 +3006 3418 +2184 1139 +2215 1628 +-4307 -320 +-5408 -2804 +-4603 -225 +-4130 -759 +2683 -1170 +424 -1378 +-4388 582 +2971 -256 +4213 -1587 +-1909 4713 +-352 2241 +2588 -5244 +2341 0 +2588 5244 +-352 -2241 +-1909 -4713 +4213 1587 +2971 256 +-4388 -582 +424 1378 +2683 1170 +-4130 759 +-4603 225 +-5408 2804 +-4307 320 +2215 -1628 +2184 -1139 +3006 -3418 +3511 0 +646 -2529 +4048 -3301 +-476 -1027 +-6994 -4645 +271 2355 +2696 1120 +2962 -5366 +4338 -1170 +-3581 -66 +-3635 314 +375 -4874 +-2274 -3378 +1961 569 +4050 -4403 +-1915 1452 +-4681 4681 +-2190 3791 +-323 1005 +2577 2832 +4033 -2619 +2810 586 +4113 7620 +1037 953 +3168 -1170 +-594 -1792 +-4387 -4613 +-378 2316 +-3221 3497 +-889 89 +-3766 886 +-2901 -1739 +5851 0 +-2901 1739 +-3766 -886 +-889 -89 +-3221 -3497 +-378 -2316 +-4387 4613 +-594 1792 +3168 1170 +1037 -953 +4113 -7620 +2810 -586 +4033 2619 +2577 -2832 +-323 -1005 +-2190 -3791 +-4681 -4681 +5838 1562 +3963 -1480 +-4094 -3511 +4928 964 +2599 1981 +-6317 2534 +-3772 1649 +1513 -1170 +1537 -1723 +-1400 -1215 +-706 -2282 +-1059 -5152 +-1919 -3302 +-1244 1381 +1044 977 +3511 0 +1044 -977 +-1244 -1381 +-1919 3302 +-1059 5152 +-706 2282 +-1400 1215 +1537 1723 +1513 1170 +-3772 -1649 +-6317 -2534 +2599 -1981 +4928 -964 +-4094 3511 +3963 1480 +5838 -1562 +-4681 4681 +-2190 3791 +-323 1005 +2577 2832 +4033 -2619 +2810 586 +4113 7620 +1037 953 +3168 -1170 +-594 -1792 +-4387 -4613 +-378 2316 +-3221 3497 +-889 89 +-3766 886 +-2901 -1739 +1170 -1170 +-590 -1226 +-3496 1218 +-486 -1627 +953 215 +1664 -2824 +208 1930 +-1189 6528 +3996 -4823 +403 -1532 +-5871 828 +-4793 -3789 +-3116 670 +2838 -4692 +3914 -6087 +-499 3353 +-1170 0 +-499 -3353 +3914 6087 +2838 4692 +-3116 -670 +-4793 3789 +-5871 -828 +403 1532 +3996 4823 +-1189 -6528 +208 -1930 +1664 2824 +953 -215 +-486 1627 +-3496 -1218 +-590 1226 +1170 1170 +2240 427 +2852 5119 +593 4291 +4012 5436 +2388 -107 +-89 -4956 +4892 -46 +686 142 +-4106 1542 +-868 2198 +740 1215 +-1849 299 +-2945 -1650 +3350 -249 +-1151 -1262 +-10533 0 +-1151 1262 +3350 249 +-2945 1650 +-1849 -299 +740 -1215 +-868 -2198 +-4106 -1542 +686 -142 +4892 46 +-89 4956 +2388 107 +4012 -5436 +593 -4291 +2852 -5119 +2240 -427 +1170 -1170 +-590 -1226 +-3496 1218 +-486 -1627 +953 215 +1664 -2824 +208 1930 +-1189 6528 +3996 -4823 +403 -1532 +-5871 828 +-4793 -3789 +-3116 670 +2838 -4692 +3914 -6087 +-499 3353 +-1170 2341 +563 2707 +-2229 631 +-959 -2861 +380 -992 +-2228 4929 +525 1276 +-529 -5281 +-1513 -1655 +1095 2633 +-202 528 +-799 -1884 +-1909 -2066 +-275 510 +1310 4043 +-1288 3776 +-2341 0 +-1288 -3776 +1310 -4043 +-275 -510 +-1909 2066 +-799 1884 +-202 -528 +1095 -2633 +-1513 1655 +-529 5281 +525 -1276 +-2228 -4929 +380 992 +-959 2861 +-2229 -631 +563 -2707 +-1170 -2341 +-4256 1417 +67 2039 +7220 -637 +1275 3333 +-1573 3660 +-1421 -4723 +-7751 -3382 +-3168 1655 +-1968 -2290 +1098 -665 +5103 4000 +254 -275 +6750 -1119 +853 1937 +895 -8 +14043 0 +895 8 +853 -1937 +6750 1119 +254 275 +5103 -4000 +1098 665 +-1968 2290 +-3168 -1655 +-7751 3382 +-1421 4723 +-1573 -3660 +1275 -3333 +7220 637 +67 -2039 +-4256 -1417 +-1170 2341 +563 2707 +-2229 631 +-959 -2861 +380 -992 +-2228 4929 +525 1276 +-529 -5281 +-1513 -1655 +1095 2633 +-202 528 +-799 -1884 +-1909 -2066 +-275 510 +1310 4043 +-1288 3776 +4681 5851 +2929 -7051 +-2000 -3794 +-2279 5942 +-4080 -105 +-1847 -4544 +3465 -2612 +4195 -320 +0 3653 +46 2098 +243 -343 +-3034 553 +-1141 -917 +-2871 2682 +-4848 6021 +-2497 819 +-2341 0 +-2497 -819 +-4848 -6021 +-2871 -2682 +-1141 917 +-3034 -553 +243 343 +46 -2098 +0 -3653 +4195 320 +3465 2612 +-1847 4544 +-4080 105 +-2279 -5942 +-2000 3794 +2929 7051 +4681 -5851 +919 -3989 +1748 4302 +-114 730 +770 791 +-973 2794 +-1422 62 +4576 111 +0 -1312 +3919 -2663 +4333 1102 +-3299 587 +4451 -3079 +1178 -2483 +-1520 -2204 +-847 -2853 +-7022 0 +-847 2853 +-1520 2204 +1178 2483 +4451 3079 +-3299 -587 +4333 -1102 +3919 2663 +0 1312 +4576 -111 +-1422 -62 +-973 -2794 +770 -791 +-114 -730 +1748 -4302 +919 3989 +4681 5851 +2929 -7051 +-2000 -3794 +-2279 5942 +-4080 -105 +-1847 -4544 +3465 -2612 +4195 -320 +0 3653 +46 2098 +243 -343 +-3034 553 +-1141 -917 +-2871 2682 +-4848 6021 +-2497 819 +-8192 0 +-2879 -2295 +4091 -2216 +-1563 321 +917 3572 +2587 2747 +-3832 -5894 +1273 -4291 +-828 686 +-3023 175 +2496 3643 +-502 1028 +791 -381 +2570 3967 +2066 167 +-312 -2799 +-4681 0 +-312 2799 +2066 -167 +2570 -3967 +791 381 +-502 -1028 +2496 -3643 +-3023 -175 +-828 -686 +1273 4291 +-3832 5894 +2587 -2747 +917 -3572 +-1563 -321 +4091 2216 +-2879 2295 +-8192 0 +-1875 805 +800 -842 +-715 523 +3079 3048 +3356 1495 +2355 4627 +5437 5286 +828 3996 +639 820 +352 -4910 +-3650 3214 +-105 7002 +-2083 -3123 +1034 -3225 +742 1310 +-7022 0 +742 -1310 +1034 3225 +-2083 3123 +-105 -7002 +-3650 -3214 +352 4910 +639 -820 +828 -3996 +5437 -5286 +2355 -4627 +3356 -1495 +3079 -3048 +-715 -523 +800 842 +-1875 -805 +-8192 0 +-2879 -2295 +4091 -2216 +-1563 321 +917 3572 +2587 2747 +-3832 -5894 +1273 -4291 +-828 686 +-3023 175 +2496 3643 +-502 1028 +791 -381 +2570 3967 +2066 167 +-312 -2799 +2341 -1170 +982 -123 +-831 -1950 +2357 464 +1445 1753 +-2430 -286 +270 3851 +-892 -1625 +1655 -1998 +-1137 5026 +-9516 -2467 +-4263 -2206 +178 927 +2010 -6382 +1451 -4694 +-3466 122 +-4681 0 +-3466 -122 +1451 4694 +2010 6382 +178 -927 +-4263 2206 +-9516 2467 +-1137 -5026 +1655 1998 +-892 1625 +270 -3851 +-2430 286 +1445 -1753 +2357 -464 +-831 1950 +982 123 +2341 1170 +2872 -1684 +1276 893 +3845 -208 +3236 -9059 +32 -930 +1463 5365 +-2873 -247 +-1655 -343 +-941 738 +-3517 3692 +3855 2509 +4503 -3552 +1214 1535 +43 5008 +-1164 -915 +0 0 +-1164 915 +43 -5008 +1214 -1535 +4503 3552 +3855 -2509 +-3517 -3692 +-941 -738 +-1655 343 +-2873 247 +1463 -5365 +32 930 +3236 9059 +3845 208 +1276 -893 +2872 1684 +2341 -1170 +982 -123 +-831 -1950 +2357 464 +1445 1753 +-2430 -286 +270 3851 +-892 -1625 +1655 -1998 +-1137 5026 +-9516 -2467 +-4263 -2206 +178 927 +2010 -6382 +1451 -4694 +-3466 122 +0 2341 +-1205 1468 +-7908 3341 +-3124 1567 +-2171 -4713 +-5744 -163 +1852 2397 +-140 -2317 +1998 1655 +4645 2033 +664 -4984 +3555 -2569 +-2416 -388 +-4870 -3729 +-209 901 +-991 6135 +-1170 0 +-991 -6135 +-209 -901 +-4870 3729 +-2416 388 +3555 2569 +664 4984 +4645 -2033 +1998 -1655 +-140 2317 +1852 -2397 +-5744 163 +-2171 4713 +-3124 -1567 +-7908 -3341 +-1205 -1468 +0 -2341 +2192 790 +1032 -283 +-345 -3606 +516 1403 +-4965 3299 +174 -1130 +2905 -3504 +343 -1655 +3535 5476 +620 6251 +2325 -2559 +4071 -2922 +-72 2789 +3774 2157 +2299 91 +-3511 0 +2299 -91 +3774 -2157 +-72 -2789 +4071 2922 +2325 2559 +620 -6251 +3535 -5476 +343 1655 +2905 3504 +174 1130 +-4965 -3299 +516 -1403 +-345 3606 +1032 283 +2192 -790 +0 2341 +-1205 1468 +-7908 3341 +-3124 1567 +-2171 -4713 +-5744 -163 +1852 2397 +-140 -2317 +1998 1655 +4645 2033 +664 -4984 +3555 -2569 +-2416 -388 +-4870 -3729 +-209 901 +-991 6135 +-2341 3511 +470 -4745 +-183 -4866 +-4379 1882 +3763 -3564 +1864 2655 +-4128 6299 +-1759 -3011 +-1655 343 +4455 3397 +1497 1467 +-6940 -1054 +-1066 -2930 +-1700 -2381 +-4270 -2836 +-519 678 +0 0 +-519 -678 +-4270 2836 +-1700 2381 +-1066 2930 +-6940 1054 +1497 -1467 +4455 -3397 +-1655 -343 +-1759 3011 +-4128 -6299 +1864 -2655 +3763 3564 +-4379 -1882 +-183 4866 +470 4745 +-2341 -3511 +120 -1875 +-2022 1437 +4129 1856 +9879 -1401 +2278 -2163 +-882 -2345 +2083 -857 +1655 1998 +-454 1385 +2143 2487 +4590 -2038 +1467 -2035 +158 2536 +-1517 -593 +-4395 1351 +-4681 0 +-4395 -1351 +-1517 593 +158 -2536 +1467 2035 +4590 2038 +2143 -2487 +-454 -1385 +1655 -1998 +2083 857 +-882 2345 +2278 2163 +9879 1401 +4129 -1856 +-2022 -1437 +120 1875 +-2341 3511 +470 -4745 +-183 -4866 +-4379 1882 +3763 -3564 +1864 2655 +-4128 6299 +-1759 -3011 +-1655 343 +4455 3397 +1497 1467 +-6940 -1054 +-1066 -2930 +-1700 -2381 +-4270 -2836 +-519 678 +-2341 0 +-505 3348 +1908 -1852 +4 -6250 +-3945 -1833 +2718 2960 +3199 -1553 +-3289 1079 +343 3310 +-188 -3946 +-479 743 +2920 2912 +-117 1581 +952 3145 +477 94 +-2271 3156 +-1170 0 +-2271 -3156 +477 -94 +952 -3145 +-117 -1581 +2920 -2912 +-479 -743 +-188 3946 +343 -3310 +-3289 -1079 +3199 1553 +2718 -2960 +-3945 1833 +4 6250 +1908 1852 +-505 -3348 +-2341 0 +-3146 2384 +-1149 -1206 +2881 -5355 +5600 -6158 +827 744 +-2692 286 +-199 -3998 +1998 -3310 +1884 -3446 +-3338 -2009 +-2140 -4394 +-1538 -210 +-8162 2667 +2074 -3152 +7714 1982 +1170 0 +7714 -1982 +2074 3152 +-8162 -2667 +-1538 210 +-2140 4394 +-3338 2009 +1884 3446 +1998 3310 +-199 3998 +-2692 -286 +827 -744 +5600 6158 +2881 5355 +-1149 1206 +-3146 -2384 +-2341 0 +-505 3348 +1908 -1852 +4 -6250 +-3945 -1833 +2718 2960 +3199 -1553 +-3289 1079 +343 3310 +-188 -3946 +-479 743 +2920 2912 +-117 1581 +952 3145 +477 94 +-2271 3156 +-2341 2341 +2746 -1817 +2498 -7280 +1517 -2948 +4391 -291 +2081 -207 +-1500 2722 +2246 -2032 +8819 -2140 +599 2427 +-4550 -424 +-367 -200 +-3758 -3527 +-2493 -4752 +-4668 3311 +-2574 2824 +5851 0 +-2574 -2824 +-4668 -3311 +-2493 4752 +-3758 3527 +-367 200 +-4550 424 +599 -2427 +8819 2140 +2246 2032 +-1500 -2722 +2081 207 +4391 291 +1517 2948 +2498 7280 +2746 1817 +-2341 -2341 +-1426 1291 +-1781 1122 +-1431 -3999 +2229 976 +1637 4902 +-2108 -1141 +-3478 2557 +544 4480 +-144 -887 +3476 634 +6076 -207 +-2862 -469 +-399 -676 +-731 -1478 +-4589 4284 +-1170 0 +-4589 -4284 +-731 1478 +-399 676 +-2862 469 +6076 207 +3476 -634 +-144 887 +544 -4480 +-3478 -2557 +-2108 1141 +1637 -4902 +2229 -976 +-1431 3999 +-1781 -1122 +-1426 -1291 +-2341 2341 +2746 -1817 +2498 -7280 +1517 -2948 +4391 -291 +2081 -207 +-1500 2722 +2246 -2032 +8819 -2140 +599 2427 +-4550 -424 +-367 -200 +-3758 -3527 +-2493 -4752 +-4668 3311 +-2574 2824 +1170 -1170 +-1780 -2966 +-1158 4082 +-5673 5702 +-3817 254 +2082 -4584 +-1495 862 +2725 5435 +5166 -2967 +2426 -741 +-3407 6171 +-5334 -2 +2551 -380 +-860 -1349 +61 -6217 +-1390 -782 +-10533 0 +-1390 782 +61 6217 +-860 1349 +2551 380 +-5334 2 +-3407 -6171 +2426 741 +5166 2967 +2725 -5435 +-1495 -862 +2082 4584 +-3817 -254 +-5673 -5702 +-1158 -4082 +-1780 2966 +1170 1170 +-1871 -2514 +-245 228 +3933 -2410 +507 -1909 +3056 2433 +1106 -323 +-1558 1604 +1856 5308 +731 3099 +485 3731 +1988 2531 +759 -1275 +808 -40 +4652 1164 +717 -17 +-5851 0 +717 17 +4652 -1164 +808 40 +759 1275 +1988 -2531 +485 -3731 +731 -3099 +1856 -5308 +-1558 -1604 +1106 323 +3056 -2433 +507 1909 +3933 2410 +-245 -228 +-1871 2514 +1170 -1170 +-1780 -2966 +-1158 4082 +-5673 5702 +-3817 254 +2082 -4584 +-1495 862 +2725 5435 +5166 -2967 +2426 -741 +-3407 6171 +-5334 -2 +2551 -380 +-860 -1349 +61 -6217 +-1390 -782 +2341 -4681 +-478 -5511 +987 -1393 +315 -295 +-1624 -96 +-1813 3082 +2018 4617 +2312 2200 +-6963 0 +-1755 1487 +1901 2460 +2604 -1959 +8551 -4228 +-3887 782 +-1557 2539 +2062 -862 +-8192 0 +2062 862 +-1557 -2539 +-3887 -782 +8551 4228 +2604 1959 +1901 -2460 +-1755 -1487 +-6963 0 +2312 -2200 +2018 -4617 +-1813 -3082 +-1624 96 +315 295 +987 1393 +-478 5511 +2341 4681 +1676 928 +1176 2499 +-670 3500 +-4311 2437 +-1788 5514 +-1122 3704 +1639 -3562 +4622 0 +1115 5380 +-2797 -2131 +-2313 -5344 +2064 1888 +931 2507 +-606 -62 +50 1766 +-1170 0 +50 -1766 +-606 62 +931 -2507 +2064 -1888 +-2313 5344 +-2797 2131 +1115 -5380 +4622 0 +1639 3562 +-1122 -3704 +-1788 -5514 +-4311 -2437 +-670 -3500 +1176 -2499 +1676 -928 +2341 -4681 +-478 -5511 +987 -1393 +315 -295 +-1624 -96 +-1813 3082 +2018 4617 +2312 2200 +-6963 0 +-1755 1487 +1901 2460 +2604 -1959 +8551 -4228 +-3887 782 +-1557 2539 +2062 -862 +-2341 0 +-2273 4439 +-1487 586 +2839 -2479 +2873 -1872 +-2652 2368 +-1305 -5374 +-1245 -4353 +-142 2825 +2821 -5805 +-1828 -2927 +2018 2287 +3877 2631 +-6409 3050 +-1786 -1584 +1944 2628 +-3511 0 +1944 -2628 +-1786 1584 +-6409 -3050 +3877 -2631 +2018 -2287 +-1828 2927 +2821 5805 +-142 -2825 +-1245 4353 +-1305 5374 +-2652 -2368 +2873 1872 +2839 2479 +-1487 -586 +-2273 -4439 +-2341 0 +3555 4960 +5812 174 +-1370 1888 +-2873 1186 +841 1291 +3097 4867 +4682 -174 +4823 -485 +2895 1634 +36 -890 +-711 -1518 +-3877 1364 +-7797 2831 +-2539 -967 +861 -2235 +-1170 0 +861 2235 +-2539 967 +-7797 -2831 +-3877 -1364 +-711 1518 +36 890 +2895 -1634 +4823 485 +4682 174 +3097 -4867 +841 -1291 +-2873 -1186 +-1370 -1888 +5812 -174 +3555 -4960 +-2341 0 +-2273 4439 +-1487 586 +2839 -2479 +2873 -1872 +-2652 2368 +-1305 -5374 +-1245 -4353 +-142 2825 +2821 -5805 +-1828 -2927 +2018 2287 +3877 2631 +-6409 3050 +-1786 -1584 +1944 2628 +-4681 0 +-1743 -464 +3865 -6801 +-1688 -231 +-4101 96 +2562 -2325 +-1760 4095 +-4291 -2771 +-1312 -2341 +1521 5320 +619 -688 +-2960 2391 +231 4228 +-1906 111 +-2532 3131 +2779 224 +3511 0 +2779 -224 +-2532 -3131 +-1906 -111 +231 -4228 +-2960 -2391 +619 688 +1521 -5320 +-1312 2341 +-4291 2771 +-1760 -4095 +2562 2325 +-4101 -96 +-1688 231 +3865 6801 +-1743 464 +-4681 0 +-1397 3810 +4473 -802 +737 -3421 +-3205 -2437 +-2373 -833 +-5697 1989 +-407 2719 +3653 -2341 +3177 -3581 +4899 720 +2771 -1224 +2393 -1888 +2857 562 +5495 1938 +361 4913 +-8192 0 +361 -4913 +5495 -1938 +2857 -562 +2393 1888 +2771 1224 +4899 -720 +3177 3581 +3653 2341 +-407 -2719 +-5697 -1989 +-2373 833 +-3205 2437 +737 3421 +4473 802 +-1397 -3810 +-4681 0 +-1743 -464 +3865 -6801 +-1688 -231 +-4101 96 +2562 -2325 +-1760 4095 +-4291 -2771 +-1312 -2341 +1521 5320 +619 -688 +-2960 2391 +231 4228 +-1906 111 +-2532 3131 +2779 224 +-1170 5851 +-3872 2281 +2505 1613 +1799 -3666 +-537 -3163 +2529 5129 +4269 -146 +6300 -5641 +-1170 -1998 +1281 -2589 +4318 -1743 +-5780 2514 +-2699 350 +980 -4454 +3641 -2293 +2904 2465 +-3511 0 +2904 -2465 +3641 2293 +980 4454 +-2699 -350 +-5780 -2514 +4318 1743 +1281 2589 +-1170 1998 +6300 5641 +4269 146 +2529 -5129 +-537 3163 +1799 3666 +2505 -1613 +-3872 -2281 +-1170 -5851 +-1811 -1143 +-165 3827 +3439 -277 +-1804 3849 +-2263 2279 +-1928 -5042 +-1908 727 +-1170 -343 +-2090 -4116 +-1977 2606 +-3136 570 +359 -4346 +2432 -3814 +-1300 -4938 +-804 -3118 +1170 0 +-804 3118 +-1300 4938 +2432 3814 +359 4346 +-3136 -570 +-1977 -2606 +-2090 4116 +-1170 343 +-1908 -727 +-1928 5042 +-2263 -2279 +-1804 -3849 +3439 277 +-165 -3827 +-1811 1143 +-1170 5851 +-3872 2281 +2505 1613 +1799 -3666 +-537 -3163 +2529 5129 +4269 -146 +6300 -5641 +-1170 -1998 +1281 -2589 +4318 -1743 +-5780 2514 +-2699 350 +980 -4454 +3641 -2293 +2904 2465 +-1170 1170 +-548 -64 +1058 -1532 +27 1265 +-3058 -1149 +-4679 -1615 +-1488 -1616 +1188 -3653 +485 1028 +3298 1038 +3599 -1330 +-2650 726 +-1267 2542 +2768 873 +-1413 -2605 +483 -756 +5851 0 +483 756 +-1413 2605 +2768 -873 +-1267 -2542 +-2650 -726 +3599 1330 +3298 -1038 +485 -1028 +1188 3653 +-1488 1616 +-4679 1615 +-3058 1149 +27 -1265 +1058 1532 +-548 64 +-1170 -1170 +-697 2082 +-2188 5569 +-267 4638 +3058 2804 +-1080 997 +-4240 -3184 +-2049 7106 +-2825 5993 +-645 -8383 +5438 -728 +4083 804 +1267 -887 +1797 -2184 +-767 -9340 +-1029 1339 +1170 0 +-1029 -1339 +-767 9340 +1797 2184 +1267 887 +4083 -804 +5438 728 +-645 8383 +-2825 -5993 +-2049 -7106 +-4240 3184 +-1080 -997 +3058 -2804 +-267 -4638 +-2188 -5569 +-697 -2082 +-1170 1170 +-548 -64 +1058 -1532 +27 1265 +-3058 -1149 +-4679 -1615 +-1488 -1616 +1188 -3653 +485 1028 +3298 1038 +3599 -1330 +-2650 726 +-1267 2542 +2768 873 +-1413 -2605 +483 -756 +-2341 -3511 +-4307 452 +546 7297 +791 -771 +1254 -887 +3483 1528 +-3329 -6028 +139 -1018 +7991 3653 +513 -1007 +-976 92 +2700 3495 +1625 -1149 +3043 -3344 +-2347 3522 +-6790 4614 +-4681 0 +-6790 -4614 +-2347 -3522 +3043 3344 +1625 1149 +2700 -3495 +-976 -92 +513 1007 +7991 -3653 +139 1018 +-3329 6028 +3483 -1528 +1254 887 +791 771 +546 -7297 +-4307 -452 +-2341 3511 +490 3911 +1109 4589 +-32 2175 +-3595 2542 +-932 -977 +1674 2267 +369 3085 +1371 -1312 +-6 1704 +-679 828 +-149 5048 +-3966 2804 +-2284 -3244 +4002 3683 +2972 1119 +0 0 +2972 -1119 +4002 -3683 +-2284 3244 +-3966 -2804 +-149 -5048 +-679 -828 +-6 -1704 +1371 1312 +369 -3085 +1674 -2267 +-932 977 +-3595 -2542 +-32 -2175 +1109 -4589 +490 -3911 +-2341 -3511 +-4307 452 +546 7297 +791 -771 +1254 -887 +3483 1528 +-3329 -6028 +139 -1018 +7991 3653 +513 -1007 +-976 92 +2700 3495 +1625 -1149 +3043 -3344 +-2347 3522 +-6790 4614 +2341 2341 +-1944 108 +-2552 3881 +4423 2463 +-2446 -1499 +-4635 -1819 +1445 -2571 +-973 -5078 +-1998 -7306 +170 368 +1174 2725 +2459 -3124 +-1424 -1307 +-4951 1475 +-314 900 +5991 -165 +8192 0 +5991 165 +-314 -900 +-4951 -1475 +-1424 1307 +2459 3124 +1174 -2725 +170 -368 +-1998 7306 +-973 5078 +1445 2571 +-4635 1819 +-2446 1499 +4423 -2463 +-2552 -3881 +-1944 -108 +2341 -2341 +-4254 1725 +389 1129 +5536 -2253 +-1550 7150 +-2874 3400 +-2340 -3216 +-1707 -1080 +-343 2625 +-3334 5790 +-278 -521 +2244 1542 +738 2276 +4419 -1685 +2476 2739 +-570 -1668 +1170 0 +-570 1668 +2476 -2739 +4419 1685 +738 -2276 +2244 -1542 +-278 521 +-3334 -5790 +-343 -2625 +-1707 1080 +-2340 3216 +-2874 -3400 +-1550 -7150 +5536 2253 +389 -1129 +-4254 -1725 +2341 2341 +-1944 108 +-2552 3881 +4423 2463 +-2446 -1499 +-4635 -1819 +1445 -2571 +-973 -5078 +-1998 -7306 +170 368 +1174 2725 +2459 -3124 +-1424 -1307 +-4951 1475 +-314 900 +5991 -165 +-2341 0 +3700 224 +3118 -3500 +-1370 -2018 +700 1238 +156 3198 +23 2975 +-1165 -5250 +-142 -1170 +-1227 5000 +-4131 -1002 +-3500 -1854 +-3496 -4160 +1770 1724 +592 7535 +-3376 -5125 +-1170 0 +-3376 5125 +592 -7535 +1770 -1724 +-3496 4160 +-3500 1854 +-4131 1002 +-1227 -5000 +-142 1170 +-1165 5250 +23 -2975 +156 -3198 +700 -1238 +-1370 2018 +3118 3500 +3700 -224 +-2341 0 +387 -2342 +-4909 -1941 +-5634 2519 +5920 -553 +7062 -1262 +4302 2213 +4485 1204 +4823 -1170 +441 -41 +-194 138 +2398 -2683 +-3125 165 +-882 1667 +1200 -303 +-3244 2652 +-3511 0 +-3244 -2652 +1200 303 +-882 -1667 +-3125 -165 +2398 2683 +-194 -138 +441 41 +4823 1170 +4485 -1204 +4302 -2213 +7062 1262 +5920 553 +-5634 -2519 +-4909 1941 +387 2342 +-2341 0 +3700 224 +3118 -3500 +-1370 -2018 +700 1238 +156 3198 +23 2975 +-1165 -5250 +-142 -1170 +-1227 5000 +-4131 -1002 +-3500 -1854 +-3496 -4160 +1770 1724 +592 7535 +-3376 -5125 +5851 -3511 +2173 -1961 +-631 3109 +-979 -1727 +3081 -2183 +4389 467 +-1651 -1114 +-1254 498 +-2140 -343 +-1543 801 +-1146 4899 +1529 -439 +4851 -2267 +-6473 2124 +-3010 -837 +-1871 -3448 +-12873 0 +-1871 3448 +-3010 837 +-6473 -2124 +4851 2267 +1529 439 +-1146 -4899 +-1543 -801 +-2140 343 +-1254 -498 +-1651 1114 +4389 -467 +3081 2183 +-979 1727 +-631 -3109 +2173 1961 +5851 3511 +1458 -1230 +2615 813 +-99 1511 +-7360 -1812 +-710 -3930 +1102 -3060 +2215 -937 +4480 -1998 +-3001 552 +-2985 3599 +3441 1301 +4109 2953 +-1098 1984 +-3656 -1294 +1824 2048 +5851 0 +1824 -2048 +-3656 1294 +-1098 -1984 +4109 -2953 +3441 -1301 +-2985 -3599 +-3001 -552 +4480 1998 +2215 937 +1102 3060 +-710 3930 +-7360 1812 +-99 -1511 +2615 -813 +1458 1230 +5851 -3511 +2173 -1961 +-631 3109 +-979 -1727 +3081 -2183 +4389 467 +-1651 -1114 +-1254 498 +-2140 -343 +-1543 801 +-1146 4899 +1529 -439 +4851 -2267 +-6473 2124 +-3010 -837 +-1871 -3448 +2341 -2341 +585 -970 +-1739 243 +-5596 -2905 +-1857 0 +-213 223 +-1864 -6364 +-2771 -3106 +-8618 1655 +499 -2594 +4640 -257 +1175 4048 +6800 0 +-3820 -60 +-4127 -88 +862 -4695 +-5851 0 +862 4695 +-4127 88 +-3820 60 +6800 0 +1175 -4048 +4640 257 +499 2594 +-8618 -1655 +-2771 3106 +-1864 6364 +-213 -223 +-1857 0 +-5596 2905 +-1739 -243 +585 970 +2341 2341 +2422 -2753 +1697 -3004 +478 1234 +1573 0 +2666 -3591 +-2251 -3612 +1235 -1722 +6277 -1655 +2556 -1457 +-1897 1582 +-2614 2010 +2846 0 +1303 1196 +-3822 -734 +1233 -4871 +5851 0 +1233 4871 +-3822 734 +1303 -1196 +2846 0 +-2614 -2010 +-1897 -1582 +2556 1457 +6277 1655 +1235 1722 +-2251 3612 +2666 3591 +1573 0 +478 -1234 +1697 3004 +2422 2753 +2341 -2341 +585 -970 +-1739 243 +-5596 -2905 +-1857 0 +-213 223 +-1864 -6364 +-2771 -3106 +-8618 1655 +499 -2594 +4640 -257 +1175 4048 +6800 0 +-3820 -60 +-4127 -88 +862 -4695 +4681 3511 +-3386 -1771 +-5097 2737 +3522 4011 +663 -1538 +2270 1116 +683 4786 +-2767 2367 +1655 1312 +1714 -558 +272 -2899 +145 711 +411 3945 +-1707 1782 +-4980 157 +-1475 566 +2341 0 +-1475 -566 +-4980 -157 +-1707 -1782 +411 -3945 +145 -711 +272 2899 +1714 558 +1655 -1312 +-2767 -2367 +683 -4786 +2270 -1116 +663 1538 +3522 -4011 +-5097 -2737 +-3386 1771 +4681 -3511 +477 -2437 +2892 5794 +1023 2832 +4988 -117 +8288 3394 +-5694 -1105 +-3923 -6424 +-1655 -3653 +-3435 -965 +3367 -40 +242 -2317 +-1380 -5600 +-543 -1055 +-807 1754 +-445 -2241 +-2341 0 +-445 2241 +-807 -1754 +-543 1055 +-1380 5600 +242 2317 +3367 40 +-3435 965 +-1655 3653 +-3923 6424 +-5694 1105 +8288 -3394 +4988 117 +1023 -2832 +2892 -5794 +477 2437 +4681 3511 +-3386 -1771 +-5097 2737 +3522 4011 +663 -1538 +2270 1116 +683 4786 +-2767 2367 +1655 1312 +1714 -558 +272 -2899 +145 711 +411 3945 +-1707 1782 +-4980 157 +-1475 566 +-1170 2341 +3575 3995 +803 4801 +4553 961 +1059 2260 +-5842 1013 +837 -3584 +-1820 -343 +-1312 -1170 +1954 -3116 +-762 -393 +-1302 -4508 +-4033 -4034 +-742 3618 +-3422 3150 +-4308 -144 +2341 0 +-4308 144 +-3422 -3150 +-742 -3618 +-4033 4034 +-1302 4508 +-762 393 +1954 3116 +-1312 1170 +-1820 343 +837 3584 +-5842 -1013 +1059 -2260 +4553 -961 +803 -4801 +3575 -3995 +-1170 -2341 +1444 -1114 +2255 1357 +-2994 -498 +3221 1735 +2759 5602 +-2104 2003 +-169 -1393 +3653 -1170 +6927 960 +2028 183 +-7574 -1194 +-4928 3349 +4523 510 +363 -4984 +-983 -137 +4681 0 +-983 137 +363 4984 +4523 -510 +-4928 -3349 +-7574 1194 +2028 -183 +6927 -960 +3653 1170 +-169 1393 +-2104 -2003 +2759 -5602 +3221 -1735 +-2994 498 +2255 -1357 +1444 1114 +-1170 2341 +3575 3995 +803 4801 +4553 961 +1059 2260 +-5842 1013 +837 -3584 +-1820 -343 +-1312 -1170 +1954 -3116 +-762 -393 +-1302 -4508 +-4033 -4034 +-742 3618 +-3422 3150 +-4308 -144 +-2341 4681 +-1584 3725 +566 -5815 +-2082 -136 +1550 -1380 +1900 891 +-2112 6395 +1247 -3249 +-1998 -4681 +-5415 219 +-3753 -1653 +-4427 -4321 +-738 -663 +958 1431 +-453 -3480 +1869 -2284 +3511 0 +1869 2284 +-453 3480 +958 -1431 +-738 663 +-4427 4321 +-3753 1653 +-5415 -219 +-1998 4681 +1247 3249 +-2112 -6395 +1900 -891 +1550 1380 +-2082 136 +566 5815 +-1584 -3725 +-2341 -4681 +-3808 2010 +-1462 -462 +8519 2608 +2446 411 +-2274 467 +4274 2450 +190 -4093 +-343 -4681 +4251 -1361 +1591 2507 +-538 -17 +1424 -4988 +4565 -1912 +1349 -1426 +-3369 -1764 +-3511 0 +-3369 1764 +1349 1426 +4565 1912 +1424 4988 +-538 17 +1591 -2507 +4251 1361 +-343 4681 +190 4093 +4274 -2450 +-2274 -467 +2446 -411 +8519 -2608 +-1462 462 +-3808 -2010 +-2341 4681 +-1584 3725 +566 -5815 +-2082 -136 +1550 -1380 +1900 891 +-2112 6395 +1247 -3249 +-1998 -4681 +-5415 219 +-3753 -1653 +-4427 -4321 +-738 -663 +958 1431 +-453 -3480 +1869 -2284 +0 1170 +-2994 2569 +3383 -234 +2866 3515 +-2563 6538 +-1918 4503 +-370 -802 +3138 -3165 +-3310 1312 +-4338 -441 +1177 1588 +2401 9752 +4176 2119 +-3512 -2482 +-611 1453 +3605 -3224 +-2341 0 +3605 3224 +-611 -1453 +-3512 2482 +4176 -2119 +2401 -9752 +1177 -1588 +-4338 441 +-3310 -1312 +3138 3165 +-370 802 +-1918 -4503 +-2563 -6538 +2866 -3515 +3383 234 +-2994 -2569 +0 -1170 +-3328 552 +-2698 -2180 +1591 2935 +-3088 3109 +761 -3115 +4366 -346 +2969 -1096 +3310 -3653 +2555 2801 +2818 3884 +548 -1743 +-3207 -1835 +-2737 2311 +1297 2753 +-1608 -275 +-7022 0 +-1608 275 +1297 -2753 +-2737 -2311 +-3207 1835 +548 1743 +2818 -3884 +2555 -2801 +3310 3653 +2969 1096 +4366 346 +761 3115 +-3088 -3109 +1591 -2935 +-2698 2180 +-3328 -552 +0 1170 +-2994 2569 +3383 -234 +2866 3515 +-2563 6538 +-1918 4503 +-370 -802 +3138 -3165 +-3310 1312 +-4338 -441 +1177 1588 +2401 9752 +4176 2119 +-3512 -2482 +-611 1453 +3605 -3224 +2341 1170 +3486 1520 +-199 -1156 +-4348 -1245 +-565 6606 +1687 3216 +-1028 -2992 +-4329 2985 +-3795 1513 +-4387 -4614 +-4210 198 +1560 1675 +4519 -871 +5289 3610 +2056 3823 +1298 -1660 +4681 0 +1298 1660 +2056 -3823 +5289 -3610 +4519 871 +1560 -1675 +-4210 -198 +-4387 4614 +-3795 -1513 +-4329 -2985 +-1028 2992 +1687 -3216 +-565 -6606 +-4348 1245 +-199 1156 +3486 -1520 +2341 -1170 +-2027 -3821 +-4441 -1962 +2200 1429 +-1090 1385 +-4067 1191 +462 -4094 +701 -4577 +6135 3168 +380 -287 +-3215 -5344 +2338 -578 +-2864 -500 +1961 -116 +1212 4361 +-1742 2669 +4681 0 +-1742 -2669 +1212 -4361 +1961 116 +-2864 500 +2338 578 +-3215 5344 +380 287 +6135 -3168 +701 4577 +462 4094 +-4067 -1191 +-1090 -1385 +2200 -1429 +-4441 1962 +-2027 3821 +2341 1170 +3486 1520 +-199 -1156 +-4348 -1245 +-565 6606 +1687 3216 +-1028 -2992 +-4329 2985 +-3795 1513 +-4387 -4614 +-4210 198 +1560 1675 +4519 -871 +5289 3610 +2056 3823 +1298 -1660 +3511 -4681 +-2797 -2504 +3308 2395 +1617 3027 +-2572 633 +4256 175 +-246 3036 +-2229 2457 +1513 686 +3504 1858 +-984 -3363 +-6009 -6055 +864 1529 +-2448 13 +-6675 -4515 +-2379 641 +-2341 0 +-2379 -641 +-6675 4515 +-2448 -13 +864 -1529 +-6009 6055 +-984 3363 +3504 -1858 +1513 -686 +-2229 -2457 +-246 -3036 +4256 -175 +-2572 -633 +1617 -3027 +3308 -2395 +-2797 2504 +3511 4681 +1698 1573 +3567 -159 +5141 -1562 +-4734 -633 +-1973 3283 +-1780 -444 +-2315 -2652 +3168 3996 +-478 1851 +-300 -5346 +2712 -4595 +1760 -1529 +3324 3327 +3109 4812 +-1623 -409 +-4681 0 +-1623 409 +3109 -4812 +3324 -3327 +1760 1529 +2712 4595 +-300 5346 +-478 -1851 +3168 -3996 +-2315 2652 +-1780 444 +-1973 -3283 +-4734 633 +5141 1562 +3567 159 +1698 -1573 +3511 -4681 +-2797 -2504 +3308 2395 +1617 3027 +-2572 633 +4256 175 +-246 3036 +-2229 2457 +1513 686 +3504 1858 +-984 -3363 +-6009 -6055 +864 1529 +-2448 13 +-6675 -4515 +-2379 641 +2341 -1170 +-1608 -5619 +-703 376 +2951 -1101 +-2990 3632 +-1470 4554 +666 -1888 +-1443 1896 +-1170 2483 +1720 1941 +3285 5691 +-249 -128 +1723 -60 +2746 8251 +-2899 6659 +-2656 2045 +0 0 +-2656 -2045 +-2899 -6659 +2746 -8251 +1723 60 +-249 128 +3285 -5691 +1720 -1941 +-1170 -2483 +-1443 -1896 +666 1888 +-1470 -4554 +-2990 -3632 +2951 1101 +-703 -376 +-1608 5619 +2341 1170 +-1234 -9816 +-57 -628 +-332 5440 +1335 -322 +1603 -458 +-1173 -156 +3639 -641 +-1170 -2483 +-2125 -5871 +533 -1114 +-4209 4818 +-68 3370 +-1040 561 +348 -291 +3706 -63 +0 0 +3706 63 +348 291 +-1040 -561 +-68 -3370 +-4209 -4818 +533 1114 +-2125 5871 +-1170 2483 +3639 641 +-1173 156 +1603 458 +1335 322 +-332 -5440 +-57 628 +-1234 9816 +2341 -1170 +-1608 -5619 +-703 376 +2951 -1101 +-2990 3632 +-1470 4554 +666 -1888 +-1443 1896 +-1170 2483 +1720 1941 +3285 5691 +-249 -128 +1723 -60 +2746 8251 +-2899 6659 +-2656 2045 +3511 2341 +2258 4751 +3891 2598 +-2134 2947 +3438 -275 +5627 -5375 +-4000 -1786 +3375 1887 +828 -686 +-3322 -2944 +3032 2971 +-1838 6733 +-642 -992 +1129 346 +-1133 7302 +-3226 2323 +-7022 0 +-3226 -2323 +-1133 -7302 +1129 -346 +-642 992 +-1838 -6733 +3032 -2971 +-3322 2944 +828 686 +3375 -1887 +-4000 1786 +5627 5375 +3438 275 +-2134 -2947 +3891 -2598 +2258 -4751 +3511 -2341 +1148 -1348 +-2996 -1195 +-1355 2992 +-1783 -2066 +828 -5073 +1838 1398 +-22 -917 +-828 -3996 +-32 -1005 +-869 -49 +-4617 2027 +-1013 3333 +2359 2199 +237 -2589 +-181 -7717 +0 0 +-181 7717 +237 2589 +2359 -2199 +-1013 -3333 +-4617 -2027 +-869 49 +-32 1005 +-828 3996 +-22 917 +1838 -1398 +828 5073 +-1783 2066 +-1355 -2992 +-2996 1195 +1148 1348 +3511 2341 +2258 4751 +3891 2598 +-2134 2947 +3438 -275 +5627 -5375 +-4000 -1786 +3375 1887 +828 -686 +-3322 -2944 +3032 2971 +-1838 6733 +-642 -992 +1129 346 +-1133 7302 +-3226 2323 +5851 1170 +2512 -1861 +-1960 805 +-4852 3080 +565 722 +5113 -3814 +-2246 1419 +1131 2694 +1655 -828 +-3353 1879 +-754 -3945 +-3777 -6964 +-4519 -89 +-918 -2119 +906 -1022 +-1717 6181 +-5851 0 +-1717 -6181 +906 1022 +-918 2119 +-4519 89 +-3777 6964 +-754 3945 +-3353 -1879 +1655 828 +1131 -2694 +-2246 -1419 +5113 3814 +565 -722 +-4852 -3080 +-1960 -805 +2512 1861 +5851 -1170 +-863 -6573 +-203 -3475 +4959 -1935 +1090 1618 +-1061 5985 +1350 2027 +2572 2774 +-1655 828 +-350 -854 +1649 4082 +-275 -711 +2864 -2251 +811 -2704 +1256 -4958 +67 3544 +-5851 0 +67 -3544 +1256 4958 +811 2704 +2864 2251 +-275 711 +1649 -4082 +-350 854 +-1655 -828 +2572 -2774 +1350 -2027 +-1061 -5985 +1090 -1618 +4959 1935 +-203 3475 +-863 6573 +5851 1170 +2512 -1861 +-1960 805 +-4852 3080 +565 722 +5113 -3814 +-2246 1419 +1131 2694 +1655 -828 +-3353 1879 +-754 -3945 +-3777 -6964 +-4519 -89 +-918 -2119 +906 -1022 +-1717 6181 +2341 1170 +170 -1585 +4320 -4442 +3583 1085 +-3721 -506 +505 -5028 +-546 -691 +72 -1208 +1655 -343 +-2112 1839 +673 -3425 +1999 -3229 +-1678 -4197 +-2926 -3970 +2340 2369 +1172 523 +-4681 0 +1172 -523 +2340 -2369 +-2926 3970 +-1678 4197 +1999 3229 +673 3425 +-2112 -1839 +1655 343 +72 1208 +-546 691 +505 5028 +-3721 506 +3583 -1085 +4320 4442 +170 1585 +2341 -1170 +-3074 5370 +1719 6604 +4219 -3875 +-1930 -4459 +-493 2086 +-5137 -205 +-5195 -244 +-1655 -1998 +-3710 -3291 +-240 2529 +2818 288 +2647 -768 +3536 1180 +6232 -207 +-562 3262 +-9362 0 +-562 -3262 +6232 207 +3536 -1180 +2647 768 +2818 -288 +-240 -2529 +-3710 3291 +-1655 1998 +-5195 244 +-5137 205 +-493 -2086 +-1930 4459 +4219 3875 +1719 -6604 +-3074 -5370 +2341 1170 +170 -1585 +4320 -4442 +3583 1085 +-3721 -506 +505 -5028 +-546 -691 +72 -1208 +1655 -343 +-2112 1839 +673 -3425 +1999 -3229 +-1678 -4197 +-2926 -3970 +2340 2369 +1172 523 +1170 1170 +1374 -5834 +-1191 -3198 +-3144 -539 +-343 -322 +-2067 -3289 +-4415 4959 +873 8181 +3996 -1513 +1310 501 +-1787 2531 +-4114 3647 +-1998 3370 +608 1626 +-2202 2555 +-1732 -4372 +1170 0 +-1732 4372 +-2202 -2555 +608 -1626 +-1998 -3370 +-4114 -3647 +-1787 -2531 +1310 -501 +3996 1513 +873 -8181 +-4415 -4959 +-2067 3289 +-343 322 +-3144 539 +-1191 3198 +1374 5834 +1170 -1170 +3088 -1980 +2475 4019 +-401 -1340 +-343 3632 +-1643 2030 +-2460 -6285 +28 480 +686 -3168 +2890 -2365 +5352 7444 +190 2582 +-1998 -60 +3952 1241 +4228 206 +-1212 2016 +-3511 0 +-1212 -2016 +4228 -206 +3952 -1241 +-1998 60 +190 -2582 +5352 -7444 +2890 2365 +686 3168 +28 -480 +-2460 6285 +-1643 -2030 +-343 -3632 +-401 1340 +2475 -4019 +3088 1980 +1170 1170 +1374 -5834 +-1191 -3198 +-3144 -539 +-343 -322 +-2067 -3289 +-4415 4959 +873 8181 +3996 -1513 +1310 501 +-1787 2531 +-4114 3647 +-1998 3370 +608 1626 +-2202 2555 +-1732 -4372 +-2341 -3511 +-2434 -3473 +-4899 923 +1716 1381 +6352 -3118 +1771 824 +4344 1368 +-2519 -1732 +-2825 -828 +4187 1875 +-1359 2307 +1029 -1989 +491 945 +-4703 3757 +1526 -332 +772 1226 +-4681 0 +772 -1226 +1526 332 +-4703 -3757 +491 -945 +1029 1989 +-1359 -2307 +4187 -1875 +-2825 828 +-2519 1732 +4344 -1368 +1771 -824 +6352 3118 +1716 -1381 +-4899 -923 +-2434 3473 +-2341 3511 +2675 4512 +1333 -1175 +256 -1392 +3294 6428 +-2818 2653 +-5628 -3412 +-3569 -3318 +485 828 +110 3873 +-667 2270 +4342 3318 +-775 2365 +-1594 3446 +5349 6700 +778 1249 +-4681 0 +778 -1249 +5349 -6700 +-1594 -3446 +-775 -2365 +4342 -3318 +-667 -2270 +110 -3873 +485 -828 +-3569 3318 +-5628 3412 +-2818 -2653 +3294 -6428 +256 1392 +1333 1175 +2675 -4512 +-2341 -3511 +-2434 -3473 +-4899 923 +1716 1381 +6352 -3118 +1771 824 +4344 1368 +-2519 -1732 +-2825 -828 +4187 1875 +-1359 2307 +1029 -1989 +491 945 +-4703 3757 +1526 -332 +772 1226 +-2341 1170 +1840 -4488 +-3952 2777 +-3505 4254 +-1461 60 +1866 -1364 +6549 -2928 +-3797 1 +-2825 2483 +-212 1166 +-3805 -1507 +2999 -4358 +2357 322 +1923 3868 +1206 -1187 +-1912 -2775 +0 0 +-1912 2775 +1206 1187 +1923 -3868 +2357 -322 +2999 4358 +-3805 1507 +-212 -1166 +-2825 -2483 +-3797 -1 +6549 2928 +1866 1364 +-1461 -60 +-3505 -4254 +-3952 -2777 +1840 4488 +-2341 -1170 +1327 -4161 +3741 831 +-3270 -3774 +-194 -3370 +875 768 +-391 -2471 +1859 -1029 +485 -2483 +-418 -5504 +5638 789 +8011 451 +-702 -3632 +-2280 -77 +375 113 +-5307 -2564 +-9362 0 +-5307 2564 +375 -113 +-2280 77 +-702 3632 +8011 -451 +5638 -789 +-418 5504 +485 2483 +1859 1029 +-391 2471 +875 -768 +-194 3370 +-3270 3774 +3741 -831 +1327 4161 +-2341 1170 +1840 -4488 +-3952 2777 +-3505 4254 +-1461 60 +1866 -1364 +6549 -2928 +-3797 1 +-2825 2483 +-212 1166 +-3805 -1507 +2999 -4358 +2357 322 +1923 3868 +1206 -1187 +-1912 -2775 +-1170 -3511 +-1910 -378 +-2050 1025 +3560 -1128 +7248 -722 +-483 -1320 +-2108 -114 +5731 -2894 +1655 -4138 +648 559 +2374 1491 +659 1950 +2654 89 +-5859 -1107 +-6600 -1348 +-1090 -5857 +-3511 0 +-1090 5857 +-6600 1348 +-5859 1107 +2654 -89 +659 -1950 +2374 -1491 +648 -559 +1655 4138 +5731 2894 +-2108 114 +-483 1320 +7248 722 +3560 1128 +-2050 -1025 +-1910 378 +-1170 3511 +-211 -130 +353 2971 +-2813 3679 +2398 -1618 +3885 561 +-351 -571 +4840 -923 +-1655 4138 +-5375 3259 +2025 -805 +-1255 -1191 +-2938 2251 +-4314 -1444 +-3004 -2648 +3988 6364 +5851 0 +3988 -6364 +-3004 2648 +-4314 1444 +-2938 -2251 +-1255 1191 +2025 805 +-5375 -3259 +-1655 -4138 +4840 923 +-351 571 +3885 -561 +2398 1618 +-2813 -3679 +353 -2971 +-211 130 +-1170 -3511 +-1910 -378 +-2050 1025 +3560 -1128 +7248 -722 +-483 -1320 +-2108 -114 +5731 -2894 +1655 -4138 +648 559 +2374 1491 +659 1950 +2654 89 +-5859 -1107 +-6600 -1348 +-1090 -5857 +-4681 1170 +-959 987 +844 1033 +-146 -1947 +-1130 231 +-4552 -409 +995 -4048 +1148 -2036 +-969 -3653 +1910 -4671 +-1489 -242 +3285 2208 +9038 3205 +1413 -2441 +-4242 -5684 +-2099 1432 +0 0 +-2099 -1432 +-4242 5684 +1413 2441 +9038 -3205 +3285 -2208 +-1489 242 +1910 4671 +-969 3653 +1148 2036 +995 4048 +-4552 409 +-1130 -231 +-146 1947 +844 -1033 +-959 -987 +-4681 -1170 +-959 -3194 +-844 82 +-146 3237 +-2180 2393 +-4552 265 +-995 651 +1148 1758 +5651 1312 +1910 4037 +1489 2897 +3285 537 +-5728 4101 +1413 -2741 +4242 -5873 +-2099 5366 +0 0 +-2099 -5366 +4242 5873 +1413 2741 +-5728 -4101 +3285 -537 +1489 -2897 +1910 -4037 +5651 -1312 +1148 -1758 +-995 -651 +-4552 -265 +-2180 -2393 +-146 -3237 +-844 -82 +-959 3194 +-4681 1170 +-959 987 +844 1033 +-146 -1947 +-1130 231 +-4552 -409 +995 -4048 +1148 -2036 +-969 -3653 +1910 -4671 +-1489 -242 +3285 2208 +9038 3205 +1413 -2441 +-4242 -5684 +-2099 1432 +0 3511 +-4264 -3130 +-3707 7893 +-795 1756 +-702 -2239 +-1468 2271 +205 1385 +1875 4038 +1170 828 +307 -278 +397 -530 +-427 -2343 +-1461 5406 +-286 4852 +1455 2028 +944 7286 +0 0 +944 -7286 +1455 -2028 +-286 -4852 +-1461 -5406 +-427 2343 +397 530 +307 278 +1170 -828 +1875 -4038 +205 -1385 +-1468 -2271 +-702 2239 +-795 -1756 +-3707 -7893 +-4264 3130 +0 -3511 +2559 -8684 +-111 2548 +-1401 2312 +2357 2239 +4310 1135 +554 -643 +-2008 40 +1170 -828 +4151 1705 +2154 1272 +-623 228 +-194 -5406 +691 -2428 +-948 8413 +-3563 850 +-4681 0 +-3563 -850 +-948 -8413 +691 2428 +-194 5406 +-623 -228 +2154 -1272 +4151 -1705 +1170 828 +-2008 -40 +554 643 +4310 -1135 +2357 -2239 +-1401 -2312 +-111 -2548 +2559 8684 +0 3511 +-4264 -3130 +-3707 7893 +-795 1756 +-702 -2239 +-1468 2271 +205 1385 +1875 4038 +1170 828 +307 -278 +397 -530 +-427 -2343 +-1461 5406 +-286 4852 +1455 2028 +944 7286 +1170 -1170 +825 -3795 +-3123 -3086 +-1312 3456 +-1910 -76 +-4609 3495 +2774 2164 +4978 -7804 +485 -2967 +364 1567 +-473 1384 +-4677 -1075 +-3681 -2857 +1419 -766 +-371 -2705 +-193 -1780 +3511 0 +-193 1780 +-371 2705 +1419 766 +-3681 2857 +-4677 1075 +-473 -1384 +364 -1567 +485 2967 +4978 7804 +2774 -2164 +-4609 -3495 +-1910 76 +-1312 -3456 +-3123 3086 +825 3795 +1170 1170 +2783 1928 +1468 -2135 +1571 1047 +8531 6412 +1243 3356 +-1119 -2535 +5226 1407 +-2825 5308 +-2157 -750 +2128 -1755 +-2902 -2872 +-2939 -170 +-3975 3834 +-1284 -2516 +1414 -2236 +-1170 0 +1414 2236 +-1284 2516 +-3975 -3834 +-2939 170 +-2902 2872 +2128 1755 +-2157 750 +-2825 -5308 +5226 -1407 +-1119 2535 +1243 -3356 +8531 -6412 +1571 -1047 +1468 2135 +2783 -1928 +1170 -1170 +825 -3795 +-3123 -3086 +-1312 3456 +-1910 -76 +-4609 3495 +2774 2164 +4978 -7804 +485 -2967 +364 1567 +-473 1384 +-4677 -1075 +-3681 -2857 +1419 -766 +-371 -2705 +-193 -1780 +2341 -2341 +2223 5550 +715 6140 +-2463 523 +-791 8046 +-1381 5153 +-5282 -933 +3220 1323 +1998 -686 +-2444 304 +5803 666 +4333 -2620 +-3079 114 +-4560 2330 +1200 -456 +1535 -2085 +-3511 0 +1535 2085 +1200 456 +-4560 -2330 +-3079 -114 +4333 2620 +5803 -666 +-2444 -304 +1998 686 +3220 -1323 +-5282 933 +-1381 -5153 +-791 -8046 +-2463 -523 +715 -6140 +2223 -5550 +2341 2341 +121 -1863 +-1086 -353 +-4056 -1163 +105 -2395 +4857 7004 +62 4572 +2186 -2521 +343 -3996 +-3235 -1922 +-583 4344 +-2469 2460 +-917 856 +-881 696 +-829 -1748 +3014 2610 +3511 0 +3014 -2610 +-829 1748 +-881 -696 +-917 -856 +-2469 -2460 +-583 -4344 +-3235 1922 +343 3996 +2186 2521 +62 -4572 +4857 -7004 +105 2395 +-4056 1163 +-1086 353 +121 1863 +2341 -2341 +2223 5550 +715 6140 +-2463 523 +-791 8046 +-1381 5153 +-5282 -933 +3220 1323 +1998 -686 +-2444 304 +5803 666 +4333 -2620 +-3079 114 +-4560 2330 +1200 -456 +1535 -2085 +-2341 -2341 +1421 -2144 +96 942 +-2131 -187 +-21 -2311 +3484 -424 +1643 925 +-234 5142 +1998 6620 +-421 -1763 +-2625 -6304 +2114 798 +1372 401 +-1771 -616 +2111 3828 +-340 -1270 +-5851 0 +-340 1270 +2111 -3828 +-1771 616 +1372 -401 +2114 -798 +-2625 6304 +-421 1763 +1998 -6620 +-234 -5142 +1643 -925 +3484 424 +-21 2311 +-2131 187 +96 -942 +1421 2144 +-2341 2341 +-4633 2549 +-443 -4493 +-471 -4305 +-3975 3281 +1870 -2875 +7185 -1972 +2801 -3330 +343 -6620 +388 -749 +-1522 -7415 +-1352 -2305 +-2057 5250 +-1744 -2084 +2917 -1327 +1019 -2650 +-3511 0 +1019 2650 +2917 1327 +-1744 2084 +-2057 -5250 +-1352 2305 +-1522 7415 +388 749 +343 6620 +2801 3330 +7185 1972 +1870 2875 +-3975 -3281 +-471 4305 +-443 4493 +-4633 -2549 +-2341 -2341 +1421 -2144 +96 942 +-2131 -187 +-21 -2311 +3484 -424 +1643 925 +-234 5142 +1998 6620 +-421 -1763 +-2625 -6304 +2114 798 +1372 401 +-1771 -616 +2111 3828 +-340 -1270 +2341 -3511 +-2281 -1725 +-2421 3528 +-32 -2023 +-5266 -3655 +-999 95 +-1009 1895 +-4597 -332 +485 828 +-3489 5535 +-336 -2029 +6893 -1676 +224 3644 +-1830 -2084 +-4161 1410 +-1022 6007 +7022 0 +-1022 -6007 +-4161 -1410 +-1830 2084 +224 -3644 +6893 1676 +-336 2029 +-3489 -5535 +485 -828 +-4597 332 +-1009 -1895 +-999 -95 +-5266 3655 +-32 2023 +-2421 -3528 +-2281 1725 +2341 3511 +-1378 558 +977 -606 +5565 -1323 +2642 4624 +-880 1644 +-3494 -3298 +-5125 -341 +-2825 -828 +474 -1883 +158 -2684 +4139 1624 +7082 2006 +384 -3053 +925 -1799 +4177 -2850 +2341 0 +4177 2850 +925 1799 +384 3053 +7082 -2006 +4139 -1624 +158 2684 +474 1883 +-2825 828 +-5125 341 +-3494 3298 +-880 -1644 +2642 -4624 +5565 1323 +977 606 +-1378 -558 +2341 -3511 +-2281 -1725 +-2421 3528 +-32 -2023 +-5266 -3655 +-999 95 +-1009 1895 +-4597 -332 +485 828 +-3489 5535 +-336 -2029 +6893 -1676 +224 3644 +-1830 -2084 +-4161 1410 +-1022 6007 +1170 -4681 +665 2572 +4662 6485 +764 -1843 +-933 -2208 +-3953 1409 +-6001 4212 +-497 8342 +-5308 1170 +-1326 -6719 +2737 -322 +-2438 2852 +1744 -1490 +-2462 -217 +43 1629 +2672 -379 +-4681 0 +2672 379 +43 -1629 +-2462 217 +1744 1490 +-2438 -2852 +2737 322 +-1326 6719 +-5308 -1170 +-497 -8342 +-6001 -4212 +-3953 -1409 +-933 2208 +764 1843 +4662 -6485 +665 -2572 +1170 4681 +990 -890 +-2871 -159 +-2419 4200 +-37 -417 +2298 222 +1676 4479 +2152 3169 +2967 1170 +2981 -201 +1588 1022 +783 -591 +3907 -5815 +807 461 +-1834 6069 +-1017 -389 +-2341 0 +-1017 389 +-1834 -6069 +807 -461 +3907 5815 +783 591 +1588 -1022 +2981 201 +2967 -1170 +2152 -3169 +1676 -4479 +2298 -222 +-37 417 +-2419 -4200 +-2871 159 +990 890 +1170 -4681 +665 2572 +4662 6485 +764 -1843 +-933 -2208 +-3953 1409 +-6001 4212 +-497 8342 +-5308 1170 +-1326 -6719 +2737 -322 +-2438 2852 +1744 -1490 +-2462 -217 +43 1629 +2672 -379 +-1170 -1170 +-1322 1337 +-950 3202 +-2285 -1599 +-2677 -3826 +3735 76 +-1129 3876 +-3996 -718 +1170 -1312 +3358 1222 +2681 -1742 +669 1243 +1781 761 +1274 -2798 +3397 1390 +4331 1531 +1170 0 +4331 -1531 +3397 -1390 +1274 2798 +1781 -761 +669 -1243 +2681 1742 +3358 -1222 +1170 1312 +-3996 718 +-1129 -3876 +3735 -76 +-2677 3826 +-2285 1599 +-950 -3202 +-1322 -1337 +-1170 1170 +-4198 -1382 +1087 2018 +-2872 1993 +-3943 -1139 +5441 -1117 +-1541 -3505 +-1695 2602 +1170 3653 +-3545 -4020 +3298 2113 +7216 2398 +4839 -5726 +62 -1489 +-6843 3830 +-6173 3105 +-3511 0 +-6173 -3105 +-6843 -3830 +62 1489 +4839 5726 +7216 -2398 +3298 -2113 +-3545 4020 +1170 -3653 +-1695 -2602 +-1541 3505 +5441 1117 +-3943 1139 +-2872 -1993 +1087 -2018 +-4198 1382 +-1170 -1170 +-1322 1337 +-950 3202 +-2285 -1599 +-2677 -3826 +3735 76 +-1129 3876 +-3996 -718 +1170 -1312 +3358 1222 +2681 -1742 +669 1243 +1781 761 +1274 -2798 +3397 1390 +4331 1531 +3511 -4681 +3919 -2455 +-1529 -822 +2523 2403 +37 553 +-9497 -969 +-2946 -2115 +2002 -2395 +343 4480 +-4501 2691 +-1430 -2343 +2762 1471 +-3907 -165 +1606 871 +798 1873 +-5519 -6179 +-2341 0 +-5519 6179 +798 -1873 +1606 -871 +-3907 165 +2762 -1471 +-1430 2343 +-4501 -2691 +343 -4480 +2002 2395 +-2946 2115 +-9497 969 +37 -553 +2523 -2403 +-1529 822 +3919 2455 +3511 4681 +4277 3259 +1529 -4069 +-2111 -3305 +933 -1238 +-807 -1808 +2946 638 +5488 -2040 +1998 -2140 +3904 2657 +1430 -504 +-4418 -1294 +-1744 4160 +3322 3923 +-798 1227 +-2949 783 +0 0 +-2949 -783 +-798 -1227 +3322 -3923 +-1744 -4160 +-4418 1294 +1430 504 +3904 -2657 +1998 2140 +5488 2040 +2946 -638 +-807 1808 +933 1238 +-2111 3305 +1529 4069 +4277 -3259 +3511 -4681 +3919 -2455 +-1529 -822 +2523 2403 +37 553 +-9497 -969 +-2946 -2115 +2002 -2395 +343 4480 +-4501 2691 +-1430 -2343 +2762 1471 +-3907 -165 +1606 871 +798 1873 +-5519 -6179 +7022 -3511 +-1980 -2142 +-4237 3116 +-104 -1161 +1102 2115 +1205 -3233 +2777 -2279 +590 4460 +-4480 -2483 +-5203 -1752 +-2176 -947 +-955 430 +-1820 5257 +-2348 3944 +-1889 3852 +-716 583 +0 0 +-716 -583 +-1889 -3852 +-2348 -3944 +-1820 -5257 +-955 -430 +-2176 947 +-5203 1752 +-4480 2483 +590 -4460 +2777 2279 +1205 3233 +1102 -2115 +-104 1161 +-4237 -3116 +-1980 2142 +7022 3511 +8177 -3248 +1000 820 +-211 -1556 +2894 3536 +-127 6643 +-2955 -4225 +1050 168 +2140 2483 +-2553 -4564 +-2327 -2247 +2411 -1849 +2505 -4288 +130 1751 +444 3395 +635 -3677 +0 0 +635 3677 +444 -3395 +130 -1751 +2505 4288 +2411 1849 +-2327 2247 +-2553 4564 +2140 -2483 +1050 -168 +-2955 4225 +-127 -6643 +2894 -3536 +-211 1556 +1000 -820 +8177 3248 +7022 -3511 +-1980 -2142 +-4237 3116 +-104 -1161 +1102 2115 +1205 -3233 +2777 -2279 +590 4460 +-4480 -2483 +-5203 -1752 +-2176 -947 +-955 430 +-1820 5257 +-2348 3944 +-1889 3852 +-716 583 +-2341 -5851 +-110 532 +439 -2448 +-4242 -2379 +-1380 -1149 +4974 -7852 +3886 -1512 +2731 -4091 +-686 -7648 +-6013 5309 +-2231 1430 +2082 -157 +663 2542 +-663 219 +-2094 4229 +785 -1343 +4681 0 +785 1343 +-2094 -4229 +-663 -219 +663 -2542 +2082 157 +-2231 -1430 +-6013 -5309 +-686 7648 +2731 4091 +3886 1512 +4974 7852 +-1380 1149 +-4242 2379 +439 2448 +-110 -532 +-2341 5851 +-1295 4919 +439 -4098 +1895 -4504 +411 2804 +1596 781 +3886 -1620 +-2035 2313 +-3996 627 +216 -1629 +-2231 -2623 +-1017 -2169 +4988 -887 +1995 386 +-2094 526 +-898 -3730 +0 0 +-898 3730 +-2094 -526 +1995 -386 +4988 887 +-1017 2169 +-2231 2623 +216 1629 +-3996 -627 +-2035 -2313 +3886 1620 +1596 -781 +411 -2804 +1895 4504 +439 4098 +-1295 -4919 +-2341 -5851 +-110 532 +439 -2448 +-4242 -2379 +-1380 -1149 +4974 -7852 +3886 -1512 +2731 -4091 +-686 -7648 +-6013 5309 +-2231 1430 +2082 -157 +663 2542 +-663 219 +-2094 4229 +785 -1343 +-8192 -3511 +1190 -1204 +-114 -2800 +-1470 202 +-656 2267 +-1754 -2738 +2073 95 +1977 4718 +2825 343 +137 -3302 +3267 221 +7751 3816 +-2056 1812 +1162 943 +3507 1043 +-1146 -1712 +1170 0 +-1146 1712 +3507 -1043 +1162 -943 +-2056 -1812 +7751 -3816 +3267 -221 +137 3302 +2825 -343 +1977 -4718 +2073 -95 +-1754 2738 +-656 -2267 +-1470 -202 +-114 2800 +1190 1204 +-8192 3511 +-5209 1071 +-1871 -1122 +-2234 848 +4936 -2953 +1566 542 +-1523 4078 +1128 -2099 +-485 1998 +-709 1596 +865 -8719 +-1446 -4221 +-6905 2183 +-3575 1899 +3158 1087 +2631 -2746 +1170 0 +2631 2746 +3158 -1087 +-3575 -1899 +-6905 -2183 +-1446 4221 +865 8719 +-709 -1596 +-485 -1998 +1128 2099 +-1523 -4078 +1566 -542 +4936 2953 +-2234 -848 +-1871 1122 +-5209 -1071 +-8192 -3511 +1190 -1204 +-114 -2800 +-1470 202 +-656 2267 +-1754 -2738 +2073 95 +1977 4718 +2825 343 +137 -3302 +3267 221 +7751 3816 +-2056 1812 +1162 943 +3507 1043 +-1146 -1712 +-3511 2341 +-3086 3754 +-2353 3658 +-232 -2110 +7033 -3048 +247 90 +-2261 -676 +2934 1377 +-142 0 +-2570 -2025 +1500 3758 +5495 494 +3324 -7002 +1419 -2108 +976 676 +1018 -2996 +2341 0 +1018 2996 +976 -676 +1419 2108 +3324 7002 +5495 -494 +1500 -3758 +-2570 2025 +-142 0 +2934 -1377 +-2261 676 +247 -90 +7033 3048 +-232 2110 +-2353 -3658 +-3086 -3754 +-3511 -2341 +1874 1216 +-3805 2814 +-5362 -1520 +-3037 -3572 +-2491 -4633 +680 319 +-583 6194 +4823 0 +4544 946 +-1290 5248 +-1460 -1455 +-2638 381 +2383 2060 +-2809 -3566 +-4132 -683 +4681 0 +-4132 683 +-2809 3566 +2383 -2060 +-2638 -381 +-1460 1455 +-1290 -5248 +4544 -946 +4823 0 +-583 -6194 +680 -319 +-2491 4633 +-3037 3572 +-5362 1520 +-3805 -2814 +1874 -1216 +-3511 2341 +-3086 3754 +-2353 3658 +-232 -2110 +7033 -3048 +247 90 +-2261 -676 +2934 1377 +-142 0 +-2570 -2025 +1500 3758 +5495 494 +3324 -7002 +1419 -2108 +976 676 +1018 -2996 +4681 -4681 +2855 -2478 +3513 -1375 +-320 -57 +1007 -291 +652 361 +-5404 2625 +-3492 4169 +-2483 485 +1291 -3664 +-3219 2536 +-4821 4640 +7365 -3527 +-1566 -581 +-5080 3324 +-643 -3234 +-5851 0 +-643 3234 +-5080 -3324 +-1566 581 +7365 3527 +-4821 -4640 +-3219 -2536 +1291 3664 +-2483 -485 +-3492 -4169 +-5404 -2625 +652 -361 +1007 291 +-320 57 +3513 1375 +2855 2478 +4681 4681 +2819 5281 +1781 -1725 +2369 -4440 +-2946 976 +-2118 1699 +1545 223 +2042 -808 +2483 -2825 +936 -610 +-4223 -1060 +-3138 -4099 +3936 -469 +2323 1186 +1725 1567 +812 5023 +-3511 0 +812 -5023 +1725 -1567 +2323 -1186 +3936 469 +-3138 4099 +-4223 1060 +936 610 +2483 2825 +2042 808 +1545 -223 +-2118 -1699 +-2946 -976 +2369 4440 +1781 1725 +2819 -5281 +4681 -4681 +2855 -2478 +3513 -1375 +-320 -57 +1007 -291 +652 361 +-5404 2625 +-3492 4169 +-2483 485 +1291 -3664 +-3219 2536 +-4821 4640 +7365 -3527 +-1566 -581 +-5080 3324 +-643 -3234 +-3511 0 +-4242 3344 +2716 1372 +1756 2197 +-225 80 +2696 -537 +156 -1531 +819 -6527 +-343 485 +-3800 2019 +3561 -6572 +280 -4072 +-7598 1694 +-67 -703 +-698 465 +-3663 6696 +0 0 +-3663 -6696 +-698 -465 +-67 703 +-7598 -1694 +280 4072 +3561 6572 +-3800 -2019 +-343 -485 +819 6527 +156 1531 +2696 537 +-225 -80 +1756 -2197 +2716 -1372 +-4242 -3344 +-3511 0 +932 -37 +1416 -612 +1554 1156 +1195 605 +615 6058 +-2140 1023 +-4129 -4003 +-1998 -2825 +490 -5691 +3104 2755 +3030 1686 +1947 -5689 +3377 -3852 +1248 -3015 +353 3469 +2341 0 +353 -3469 +1248 3015 +3377 3852 +1947 5689 +3030 -1686 +3104 -2755 +490 5691 +-1998 2825 +-4129 4003 +-2140 -1023 +615 -6058 +1195 -605 +1554 -1156 +1416 612 +932 37 +-3511 0 +-4242 3344 +2716 1372 +1756 2197 +-225 80 +2696 -537 +156 -1531 +819 -6527 +-343 485 +-3800 2019 +3561 -6572 +280 -4072 +-7598 1694 +-67 -703 +-698 465 +-3663 6696 +1170 3511 +-2207 2611 +3529 1249 +-1441 3487 +-1433 -3341 +1234 -1429 +-4099 2127 +872 -4062 +2825 -3653 +-592 -36 +390 2629 +-5957 2069 +-4862 3586 +5414 3572 +3319 -1662 +-367 1206 +1170 0 +-367 -1206 +3319 1662 +5414 -3572 +-4862 -3586 +-5957 -2069 +390 -2629 +-592 36 +2825 3653 +872 4062 +-4099 -2127 +1234 1429 +-1433 3341 +-1441 -3487 +3529 -1249 +-2207 -2611 +1170 -3511 +5332 1366 +-219 1851 +-1037 14 +-908 -654 +2169 1978 +789 -4975 +-4493 -8284 +-485 1312 +-1630 7 +-3700 -4106 +-252 -4683 +2521 -2901 +6491 -491 +-9 -3230 +-3535 -895 +1170 0 +-3535 895 +-9 3230 +6491 491 +2521 2901 +-252 4683 +-3700 4106 +-1630 -7 +-485 -1312 +-4493 8284 +789 4975 +2169 -1978 +-908 654 +-1037 -14 +-219 -1851 +5332 -1366 +1170 3511 +-2207 2611 +3529 1249 +-1441 3487 +-1433 -3341 +1234 -1429 +-4099 2127 +872 -4062 +2825 -3653 +-592 -36 +390 2629 +-5957 2069 +-4862 3586 +5414 3572 +3319 -1662 +-367 1206 +2341 2341 +-4687 -1026 +329 -555 +1332 -3257 +-1356 953 +-802 4438 +-536 -3991 +3388 19 +-828 6821 +686 -1142 +689 -903 +-6227 5115 +1440 3116 +-218 3255 +-4585 3045 +-589 -1037 +-1170 0 +-589 1037 +-4585 -3045 +-218 -3255 +1440 -3116 +-6227 -5115 +689 903 +686 1142 +-828 -6821 +3388 -19 +-536 3991 +-802 -4438 +-1356 -953 +1332 3257 +329 555 +-4687 1026 +2341 -2341 +287 -1724 +-5295 2000 +-2969 -352 +-985 4012 +4634 6095 +5501 -511 +3030 -1009 +828 201 +531 1522 +4276 1081 +877 -2573 +-3781 1849 +-3246 1127 +-381 -6282 +3973 -3085 +5851 0 +3973 3085 +-381 6282 +-3246 -1127 +-3781 -1849 +877 2573 +4276 -1081 +531 -1522 +828 -201 +3030 1009 +5501 511 +4634 -6095 +-985 -4012 +-2969 352 +-5295 -2000 +287 1724 +2341 2341 +-4687 -1026 +329 -555 +1332 -3257 +-1356 953 +-802 4438 +-536 -3991 +3388 19 +-828 6821 +686 -1142 +689 -903 +-6227 5115 +1440 3116 +-218 3255 +-4585 3045 +-589 -1037 +2341 0 +6256 1287 +4677 898 +-1487 -5264 +-322 679 +68 3298 +-5072 -1880 +-2151 1205 +-828 -4196 +-4893 -4851 +1040 2039 +3968 1135 +-3370 2124 +-2432 -718 +3091 -5298 +1390 -1927 +-1170 0 +1390 1927 +3091 5298 +-2432 718 +-3370 -2124 +3968 -1135 +1040 -2039 +-4893 4851 +-828 4196 +-2151 -1205 +-5072 1880 +68 -3298 +-322 -679 +-1487 5264 +4677 -898 +6256 -1287 +2341 0 +-1359 5512 +-1366 6333 +3467 81 +3632 1946 +553 -553 +1762 1017 +1845 -1840 +828 -7506 +-917 4573 +-4350 3150 +-2056 -1280 +60 5182 +-2081 2008 +219 -143 +-170 -280 +-3511 0 +-170 280 +219 143 +-2081 -2008 +60 -5182 +-2056 1280 +-4350 -3150 +-917 -4573 +828 7506 +1845 1840 +1762 -1017 +553 553 +3632 -1946 +3467 -81 +-1366 -6333 +-1359 -5512 +2341 0 +6256 1287 +4677 898 +-1487 -5264 +-322 679 +68 3298 +-5072 -1880 +-2151 1205 +-828 -4196 +-4893 -4851 +1040 2039 +3968 1135 +-3370 2124 +-2432 -718 +3091 -5298 +1390 -1927 +4681 -3511 +5114 291 +1936 1912 +-1881 -820 +-469 5225 +3647 2443 +1707 -1522 +-1366 3324 +-5450 2483 +-978 -75 +3239 1903 +-1758 2337 +291 -1534 +392 -1839 +-426 2006 +-1058 1350 +-4681 0 +-1058 -1350 +-426 -2006 +392 1839 +291 1534 +-1758 -2337 +3239 -1903 +-978 75 +-5450 -2483 +-1366 -3324 +1707 1522 +3647 -2443 +-469 -5225 +-1881 820 +1936 -1912 +5114 -291 +4681 3511 +2350 -3391 +2018 -1227 +-5019 3667 +-3527 1796 +803 -966 +-5136 -2473 +2779 1567 +7790 -2483 +2876 -4817 +190 2092 +-6003 -3814 +-976 -5488 +3198 -1009 +-3528 -2692 +-3096 1750 +0 0 +-3096 -1750 +-3528 2692 +3198 1009 +-976 5488 +-6003 3814 +190 -2092 +2876 4817 +7790 2483 +2779 -1567 +-5136 2473 +803 966 +-3527 -1796 +-5019 -3667 +2018 1227 +2350 3391 +4681 -3511 +5114 291 +1936 1912 +-1881 -820 +-469 5225 +3647 2443 +1707 -1522 +-1366 3324 +-5450 2483 +-978 -75 +3239 1903 +-1758 2337 +291 -1534 +392 -1839 +-426 2006 +-1058 1350 +-1170 -1170 +-1468 232 +2608 273 +645 1766 +30 3341 +-1613 2010 +-725 -772 +-818 1120 +-1170 4338 +3545 -1353 +3448 -539 +-391 5743 +1940 -3586 +6271 -5653 +759 5892 +-2165 5316 +1170 0 +-2165 -5316 +759 -5892 +6271 5653 +1940 3586 +-391 -5743 +3448 539 +3545 1353 +-1170 -4338 +-818 -1120 +-725 772 +-1613 -2010 +30 -3341 +645 -1766 +2608 -273 +-1468 -232 +-1170 1170 +-842 972 +-8977 2219 +520 4775 +5621 654 +1652 -1407 +5302 -1229 +-1375 -2704 +-1170 2683 +1454 2723 +-1404 -2834 +1129 1060 +-2909 2901 +-1592 2411 +-1011 4591 +-4951 1585 +-3511 0 +-4951 -1585 +-1011 -4591 +-1592 -2411 +-2909 -2901 +1129 -1060 +-1404 2834 +1454 -2723 +-1170 -2683 +-1375 2704 +5302 1229 +1652 1407 +5621 -654 +520 -4775 +-8977 -2219 +-842 -972 +-1170 -1170 +-1468 232 +2608 273 +645 1766 +30 3341 +-1613 2010 +-725 -772 +-818 1120 +-1170 4338 +3545 -1353 +3448 -539 +-391 5743 +1940 -3586 +6271 -5653 +759 5892 +-2165 5316 +1170 5851 +1978 -3638 +-1767 -631 +-761 -3739 +2162 -6622 +128 3334 +2577 -4718 +1709 -9443 +485 1998 +-1951 3389 +-3237 -1014 +1374 -3976 +-896 -1664 +-1595 -374 +-820 -2097 +136 2427 +3511 0 +136 -2427 +-820 2097 +-1595 374 +-896 1664 +1374 3976 +-3237 1014 +-1951 -3389 +485 -1998 +1709 9443 +2577 4718 +128 -3334 +2162 6622 +-761 3739 +-1767 631 +1978 3638 +1170 -5851 +2518 -2049 +1631 4417 +1505 -863 +-2162 1657 +-6755 691 +93 -2126 +1365 1128 +-2825 343 +-5448 1627 +-2743 3533 +3462 -263 +896 -3301 +2643 -3129 +4266 -3480 +-307 -4146 +-1170 0 +-307 4146 +4266 3480 +2643 3129 +896 3301 +3462 263 +-2743 -3533 +-5448 -1627 +-2825 -343 +1365 -1128 +93 2126 +-6755 -691 +-2162 -1657 +1505 863 +1631 -4417 +2518 2049 +1170 5851 +1978 -3638 +-1767 -631 +-761 -3739 +2162 -6622 +128 3334 +2577 -4718 +1709 -9443 +485 1998 +-1951 3389 +-3237 -1014 +1374 -3976 +-896 -1664 +-1595 -374 +-820 -2097 +136 2427 +1170 -1170 +3317 -3260 +4734 2479 +-221 -1406 +-4988 -738 +-1376 -2617 +-1073 -6500 +875 1568 +5851 343 +2272 -2028 +1790 2670 +4490 -30 +1380 -2446 +-91 2118 +-1183 4355 +-930 606 +1170 0 +-930 -606 +-1183 -4355 +-91 -2118 +1380 2446 +4490 30 +1790 -2670 +2272 2028 +5851 -343 +875 -1568 +-1073 6500 +-1376 2617 +-4988 738 +-221 1406 +4734 -2479 +3317 3260 +1170 1170 +-2181 -2955 +-4017 562 +-4594 651 +-663 1424 +4084 -191 +-2534 -2167 +-3204 -3624 +5851 1998 +2591 4298 +-2864 -8027 +-1082 -4569 +-411 -1550 +-1210 -4663 +-4215 1996 +-2740 -2496 +1170 0 +-2740 2496 +-4215 -1996 +-1210 4663 +-411 1550 +-1082 4569 +-2864 8027 +2591 -4298 +5851 -1998 +-3204 3624 +-2534 2167 +4084 191 +-663 -1424 +-4594 -651 +-4017 -562 +-2181 2955 +1170 -1170 +3317 -3260 +4734 2479 +-221 -1406 +-4988 -738 +-1376 -2617 +-1073 -6500 +875 1568 +5851 343 +2272 -2028 +1790 2670 +4490 -30 +1380 -2446 +-91 2118 +-1183 4355 +-930 606 +2341 2341 +255 -607 +-1251 526 +1708 -3201 +21 5072 +1715 8198 +244 2774 +-5683 2100 +-4338 -2341 +-4385 719 +-320 5546 +3657 3868 +-1372 925 +1385 -2416 +3869 -1923 +1007 -1750 +1170 0 +1007 1750 +3869 1923 +1385 2416 +-1372 -925 +3657 -3868 +-320 -5546 +-4385 -719 +-4338 2341 +-5683 -2100 +244 -2774 +1715 -8198 +21 -5072 +1708 3201 +-1251 -526 +255 607 +2341 -2341 +-2555 -1364 +-2703 5261 +2732 -3297 +3975 -4102 +1099 1779 +3185 865 +2620 -2463 +-2683 -2341 +-1978 4614 +-3109 -535 +-628 -3673 +2057 4725 +-5050 2118 +84 -282 +4100 2732 +-1170 0 +4100 -2732 +84 282 +-5050 -2118 +2057 -4725 +-628 3673 +-3109 535 +-1978 -4614 +-2683 2341 +2620 2463 +3185 -865 +1099 -1779 +3975 4102 +2732 3297 +-2703 -5261 +-2555 1364 +2341 2341 +255 -607 +-1251 526 +1708 -3201 +21 5072 +1715 8198 +244 2774 +-5683 2100 +-4338 -2341 +-4385 719 +-320 5546 +3657 3868 +-1372 925 +1385 -2416 +3869 -1923 +1007 -1750 +-1170 1170 +-1215 606 +413 1680 +-980 -1846 +-7122 -733 +-968 -3171 +4865 -2947 +683 -1181 +-1655 -2483 +-3441 2494 +1368 -924 +294 473 +-4942 8357 +4120 1706 +2841 -32 +-1119 4285 +3511 0 +-1119 -4285 +2841 32 +4120 -1706 +-4942 -8357 +294 -473 +1368 924 +-3441 -2494 +-1655 2483 +683 1181 +4865 2947 +-968 3171 +-7122 733 +-980 1846 +413 -1680 +-1215 -606 +-1170 -1170 +1704 -1419 +4090 3330 +-656 2484 +786 5012 +1590 4391 +-1628 -2840 +3850 -3855 +1655 2483 +-4402 6220 +77 3128 +2394 4800 +1916 603 +826 -3636 +-2663 3671 +-2680 2032 +-1170 0 +-2680 -2032 +-2663 -3671 +826 3636 +1916 -603 +2394 -4800 +77 -3128 +-4402 -6220 +1655 -2483 +3850 3855 +-1628 2840 +1590 -4391 +786 -5012 +-656 -2484 +4090 -3330 +1704 1419 +-1170 1170 +-1215 606 +413 1680 +-980 -1846 +-7122 -733 +-968 -3171 +4865 -2947 +683 -1181 +-1655 -2483 +-3441 2494 +1368 -924 +294 473 +-4942 8357 +4120 1706 +2841 -32 +-1119 4285 +2341 0 +2226 2387 +3191 -1631 +2500 -2544 +-448 2176 +2516 10244 +-529 4827 +-3044 820 +-828 6821 +3756 1864 +4259 -1141 +-386 625 +-1081 -3579 +-3662 -1119 +-622 920 +988 -3600 +-3511 0 +988 3600 +-622 -920 +-3662 1119 +-1081 3579 +-386 -625 +4259 1141 +3756 -1864 +-828 -6821 +-3044 -820 +-529 -4827 +2516 -10244 +-448 -2176 +2500 2544 +3191 1631 +2226 -2387 +2341 0 +-6316 2301 +-4458 -6868 +1248 -575 +448 6501 +812 -2951 +-2529 -905 +-1844 1520 +828 201 +1132 3366 +-1201 -989 +-2943 -2338 +1081 -1788 +-86 -1644 +1888 3253 +3102 1816 +-1170 0 +3102 -1816 +1888 -3253 +-86 1644 +1081 1788 +-2943 2338 +-1201 989 +1132 -3366 +828 -201 +-1844 -1520 +-2529 905 +812 2951 +448 -6501 +1248 575 +-4458 6868 +-6316 -2301 +2341 0 +2226 2387 +3191 -1631 +2500 -2544 +-448 2176 +2516 10244 +-529 4827 +-3044 820 +-828 6821 +3756 1864 +4259 -1141 +-386 625 +-1081 -3579 +-3662 -1119 +-622 920 +988 -3600 +4681 1170 +965 404 +-4366 -301 +-2255 18 +-3168 2736 +540 355 +4232 1999 +1220 5059 +-2825 3168 +923 2638 +1424 770 +-2192 1742 +-1513 2103 +-4537 -3481 +1849 -809 +5620 5251 +0 0 +5620 -5251 +1849 809 +-4537 3481 +-1513 -2103 +-2192 -1742 +1424 -770 +923 -2638 +-2825 -3168 +1220 -5059 +4232 -1999 +540 -355 +-3168 -2736 +-2255 -18 +-4366 301 +965 -404 +4681 -1170 +-4263 -2554 +-421 -1683 +1156 -5071 +-3168 574 +2486 6386 +2178 -2548 +-343 -920 +485 1513 +5836 -1808 +6777 3362 +-2352 1689 +-1513 1207 +535 1738 +-2311 -5857 +-3337 -4090 +-4681 0 +-3337 4090 +-2311 5857 +535 -1738 +-1513 -1207 +-2352 -1689 +6777 -3362 +5836 1808 +485 -1513 +-343 920 +2178 2548 +2486 -6386 +-3168 -574 +1156 5071 +-421 1683 +-4263 2554 +4681 1170 +965 404 +-4366 -301 +-2255 18 +-3168 2736 +540 355 +4232 1999 +1220 5059 +-2825 3168 +923 2638 +1424 770 +-2192 1742 +-1513 2103 +-4537 -3481 +1849 -809 +5620 5251 +-2341 -2341 +250 1245 +-395 232 +-2142 4272 +-3810 976 +-4792 -3030 +-3019 28 +3006 -1520 +3854 201 +-2642 1689 +-1021 1265 +1767 2524 +-3296 -469 +-2249 -3455 +2189 1226 +690 7087 +-1170 0 +690 -7087 +2189 -1226 +-2249 3455 +-3296 469 +1767 -2524 +-1021 -1265 +-2642 -1689 +3854 -201 +3006 1520 +-3019 -28 +-4792 3030 +-3810 -976 +-2142 -4272 +-395 -232 +250 -1245 +-2341 2341 +244 2852 +5497 528 +-1256 1037 +-4181 -291 +-293 2313 +-4616 -536 +-521 3654 +5509 6821 +157 -6028 +2036 -5083 +3318 -2884 +1925 -3527 +5647 -242 +-670 -3777 +-1184 -100 +5851 0 +-1184 100 +-670 3777 +5647 242 +1925 3527 +3318 2884 +2036 5083 +157 6028 +5509 -6821 +-521 -3654 +-4616 536 +-293 -2313 +-4181 291 +-1256 -1037 +5497 -528 +244 -2852 +-2341 -2341 +250 1245 +-395 232 +-2142 4272 +-3810 976 +-4792 -3030 +-3019 28 +3006 -1520 +3854 201 +-2642 1689 +-1021 1265 +1767 2524 +-3296 -469 +-2249 -3455 +2189 1226 +690 7087 +4681 1170 +1596 -363 +-4132 493 +774 -6140 +-2483 -3051 +-768 4594 +3674 3163 +-577 -2579 +2825 -2483 +2515 3501 +-1768 1989 +385 4436 +2483 7113 +4023 2930 +-1246 2758 +-1220 -156 +4681 0 +-1220 156 +-1246 -2758 +4023 -2930 +2483 -7113 +385 -4436 +-1768 -1989 +2515 -3501 +2825 2483 +-577 2579 +3674 -3163 +-768 -4594 +-2483 3051 +774 6140 +-4132 -493 +1596 363 +4681 -1170 +-443 -520 +2298 3283 +-1009 -1971 +-2483 -1630 +368 -912 +-3464 1711 +-1832 7985 +-485 2483 +-1624 -3938 +187 -1796 +-1000 2052 +2483 -2432 +3847 -1615 +-4912 5699 +-5034 50 +0 0 +-5034 -50 +-4912 -5699 +3847 1615 +2483 2432 +-1000 -2052 +187 1796 +-1624 3938 +-485 -2483 +-1832 -7985 +-3464 -1711 +368 912 +-2483 1630 +-1009 1971 +2298 -3283 +-443 520 +4681 1170 +1596 -363 +-4132 493 +774 -6140 +-2483 -3051 +-768 4594 +3674 3163 +-577 -2579 +2825 -2483 +2515 3501 +-1768 1989 +385 4436 +2483 7113 +4023 2930 +-1246 2758 +-1220 -156 +-3511 2341 +4362 -2910 +-838 3363 +-1356 4269 +2640 -2453 +-4967 1793 +-651 1891 +4952 -1331 +5993 -1170 +2316 -2709 +-5273 -3759 +1432 -7282 +2126 -4423 +-4023 1654 +1592 -1481 +4386 -2672 +2341 0 +4386 2672 +1592 1481 +-4023 -1654 +2126 4423 +1432 7282 +-5273 3759 +2316 2709 +5993 1170 +4952 1331 +-651 -1891 +-4967 -1793 +2640 2453 +-1356 -4269 +-838 -3363 +4362 2910 +-3511 -2341 +-406 -1888 +1807 1722 +-115 2324 +3011 3139 +-1095 -1425 +-5000 -4190 +-4888 -2403 +1028 -1170 +4241 -2815 +-377 -1850 +-1990 3325 +-3095 427 +-1126 615 +-622 3255 +-1722 -3918 +0 0 +-1722 3918 +-622 -3255 +-1126 -615 +-3095 -427 +-1990 -3325 +-377 1850 +4241 2815 +1028 1170 +-4888 2403 +-5000 4190 +-1095 1425 +3011 -3139 +-115 -2324 +1807 -1722 +-406 1888 +-3511 2341 +4362 -2910 +-838 3363 +-1356 4269 +2640 -2453 +-4967 1793 +-651 1891 +4952 -1331 +5993 -1170 +2316 -2709 +-5273 -3759 +1432 -7282 +2126 -4423 +-4023 1654 +1592 -1481 +4386 -2672 +3511 -2341 +3551 2662 +1248 5429 +-296 4864 +-997 -2483 +-1891 -647 +4590 456 +6314 -4146 +-1998 -2825 +662 -5602 +3344 329 +408 4952 +903 -2483 +-1093 685 +1745 2873 +-242 -2180 +-7022 0 +-242 2180 +1745 -2873 +-1093 -685 +903 2483 +408 -4952 +3344 -329 +662 5602 +-1998 2825 +6314 4146 +4590 -456 +-1891 647 +-997 2483 +-296 -4864 +1248 -5429 +3551 -2662 +3511 2341 +-462 -352 +-2606 -3816 +-2604 926 +-3684 -2483 +2889 2201 +5774 5314 +-94 -2702 +-343 485 +752 2065 +904 760 +-2924 -88 +-5584 -2483 +-1109 1794 +-5636 3421 +-3861 1180 +4681 0 +-3861 -1180 +-5636 -3421 +-1109 -1794 +-5584 2483 +-2924 88 +904 -760 +752 -2065 +-343 -485 +-94 2702 +5774 -5314 +2889 -2201 +-3684 2483 +-2604 -926 +-2606 3816 +-462 352 +3511 -2341 +3551 2662 +1248 5429 +-296 4864 +-997 -2483 +-1891 -647 +4590 456 +6314 -4146 +-1998 -2825 +662 -5602 +3344 329 +408 4952 +903 -2483 +-1093 685 +1745 2873 +-242 -2180 +8192 -2341 +-3563 2343 +-3533 4527 +1690 2353 +-380 -485 +-1329 -2298 +-2865 -732 +3642 -1925 +828 -3996 +-6240 876 +-4695 -27 +-2606 -5941 +1909 -2825 +-941 -1293 +-533 -2346 +1462 1557 +-2341 0 +1462 -1557 +-533 2346 +-941 1293 +1909 2825 +-2606 5941 +-4695 27 +-6240 -876 +828 3996 +3642 1925 +-2865 732 +-1329 2298 +-380 485 +1690 -2353 +-3533 -4527 +-3563 -2343 +8192 2341 +-529 2235 +-970 483 +7076 -297 +-1275 -485 +-181 -946 +-371 -5055 +-4914 -5291 +-828 -686 +1668 -456 +3250 2231 +1310 4216 +-254 -2825 +1601 -1752 +355 5986 +1852 4036 +4681 0 +1852 -4036 +355 -5986 +1601 1752 +-254 2825 +1310 -4216 +3250 -2231 +1668 456 +-828 686 +-4914 5291 +-371 5055 +-181 946 +-1275 485 +7076 297 +-970 -483 +-529 -2235 +8192 -2341 +-3563 2343 +-3533 4527 +1690 2353 +-380 -485 +-1329 -2298 +-2865 -732 +3642 -1925 +828 -3996 +-6240 876 +-4695 -27 +-2606 -5941 +1909 -2825 +-941 -1293 +-533 -2346 +1462 1557 +-2341 -1170 +-1201 1232 +-1597 3352 +214 3979 +-633 -1550 +589 -4153 +593 -513 +-5995 -1119 +0 -2683 +-1894 -3432 +-6839 -1350 +1257 3082 +1529 -738 +2292 -3109 +-2208 -645 +-2917 -2674 +4681 0 +-2917 2674 +-2208 645 +2292 3109 +1529 738 +1257 -3082 +-6839 1350 +-1894 3432 +0 2683 +-5995 1119 +593 513 +589 4153 +-633 1550 +214 -3979 +-1597 -3352 +-1201 -1232 +-2341 1170 +357 526 +1073 -549 +781 131 +633 -2446 +901 2315 +6790 6374 +1754 -3007 +0 -4338 +6136 -546 +-544 -2720 +-2747 2056 +-1529 1424 +-3288 -8408 +2733 -6483 +3761 702 +0 0 +3761 -702 +2733 6483 +-3288 8408 +-1529 -1424 +-2747 -2056 +-544 2720 +6136 546 +0 4338 +1754 3007 +6790 -6374 +901 -2315 +633 2446 +781 -131 +1073 549 +357 -526 +-2341 -1170 +-1201 1232 +-1597 3352 +214 3979 +-633 -1550 +589 -4153 +593 -513 +-5995 -1119 +0 -2683 +-1894 -3432 +-6839 -1350 +1257 3082 +1529 -738 +2292 -3109 +-2208 -645 +-2917 -2674 +-1170 -1170 +-2188 1878 +273 -1586 +-2525 869 +-1073 -754 +971 217 +120 785 +845 -287 +0 828 +1826 -114 +-717 1503 +-2984 -2682 +3760 -4980 +3052 -4487 +431 -6425 +2995 1043 +3511 0 +2995 -1043 +431 6425 +3052 4487 +3760 4980 +-2984 2682 +-717 -1503 +1826 114 +0 -828 +845 287 +120 -785 +971 -217 +-1073 754 +-2525 -869 +273 1586 +-2188 -1878 +-1170 1170 +887 7439 +623 -2771 +4821 -5783 +2728 4466 +-1427 2645 +-2283 -6596 +-2791 -3067 +0 -828 +121 -350 +2879 5358 +3440 -3462 +-5415 -5351 +-5348 -70 +-1326 -3984 +-1695 1802 +-1170 0 +-1695 -1802 +-1326 3984 +-5348 70 +-5415 5351 +3440 3462 +2879 -5358 +121 350 +0 828 +-2791 3067 +-2283 6596 +-1427 -2645 +2728 -4466 +4821 5783 +623 2771 +887 -7439 +-1170 -1170 +-2188 1878 +273 -1586 +-2525 869 +-1073 -754 +971 217 +120 785 +845 -287 +0 828 +1826 -114 +-717 1503 +-2984 -2682 +3760 -4980 +3052 -4487 +431 -6425 +2995 1043 +1170 2341 +730 4575 +-757 1351 +3848 -4639 +1111 1998 +-4409 2105 +-3862 -1097 +-1372 3640 +343 485 +-4263 -324 +-1923 3293 +5081 3625 +1492 -343 +-317 -3548 +-493 -1218 +-1419 -1967 +0 0 +-1419 1967 +-493 1218 +-317 3548 +1492 343 +5081 -3625 +-1923 -3293 +-4263 324 +343 -485 +-1372 -3640 +-3862 1097 +-4409 -2105 +1111 -1998 +3848 4639 +-757 -1351 +730 -4575 +1170 -2341 +1532 1524 +4785 -488 +3452 -4284 +4540 1998 +2194 -3609 +-3056 -4343 +-1384 2801 +1998 -2825 +4486 2441 +-2461 3939 +-8982 -3337 +-2462 -343 +-866 -3583 +-1595 -3970 +1691 3741 +2341 0 +1691 -3741 +-1595 3970 +-866 3583 +-2462 343 +-8982 3337 +-2461 -3939 +4486 -2441 +1998 2825 +-1384 -2801 +-3056 4343 +2194 3609 +4540 -1998 +3452 4284 +4785 488 +1532 -1524 +1170 2341 +730 4575 +-757 1351 +3848 -4639 +1111 1998 +-4409 2105 +-3862 -1097 +-1372 3640 +343 485 +-4263 -324 +-1923 3293 +5081 3625 +1492 -343 +-317 -3548 +-493 -1218 +-1419 -1967 +1170 -4681 +-6098 471 +-5910 2218 +-1634 -2128 +1065 -2276 +788 -6382 +3383 -984 +5044 2771 +828 0 +-454 3607 +2995 -1230 +5666 330 +2087 1499 +-3791 -4459 +-1154 43 +1520 -670 +0 0 +1520 670 +-1154 -43 +-3791 4459 +2087 -1499 +5666 -330 +2995 1230 +-454 -3607 +828 0 +5044 -2771 +3383 984 +788 6382 +1065 2276 +-1634 2128 +-5910 -2218 +-6098 -471 +1170 4681 +4273 2235 +-636 -266 +1817 -2548 +1961 1307 +-3734 -1324 +-251 -6070 +522 633 +-828 0 +731 -1217 +-4188 2168 +86 -2934 +4249 -7150 +-5818 -1735 +-3601 538 +1082 -4258 +-2341 0 +1082 4258 +-3601 -538 +-5818 1735 +4249 7150 +86 2934 +-4188 -2168 +731 1217 +-828 0 +522 -633 +-251 6070 +-3734 1324 +1961 -1307 +1817 2548 +-636 266 +4273 -2235 +1170 -4681 +-6098 471 +-5910 2218 +-1634 -2128 +1065 -2276 +788 -6382 +3383 -984 +5044 2771 +828 0 +-454 3607 +2995 -1230 +5666 330 +2087 1499 +-3791 -4459 +-1154 43 +1520 -670 +3511 -1170 +98 5238 +-1004 -183 +3498 -6285 +-2341 4967 +-2833 1513 +599 -3964 +897 787 +3511 -1998 +516 -562 +4303 -2690 +6392 -5322 +-2341 9 +1014 -507 +2101 -3962 +-2356 -5293 +-1170 0 +-2356 5293 +2101 3962 +1014 507 +-2341 -9 +6392 5322 +4303 2690 +516 562 +3511 1998 +897 -787 +599 3964 +-2833 -1513 +-2341 -4967 +3498 6285 +-1004 183 +98 -5238 +3511 1170 +-771 1267 +-1917 554 +-3550 1416 +-2341 -3312 +-513 -9258 +-2002 -1256 +2261 3905 +3511 -343 +651 1286 +410 -2530 +-1255 -3521 +-2341 1646 +-2753 3903 +-2490 4333 +-1296 -1532 +-1170 0 +-1296 1532 +-2490 -4333 +-2753 -3903 +-2341 -1646 +-1255 3521 +410 2530 +651 -1286 +3511 343 +2261 -3905 +-2002 1256 +-513 9258 +-2341 3312 +-3550 -1416 +-1917 -554 +-771 -1267 +3511 -1170 +98 5238 +-1004 -183 +3498 -6285 +-2341 4967 +-2833 1513 +599 -3964 +897 787 +3511 -1998 +516 -562 +4303 -2690 +6392 -5322 +-2341 9 +1014 -507 +2101 -3962 +-2356 -5293 +-2341 2341 +-1034 3182 +-1036 3003 +3963 -3153 +1470 -976 +-4456 6139 +-978 3204 +-4745 1122 +-4823 -1170 +-2531 -5263 +-1242 200 +1446 4055 +955 469 +6288 529 +364 2508 +183 1791 +10533 0 +183 -1791 +364 -2508 +6288 -529 +955 -469 +1446 -4055 +-1242 -200 +-2531 5263 +-4823 1170 +-4745 -1122 +-978 -3204 +-4456 -6139 +1470 976 +3963 3153 +-1036 -3003 +-1034 -3182 +-2341 -2341 +1951 -778 +-230 814 +-3307 -309 +1841 291 +-2198 -3007 +-2080 -2445 +1722 3832 +142 -1170 +3021 -5410 +4300 -2750 +-908 -1072 +-4265 3527 +-827 -261 +903 -2001 +1433 7589 +3511 0 +1433 -7589 +903 2001 +-827 261 +-4265 -3527 +-908 1072 +4300 2750 +3021 5410 +142 1170 +1722 -3832 +-2080 2445 +-2198 3007 +1841 -291 +-3307 309 +-230 -814 +1951 778 +-2341 2341 +-1034 3182 +-1036 3003 +3963 -3153 +1470 -976 +-4456 6139 +-978 3204 +-4745 1122 +-4823 -1170 +-2531 -5263 +-1242 200 +1446 4055 +955 469 +6288 529 +364 2508 +183 1791 +0 0 +2221 -3302 +824 -1928 +8 3106 +-3861 -2288 +3173 -934 +2895 2442 +-5208 1569 +-343 1655 +-2412 -3179 +-2133 1048 +5206 1786 +338 -3184 +-790 3701 +553 3519 +-488 -2484 +1170 0 +-488 2484 +553 -3519 +-790 -3701 +338 3184 +5206 -1786 +-2133 -1048 +-2412 3179 +-343 -1655 +-5208 -1569 +2895 -2442 +3173 934 +-3861 2288 +8 -3106 +824 1928 +2221 3302 +0 0 +-4469 -2101 +2276 -3471 +3266 127 +835 -1022 +3293 -1501 +-47 -1368 +-2992 -3243 +-1998 -1655 +-605 -1805 +656 -4655 +-1504 -7531 +-6674 -126 +-6031 2841 +4338 -4237 +7333 391 +3511 0 +7333 -391 +4338 4237 +-6031 -2841 +-6674 126 +-1504 7531 +656 4655 +-605 1805 +-1998 1655 +-2992 3243 +-47 1368 +3293 1501 +835 1022 +3266 -127 +2276 3471 +-4469 2101 +0 0 +2221 -3302 +824 -1928 +8 3106 +-3861 -2288 +3173 -934 +2895 2442 +-5208 1569 +-343 1655 +-2412 -3179 +-2133 1048 +5206 1786 +338 -3184 +-790 3701 +553 3519 +-488 -2484 +3511 2341 +232 4004 +-710 645 +4903 -3667 +-642 2563 +-592 2423 +2032 -3944 +-1458 -3447 +828 969 +1443 1681 +3954 -4375 +3305 -126 +-1783 4176 +3304 482 +-627 752 +-7108 -2314 +-4681 0 +-7108 2314 +-627 -752 +3304 -482 +-1783 -4176 +3305 126 +3954 4375 +1443 -1681 +828 -969 +-1458 3447 +2032 3944 +-592 -2423 +-642 -2563 +4903 3667 +-710 -645 +232 -4004 +3511 -2341 +-2897 -1341 +-4763 -4091 +-4378 -2863 +-1013 3088 +2742 -2585 +382 -2036 +-444 -561 +-828 -5651 +-46 3316 +251 1705 +-1369 -6508 +3438 -3207 +5326 -4122 +-520 -889 +-2964 4620 +-2341 0 +-2964 -4620 +-520 889 +5326 4122 +3438 3207 +-1369 6508 +251 -1705 +-46 -3316 +-828 5651 +-444 561 +382 2036 +2742 2585 +-1013 -3088 +-4378 2863 +-4763 4091 +-2897 1341 +3511 2341 +232 4004 +-710 645 +4903 -3667 +-642 2563 +-592 2423 +2032 -3944 +-1458 -3447 +828 969 +1443 1681 +3954 -4375 +3305 -126 +-1783 4176 +3304 482 +-627 752 +-7108 -2314 +0 -1170 +784 -2067 +3047 5077 +-784 -1906 +-1461 -4055 +-2176 -3326 +-2792 -7003 +-447 526 +-2825 5509 +-983 1685 +2102 507 +1829 3775 +2357 364 +-2021 -1297 +-1621 3660 +2538 56 +2341 0 +2538 -56 +-1621 -3660 +-2021 1297 +2357 -364 +1829 -3775 +2102 -507 +-983 -1685 +-2825 -5509 +-447 -526 +-2792 7003 +-2176 3326 +-1461 4055 +-784 1906 +3047 -5077 +784 2067 +0 1170 +-345 1501 +-2540 3929 +-78 -6938 +-194 -626 +2393 6733 +5342 530 +1847 -194 +485 3854 +-2209 9445 +-1343 2383 +2279 -2516 +-702 4317 +-1442 -333 +-2197 -4017 +-1186 813 +2341 0 +-1186 -813 +-2197 4017 +-1442 333 +-702 -4317 +2279 2516 +-1343 -2383 +-2209 -9445 +485 -3854 +1847 194 +5342 -530 +2393 -6733 +-194 626 +-78 6938 +-2540 -3929 +-345 -1501 +0 -1170 +784 -2067 +3047 5077 +-784 -1906 +-1461 -4055 +-2176 -3326 +-2792 -7003 +-447 526 +-2825 5509 +-983 1685 +2102 507 +1829 3775 +2357 364 +-2021 -1297 +-1621 3660 +2538 56 +-2341 3511 +1479 3821 +-1212 2276 +-591 4684 +-68 -574 +-1965 -5704 +5199 337 +3772 -2421 +-485 -4138 +-2671 -1220 +-3806 -2757 +1166 1625 +-2990 -1207 +-3403 -2943 +2785 3589 +-2000 -751 +-7022 0 +-2000 751 +2785 -3589 +-3403 2943 +-2990 1207 +1166 -1625 +-3806 2757 +-2671 1220 +-485 4138 +3772 2421 +5199 -337 +-1965 5704 +-68 574 +-591 -4684 +-1212 -2276 +1479 -3821 +-2341 -3511 +450 1051 +1972 6625 +573 3229 +1723 -2736 +-2061 -170 +-4692 -1877 +-1377 268 +2825 4138 +-1515 -5110 +-12 -5402 +7184 1270 +1335 -2103 +-904 -2979 +-234 -1307 +1863 -2433 +7022 0 +1863 2433 +-234 1307 +-904 2979 +1335 2103 +7184 -1270 +-12 5402 +-1515 5110 +2825 -4138 +-1377 -268 +-4692 1877 +-2061 170 +1723 2736 +573 -3229 +1972 -6625 +450 -1051 +-2341 3511 +1479 3821 +-1212 2276 +-591 4684 +-68 -574 +-1965 -5704 +5199 337 +3772 -2421 +-485 -4138 +-2671 -1220 +-3806 -2757 +1166 1625 +-2990 -1207 +-3403 -2943 +2785 3589 +-2000 -751 +1170 2341 +-3629 -1277 +2771 732 +8606 1040 +-37 5773 +-2074 2410 +403 -8298 +-15 -2015 +-3653 -1856 +-2107 -5224 +-269 3642 +-1258 989 +3907 -536 +1884 732 +-551 -2516 +144 3532 +-2341 0 +144 -3532 +-551 2516 +1884 -732 +3907 536 +-1258 -989 +-269 -3642 +-2107 5224 +-3653 1856 +-15 2015 +403 8298 +-2074 -2410 +-37 -5773 +8606 -1040 +2771 -732 +-3629 1277 +1170 -2341 +-3977 -4716 +-2771 -943 +2727 -1978 +-933 -6459 +-2650 -4452 +-403 2140 +4097 -427 +1312 -5166 +-1702 -3418 +269 -1809 +641 2665 +1744 4531 +-1258 1283 +551 935 +569 257 +-4681 0 +569 -257 +551 -935 +-1258 -1283 +1744 -4531 +641 -2665 +269 1809 +-1702 3418 +1312 5166 +4097 427 +-403 -2140 +-2650 4452 +-933 6459 +2727 1978 +-2771 943 +-3977 4716 +1170 2341 +-3629 -1277 +2771 732 +8606 1040 +-37 5773 +-2074 2410 +403 -8298 +-15 -2015 +-3653 -1856 +-2107 -5224 +-269 3642 +-1258 989 +3907 -536 +1884 732 +-551 -2516 +144 3532 +3511 1170 +3632 -2574 +-2175 -3506 +-1538 2109 +-2864 2885 +-3448 -319 +2377 -1004 +3842 1837 +-686 544 +-4746 392 +-1027 4817 +556 -1163 +-565 807 +5297 3553 +3336 -2980 +1634 3440 +5851 0 +1634 -3440 +3336 2980 +5297 -3553 +-565 -807 +556 1163 +-1027 -4817 +-4746 -392 +-686 -544 +3842 -1837 +2377 1004 +-3448 319 +-2864 -2885 +-1538 -2109 +-2175 3506 +3632 2574 +3511 -1170 +-222 -2397 +13 -2473 +1306 -3618 +4519 -544 +-643 7511 +-3272 1140 +1079 -278 +-3996 8819 +-2708 3553 +1923 -1371 +-2582 -4738 +-1090 -3147 +1052 4448 +-1173 311 +-2511 -2147 +-3511 0 +-2511 2147 +-1173 -311 +1052 -4448 +-1090 3147 +-2582 4738 +1923 1371 +-2708 -3553 +-3996 -8819 +1079 278 +-3272 -1140 +-643 -7511 +4519 544 +1306 3618 +13 2473 +-222 2397 +3511 1170 +3632 -2574 +-2175 -3506 +-1538 2109 +-2864 2885 +-3448 -319 +2377 -1004 +3842 1837 +-686 544 +-4746 392 +-1027 4817 +556 -1163 +-565 807 +5297 3553 +3336 -2980 +1634 3440 +0 1170 +2856 -1945 +-2045 3002 +-6708 4952 +-2425 7022 +-1084 -2667 +-1874 -8329 +1951 4920 +1655 3653 +-388 672 +1664 3645 +-284 1425 +-2796 4944 +775 1878 +2444 -1089 +660 5717 +0 0 +660 -5717 +2444 1089 +775 -1878 +-2796 -4944 +-284 -1425 +1664 -3645 +-388 -672 +1655 -3653 +1951 -4920 +-1874 8329 +-1084 2667 +-2425 -7022 +-6708 -4952 +-2045 -3002 +2856 1945 +0 -1170 +-255 -834 +2045 143 +2116 -3474 +2425 3593 +1997 110 +1874 -5271 +1942 -1179 +-1655 -1312 +-3504 179 +-1664 2048 +-629 5024 +2796 990 +3817 -756 +-2444 4802 +-3260 -2024 +0 0 +-3260 2024 +-2444 -4802 +3817 756 +2796 -990 +-629 -5024 +-1664 -2048 +-3504 -179 +-1655 1312 +1942 1179 +1874 5271 +1997 -110 +2425 -3593 +2116 3474 +2045 -143 +-255 834 +0 1170 +2856 -1945 +-2045 3002 +-6708 4952 +-2425 7022 +-1084 -2667 +-1874 -8329 +1951 4920 +1655 3653 +-388 672 +1664 3645 +-284 1425 +-2796 4944 +775 1878 +2444 -1089 +660 5717 +7022 -5851 +3335 -1427 +-1079 1969 +466 1113 +537 4333 +471 -783 +-279 2929 +-3488 2546 +-2341 -3653 +820 2001 +1186 -502 +2214 -404 +2699 -1520 +3825 -4894 +5365 327 +-2127 -4285 +-9362 0 +-2127 4285 +5365 -327 +3825 4894 +2699 1520 +2214 404 +1186 502 +820 -2001 +-2341 3653 +-3488 -2546 +-279 -2929 +471 783 +537 -4333 +466 -1113 +-1079 -1969 +3335 1427 +7022 5851 +147 -1826 +-3634 1163 +516 3645 +1804 -2678 +2351 -611 +3201 1826 +94 -1182 +-2341 1312 +-2527 526 +-798 -6044 +2598 885 +-359 3175 +-5821 -4455 +-3962 866 +-2874 4937 +-4681 0 +-2874 -4937 +-3962 -866 +-5821 4455 +-359 -3175 +2598 -885 +-798 6044 +-2527 -526 +-2341 -1312 +94 1182 +3201 -1826 +2351 611 +1804 2678 +516 -3645 +-3634 -1163 +147 1826 +7022 -5851 +3335 -1427 +-1079 1969 +466 1113 +537 4333 +471 -783 +-279 2929 +-3488 2546 +-2341 -3653 +820 2001 +1186 -502 +2214 -404 +2699 -1520 +3825 -4894 +5365 327 +-2127 -4285 +4681 3511 +-1825 -178 +-106 2331 +2573 4860 +-2752 -3490 +-846 -3200 +-2873 2086 +-5386 -184 +-1655 -4338 +3553 -2288 +1801 399 +-7294 -7 +-7328 4883 +-3600 2191 +505 -2355 +3545 5131 +2341 0 +3545 -5131 +505 2355 +-3600 -2191 +-7328 -4883 +-7294 7 +1801 -399 +3553 2288 +-1655 4338 +-5386 184 +-2873 -2086 +-846 3200 +-2752 3490 +2573 -4860 +-106 -2331 +-1825 178 +4681 -3511 +3494 1129 +5893 1875 +1720 -161 +-960 464 +5036 61 +-766 3386 +739 -5 +1655 -2683 +-3230 2099 +3209 -1547 +1313 -3132 +-3003 1454 +1098 2507 +1699 -59 +-889 -4180 +-2341 0 +-889 4180 +1699 59 +1098 -2507 +-3003 -1454 +1313 3132 +3209 1547 +-3230 -2099 +1655 2683 +739 5 +-766 -3386 +5036 -61 +-960 -464 +1720 161 +5893 -1875 +3494 -1129 +4681 3511 +-1825 -178 +-106 2331 +2573 4860 +-2752 -3490 +-846 -3200 +-2873 2086 +-5386 -184 +-1655 -4338 +3553 -2288 +1801 399 +-7294 -7 +-7328 4883 +-3600 2191 +505 -2355 +3545 5131 +0 1170 +153 3911 +-2773 3618 +-2496 2710 +388 157 +-3269 -2940 +-3861 -3889 +420 3054 +-3996 -343 +-554 -7899 +2149 715 +-3004 1264 +1403 -4608 +2221 -638 +3464 192 +-1722 -1123 +-11703 0 +-1722 1123 +3464 -192 +2221 638 +1403 4608 +-3004 -1264 +2149 -715 +-554 7899 +-3996 343 +420 -3054 +-3861 3889 +-3269 2940 +388 -157 +-2496 -2710 +-2773 -3618 +153 -3911 +0 -1170 +856 5755 +2580 3807 +-998 768 +2922 528 +4366 -2129 +85 -750 +4482 -2430 +-686 -1998 +-1037 1392 +6308 -3983 +-1404 -3765 +-4713 612 +-2037 63 +1410 -759 +4023 -2963 +2341 0 +4023 2963 +1410 759 +-2037 -63 +-4713 -612 +-1404 3765 +6308 3983 +-1037 -1392 +-686 1998 +4482 2430 +85 750 +4366 2129 +2922 -528 +-998 -768 +2580 -3807 +856 -5755 +0 1170 +153 3911 +-2773 3618 +-2496 2710 +388 157 +-3269 -2940 +-3861 -3889 +420 3054 +-3996 -343 +-554 -7899 +2149 715 +-3004 1264 +1403 -4608 +2221 -638 +3464 192 +-1722 -1123 +-2341 1170 +2300 -2186 +2686 -2959 +2488 -29 +-262 -2817 +-2229 2090 +-168 -501 +2405 -6382 +3310 343 +-1038 1685 +-1444 -2994 +-1239 -8335 +-3691 -3797 +252 3232 +-619 605 +-166 2923 +4681 0 +-166 -2923 +-619 -605 +252 -3232 +-3691 3797 +-1239 8335 +-1444 2994 +-1038 -1685 +3310 -343 +2405 6382 +-168 501 +-2229 -2090 +-262 2817 +2488 29 +2686 2959 +2300 2186 +-2341 -1170 +-189 5440 +3682 4985 +-425 -2924 +262 -1179 +-2319 2428 +-4409 -3064 +570 -155 +-3310 1998 +-4471 -5837 +-600 -3882 +-330 -240 +3691 4482 +3801 3324 +871 -1889 +589 6595 +0 0 +589 -6595 +871 1889 +3801 -3324 +3691 -4482 +-330 240 +-600 3882 +-4471 5837 +-3310 -1998 +570 155 +-4409 3064 +-2319 -2428 +262 1179 +-425 2924 +3682 -4985 +-189 -5440 +-2341 1170 +2300 -2186 +2686 -2959 +2488 -29 +-262 -2817 +-2229 2090 +-168 -501 +2405 -6382 +3310 343 +-1038 1685 +-1444 -2994 +-1239 -8335 +-3691 -3797 +252 3232 +-619 605 +-166 2923 +-2341 1170 +-1009 246 +2245 1619 +3610 3087 +-589 2087 +2063 -3257 +2042 1515 +2940 3109 +5651 -2683 +-3769 3175 +-2200 -754 +201 -7692 +-8224 -1961 +-2040 1525 +755 1157 +1139 -1811 +7022 0 +1139 1811 +755 -1157 +-2040 -1525 +-8224 1961 +201 7692 +-2200 754 +-3769 -3175 +5651 2683 +2940 -3109 +2042 -1515 +2063 3257 +-589 -2087 +3610 -3087 +2245 -1619 +-1009 -246 +-2341 -1170 +-3133 -1590 +1751 -2515 +1305 -1068 +-3123 4249 +-2386 -2775 +-1356 -3677 +-2690 1814 +-969 -4338 +5310 -4369 +2885 -1408 +-4202 -873 +-2108 -1065 +1450 -2039 +3240 -2052 +1211 -5649 +-2341 0 +1211 5649 +3240 2052 +1450 2039 +-2108 1065 +-4202 873 +2885 1408 +5310 4369 +-969 4338 +-2690 -1814 +-1356 3677 +-2386 2775 +-3123 -4249 +1305 1068 +1751 2515 +-3133 1590 +-2341 1170 +-1009 246 +2245 1619 +3610 3087 +-589 2087 +2063 -3257 +2042 1515 +2940 3109 +5651 -2683 +-3769 3175 +-2200 -754 +201 -7692 +-8224 -1961 +-2040 1525 +755 1157 +1139 -1811 +-2341 -4681 +-4982 -618 +-5420 -5303 +-147 -3235 +-3341 2825 +2064 4772 +4634 775 +-1382 -1535 +2683 2341 +-74 3143 +-3665 933 +-1319 -3483 +-3586 485 +1310 3062 +2662 -2303 +-1607 2085 +-1170 0 +-1607 -2085 +2662 2303 +1310 -3062 +-3586 -485 +-1319 3483 +-3665 -933 +-74 -3143 +2683 -2341 +-1382 1535 +4634 -775 +2064 -4772 +-3341 -2825 +-147 3235 +-5420 5303 +-4982 618 +-2341 4681 +-5273 2820 +1466 -4809 +3875 183 +-654 2825 +-1137 1080 +-1205 -2623 +1683 -235 +4338 2341 +2580 -7867 +236 -4152 +1169 3136 +2901 485 +806 3668 +1292 182 +2436 -5579 +1170 0 +2436 5579 +1292 -182 +806 -3668 +2901 -485 +1169 -3136 +236 4152 +2580 7867 +4338 -2341 +1683 235 +-1205 2623 +-1137 -1080 +-654 -2825 +3875 -183 +1466 4809 +-5273 -2820 +-2341 -4681 +-4982 -618 +-5420 -5303 +-147 -3235 +-3341 2825 +2064 4772 +4634 775 +-1382 -1535 +2683 2341 +-74 3143 +-3665 933 +-1319 -3483 +-3586 485 +1310 3062 +2662 -2303 +-1607 2085 +1170 1170 +5840 342 +2214 1002 +-2930 -1285 +-2357 -1230 +-975 545 +2125 -1550 +130 -3198 +-1655 -5509 +5033 -2128 +2695 1767 +-3243 477 +194 848 +-204 2891 +-3627 -680 +-4820 -6962 +-5851 0 +-4820 6962 +-3627 680 +-204 -2891 +194 -848 +-3243 -477 +2695 -1767 +5033 2128 +-1655 5509 +130 3198 +2125 1550 +-975 -545 +-2357 1230 +-2930 1285 +2214 -1002 +5840 -342 +1170 -1170 +-2728 2404 +-4628 -2405 +-2170 -5547 +702 2199 +-2006 -1503 +-977 1939 +346 2671 +1655 -3854 +7227 1956 +2777 -4689 +-2930 -4324 +1461 4802 +1218 -3250 +-579 -4033 +2212 702 +3511 0 +2212 -702 +-579 4033 +1218 3250 +1461 -4802 +-2930 4324 +2777 4689 +7227 -1956 +1655 3854 +346 -2671 +-977 -1939 +-2006 1503 +702 -2199 +-2170 5547 +-4628 2405 +-2728 -2404 +1170 1170 +5840 342 +2214 1002 +-2930 -1285 +-2357 -1230 +-975 545 +2125 -1550 +130 -3198 +-1655 -5509 +5033 -2128 +2695 1767 +-3243 477 +194 848 +-204 2891 +-3627 -680 +-4820 -6962 +-1170 -1170 +-2864 3381 +-3287 2721 +-3438 -1076 +-2453 1344 +241 5005 +-728 -3225 +-4971 -2226 +-3310 3168 +3343 3524 +4925 5388 +2863 -6136 +4423 -3244 +5687 4034 +1385 -1942 +-3976 7281 +-5851 0 +-3976 -7281 +1385 1942 +5687 -4034 +4423 3244 +2863 6136 +4925 -5388 +3343 -3524 +-3310 -3168 +-4971 2226 +-728 3225 +241 -5005 +-2453 -1344 +-3438 1076 +-3287 -2721 +-2864 -3381 +-1170 1170 +-846 468 +-1797 -3438 +494 134 +3139 -1344 +660 -2052 +-1571 -382 +1426 -2586 +3310 1513 +1217 1685 +684 -4314 +1338 -2485 +-427 3244 +-1225 -567 +389 -3457 +51 3847 +-1170 0 +51 -3847 +389 3457 +-1225 567 +-427 -3244 +1338 2485 +684 4314 +1217 -1685 +3310 -1513 +1426 2586 +-1571 382 +660 2052 +3139 1344 +494 -134 +-1797 3438 +-846 -468 +-1170 -1170 +-2864 3381 +-3287 2721 +-3438 -1076 +-2453 1344 +241 5005 +-728 -3225 +-4971 -2226 +-3310 3168 +3343 3524 +4925 5388 +2863 -6136 +4423 -3244 +5687 4034 +1385 -1942 +-3976 7281 +4681 5851 +4250 -2427 +-784 363 +-3716 6054 +-1529 2519 +5406 -673 +5273 -635 +1987 767 +-686 1312 +-5425 469 +-1628 -3666 +2455 1034 +-633 6389 +515 -1804 +-2 -1615 +-3901 5374 +-4681 0 +-3901 -5374 +-2 1615 +515 1804 +-633 -6389 +2455 -1034 +-1628 3666 +-5425 -469 +-686 -1312 +1987 -767 +5273 635 +5406 673 +-1529 -2519 +-3716 -6054 +-784 -363 +4250 2427 +4681 -5851 +-906 -130 +2050 1663 +5410 -2362 +1529 3415 +928 -3068 +-2215 -2931 +-5952 711 +-3996 -3653 +-3346 653 +-1430 -3209 +365 -1885 +633 4227 +1878 -975 +-1265 331 +53 1075 +4681 0 +53 -1075 +-1265 -331 +1878 975 +633 -4227 +365 1885 +-1430 3209 +-3346 -653 +-3996 3653 +-5952 -711 +-2215 2931 +928 3068 +1529 -3415 +5410 2362 +2050 -1663 +-906 130 +4681 5851 +4250 -2427 +-784 363 +-3716 6054 +-1529 2519 +5406 -673 +5273 -635 +1987 767 +-686 1312 +-5425 469 +-1628 -3666 +2455 1034 +-633 6389 +515 -1804 +-2 -1615 +-3901 5374 +2341 -4681 +683 -827 +784 -2883 +666 -5068 +1738 359 +486 -251 +-3476 2221 +-2579 -847 +-4338 -6336 +-3008 1853 +-2573 4104 +-69 404 +10766 537 +4107 -991 +-2953 -49 +1171 1556 +1170 0 +1171 -1556 +-2953 49 +4107 991 +10766 -537 +-69 -404 +-2573 -4104 +-3008 -1853 +-4338 6336 +-2579 847 +-3476 -2221 +486 251 +1738 -359 +666 5068 +784 2883 +683 827 +2341 4681 +80 -1335 +-3495 2005 +-3879 5963 +318 -2699 +-520 1147 +-4085 5550 +-936 -1315 +-2683 -3026 +406 310 +5453 357 +2636 -1300 +1221 1804 +-3428 95 +984 -4139 +4183 606 +-1170 0 +4183 -606 +984 4139 +-3428 -95 +1221 -1804 +2636 1300 +5453 -357 +406 -310 +-2683 3026 +-936 1315 +-4085 -5550 +-520 -1147 +318 2699 +-3879 -5963 +-3495 -2005 +80 1335 +2341 -4681 +683 -827 +784 -2883 +666 -5068 +1738 359 +486 -251 +-3476 2221 +-2579 -847 +-4338 -6336 +-3008 1853 +-2573 4104 +-69 404 +10766 537 +4107 -991 +-2953 -49 +1171 1556 +3511 -1170 +291 -198 +1273 294 +4946 2499 +537 2953 +-5260 1654 +-2835 -1433 +-241 -3798 +-2825 -343 +-4244 209 +-3044 -348 +-838 -1950 +2699 -2183 +3423 2089 +875 2729 +1558 2229 +3511 0 +1558 -2229 +875 -2729 +3423 -2089 +2699 2183 +-838 1950 +-3044 348 +-4244 -209 +-2825 343 +-241 3798 +-2835 1433 +-5260 -1654 +537 -2953 +4946 -2499 +1273 -294 +291 198 +3511 1170 +976 -3829 +-3614 -2845 +-1888 3182 +1804 -2267 +2202 -5673 +494 -2384 +-1026 2271 +485 -1998 +2977 -4031 +703 -159 +-2220 -10480 +-359 -1812 +-365 8421 +-3215 -1969 +-292 4688 +3511 0 +-292 -4688 +-3215 1969 +-365 -8421 +-359 1812 +-2220 10480 +703 159 +2977 4031 +485 1998 +-1026 -2271 +494 2384 +2202 5673 +1804 2267 +-1888 -3182 +-3614 2845 +976 3829 +3511 -1170 +291 -198 +1273 294 +4946 2499 +537 2953 +-5260 1654 +-2835 -1433 +-241 -3798 +-2825 -343 +-4244 209 +-3044 -348 +-838 -1950 +2699 -2183 +3423 2089 +875 2729 +1558 2229 +2341 0 +2704 -1364 +-1121 4647 +563 -1762 +3257 -1804 +1387 8096 +1369 2815 +-2222 -4201 +-5993 -3026 +-2758 -1641 +-1033 -1078 +2420 1585 +3131 -359 +-2673 -4641 +753 -3792 +2701 -3575 +-1170 0 +2701 3575 +753 3792 +-2673 4641 +3131 359 +2420 -1585 +-1033 1078 +-2758 1641 +-5993 3026 +-2222 4201 +1369 -2815 +1387 -8096 +3257 1804 +563 1762 +-1121 -4647 +2704 1364 +2341 0 +-3349 -2206 +-5173 -2992 +2223 -3341 +5420 -537 +-227 -6007 +-281 -1160 +813 -68 +-1028 -6336 +-1950 1697 +-4736 -577 +-1046 -1288 +2235 2699 +-2646 -2253 +860 2137 +4060 4330 +1170 0 +4060 -4330 +860 -2137 +-2646 2253 +2235 -2699 +-1046 1288 +-4736 577 +-1950 -1697 +-1028 6336 +813 68 +-281 1160 +-227 6007 +5420 537 +2223 3341 +-5173 2992 +-3349 2206 +2341 0 +2704 -1364 +-1121 4647 +563 -1762 +3257 -1804 +1387 8096 +1369 2815 +-2222 -4201 +-5993 -3026 +-2758 -1641 +-1033 -1078 +2420 1585 +3131 -359 +-2673 -4641 +753 -3792 +2701 -3575 +-4681 2341 +1273 -1775 +344 -748 +-3342 -935 +-2251 -194 +-2865 2625 +7007 3508 +7489 4325 +-3168 2140 +-2748 -1059 +-2479 -4452 +-3219 -5591 +-722 702 +-38 525 +-977 -3112 +-317 1483 +1170 0 +-317 -1483 +-977 3112 +-38 -525 +-722 -702 +-3219 5591 +-2479 4452 +-2748 1059 +-3168 -2140 +7489 -4325 +7007 -3508 +-2865 -2625 +-2251 194 +-3342 935 +344 748 +1273 1775 +-4681 -2341 +-2320 -772 +-1450 4954 +267 838 +-89 -1461 +-1630 651 +1313 1965 +-862 2500 +-1513 -4480 +-2087 -4852 +2150 3304 +3389 -286 +-1618 -2357 +7437 3465 +3454 698 +-427 -3527 +8192 0 +-427 3527 +3454 -698 +7437 -3465 +-1618 2357 +3389 286 +2150 -3304 +-2087 4852 +-1513 4480 +-862 -2500 +1313 -1965 +-1630 -651 +-89 1461 +267 -838 +-1450 -4954 +-2320 772 +-4681 2341 +1273 -1775 +344 -748 +-3342 -935 +-2251 -194 +-2865 2625 +7007 3508 +7489 4325 +-3168 2140 +-2748 -1059 +-2479 -4452 +-3219 -5591 +-722 702 +-38 525 +-977 -3112 +-317 1483 +-1170 -2341 +2696 -565 +1135 2817 +95 886 +-4249 -285 +-3630 -941 +2299 5815 +6578 -1669 +7164 -7991 +1326 6605 +-1273 2810 +1159 47 +-1065 7276 +-2157 3268 +945 2323 +2381 172 +2341 0 +2381 -172 +945 -2323 +-2157 -3268 +-1065 -7276 +1159 -47 +-1273 -2810 +1326 -6605 +7164 7991 +6578 1669 +2299 -5815 +-3630 941 +-4249 285 +95 -886 +1135 -2817 +2696 565 +-1170 2341 +-6211 -1096 +1028 629 +2472 916 +-2087 4565 +417 4823 +-1404 165 +-1224 -82 +2199 -1371 +-563 651 +377 -140 +-480 -2637 +-1961 1685 +2124 1423 +-3107 -2186 +-4983 -2190 +0 0 +-4983 2190 +-3107 2186 +2124 -1423 +-1961 -1685 +-480 2637 +377 140 +-563 -651 +2199 1371 +-1224 82 +-1404 -165 +417 -4823 +-2087 -4565 +2472 -916 +1028 -629 +-6211 1096 +-1170 -2341 +2696 -565 +1135 2817 +95 886 +-4249 -285 +-3630 -941 +2299 5815 +6578 -1669 +7164 -7991 +1326 6605 +-1273 2810 +1159 47 +-1065 7276 +-2157 3268 +945 2323 +2381 172 +1170 1170 +-1428 -1973 +-657 -2282 +-4396 3145 +-553 1799 +7071 -7767 +1303 -3490 +4315 -395 +5651 -4823 +-453 1940 +243 3353 +-953 -1210 +-165 1522 +693 120 +777 -2798 +804 -602 +-1170 0 +804 602 +777 2798 +693 -120 +-165 -1522 +-953 1210 +243 -3353 +-453 -1940 +5651 4823 +4315 395 +1303 3490 +7071 7767 +-553 -1799 +-4396 -3145 +-657 2282 +-1428 1973 +1170 -1170 +-268 138 +-924 3905 +852 -3154 +1238 -6480 +140 -2134 +-3136 -2457 +-663 1579 +-969 142 +-4990 -3289 +-6401 62 +-1933 -2575 +4160 3159 +-1473 5986 +-567 -4942 +2684 -3766 +-1170 0 +2684 3766 +-567 4942 +-1473 -5986 +4160 -3159 +-1933 2575 +-6401 -62 +-4990 3289 +-969 -142 +-663 -1579 +-3136 2457 +140 2134 +1238 6480 +852 3154 +-924 -3905 +-268 -138 +1170 1170 +-1428 -1973 +-657 -2282 +-4396 3145 +-553 1799 +7071 -7767 +1303 -3490 +4315 -395 +5651 -4823 +-453 1940 +243 3353 +-953 -1210 +-165 1522 +693 120 +777 -2798 +804 -602 +-3511 -2341 +-3039 2437 +-323 978 +1574 454 +1909 1254 +1520 -2590 +-4950 2568 +-6256 2691 +828 -4681 +-647 341 +1107 3456 +3668 2788 +-1275 -1625 +2701 -4055 +4952 -429 +4245 -4863 +7022 0 +4245 4863 +4952 429 +2701 4055 +-1275 1625 +3668 -2788 +1107 -3456 +-647 -341 +828 4681 +-6256 -2691 +-4950 -2568 +1520 2590 +1909 -1254 +1574 -454 +-323 -978 +-3039 -2437 +-3511 2341 +555 6021 +1471 2468 +-2035 -2219 +-254 -3595 +285 -2027 +744 3412 +2096 -2933 +-828 -4681 +-1309 6394 +-3522 -786 +-2940 -3675 +-380 3966 +-4774 2143 +521 566 +4355 -2305 +0 0 +4355 2305 +521 -566 +-4774 -2143 +-380 -3966 +-2940 3675 +-3522 786 +-1309 -6394 +-828 4681 +2096 2933 +744 -3412 +285 2027 +-254 3595 +-2035 2219 +1471 -2468 +555 -6021 +-3511 -2341 +-3039 2437 +-323 978 +1574 454 +1909 1254 +1520 -2590 +-4950 2568 +-6256 2691 +828 -4681 +-647 341 +1107 3456 +3668 2788 +-1275 -1625 +2701 -4055 +4952 -429 +4245 -4863 +0 -2341 +272 -1011 +1723 4249 +10279 156 +532 1872 +-2508 130 +2331 -4700 +-3082 -4264 +142 -3511 +100 477 +-4506 456 +-299 -497 +1536 -2631 +2558 -4184 +1891 -737 +2546 -2929 +5851 0 +2546 2929 +1891 737 +2558 4184 +1536 2631 +-299 497 +-4506 -456 +100 -477 +142 3511 +-3082 4264 +2331 4700 +-2508 -130 +532 -1872 +10279 -156 +1723 -4249 +272 1011 +0 2341 +-5664 -3549 +1884 -1401 +783 745 +-5213 -1186 +541 -4593 +3068 -191 +-136 554 +-4823 -3511 +-2725 3448 +3788 2644 +-540 -2446 +-6218 -1364 +-4193 -16 +-817 2214 +2069 -616 +3511 0 +2069 616 +-817 -2214 +-4193 16 +-6218 1364 +-540 2446 +3788 -2644 +-2725 -3448 +-4823 3511 +-136 -554 +3068 191 +541 4593 +-5213 1186 +783 -745 +1884 1401 +-5664 3549 +0 -2341 +272 -1011 +1723 4249 +10279 156 +532 1872 +-2508 130 +2331 -4700 +-3082 -4264 +142 -3511 +100 477 +-4506 456 +-299 -497 +1536 -2631 +2558 -4184 +1891 -737 +2546 -2929 +-5851 -5851 +27 2693 +2755 -552 +-702 -5828 +-976 1344 +3813 -1357 +4449 -3009 +-3798 -629 +-4965 -2483 +-2704 -111 +662 -206 +2562 -3997 +-469 -3244 +2758 2922 +-2057 4121 +38 -2433 +10533 0 +38 2433 +-2057 -4121 +2758 -2922 +-469 3244 +2562 3997 +662 206 +-2704 111 +-4965 2483 +-3798 629 +4449 3009 +3813 1357 +-976 -1344 +-702 5828 +2755 552 +27 -2693 +-5851 5851 +-3082 -741 +-2248 -5099 +-1752 -1226 +291 -1344 +-1296 2294 +-1899 2039 +791 1210 +4965 2483 +610 -471 +98 1176 +2556 3060 +-3527 3244 +-1319 4132 +-1761 1529 +1498 481 +10533 0 +1498 -481 +-1761 -1529 +-1319 -4132 +-3527 -3244 +2556 -3060 +98 -1176 +610 471 +4965 -2483 +791 -1210 +-1899 -2039 +-1296 -2294 +291 1344 +-1752 1226 +-2248 5099 +-3082 741 +-5851 -5851 +27 2693 +2755 -552 +-702 -5828 +-976 1344 +3813 -1357 +4449 -3009 +-3798 -629 +-4965 -2483 +-2704 -111 +662 -206 +2562 -3997 +-469 -3244 +2758 2922 +-2057 4121 +38 -2433 +-2341 -5851 +-297 2486 +3836 -133 +-708 1416 +812 2446 +2803 1434 +-2745 1589 +-2897 -2673 +-4965 1028 +-9617 2015 +-3799 1634 +1210 4595 +1707 -1424 +1768 -1920 +-2726 2346 +494 -399 +7022 0 +494 399 +-2726 -2346 +1768 1920 +1707 1424 +1210 -4595 +-3799 -1634 +-9617 -2015 +-4965 -1028 +-2897 2673 +-2745 -1589 +2803 -1434 +812 -2446 +-708 -1416 +3836 133 +-297 -2486 +-2341 5851 +1898 1059 +-1599 -6025 +-1738 2785 +3870 1550 +1381 1510 +152 -8 +5672 -1252 +4965 5993 +-2585 1696 +-4910 -1424 +450 -133 +2974 738 +1455 1019 +2429 -513 +711 4959 +-2341 0 +711 -4959 +2429 513 +1455 -1019 +2974 -738 +450 133 +-4910 1424 +-2585 -1696 +4965 -5993 +5672 1252 +152 8 +1381 -1510 +3870 -1550 +-1738 -2785 +-1599 6025 +1898 -1059 +-2341 -5851 +-297 2486 +3836 -133 +-708 1416 +812 2446 +2803 1434 +-2745 1589 +-2897 -2673 +-4965 1028 +-9617 2015 +-3799 1634 +1210 4595 +1707 -1424 +1768 -1920 +-2726 2346 +494 -399 +1170 -2341 +4579 -2766 +-84 2228 +547 -6153 +2446 0 +-1087 5155 +-3519 2898 +-265 3080 +3168 -3026 +-33 793 +2985 1883 +6436 -4499 +1424 0 +619 3112 +-2472 864 +-3665 -547 +0 0 +-3665 547 +-2472 -864 +619 -3112 +1424 0 +6436 4499 +2985 -1883 +-33 -793 +3168 3026 +-265 -3080 +-3519 -2898 +-1087 -5155 +2446 0 +547 6153 +-84 -2228 +4579 2766 +1170 2341 +68 -5058 +3352 2874 +809 3149 +1550 0 +-1614 3052 +-3906 4737 +2263 -391 +1513 -6336 +-174 -2191 +-3552 -869 +-8060 -30 +-738 0 +2350 -5613 +-2167 -2383 +-2773 1878 +-2341 0 +-2773 -1878 +-2167 2383 +2350 5613 +-738 0 +-8060 30 +-3552 869 +-174 2191 +1513 6336 +2263 391 +-3906 -4737 +-1614 -3052 +1550 0 +809 -3149 +3352 -2874 +68 5058 +1170 -2341 +4579 -2766 +-84 2228 +547 -6153 +2446 0 +-1087 5155 +-3519 2898 +-265 3080 +3168 -3026 +-33 793 +2985 1883 +6436 -4499 +1424 0 +619 3112 +-2472 864 +-3665 -547 +-3511 0 +2450 -4016 +2571 -3647 +416 -710 +596 1872 +421 476 +-1203 140 +-601 1069 +-343 3795 +-6779 3550 +-3832 -1249 +3393 2087 +2377 -2631 +5450 -4629 +3465 3348 +-3587 -3303 +-4681 0 +-3587 3303 +3465 -3348 +5450 4629 +2377 2631 +3393 -2087 +-3832 1249 +-6779 -3550 +-343 -3795 +-601 -1069 +-1203 -140 +421 -476 +596 -1872 +416 710 +2571 3647 +2450 4016 +-3511 0 +1554 -1889 +5064 -1244 +-4619 -291 +-1566 -1186 +3414 -6435 +-315 -1617 +-220 -949 +-1998 -6135 +-1826 -477 +-1269 -1599 +-1385 -1846 +3273 -1364 +-471 -6154 +-4480 -248 +2390 3094 +7022 0 +2390 -3094 +-4480 248 +-471 6154 +3273 1364 +-1385 1846 +-1269 1599 +-1826 477 +-1998 6135 +-220 949 +-315 1617 +3414 6435 +-1566 1186 +-4619 291 +5064 1244 +1554 1889 +-3511 0 +2450 -4016 +2571 -3647 +416 -710 +596 1872 +421 476 +-1203 140 +-601 1069 +-343 3795 +-6779 3550 +-3832 -1249 +3393 2087 +2377 -2631 +5450 -4629 +3465 3348 +-3587 -3303 +-2341 -2341 +-359 4398 +16 2534 +2043 2724 +-642 1529 +-5373 -1066 +-4046 -143 +-4290 1119 +-1312 0 +1841 -1180 +-931 -454 +-2108 -4983 +-1783 -633 +-336 4545 +1506 -1830 +2600 342 +3511 0 +2600 -342 +1506 1830 +-336 -4545 +-1783 633 +-2108 4983 +-931 454 +1841 1180 +-1312 0 +-4290 -1119 +-4046 143 +-5373 1066 +-642 -1529 +2043 -2724 +16 -2534 +-359 -4398 +-2341 2341 +1391 3169 +-523 777 +-7738 3498 +-1013 -1529 +2492 2787 +1496 3453 +3448 -6018 +3653 0 +7413 3496 +172 -2856 +-5956 -4094 +3438 633 +3735 242 +2312 -1480 +1197 5077 +-3511 0 +1197 -5077 +2312 1480 +3735 -242 +3438 -633 +-5956 4094 +172 2856 +7413 -3496 +3653 0 +3448 6018 +1496 -3453 +2492 -2787 +-1013 1529 +-7738 -3498 +-523 -777 +1391 -3169 +-2341 -2341 +-359 4398 +16 2534 +2043 2724 +-642 1529 +-5373 -1066 +-4046 -143 +-4290 1119 +-1312 0 +1841 -1180 +-931 -454 +-2108 -4983 +-1783 -633 +-336 4545 +1506 -1830 +2600 342 +-1170 4681 +-4276 4091 +-722 -6032 +-2070 2212 +-917 6791 +2414 -2446 +1169 505 +4072 1622 +-2483 -3026 +-2071 2567 +4203 4601 +-314 991 +-791 1739 +-3411 1495 +1084 1121 +5001 2319 +0 0 +5001 -2319 +1084 -1121 +-3411 -1495 +-791 -1739 +-314 -991 +4203 -4601 +-2071 -2567 +-2483 3026 +4072 -1622 +1169 -505 +2414 2446 +-917 -6791 +-2070 -2212 +-722 6032 +-4276 -4091 +-1170 -4681 +-2585 2815 +-2022 1456 +985 1447 +-3079 1200 +-2469 893 +-1127 -757 +1230 -7334 +2483 -6336 +1094 -1660 +3746 1767 +2161 4076 +105 -3110 +2705 -4456 +3030 923 +-2465 -2033 +-7022 0 +-2465 2033 +3030 -923 +2705 4456 +105 3110 +2161 -4076 +3746 -1767 +1094 1660 +2483 6336 +1230 7334 +-1127 757 +-2469 -893 +-3079 -1200 +985 -1447 +-2022 -1456 +-2585 -2815 +-1170 4681 +-4276 4091 +-722 -6032 +-2070 2212 +-917 6791 +2414 -2446 +1169 505 +4072 1622 +-2483 -3026 +-2071 2567 +4203 4601 +-314 991 +-791 1739 +-3411 1495 +1084 1121 +5001 2319 +3511 1170 +-5202 -296 +-4771 2536 +2020 5855 +16 -4540 +1122 729 +720 631 +-830 -5625 +3996 1513 +1610 -70 +-4563 -3307 +-4623 -4797 +-2535 -2462 +969 -80 +2722 -4509 +4028 548 +5851 0 +4028 -548 +2722 4509 +969 80 +-2535 2462 +-4623 4797 +-4563 3307 +1610 70 +3996 -1513 +-830 5625 +720 -631 +1122 -729 +16 4540 +2020 -5855 +-4771 -2536 +-5202 296 +3511 -1170 +-340 6779 +-3508 2429 +-1214 -3281 +-3042 -1111 +-583 1935 +918 4334 +-273 3534 +686 3168 +-508 870 +2925 -1658 +4085 -1545 +-3801 1492 +-1775 3010 +5556 -456 +1514 -538 +-3511 0 +1514 538 +5556 456 +-1775 -3010 +-3801 -1492 +4085 1545 +2925 1658 +-508 -870 +686 -3168 +-273 -3534 +918 -4334 +-583 -1935 +-3042 1111 +-1214 3281 +-3508 -2429 +-340 -6779 +3511 1170 +-5202 -296 +-4771 2536 +2020 5855 +16 -4540 +1122 729 +720 631 +-830 -5625 +3996 1513 +1610 -70 +-4563 -3307 +-4623 -4797 +-2535 -2462 +969 -80 +2722 -4509 +4028 548 +3511 -3511 +2544 -6971 +1715 2337 +-4483 -2619 +-1655 -4249 +3327 -4216 +580 -4511 +-2002 -1341 +-1170 -3653 +3574 1596 +-415 3632 +-5146 581 +1655 1065 +819 -3538 +-2527 -473 +1497 6326 +3511 0 +1497 -6326 +-2527 473 +819 3538 +1655 -1065 +-5146 -581 +-415 -3632 +3574 -1596 +-1170 3653 +-2002 1341 +580 4511 +3327 4216 +-1655 4249 +-4483 2619 +1715 -2337 +2544 6971 +3511 3511 +2511 -2130 +-3370 5773 +-3032 -359 +-1655 -2087 +888 501 +1075 -4124 +-2839 -1026 +-1170 1312 +-524 -2528 +2070 -2905 +5255 2919 +1655 1961 +2372 -1588 +871 -779 +-4761 -4631 +-5851 0 +-4761 4631 +871 779 +2372 1588 +1655 -1961 +5255 -2919 +2070 2905 +-524 2528 +-1170 -1312 +-2839 1026 +1075 4124 +888 -501 +-1655 2087 +-3032 359 +-3370 -5773 +2511 2130 +3511 -3511 +2544 -6971 +1715 2337 +-4483 -2619 +-1655 -4249 +3327 -4216 +580 -4511 +-2002 -1341 +-1170 -3653 +3574 1596 +-415 3632 +-5146 581 +1655 1065 +819 -3538 +-2527 -473 +1497 6326 +-4681 -1170 +-2882 67 +-4178 -4590 +-1470 -1383 +-1254 558 +264 1681 +747 348 +-2884 -2516 +0 1028 +1646 -1563 +1801 -2810 +1717 -734 +-1625 -1328 +1287 -696 +2383 92 +894 2888 +2341 0 +894 -2888 +2383 -92 +1287 696 +-1625 1328 +1717 734 +1801 2810 +1646 1563 +0 -1028 +-2884 2516 +747 -348 +264 -1681 +-1254 -558 +-1470 1383 +-4178 4590 +-2882 -67 +-4681 1170 +-4532 -98 +1257 -689 +2579 9513 +3595 5778 +529 -2467 +-2150 -6538 +989 -1991 +0 5993 +1264 -4315 +2912 -1441 +2591 7939 +3966 -1699 +-877 835 +-2772 5930 +-1116 -1548 +-2341 0 +-1116 1548 +-2772 -5930 +-877 -835 +3966 1699 +2591 -7939 +2912 1441 +1264 4315 +0 -5993 +989 1991 +-2150 6538 +529 2467 +3595 -5778 +2579 -9513 +1257 689 +-4532 98 +-4681 -1170 +-2882 67 +-4178 -4590 +-1470 -1383 +-1254 558 +264 1681 +747 348 +-2884 -2516 +0 1028 +1646 -1563 +1801 -2810 +1717 -734 +-1625 -1328 +1287 -696 +2383 92 +894 2888 +-3511 -5851 +3837 3249 +3962 2609 +-6160 -1878 +-1545 2462 +1178 1966 +-6109 272 +101 1376 +-1655 3854 +-4737 3291 +3168 -1706 +2878 -307 +1901 1111 +520 983 +-177 34 +-2962 -5446 +-8192 0 +-2962 5446 +-177 -34 +520 -983 +1901 -1111 +2878 307 +3168 1706 +-4737 -3291 +-1655 -3854 +101 -1376 +-6109 -272 +1178 -1966 +-1545 -2462 +-6160 1878 +3962 -2609 +3837 -3249 +-3511 5851 +-3803 3656 +-1275 313 +807 399 +4571 -1492 +2337 -871 +-378 -1675 +3112 2042 +1655 5509 +4057 483 +3319 -3007 +-277 -1487 +4435 4540 +-1283 4010 +-2510 -422 +395 3345 +-3511 0 +395 -3345 +-2510 422 +-1283 -4010 +4435 -4540 +-277 1487 +3319 3007 +4057 -483 +1655 -5509 +3112 -2042 +-378 1675 +2337 871 +4571 1492 +807 -399 +-1275 -313 +-3803 -3656 +-3511 -5851 +3837 3249 +3962 2609 +-6160 -1878 +-1545 2462 +1178 1966 +-6109 272 +101 1376 +-1655 3854 +-4737 3291 +3168 -1706 +2878 -307 +1901 1111 +520 983 +-177 34 +-2962 -5446 +-2341 -2341 +-242 6241 +-4129 8731 +2528 2763 +4870 2699 +-2488 1727 +1911 -506 +-2861 -3846 +-1998 -2341 +6613 3436 +-1278 3510 +-453 2027 +4220 -1804 +-465 -602 +-1154 5305 +-1733 1831 +-3511 0 +-1733 -1831 +-1154 -5305 +-465 602 +4220 1804 +-453 -2027 +-1278 -3510 +6613 -3436 +-1998 2341 +-2861 3846 +1911 506 +-2488 -1727 +4870 -2699 +2528 -2763 +-4129 -8731 +-242 -6241 +-2341 2341 +-1816 -2651 +-77 -3196 +1218 1043 +-875 -359 +-3643 2257 +3561 2102 +2381 -1962 +-343 -2341 +3293 3071 +2425 6077 +740 -1205 +-3534 -537 +-4057 3988 +-1261 -1141 +985 -1907 +3511 0 +985 1907 +-1261 1141 +-4057 -3988 +-3534 537 +740 1205 +2425 -6077 +3293 -3071 +-343 2341 +2381 1962 +3561 -2102 +-3643 -2257 +-875 359 +1218 -1043 +-77 3196 +-1816 2651 +-2341 -2341 +-242 6241 +-4129 8731 +2528 2763 +4870 2699 +-2488 1727 +1911 -506 +-2861 -3846 +-1998 -2341 +6613 3436 +-1278 3510 +-453 2027 +4220 -1804 +-465 -602 +-1154 5305 +-1733 1831 +0 -5851 +3135 -870 +4201 -384 +-1510 -2897 +-1655 -3586 +-1297 1118 +-5869 -1178 +-1998 2639 +969 3653 +-3532 -3762 +12 1130 +4151 -1360 +1655 654 +3665 4088 +4778 -5756 +-1004 -384 +-4681 0 +-1004 384 +4778 5756 +3665 -4088 +1655 -654 +4151 1360 +12 -1130 +-3532 3762 +969 -3653 +-1998 -2639 +-5869 1178 +-1297 -1118 +-1655 3586 +-1510 2897 +4201 384 +3135 870 +0 5851 +-324 6919 +-2875 -841 +-4100 1153 +-1655 2901 +3026 -897 +3108 122 +-4821 76 +-5651 -1312 +926 -1158 +810 -816 +-36 62 +1655 3341 +2722 -731 +5197 -3460 +1000 5418 +-4681 0 +1000 -5418 +5197 3460 +2722 731 +1655 -3341 +-36 -62 +810 816 +926 1158 +-5651 1312 +-4821 -76 +3108 -122 +3026 897 +-1655 -2901 +-4100 -1153 +-2875 841 +-324 -6919 +0 -5851 +3135 -870 +4201 -384 +-1510 -2897 +-1655 -3586 +-1297 1118 +-5869 -1178 +-1998 2639 +969 3653 +-3532 -3762 +12 1130 +4151 -1360 +1655 654 +3665 4088 +4778 -5756 +-1004 -384 +1170 1170 +872 6141 +-390 -490 +-1833 1635 +-1917 -516 +-122 -2206 +-948 7255 +3640 1109 +2140 -1998 +-185 4973 +2895 1942 +-734 4180 +-2036 4071 +798 1804 +4720 4387 +-1350 -1414 +-10533 0 +-1350 1414 +4720 -4387 +798 -1804 +-2036 -4071 +-734 -4180 +2895 -1942 +-185 -4973 +2140 1998 +3640 -1109 +-948 -7255 +-122 2206 +-1917 516 +-1833 -1635 +-390 490 +872 -6141 +1170 -1170 +-3846 4537 +-192 -2222 +1597 -1960 +-1393 2171 +1183 -290 +1886 306 +1109 4700 +-4480 -343 +-3549 -4504 +4158 938 +4774 217 +5346 -2416 +957 -1857 +-2768 -2418 +-3311 133 +-5851 0 +-3311 -133 +-2768 2418 +957 1857 +5346 2416 +4774 -217 +4158 -938 +-3549 4504 +-4480 343 +1109 -4700 +1886 -306 +1183 290 +-1393 -2171 +1597 1960 +-192 2222 +-3846 -4537 +1170 1170 +872 6141 +-390 -490 +-1833 1635 +-1917 -516 +-122 -2206 +-948 7255 +3640 1109 +2140 -1998 +-185 4973 +2895 1942 +-734 4180 +-2036 4071 +798 1804 +4720 4387 +-1350 -1414 +5851 -1170 +2278 2198 +1009 -1294 +3818 338 +-2409 2073 +-1425 227 +-901 576 +-6506 3489 +-3310 2483 +-1648 -3385 +1295 -4004 +753 -3158 +-5330 2514 +779 2531 +875 -4756 +-3466 756 +-1170 0 +-3466 -756 +875 4756 +779 -2531 +-5330 -2514 +753 3158 +1295 4004 +-1648 3385 +-3310 -2483 +-6506 -3489 +-901 -576 +-1425 -227 +-2409 -2073 +3818 -338 +1009 1294 +2278 -2198 +5851 1170 +1609 289 +-830 -406 +-2969 2922 +-617 -4414 +2541 1751 +2346 8521 +5953 -1584 +3310 -2483 +-1110 188 +1941 -1510 +1441 -2498 +-1006 -173 +1682 -286 +3628 -2193 +-3731 3250 +-10533 0 +-3731 -3250 +3628 2193 +1682 286 +-1006 173 +1441 2498 +1941 1510 +-1110 -188 +3310 2483 +5953 1584 +2346 -8521 +2541 -1751 +-617 4414 +-2969 -2922 +-830 406 +1609 -289 +5851 -1170 +2278 2198 +1009 -1294 +3818 338 +-2409 2073 +-1425 227 +-901 576 +-6506 3489 +-3310 2483 +-1648 -3385 +1295 -4004 +753 -3158 +-5330 2514 +779 2531 +875 -4756 +-3466 756 +1170 4681 +-2190 -3472 +2045 1647 +5055 6299 +2542 -1541 +444 863 +-3995 371 +-2375 -1867 +-2483 3310 +-2635 -202 +-1933 168 +-6228 2345 +-2804 -4050 +1834 -117 +4131 2934 +1089 -4151 +-4681 0 +1089 4151 +4131 -2934 +1834 117 +-2804 4050 +-6228 -2345 +-1933 -168 +-2635 202 +-2483 -3310 +-2375 1867 +-3995 -371 +444 -863 +2542 1541 +5055 -6299 +2045 -1647 +-2190 3472 +1170 -4681 +21 -3452 +-897 1799 +-2384 2581 +-887 -799 +3035 -381 +-210 5608 +1413 -1113 +2483 -3310 +1064 3485 +-481 2501 +-3368 7647 +1149 6391 +1611 -4096 +1341 -2797 +3613 -387 +2341 0 +3613 387 +1341 2797 +1611 4096 +1149 -6391 +-3368 -7647 +-481 -2501 +1064 -3485 +2483 3310 +1413 1113 +-210 -5608 +3035 381 +-887 799 +-2384 -2581 +-897 -1799 +21 3452 +1170 4681 +-2190 -3472 +2045 1647 +5055 6299 +2542 -1541 +444 863 +-3995 371 +-2375 -1867 +-2483 3310 +-2635 -202 +-1933 168 +-6228 2345 +-2804 -4050 +1834 -117 +4131 2934 +1089 -4151 +-2341 -2341 +1677 -372 +5049 3819 +6423 3603 +-1767 -39 +-1536 -5990 +442 -1917 +-625 2032 +4823 -3511 +2946 -563 +2279 3482 +1962 2529 +-3548 1050 +1696 4912 +1211 4939 +-3308 -6516 +-1170 0 +-3308 6516 +1211 -4939 +1696 -4912 +-3548 -1050 +1962 -2529 +2279 -3482 +2946 563 +4823 3511 +-625 -2032 +442 1917 +-1536 5990 +-1767 39 +6423 -3603 +5049 -3819 +1677 372 +-2341 2341 +-5395 1544 +350 -971 +741 1505 +396 7344 +2768 -1457 +632 -2975 +538 689 +-142 -3511 +-54 2269 +1328 -382 +-2417 -4875 +-4443 1575 +-3016 -1322 +-1928 -3462 +-2400 54 +-3511 0 +-2400 -54 +-1928 3462 +-3016 1322 +-4443 -1575 +-2417 4875 +1328 382 +-54 -2269 +-142 3511 +538 -689 +632 2975 +2768 1457 +396 -7344 +741 -1505 +350 971 +-5395 -1544 +-2341 -2341 +1677 -372 +5049 3819 +6423 3603 +-1767 -39 +-1536 -5990 +442 -1917 +-625 2032 +4823 -3511 +2946 -563 +2279 3482 +1962 2529 +-3548 1050 +1696 4912 +1211 4939 +-3308 -6516 +-2341 -2341 +1189 -5417 +4468 -2274 +1841 36 +2983 2425 +5160 3052 +-1008 -1055 +-975 -3527 +627 -2341 +-304 -920 +-760 1488 +-3328 1846 +4123 -2796 +7022 -5289 +-2292 -2027 +-1478 763 +3511 0 +-1478 -763 +-2292 2027 +7022 5289 +4123 2796 +-3328 -1846 +-760 -1488 +-304 920 +627 2341 +-975 3527 +-1008 1055 +5160 -3052 +2983 -2425 +1841 -36 +4468 2274 +1189 5417 +-2341 2341 +-705 -3651 +-1918 -784 +-4928 2339 +3354 -2425 +2885 -3251 +-2809 -211 +-1232 -2053 +-7648 -2341 +-1814 -692 +1268 -2754 +-6508 -947 +-1097 2796 +-2143 -600 +3052 -1031 +5319 3500 +-3511 0 +5319 -3500 +3052 1031 +-2143 600 +-1097 -2796 +-6508 947 +1268 2754 +-1814 692 +-7648 2341 +-1232 2053 +-2809 211 +2885 3251 +3354 2425 +-4928 -2339 +-1918 784 +-705 3651 +-2341 -2341 +1189 -5417 +4468 -2274 +1841 36 +2983 2425 +5160 3052 +-1008 -1055 +-975 -3527 +627 -2341 +-304 -920 +-760 1488 +-3328 1846 +4123 -2796 +7022 -5289 +-2292 -2027 +-1478 763 +4681 8192 +-220 2822 +-4049 -7631 +-1058 -265 +469 5436 +-1381 -1354 +-1793 3332 +-1649 982 +-1170 -1513 +77 3252 +-1601 -6530 +-3507 -2917 +-291 299 +2370 -3471 +1112 6031 +2430 2767 +4681 0 +2430 -2767 +1112 -6031 +2370 3471 +-291 -299 +-3507 2917 +-1601 6530 +77 -3252 +-1170 1513 +-1649 -982 +-1793 -3332 +-1381 1354 +469 -5436 +-1058 265 +-4049 7631 +-220 -2822 +4681 -8192 +1944 747 +-454 3828 +2816 -1172 +3527 215 +269 -2987 +-1443 -6423 +-1914 -3296 +-1170 -3168 +951 -1241 +156 -2612 +-1497 -3215 +976 670 +1988 243 +-1290 2839 +-1619 5126 +0 0 +-1619 -5126 +-1290 -2839 +1988 -243 +976 -670 +-1497 3215 +156 2612 +951 1241 +-1170 3168 +-1914 3296 +-1443 6423 +269 2987 +3527 -215 +2816 1172 +-454 -3828 +1944 -747 +4681 8192 +-220 2822 +-4049 -7631 +-1058 -265 +469 5436 +-1381 -1354 +-1793 3332 +-1649 982 +-1170 -1513 +77 3252 +-1601 -6530 +-3507 -2917 +-291 299 +2370 -3471 +1112 6031 +2430 2767 +2341 1170 +-4072 -15 +1472 4150 +5396 -116 +2944 -2475 +-1023 -1824 +-5783 -2748 +3834 -2287 +5651 1998 +-1672 3055 +-158 -1985 +-1145 794 +-1128 3364 +-1998 -2686 +-2615 -1101 +1152 3990 +2341 0 +1152 -3990 +-2615 1101 +-1998 2686 +-1128 -3364 +-1145 -794 +-158 1985 +-1672 -3055 +5651 -1998 +3834 2287 +-5783 2748 +-1023 1824 +2944 2475 +5396 116 +1472 -4150 +-4072 15 +2341 -1170 +2263 -3500 +-367 3961 +-633 -2451 +-3914 -7171 +-5032 -1389 +-2537 -5887 +1653 -3067 +-969 343 +-2024 -2293 +487 2713 +2875 -1473 +6779 -3648 +1560 2653 +138 -151 +-1135 -1388 +-7022 0 +-1135 1388 +138 151 +1560 -2653 +6779 3648 +2875 1473 +487 -2713 +-2024 2293 +-969 -343 +1653 3067 +-2537 5887 +-5032 1389 +-3914 7171 +-633 2451 +-367 -3961 +2263 3500 +2341 1170 +-4072 -15 +1472 4150 +5396 -116 +2944 -2475 +-1023 -1824 +-5783 -2748 +3834 -2287 +5651 1998 +-1672 3055 +-158 -1985 +-1145 794 +-1128 3364 +-1998 -2686 +-2615 -1101 +1152 3990 +1170 3511 +-4013 356 +-4132 129 +1620 421 +-2579 1081 +-6290 2334 +-1387 -2729 +158 -2518 +-969 -2199 +4337 -4247 +-2894 2292 +-6508 2683 +6711 448 +2805 3231 +-213 1237 +269 -61 +-5851 0 +269 61 +-213 -1237 +2805 -3231 +6711 -448 +-6508 -2683 +-2894 -2292 +4337 4247 +-969 2199 +158 2518 +-1387 2729 +-6290 -2334 +-2579 -1081 +1620 -421 +-4132 -129 +-4013 -356 +1170 -3511 +-2173 -1002 +-2026 1663 +-695 1418 +-46 -1081 +90 418 +-194 7054 +6003 -728 +5651 -7164 +4030 1001 +3104 2033 +-770 69 +595 -448 +-3492 -1392 +-1620 554 +4630 -585 +3511 0 +4630 585 +-1620 -554 +-3492 1392 +595 448 +-770 -69 +3104 -2033 +4030 -1001 +5651 7164 +6003 728 +-194 -7054 +90 -418 +-46 1081 +-695 -1418 +-2026 -1663 +-2173 1002 +1170 3511 +-4013 356 +-4132 129 +1620 421 +-2579 1081 +-6290 2334 +-1387 -2729 +158 -2518 +-969 -2199 +4337 -4247 +-2894 2292 +-6508 2683 +6711 448 +2805 3231 +-213 1237 +269 -61 +-2341 -1170 +-5204 -3947 +-4125 3692 +1175 74 +-291 -1989 +-1505 2886 +826 1942 +-138 -1333 +3511 -7164 +4377 -1525 +-2768 2157 +563 -5612 +3527 -2969 +-3870 322 +-1753 -1038 +3237 1425 +2341 0 +3237 -1425 +-1753 1038 +-3870 -322 +3527 2969 +563 5612 +-2768 -2157 +4377 1525 +3511 7164 +-138 1333 +826 -1942 +-1505 -2886 +-291 1989 +1175 -74 +-4125 -3692 +-5204 3947 +-2341 1170 +-959 2799 +-378 4079 +-1588 -4279 +976 -351 +2349 -471 +-4062 2247 +-2944 6806 +3511 -2199 +1239 -3948 +1323 -1279 +4708 3198 +469 5310 +-1833 3883 +1575 5499 +393 -277 +-2341 0 +393 277 +1575 -5499 +-1833 -3883 +469 -5310 +4708 -3198 +1323 1279 +1239 3948 +3511 2199 +-2944 -6806 +-4062 -2247 +2349 471 +976 351 +-1588 4279 +-378 -4079 +-959 -2799 +-2341 -1170 +-5204 -3947 +-4125 3692 +1175 74 +-291 -1989 +-1505 2886 +826 1942 +-138 -1333 +3511 -7164 +4377 -1525 +-2768 2157 +563 -5612 +3527 -2969 +-3870 322 +-1753 -1038 +3237 1425 +-2341 -1170 +-1960 -1598 +5424 1807 +-2362 -2700 +-7591 -887 +-649 2729 +-2195 1023 +-2747 3400 +-1655 -343 +-4594 -2996 +536 947 +-815 1531 +-4652 -1149 +2970 -2171 +1653 -811 +1283 -1359 +7022 0 +1283 1359 +1653 811 +2970 2171 +-4652 1149 +-815 -1531 +536 -947 +-4594 2996 +-1655 343 +-2747 -3400 +-2195 -1023 +-649 -2729 +-7591 887 +-2362 2700 +5424 -1807 +-1960 1598 +-2341 1170 +4273 298 +-162 355 +-7147 405 +-2741 2542 +5986 -3186 +5939 -1919 +3529 -1454 +1655 -1998 +-4096 4942 +-2908 -1843 +2335 -1988 +940 2804 +-318 -125 +1076 2974 +4312 59 +7022 0 +4312 -59 +1076 -2974 +-318 125 +940 -2804 +2335 1988 +-2908 1843 +-4096 -4942 +1655 1998 +3529 1454 +5939 1919 +5986 3186 +-2741 -2542 +-7147 -405 +-162 -355 +4273 -298 +-2341 -1170 +-1960 -1598 +5424 1807 +-2362 -2700 +-7591 -887 +-649 2729 +-2195 1023 +-2747 3400 +-1655 -343 +-4594 -2996 +536 947 +-815 1531 +-4652 -1149 +2970 -2171 +1653 -811 +1283 -1359 +-5851 -1170 +-2925 -2205 +-134 -2881 +2846 -1599 +2535 -2126 +-389 629 +7364 709 +1818 2979 +-5651 1797 +1039 -6097 +2192 -2323 +1667 4192 +3042 3011 +3591 -1153 +630 -4859 +-2123 -2550 +-1170 0 +-2123 2550 +630 4859 +3591 1153 +3042 -3011 +1667 -4192 +2192 2323 +1039 6097 +-5651 -1797 +1818 -2979 +7364 -709 +-389 -629 +2535 2126 +2846 1599 +-134 2881 +-2925 2205 +-5851 1170 +-590 3866 +-2805 -1580 +-279 -203 +3801 3095 +-2824 -4511 +1167 -1587 +3536 -1228 +969 -6478 +-276 -1158 +-4103 -1866 +-987 -1602 +-16 2640 +-3625 -3538 +-4311 -2913 +-479 4567 +3511 0 +-479 -4567 +-4311 2913 +-3625 3538 +-16 -2640 +-987 1602 +-4103 1866 +-276 1158 +969 6478 +3536 1228 +1167 1587 +-2824 4511 +3801 -3095 +-279 203 +-2805 1580 +-590 -3866 +-5851 -1170 +-2925 -2205 +-134 -2881 +2846 -1599 +2535 -2126 +-389 629 +7364 709 +1818 2979 +-5651 1797 +1039 -6097 +2192 -2323 +1667 4192 +3042 3011 +3591 -1153 +630 -4859 +-2123 -2550 +1170 0 +1406 2515 +1386 739 +-2370 -1876 +-1149 1118 +3325 -4002 +5093 312 +-3413 -1266 +-8819 -7306 +-324 4068 +220 -512 +-5525 -3133 +-2542 4354 +1109 -2381 +3491 -1360 +2727 3367 +0 0 +2727 -3367 +3491 1360 +1109 2381 +-2542 -4354 +-5525 3133 +220 512 +-324 -4068 +-8819 7306 +-3413 1266 +5093 -312 +3325 4002 +-1149 -1118 +-2370 1876 +1386 -739 +1406 -2515 +1170 0 +6516 870 +3117 7581 +-1207 5340 +2804 -149 +1479 -1348 +-1857 -2789 +-2125 49 +-544 2625 +3055 2350 +1224 -594 +-56 -699 +887 1296 +-3376 744 +-3313 1689 +-1222 1032 +-2341 0 +-1222 -1032 +-3313 -1689 +-3376 -744 +887 -1296 +-56 699 +1224 594 +3055 -2350 +-544 -2625 +-2125 -49 +-1857 2789 +1479 1348 +2804 149 +-1207 -5340 +3117 -7581 +6516 -870 +1170 0 +1406 2515 +1386 739 +-2370 -1876 +-1149 1118 +3325 -4002 +5093 312 +-3413 -1266 +-8819 -7306 +-324 4068 +220 -512 +-5525 -3133 +-2542 4354 +1109 -2381 +3491 -1360 +2727 3367 +1170 3511 +3147 -121 +-360 -564 +2438 5098 +2220 -722 +-5593 -983 +-1409 3479 +-2324 1263 +-3996 828 +1492 1310 +812 -1831 +-3391 -4975 +-6174 89 +-1325 5744 +1064 382 +831 -4109 +3511 0 +831 4109 +1064 -382 +-1325 -5744 +-6174 -89 +-3391 4975 +812 1831 +1492 -1310 +-3996 -828 +-2324 -1263 +-1409 -3479 +-5593 983 +2220 722 +2438 -5098 +-360 564 +3147 121 +1170 -3511 +2469 2785 +-11 -671 +-1594 -5088 +2745 -1618 +-110 3073 +-3812 7182 +-3839 1311 +-686 -828 +2138 1621 +4408 -181 +2978 4176 +1209 2251 +6597 739 +-693 4435 +-3913 -2233 +3511 0 +-3913 2233 +-693 -4435 +6597 -739 +1209 -2251 +2978 -4176 +4408 181 +2138 -1621 +-686 828 +-3839 -1311 +-3812 -7182 +-110 -3073 +2745 1618 +-1594 5088 +-11 671 +2469 -2785 +1170 3511 +3147 -121 +-360 -564 +2438 5098 +2220 -722 +-5593 -983 +-1409 3479 +-2324 1263 +-3996 828 +1492 1310 +812 -1831 +-3391 -4975 +-6174 89 +-1325 5744 +1064 382 +831 -4109 +1170 -3511 +-1958 -567 +1444 -2399 +1332 -5746 +-1445 2297 +-2853 1097 +-1658 -1134 +1091 5536 +201 2967 +3245 -899 +4613 184 +-750 2977 +-178 -128 +1366 -1875 +-804 2762 +-2527 -678 +-3511 0 +-2527 678 +-804 -2762 +1366 1875 +-178 128 +-750 -2977 +4613 -184 +3245 899 +201 -2967 +1091 -5536 +-1658 1134 +-2853 -1097 +-1445 -2297 +1332 5746 +1444 2399 +-1958 567 +1170 3511 +3042 4352 +-1308 3295 +-4980 951 +-3236 2668 +1910 1157 +-1012 3297 +1717 3158 +6821 -5308 +-1728 -3738 +1367 1979 +3485 7540 +-4503 5093 +491 -4018 +-2643 -1867 +-2882 495 +5851 0 +-2882 -495 +-2643 1867 +491 4018 +-4503 -5093 +3485 -7540 +1367 -1979 +-1728 3738 +6821 5308 +1717 -3158 +-1012 -3297 +1910 -1157 +-3236 -2668 +-4980 -951 +-1308 -3295 +3042 -4352 +1170 -3511 +-1958 -567 +1444 -2399 +1332 -5746 +-1445 2297 +-2853 1097 +-1658 -1134 +1091 5536 +201 2967 +3245 -899 +4613 184 +-750 2977 +-178 -128 +1366 -1875 +-804 2762 +-2527 -678 +-3511 4681 +-1133 2209 +-765 1371 +-402 -2627 +-9 275 +2129 4797 +3686 1106 +-793 115 +2483 -2341 +31 -6649 +-2348 -3777 +947 -381 +-3312 992 +751 319 +-1518 -409 +-1656 2008 +7022 0 +-1656 -2008 +-1518 409 +751 -319 +-3312 -992 +947 381 +-2348 3777 +31 6649 +2483 2341 +-793 -115 +3686 -1106 +2129 -4797 +-9 -275 +-402 2627 +-765 -1371 +-1133 -2209 +-3511 -4681 +1813 -4065 +884 -1759 +-3113 -3837 +-1646 2066 +-1366 3060 +3578 -5819 +760 -3826 +-2483 -2341 +2536 -3325 +1704 2374 +4406 -1273 +4967 -3333 +-3352 6310 +-5221 3331 +-1556 -6250 +0 0 +-1556 6250 +-5221 -3331 +-3352 -6310 +4967 3333 +4406 1273 +1704 -2374 +2536 3325 +-2483 2341 +760 3826 +3578 5819 +-1366 -3060 +-1646 -2066 +-3113 3837 +884 1759 +1813 4065 +-3511 4681 +-1133 2209 +-765 1371 +-402 -2627 +-9 275 +2129 4797 +3686 1106 +-793 115 +2483 -2341 +31 -6649 +-2348 -3777 +947 -381 +-3312 992 +751 319 +-1518 -409 +-1656 2008 +3511 -1170 +-533 -613 +-2481 -990 +1468 -3074 +1057 -2087 +2884 -129 +2707 -897 +-547 -3742 +485 -1998 +2055 2290 +-2461 -2350 +-4677 -4267 +-1225 1961 +-2815 -2910 +306 -6824 +3687 1290 +1170 0 +3687 -1290 +306 6824 +-2815 2910 +-1225 -1961 +-4677 4267 +-2461 2350 +2055 -2290 +485 1998 +-547 3742 +2707 897 +2884 129 +1057 2087 +1468 3074 +-2481 990 +-533 613 +3511 1170 +1372 1811 +4 5745 +-3914 3428 +315 -4249 +3049 -3472 +-2378 -296 +-1951 -209 +-2825 -343 +-572 -2931 +-5859 -782 +-6357 3976 +9216 1065 +3743 -45 +800 277 +3109 -3401 +-3511 0 +3109 3401 +800 -277 +3743 45 +9216 -1065 +-6357 -3976 +-5859 782 +-572 2931 +-2825 343 +-1951 209 +-2378 296 +3049 3472 +315 4249 +-3914 -3428 +4 -5745 +1372 -1811 +3511 -1170 +-533 -613 +-2481 -990 +1468 -3074 +1057 -2087 +2884 -129 +2707 -897 +-547 -3742 +485 -1998 +2055 2290 +-2461 -2350 +-4677 -4267 +-1225 1961 +-2815 -2910 +306 -6824 +3687 1290 +3511 -1170 +-6205 -734 +-1834 -4200 +4457 -633 +1090 -351 +-1113 -2505 +3544 -579 +2197 1392 +-5651 828 +-2442 -4737 +819 -928 +332 5038 +2864 5310 +-769 5086 +-880 164 +999 -1354 +-1170 0 +999 1354 +-880 -164 +-769 -5086 +2864 -5310 +332 -5038 +819 928 +-2442 4737 +-5651 -828 +2197 -1392 +3544 579 +-1113 2505 +1090 351 +4457 633 +-1834 4200 +-6205 734 +3511 1170 +2502 -5900 +-5430 -8252 +-404 3296 +565 -1989 +1005 -2492 +3195 1071 +-547 -5033 +969 -828 +792 -1794 +-938 -4631 +-225 -1029 +-4519 -2969 +-3284 -2779 +1523 56 +2704 1193 +3511 0 +2704 -1193 +1523 -56 +-3284 2779 +-4519 2969 +-225 1029 +-938 4631 +792 1794 +969 828 +-547 5033 +3195 -1071 +1005 2492 +565 1989 +-404 -3296 +-5430 8252 +2502 5900 +3511 -1170 +-6205 -734 +-1834 -4200 +4457 -633 +1090 -351 +-1113 -2505 +3544 -579 +2197 1392 +-5651 828 +-2442 -4737 +819 -928 +332 5038 +2864 5310 +-769 5086 +-880 164 +999 -1354 +0 -3511 +709 -904 +-1079 2271 +-5008 -945 +-2909 -306 +-3020 -3813 +-279 -4232 +2032 -804 +-2341 343 +1052 4228 +1186 -3617 +-910 -4291 +30 5904 +-3216 879 +5365 -2795 +6533 655 +-2341 0 +6533 -655 +5365 2795 +-3216 -879 +30 -5904 +-910 4291 +1186 3617 +1052 -4228 +-2341 -343 +2032 804 +-279 4232 +-3020 3813 +-2909 306 +-5008 945 +-1079 -2271 +709 904 +0 3511 +-1755 3788 +-3634 4765 +1540 -550 +1940 590 +1770 936 +3201 -5120 +-3676 -4949 +-2341 1998 +2110 4126 +-798 -1054 +3175 2576 +5621 3742 +-950 1529 +-3962 5150 +-386 354 +2341 0 +-386 -354 +-3962 -5150 +-950 -1529 +5621 -3742 +3175 -2576 +-798 1054 +2110 -4126 +-2341 -1998 +-3676 4949 +3201 5120 +1770 -936 +1940 -590 +1540 550 +-3634 -4765 +-1755 -3788 +0 -3511 +709 -904 +-1079 2271 +-5008 -945 +-2909 -306 +-3020 -3813 +-279 -4232 +2032 -804 +-2341 343 +1052 4228 +1186 -3617 +-910 -4291 +30 5904 +-3216 879 +5365 -2795 +6533 655 +-2341 -1170 +-3002 -457 +2785 1680 +-1923 1085 +-1267 -3586 +-444 468 +1250 1030 +9163 -4173 +0 2683 +-2406 6338 +-812 -2248 +-5293 -3416 +3058 654 +-1353 627 +-4363 1401 +2842 2140 +2341 0 +2842 -2140 +-4363 -1401 +-1353 -627 +3058 -654 +-5293 3416 +-812 2248 +-2406 -6338 +0 -2683 +9163 4173 +1250 -1030 +-444 -468 +-1267 3586 +-1923 -1085 +2785 -1680 +-3002 457 +-2341 1170 +4530 5334 +3583 2137 +1589 -2719 +1267 2901 +-2823 3719 +-5826 -271 +-278 2809 +0 4338 +-2393 1304 +-1231 -302 +-4176 1130 +-3058 3341 +1183 629 +4615 -894 +4783 2380 +2341 0 +4783 -2380 +4615 894 +1183 -629 +-3058 -3341 +-4176 -1130 +-1231 302 +-2393 -1304 +0 -4338 +-278 -2809 +-5826 271 +-2823 -3719 +1267 -2901 +1589 2719 +3583 -2137 +4530 -5334 +-2341 -1170 +-3002 -457 +2785 1680 +-1923 1085 +-1267 -3586 +-444 468 +1250 1030 +9163 -4173 +0 2683 +-2406 6338 +-812 -2248 +-5293 -3416 +3058 654 +-1353 627 +-4363 1401 +2842 2140 +2341 4681 +2611 -449 +-3674 722 +-3817 4515 +-2080 -246 +1961 -1365 +3043 -2251 +1410 -5041 +142 1170 +-970 3615 +-70 89 +4282 4878 +4158 6226 +-4962 -931 +-3142 -1618 +2648 1744 +1170 0 +2648 -1744 +-3142 1618 +-4962 931 +4158 -6226 +4282 -4878 +-70 -89 +-970 -3615 +142 -1170 +1410 5041 +3043 2251 +1961 1365 +-2080 246 +-3817 -4515 +-3674 -722 +2611 449 +2341 -4681 +-2813 -999 +2019 722 +-646 -3732 +-5509 -2780 +-4700 -2597 +-1388 -2251 +1188 2212 +-4823 1170 +-109 -1699 +1725 89 +-528 1684 +8112 110 +1791 -3744 +1487 -1618 +2655 4295 +-5851 0 +2655 -4295 +1487 1618 +1791 3744 +8112 -110 +-528 -1684 +1725 -89 +-109 1699 +-4823 -1170 +1188 -2212 +-1388 2251 +-4700 2597 +-5509 2780 +-646 3732 +2019 -722 +-2813 999 +2341 4681 +2611 -449 +-3674 722 +-3817 4515 +-2080 -246 +1961 -1365 +3043 -2251 +1410 -5041 +142 1170 +-970 3615 +-70 89 +4282 4878 +4158 6226 +-4962 -931 +-3142 -1618 +2648 1744 +1170 -4681 +-3170 -1826 +-2178 2454 +-3312 1786 +917 -2722 +1879 -4067 +3151 -268 +2029 -610 +-4823 1655 +882 7274 +1827 -927 +-3232 -283 +791 5388 +-765 -648 +657 1167 +1889 3731 +-2341 0 +1889 -3731 +657 -1167 +-765 648 +791 -5388 +-3232 283 +1827 927 +882 -7274 +-4823 -1655 +2029 610 +3151 268 +1879 4067 +917 2722 +-3312 -1786 +-2178 -2454 +-3170 1826 +1170 4681 +6178 9408 +-1639 4211 +-1805 -3471 +3079 4661 +574 2141 +-2391 -3864 +-3565 -2004 +142 -1655 +2173 4219 +724 1476 +1794 -480 +-105 5913 +-1752 2868 +-149 817 +205 1976 +0 0 +205 -1976 +-149 -817 +-1752 -2868 +-105 -5913 +1794 480 +724 -1476 +2173 -4219 +142 1655 +-3565 2004 +-2391 3864 +574 -2141 +3079 -4661 +-1805 3471 +-1639 -4211 +6178 -9408 +1170 -4681 +-3170 -1826 +-2178 2454 +-3312 1786 +917 -2722 +1879 -4067 +3151 -268 +2029 -610 +-4823 1655 +882 7274 +1827 -927 +-3232 -283 +791 5388 +-765 -648 +657 1167 +1889 3731 +0 0 +-2551 -4756 +-6883 -2237 +-6946 2156 +2057 -485 +5037 401 +1127 5612 +902 5860 +-4338 -2341 +-1289 -1785 +749 3950 +-6267 -2795 +21 -2825 +1764 528 +-942 -1703 +1601 2897 +1170 0 +1601 -2897 +-942 1703 +1764 -528 +21 2825 +-6267 2795 +749 -3950 +-1289 1785 +-4338 2341 +902 -5860 +1127 -5612 +5037 -401 +2057 485 +-6946 -2156 +-6883 2237 +-2551 4756 +0 0 +618 1656 +1411 3343 +-333 692 +-1372 -485 +-2488 1075 +1287 2708 +6790 -968 +-2683 -2341 +-3093 -3106 +3457 -3620 +408 1318 +3975 -2825 +2205 -3375 +-206 4180 +3641 203 +3511 0 +3641 -203 +-206 -4180 +2205 3375 +3975 2825 +408 -1318 +3457 3620 +-3093 3106 +-2683 2341 +6790 968 +1287 -2708 +-2488 -1075 +-1372 485 +-333 -692 +1411 -3343 +618 -1656 +0 0 +-2551 -4756 +-6883 -2237 +-6946 2156 +2057 -485 +5037 401 +1127 5612 +902 5860 +-4338 -2341 +-1289 -1785 +749 3950 +-6267 -2795 +21 -2825 +1764 528 +-942 -1703 +1601 2897 +-5851 -2341 +1188 -4450 +3958 -2870 +-3811 558 +2691 -633 +6418 269 +-991 -1759 +5556 -999 +4138 2341 +-1905 1532 +300 434 +-2832 -1901 +-1508 -1529 +414 -3374 +361 -5026 +-3515 1733 +-9362 0 +-3515 -1733 +361 5026 +414 3374 +-1508 1529 +-2832 1901 +300 -434 +-1905 -1532 +4138 -2341 +5556 999 +-991 1759 +6418 -269 +2691 633 +-3811 -558 +3958 2870 +1188 4450 +-5851 2341 +-856 5445 +5258 -188 +2027 -4799 +-2005 633 +-2959 575 +1305 492 +4551 2488 +-4138 2341 +-3878 -42 +757 -1701 +1165 2745 +5504 1529 +-421 -868 +-1586 1968 +-1141 -738 +-7022 0 +-1141 738 +-1586 -1968 +-421 868 +5504 -1529 +1165 -2745 +757 1701 +-3878 42 +-4138 -2341 +4551 -2488 +1305 -492 +-2959 -575 +-2005 -633 +2027 4799 +5258 188 +-856 -5445 +-5851 -2341 +1188 -4450 +3958 -2870 +-3811 558 +2691 -633 +6418 269 +-991 -1759 +5556 -999 +4138 2341 +-1905 1532 +300 434 +-2832 -1901 +-1508 -1529 +414 -3374 +361 -5026 +-3515 1733 +0 -3511 +-2617 1189 +1743 6508 +1556 1255 +-2066 -3564 +1197 -1171 +2214 970 +-1658 1622 +-3996 1998 +-3051 -3168 +1136 -5363 +1141 -4568 +-3333 -2930 +-2333 -2297 +782 -4843 +-626 -1896 +-2341 0 +-626 1896 +782 4843 +-2333 2297 +-3333 2930 +1141 4568 +1136 5363 +-3051 3168 +-3996 -1998 +-1658 -1622 +2214 -970 +1197 1171 +-2066 3564 +1556 -1255 +1743 -6508 +-2617 -1189 +0 3511 +266 -4258 +-2849 588 +-966 7147 +-275 -1401 +2804 -2157 +6106 -4503 +117 -4917 +-686 343 +2801 2406 +-1465 4572 +-817 -4876 +992 -2035 +-2581 4583 +1695 -4044 +4768 1361 +2341 0 +4768 -1361 +1695 4044 +-2581 -4583 +992 2035 +-817 4876 +-1465 -4572 +2801 -2406 +-686 -343 +117 4917 +6106 4503 +2804 2157 +-275 1401 +-966 -7147 +-2849 -588 +266 4258 +0 -3511 +-2617 1189 +1743 6508 +1556 1255 +-2066 -3564 +1197 -1171 +2214 970 +-1658 1622 +-3996 1998 +-3051 -3168 +1136 -5363 +1141 -4568 +-3333 -2930 +-2333 -2297 +782 -4843 +-626 -1896 +2341 5851 +977 -2093 +-1336 -5701 +-410 -1399 +4160 -1314 +6601 325 +-327 816 +-5823 -2002 +485 828 +3412 4243 +-1494 346 +-1547 1099 +-553 1304 +-289 127 +3802 2917 +2027 -496 +-2341 0 +2027 496 +3802 -2917 +-289 -127 +-553 -1304 +-1547 -1099 +-1494 -346 +3412 -4243 +485 -828 +-5823 2002 +-327 -816 +6601 -325 +4160 1314 +-410 1399 +-1336 5701 +977 2093 +2341 -5851 +1878 1675 +3750 -1352 +3740 -5385 +-165 6965 +-890 3938 +-821 -1397 +-2675 -2021 +-2825 -828 +-4340 4051 +-3979 -2299 +1679 3 +1238 -334 +-2264 -7331 +404 -1979 +-2076 -3588 +-7022 0 +-2076 3588 +404 1979 +-2264 7331 +1238 334 +1679 -3 +-3979 2299 +-4340 -4051 +-2825 828 +-2675 2021 +-821 1397 +-890 -3938 +-165 -6965 +3740 5385 +3750 1352 +1878 -1675 +2341 5851 +977 -2093 +-1336 -5701 +-410 -1399 +4160 -1314 +6601 325 +-327 816 +-5823 -2002 +485 828 +3412 4243 +-1494 346 +-1547 1099 +-553 1304 +-289 127 +3802 2917 +2027 -496 +0 3511 +-1458 3369 +-3993 1367 +-3863 4359 +-2745 448 +4728 5126 +3357 8574 +-334 -3173 +485 -4823 +-6614 2242 +-809 489 +5424 -6313 +-1209 -1081 +3544 1786 +2198 -4751 +-1741 -233 +2341 0 +-1741 233 +2198 4751 +3544 -1786 +-1209 1081 +5424 6313 +-809 -489 +-6614 -2242 +485 4823 +-334 3173 +3357 -8574 +4728 -5126 +-2745 -448 +-3863 -4359 +-3993 -1367 +-1458 -3369 +0 -3511 +-2408 -44 +1442 677 +2069 3352 +-2220 -448 +-2045 -2410 +460 -2205 +-87 -2570 +-2825 142 +-1376 -770 +302 -741 +2839 -1768 +6174 1081 +545 4490 +-2957 174 +779 1410 +2341 0 +779 -1410 +-2957 -174 +545 -4490 +6174 -1081 +2839 1768 +302 741 +-1376 770 +-2825 -142 +-87 2570 +460 2205 +-2045 2410 +-2220 448 +2069 -3352 +1442 -677 +-2408 44 +0 3511 +-1458 3369 +-3993 1367 +-3863 4359 +-2745 448 +4728 5126 +3357 8574 +-334 -3173 +485 -4823 +-6614 2242 +-809 489 +5424 -6313 +-1209 -1081 +3544 1786 +2198 -4751 +-1741 -233 +1170 -5851 +-716 -5266 +-1750 4487 +-937 3913 +-1254 -612 +85 1195 +-4427 3403 +431 3989 +4480 -1312 +-2765 -4004 +-1262 -623 +-43 -1985 +-1625 -157 +658 3557 +759 -1733 +1789 -5004 +3511 0 +1789 5004 +759 1733 +658 -3557 +-1625 157 +-43 1985 +-1262 623 +-2765 4004 +4480 1312 +431 -3989 +-4427 -3403 +85 -1195 +-1254 612 +-937 -3913 +-1750 -4487 +-716 5266 +1170 5851 +-2531 2396 +1201 2389 +3690 179 +3595 4608 +1754 4584 +-2238 -1377 +-1077 4503 +-2140 3653 +3411 -4564 +3247 -661 +-1796 402 +3966 -528 +-3411 6414 +-4891 5299 +1458 -1687 +-1170 0 +1458 1687 +-4891 -5299 +-3411 -6414 +3966 528 +-1796 -402 +3247 661 +3411 4564 +-2140 -3653 +-1077 -4503 +-2238 1377 +1754 -4584 +3595 -4608 +3690 -179 +1201 -2389 +-2531 -2396 +1170 -5851 +-716 -5266 +-1750 4487 +-937 3913 +-1254 -612 +85 1195 +-4427 3403 +431 3989 +4480 -1312 +-2765 -4004 +-1262 -623 +-43 -1985 +-1625 -157 +658 3557 +759 -1733 +1789 -5004 +0 -7022 +3042 -1265 +2478 9326 +-3172 4173 +-5271 1655 +1030 1539 +-537 1091 +-1303 1984 +1312 1655 +-3878 4919 +3753 3529 +7249 2765 +-939 1655 +3386 -1419 +-2173 187 +-5897 -2186 +1170 0 +-5897 2186 +-2173 -187 +3386 1419 +-939 -1655 +7249 -2765 +3753 -3529 +-3878 -4919 +1312 -1655 +-1303 -1984 +-537 -1091 +1030 -1539 +-5271 -1655 +-3172 -4173 +2478 -9326 +3042 1265 +0 7022 +745 810 +3428 -618 +-2383 -455 +-4375 1655 +1405 1354 +4161 1145 +1344 -664 +-3653 -1655 +-1265 2244 +614 -3232 +-2049 -2678 +1223 1655 +1154 -4289 +-2362 -2780 +591 954 +3511 0 +591 -954 +-2362 2780 +1154 4289 +1223 -1655 +-2049 2678 +614 3232 +-1265 -2244 +-3653 1655 +1344 664 +4161 -1145 +1405 -1354 +-4375 -1655 +-2383 455 +3428 618 +745 -810 +0 -7022 +3042 -1265 +2478 9326 +-3172 4173 +-5271 1655 +1030 1539 +-537 1091 +-1303 1984 +1312 1655 +-3878 4919 +3753 3529 +7249 2765 +-939 1655 +3386 -1419 +-2173 187 +-5897 -2186 +-2341 2341 +-787 152 +-574 -6315 +994 -93 +1162 -3721 +1048 -745 +-1130 5704 +9 -5815 +2967 -7306 +-2878 854 +-1857 3198 +1338 2699 +-2142 1678 +2715 -2814 +2965 -4785 +-569 2065 +1170 0 +-569 -2065 +2965 4785 +2715 2814 +-2142 -1678 +1338 -2699 +-1857 -3198 +-2878 -854 +2967 7306 +9 5815 +-1130 -5704 +1048 745 +1162 3721 +994 93 +-574 6315 +-787 -152 +-2341 -2341 +-1897 1681 +1722 -1229 +1773 303 +-476 -1930 +-3751 2326 +-3076 6199 +-3388 -343 +-5308 2625 +413 5304 +-557 714 +-1441 -4280 +6137 -2647 +3945 2604 +2508 -1387 +2476 -3898 +-1170 0 +2476 3898 +2508 1387 +3945 -2604 +6137 2647 +-1441 4280 +-557 -714 +413 -5304 +-5308 -2625 +-3388 343 +-3076 -6199 +-3751 -2326 +-476 1930 +1773 -303 +1722 1229 +-1897 -1681 +-2341 2341 +-787 152 +-574 -6315 +994 -93 +1162 -3721 +1048 -745 +-1130 5704 +9 -5815 +2967 -7306 +-2878 854 +-1857 3198 +1338 2699 +-2142 1678 +2715 -2814 +2965 -4785 +-569 2065 +3511 -5851 +3560 -3730 +-3799 -1237 +-2360 3023 +-702 1870 +-7267 341 +1001 -541 +896 286 +-3996 828 +264 1348 +-108 1145 +1454 -1691 +-1461 2325 +-3663 868 +3659 -5904 +4348 -771 +1170 0 +4348 771 +3659 5904 +-3663 -868 +-1461 -2325 +1454 1691 +-108 -1145 +264 -1348 +-3996 -828 +896 -286 +1001 541 +-7267 -341 +-702 -1870 +-2360 -3023 +-3799 1237 +3560 3730 +3511 5851 +6244 6394 +1636 -4742 +-1830 -3013 +2357 7091 +-1313 1750 +-1896 677 +-949 2288 +-686 -828 +293 1583 +1003 2301 +3040 892 +-194 1954 +-1301 5615 +-1496 3234 +-1415 -5571 +1170 0 +-1415 5571 +-1496 -3234 +-1301 -5615 +-194 -1954 +3040 -892 +1003 -2301 +293 -1583 +-686 828 +-949 -2288 +-1896 -677 +-1313 -1750 +2357 -7091 +-1830 3013 +1636 4742 +6244 -6394 +3511 -5851 +3560 -3730 +-3799 -1237 +-2360 3023 +-702 1870 +-7267 341 +1001 -541 +896 286 +-3996 828 +264 1348 +-108 1145 +1454 -1691 +-1461 2325 +-3663 868 +3659 -5904 +4348 -771 +1170 -3511 +-268 6253 +-127 1008 +2717 -1782 +5010 5904 +-1507 -1667 +-6620 -2678 +1694 3229 +2825 1028 +-54 2564 +-1724 2092 +-2357 -374 +2204 590 +-2674 189 +-2772 -1606 +-1078 -3288 +-5851 0 +-1078 3288 +-2772 1606 +-2674 -189 +2204 -590 +-2357 374 +-1724 -2092 +-54 -2564 +2825 -1028 +1694 -3229 +-6620 2678 +-1507 1667 +5010 -5904 +2717 1782 +-127 -1008 +-268 -6253 +1170 3511 +381 -438 +-559 -3888 +-4049 4165 +-3639 3742 +441 473 +2625 -33 +4104 3716 +-485 5993 +-642 1575 +-2272 -122 +-4212 -44 +5787 -306 +5020 -3649 +2087 -5955 +2483 -323 +-1170 0 +2483 323 +2087 5955 +5020 3649 +5787 306 +-4212 44 +-2272 122 +-642 -1575 +-485 -5993 +4104 -3716 +2625 33 +441 -473 +-3639 -3742 +-4049 -4165 +-559 3888 +381 438 +1170 -3511 +-268 6253 +-127 1008 +2717 -1782 +5010 5904 +-1507 -1667 +-6620 -2678 +1694 3229 +2825 1028 +-54 2564 +-1724 2092 +-2357 -374 +2204 590 +-2674 189 +-2772 -1606 +-1078 -3288 +0 -1170 +1900 -2104 +-260 2329 +-1378 790 +1643 3826 +407 1421 +177 -4009 +5340 -3337 +686 -2683 +-3366 -1031 +2182 -2588 +4639 -652 +3029 -761 +1353 -4653 +1604 -1635 +-1038 -1779 +-4681 0 +-1038 1779 +1604 1635 +1353 4653 +3029 761 +4639 652 +2182 2588 +-3366 1031 +686 2683 +5340 3337 +177 4009 +407 -1421 +1643 -3826 +-1378 -790 +-260 -2329 +1900 2104 +0 1170 +-4873 -2924 +-2662 383 +1142 505 +-673 1139 +654 105 +-1580 -3552 +-591 -8123 +3996 -4338 +-368 6212 +2531 -292 +-599 -2776 +-8679 5726 +402 3736 +-1992 -335 +-3623 -2590 +4681 0 +-3623 2590 +-1992 335 +402 -3736 +-8679 -5726 +-599 2776 +2531 292 +-368 -6212 +3996 4338 +-591 8123 +-1580 3552 +654 -105 +-673 -1139 +1142 -505 +-2662 -383 +-4873 2924 +0 -1170 +1900 -2104 +-260 2329 +-1378 790 +1643 3826 +407 1421 +177 -4009 +5340 -3337 +686 -2683 +-3366 -1031 +2182 -2588 +4639 -652 +3029 -761 +1353 -4653 +1604 -1635 +-1038 -1779 +3511 4681 +4359 539 +-2815 -4925 +-7722 3384 +-6137 633 +-3228 155 +-525 3734 +804 1037 +-1513 1655 +-2154 1403 +1781 -700 +2056 -3716 +-1162 1529 +2568 1721 +7591 -1943 +1611 8649 +-4681 0 +1611 -8649 +7591 1943 +2568 -1721 +-1162 -1529 +2056 3716 +1781 700 +-2154 -1403 +-1513 -1655 +804 -1037 +-525 -3734 +-3228 -155 +-6137 -633 +-7722 -3384 +-2815 4925 +4359 -539 +3511 -4681 +-1246 -1618 +324 -4081 +3920 1160 +2142 -633 +-807 -202 +-1476 2739 +-2777 -6007 +-3168 -1655 +-197 2276 +1592 -2190 +187 85 +476 -1529 +3026 -760 +2892 2299 +-400 -1078 +-2341 0 +-400 1078 +2892 -2299 +3026 760 +476 1529 +187 -85 +1592 2190 +-197 -2276 +-3168 1655 +-2777 6007 +-1476 -2739 +-807 202 +2142 633 +3920 -1160 +324 4081 +-1246 1618 +3511 4681 +4359 539 +-2815 -4925 +-7722 3384 +-6137 633 +-3228 155 +-525 3734 +804 1037 +-1513 1655 +-2154 1403 +1781 -700 +2056 -3716 +-1162 1529 +2568 1721 +7591 -1943 +1611 8649 +-2341 -1170 +-1396 1295 +525 1497 +-4493 -1156 +2036 -4608 +4160 -5003 +-1410 1882 +2598 365 +0 -3653 +-1964 -1546 +1720 -7669 +-823 -4775 +1393 528 +1355 -1688 +-4888 954 +-1019 -1534 +4681 0 +-1019 1534 +-4888 -954 +1355 1688 +1393 -528 +-823 4775 +1720 7669 +-1964 1546 +0 3653 +2598 -365 +-1410 -1882 +4160 5003 +2036 4608 +-4493 1156 +525 -1497 +-1396 -1295 +-2341 1170 +1584 3397 +2282 -1094 +3008 -5219 +-5346 612 +-7266 1749 +2186 500 +1106 408 +0 1312 +4376 2675 +4123 -2621 +1396 -1368 +1917 157 +2663 1785 +-4538 5501 +-5286 -2779 +0 0 +-5286 2779 +-4538 -5501 +2663 -1785 +1917 -157 +1396 1368 +4123 2621 +4376 -2675 +0 -1312 +1106 -408 +2186 -500 +-7266 -1749 +-5346 -612 +3008 5219 +2282 1094 +1584 -3397 +-2341 -1170 +-1396 1295 +525 1497 +-4493 -1156 +2036 -4608 +4160 -5003 +-1410 1882 +2598 365 +0 -3653 +-1964 -1546 +1720 -7669 +-823 -4775 +1393 528 +1355 -1688 +-4888 954 +-1019 -1534 +0 -1170 +-355 -7085 +1073 -239 +-42 2519 +4354 -4841 +2031 2928 +-559 6407 +4316 1681 +-686 4338 +-2344 1230 +1903 -1112 +-198 175 +149 2280 +1047 -1008 +1636 -5881 +1686 1716 +0 0 +1686 -1716 +1636 5881 +1047 1008 +149 -2280 +-198 -175 +1903 1112 +-2344 -1230 +-686 -4338 +4316 -1681 +-559 -6407 +2031 -2928 +4354 4841 +-42 -2519 +1073 239 +-355 7085 +0 1170 +1706 -5021 +-684 -3908 +1598 2748 +1296 6496 +-2761 1119 +-4155 -6060 +-3892 -559 +-3996 2683 +-5715 551 +-500 6140 +2447 1660 +-1118 -625 +2499 1321 +1286 -2947 +-2022 2819 +0 0 +-2022 -2819 +1286 2947 +2499 -1321 +-1118 625 +2447 -1660 +-500 -6140 +-5715 -551 +-3996 -2683 +-3892 559 +-4155 6060 +-2761 -1119 +1296 -6496 +1598 -2748 +-684 3908 +1706 5021 +0 -1170 +-355 -7085 +1073 -239 +-42 2519 +4354 -4841 +2031 2928 +-559 6407 +4316 1681 +-686 4338 +-2344 1230 +1903 -1112 +-198 175 +149 2280 +1047 -1008 +1636 -5881 +1686 1716 +0 1170 +574 -6191 +4994 -7908 +1673 -2450 +-2014 516 +-1182 3299 +-1250 -3227 +1265 -4242 +1655 -1998 +-1771 -2648 +-392 3880 +1611 -3423 +2192 -4071 +1999 1484 +-2787 -5251 +-3155 1115 +0 0 +-3155 -1115 +-2787 5251 +1999 -1484 +2192 4071 +1611 3423 +-392 -3880 +-1771 2648 +1655 1998 +1265 4242 +-1250 3227 +-1182 -3299 +-2014 -516 +1673 2450 +4994 7908 +574 6191 +0 -1170 +1588 -1900 +-1354 -1638 +-778 -369 +1044 -2171 +286 -144 +-954 4648 +-3428 932 +-1655 -343 +-391 1367 +-5395 -2459 +-2507 -3625 +3459 2416 +-1104 -1266 +-2223 -4294 +5317 6063 +9362 0 +5317 -6063 +-2223 4294 +-1104 1266 +3459 -2416 +-2507 3625 +-5395 2459 +-391 -1367 +-1655 343 +-3428 -932 +-954 -4648 +286 144 +1044 2171 +-778 369 +-1354 1638 +1588 1900 +0 1170 +574 -6191 +4994 -7908 +1673 -2450 +-2014 516 +-1182 3299 +-1250 -3227 +1265 -4242 +1655 -1998 +-1771 -2648 +-392 3880 +1611 -3423 +2192 -4071 +1999 1484 +-2787 -5251 +-3155 1115 +-2341 1170 +-3208 1192 +981 -3990 +785 250 +-1723 2736 +2114 -7687 +4814 -2679 +5337 -1305 +4480 -8133 +-582 -3223 +-2702 -517 +-1136 7128 +-1335 2103 +-1571 -8042 +-1336 176 +-739 -1200 +0 0 +-739 1200 +-1336 -176 +-1571 8042 +-1335 -2103 +-1136 -7128 +-2702 517 +-582 3223 +4480 8133 +5337 1305 +4814 2679 +2114 7687 +-1723 -2736 +785 -250 +981 3990 +-3208 -1192 +-2341 -1170 +-2657 2695 +-2265 2198 +2372 -2389 +68 574 +-1325 1438 +2062 -1646 +-1525 2554 +-2140 3452 +1094 -2239 +-863 -3808 +2139 1508 +2990 1207 +-3377 -1816 +-690 -1968 +2280 -5502 +0 0 +2280 5502 +-690 1968 +-3377 1816 +2990 -1207 +2139 -1508 +-863 3808 +1094 2239 +-2140 -3452 +-1525 -2554 +2062 1646 +-1325 -1438 +68 -574 +2372 2389 +-2265 -2198 +-2657 -2695 +-2341 1170 +-3208 1192 +981 -3990 +785 250 +-1723 2736 +2114 -7687 +4814 -2679 +5337 -1305 +4480 -8133 +-582 -3223 +-2702 -517 +-1136 7128 +-1335 2103 +-1571 -8042 +-1336 176 +-739 -1200 +1170 0 +-701 795 +-36 4384 +1274 -1312 +4540 1820 +7243 2611 +-5812 -8350 +-8499 -4573 +-343 1170 +-665 -4322 +1786 -1495 +1021 4135 +-2462 2894 +-1939 885 +-3097 641 +-3304 1501 +-2341 0 +-3304 -1501 +-3097 -641 +-1939 -885 +-2462 -2894 +1021 -4135 +1786 1495 +-665 4322 +-343 -1170 +-8499 4573 +-5812 8350 +7243 -2611 +4540 -1820 +1274 1312 +-36 -4384 +-701 -795 +1170 0 +343 -4036 +1828 -270 +-546 -272 +1111 -2505 +3822 -1406 +1487 401 +2568 3969 +-1998 1170 +-296 -2481 +2539 1537 +-126 2765 +1492 1102 +-4129 484 +1305 2102 +3934 5040 +-4681 0 +3934 -5040 +1305 -2102 +-4129 -484 +1492 -1102 +-126 -2765 +2539 -1537 +-296 2481 +-1998 -1170 +2568 -3969 +1487 -401 +3822 1406 +1111 2505 +-546 272 +1828 270 +343 4036 +1170 0 +-701 795 +-36 4384 +1274 -1312 +4540 1820 +7243 2611 +-5812 -8350 +-8499 -4573 +-343 1170 +-665 -4322 +1786 -1495 +1021 4135 +-2462 2894 +-1939 885 +-3097 641 +-3304 1501 +4681 -2341 +947 1193 +2455 -5999 +3211 -9205 +-1275 1477 +-527 -3461 +899 -5052 +1356 3177 +-3653 686 +-1789 738 +4029 185 +131 -2339 +-254 4891 +-1862 6661 +-2841 -268 +-429 -2203 +-1170 0 +-429 2203 +-2841 268 +-1862 -6661 +-254 -4891 +131 2339 +4029 -185 +-1789 -738 +-3653 -686 +1356 -3177 +899 5052 +-527 3461 +-1275 -1477 +3211 9205 +2455 5999 +947 -1193 +4681 2341 +-193 -250 +-1947 -117 +-941 -1343 +-380 -2848 +2417 3302 +1652 2518 +3242 2305 +1312 3996 +-1019 272 +-3270 -2719 +-6346 -3005 +1909 3100 +3917 209 +-977 -5848 +-2117 2552 +-3511 0 +-2117 -2552 +-977 5848 +3917 -209 +1909 -3100 +-6346 3005 +-3270 2719 +-1019 -272 +1312 -3996 +3242 -2305 +1652 -2518 +2417 -3302 +-380 2848 +-941 1343 +-1947 117 +-193 250 +4681 -2341 +947 1193 +2455 -5999 +3211 -9205 +-1275 1477 +-527 -3461 +899 -5052 +1356 3177 +-3653 686 +-1789 738 +4029 185 +131 -2339 +-254 4891 +-1862 6661 +-2841 -268 +-429 -2203 +0 2341 +4766 720 +801 1402 +550 -219 +4265 -5815 +4639 -2887 +-593 -2694 +-5013 -231 +-1513 2825 +1522 -4256 +4512 -162 +3430 2467 +-1470 -2208 +362 -1450 +-1371 -1537 +87 1599 +5851 0 +87 -1599 +-1371 1537 +362 1450 +-1470 2208 +3430 -2467 +4512 162 +1522 4256 +-1513 -2825 +-5013 231 +-593 2694 +4639 2887 +4265 5815 +550 219 +801 -1402 +4766 -720 +0 -2341 +-1707 -1089 +990 -286 +-1817 -1737 +-955 -1490 +-3372 2096 +-3732 -703 +1955 -2799 +-3168 -485 +-4581 869 +-187 2817 +-2163 -368 +-1841 -417 +-1629 -6979 +-420 -10020 +2971 7039 +3511 0 +2971 -7039 +-420 10020 +-1629 6979 +-1841 417 +-2163 368 +-187 -2817 +-4581 -869 +-3168 485 +1955 2799 +-3732 703 +-3372 -2096 +-955 1490 +-1817 1737 +990 286 +-1707 1089 +0 2341 +4766 720 +801 1402 +550 -219 +4265 -5815 +4639 -2887 +-593 -2694 +-5013 -231 +-1513 2825 +1522 -4256 +4512 -162 +3430 2467 +-1470 -2208 +362 -1450 +-1371 -1537 +87 1599 +0 -3511 +-1148 3056 +1575 5339 +-3459 2698 +469 -3273 +5806 777 +-2768 26 +-817 -3455 +1856 1513 +-5051 -1482 +-4062 -1248 +-575 2662 +-291 596 +-1746 -1846 +-4125 -235 +-1157 5959 +2341 0 +-1157 -5959 +-4125 235 +-1746 1846 +-291 -596 +-575 -2662 +-4062 1248 +-5051 1482 +1856 -1513 +-817 3455 +-2768 -26 +5806 -777 +469 3273 +-3459 -2698 +1575 -5339 +-1148 -3056 +0 3511 +-1133 6298 +-1753 641 +5657 -2895 +3527 -2377 +1862 1841 +1323 -163 +-770 812 +5166 3168 +2551 -5485 +826 -2199 +5643 1747 +976 -1566 +52 3441 +-378 2905 +-5715 -929 +-7022 0 +-5715 929 +-378 -2905 +52 -3441 +976 1566 +5643 -1747 +826 2199 +2551 5485 +5166 -3168 +-770 -812 +1323 163 +1862 -1841 +3527 2377 +5657 2895 +-1753 -641 +-1133 -6298 +0 -3511 +-1148 3056 +1575 5339 +-3459 2698 +469 -3273 +5806 777 +-2768 26 +-817 -3455 +1856 1513 +-5051 -1482 +-4062 -1248 +-575 2662 +-291 596 +-1746 -1846 +-4125 -235 +-1157 5959 +1170 1170 +853 5476 +444 -468 +5133 -774 +2066 3797 +777 4520 +1801 -1743 +-3163 -2075 +-1170 4338 +1076 -1976 +-1630 -3659 +-1257 769 +3333 1179 +6280 2703 +-2803 -2459 +-7343 -5892 +-3511 0 +-7343 5892 +-2803 2459 +6280 -2703 +3333 -1179 +-1257 -769 +-1630 3659 +1076 1976 +-1170 -4338 +-3163 2075 +1801 1743 +777 -4520 +2066 -3797 +5133 774 +444 468 +853 -5476 +1170 -1170 +-1325 -1910 +-696 -4171 +-722 575 +275 -4482 +-1384 318 +242 2310 +3722 -4305 +-1170 2683 +1170 -737 +6207 -3766 +2640 4489 +-992 2817 +-4848 260 +-3565 -809 +-1612 -2858 +-3511 0 +-1612 2858 +-3565 809 +-4848 -260 +-992 -2817 +2640 -4489 +6207 3766 +1170 737 +-1170 -2683 +3722 4305 +242 -2310 +-1384 -318 +275 4482 +-722 -575 +-696 4171 +-1325 1910 +1170 1170 +853 5476 +444 -468 +5133 -774 +2066 3797 +777 4520 +1801 -1743 +-3163 -2075 +-1170 4338 +1076 -1976 +-1630 -3659 +-1257 769 +3333 1179 +6280 2703 +-2803 -2459 +-7343 -5892 +1170 0 +-6104 -4122 +-2755 -2682 +1241 -516 +-6389 -3691 +118 1612 +1324 4497 +-1031 -3916 +3168 -3996 +-2443 1318 +-3539 -843 +2319 -2869 +3415 -262 +1916 2856 +535 712 +1306 -3616 +2341 0 +1306 3616 +535 -712 +1916 -2856 +3415 262 +2319 2869 +-3539 843 +-2443 -1318 +3168 3996 +-1031 3916 +1324 -4497 +118 -1612 +-6389 3691 +1241 516 +-2755 2682 +-6104 4122 +1170 0 +26 566 +1996 -925 +-3156 -1990 +-4227 3691 +3055 3379 +-1832 901 +-360 2387 +1513 -686 +1266 -1476 +7356 1560 +8260 -132 +2519 262 +-7131 2629 +-3086 362 +720 -1312 +-4681 0 +720 1312 +-3086 -362 +-7131 -2629 +2519 -262 +8260 132 +7356 -1560 +1266 1476 +1513 686 +-360 -2387 +-1832 -901 +3055 -3379 +-4227 -3691 +-3156 1990 +1996 925 +26 -566 +1170 0 +-6104 -4122 +-2755 -2682 +1241 -516 +-6389 -3691 +118 1612 +1324 4497 +-1031 -3916 +3168 -3996 +-2443 1318 +-3539 -843 +2319 -2869 +3415 -262 +1916 2856 +535 712 +1306 -3616 +-2341 1170 +911 961 +1325 847 +230 -1450 +5250 -3690 +-2755 537 +-2948 2727 +-1412 792 +-7306 1312 +-2177 1261 +-406 -184 +-2226 -4064 +2311 -5219 +3883 4056 +1572 3967 +1351 -6639 +2341 0 +1351 6639 +1572 -3967 +3883 -4056 +2311 5219 +-2226 4064 +-406 184 +-2177 -1261 +-7306 -1312 +-1412 -792 +-2948 -2727 +-2755 -537 +5250 3690 +230 1450 +1325 -847 +911 -961 +-2341 -1170 +4361 -87 +330 -2292 +584 -5685 +401 -4585 +-5960 -1240 +1293 1776 +3342 -526 +2625 -3653 +2816 375 +-1249 5 +-2810 -4630 +-3281 -3056 +2434 -3199 +83 -731 +-2571 6143 +2341 0 +-2571 -6143 +83 731 +2434 3199 +-3281 3056 +-2810 4630 +-1249 -5 +2816 -375 +2625 3653 +3342 526 +1293 -1776 +-5960 1240 +401 4585 +584 5685 +330 2292 +4361 87 +-2341 1170 +911 961 +1325 847 +230 -1450 +5250 -3690 +-2755 537 +-2948 2727 +-1412 792 +-7306 1312 +-2177 1261 +-406 -184 +-2226 -4064 +2311 -5219 +3883 4056 +1572 3967 +1351 -6639 +-2341 1170 +6693 2309 +403 -1107 +877 569 +-2573 254 +-3409 -4058 +4770 -1376 +-2678 3231 +-1655 1998 +808 -3420 +-3070 -2982 +1704 1127 +-4092 -380 +-4212 3230 +2228 5140 +-1935 -2801 +-4681 0 +-1935 2801 +2228 -5140 +-4212 -3230 +-4092 380 +1704 -1127 +-3070 2982 +808 3420 +-1655 -1998 +-2678 -3231 +4770 1376 +-3409 4058 +-2573 -254 +877 -569 +403 1107 +6693 -2309 +-2341 -1170 +-7860 -629 +-242 -1412 +2469 1989 +3543 -1909 +5148 -2630 +6609 5161 +3351 3307 +1655 343 +2844 1309 +-318 -2595 +-1652 -4232 +-1559 -1275 +-925 2911 +-1018 1704 +-1223 -4169 +0 0 +-1223 4169 +-1018 -1704 +-925 -2911 +-1559 1275 +-1652 4232 +-318 2595 +2844 -1309 +1655 -343 +3351 -3307 +6609 -5161 +5148 2630 +3543 1909 +2469 -1989 +-242 1412 +-7860 629 +-2341 1170 +6693 2309 +403 -1107 +877 569 +-2573 254 +-3409 -4058 +4770 -1376 +-2678 3231 +-1655 1998 +808 -3420 +-3070 -2982 +1704 1127 +-4092 -380 +-4212 3230 +2228 5140 +-1935 -2801 +0 -1170 +509 -5717 +-1815 -4187 +2699 -611 +3607 -486 +-2318 -233 +3786 1552 +1396 5764 +-3026 2683 +-118 -1871 +-1840 -218 +329 -341 +-718 2131 +-1929 -2090 +2272 -6500 +550 2787 +-2341 0 +550 -2787 +2272 6500 +-1929 2090 +-718 -2131 +329 341 +-1840 218 +-118 1871 +-3026 -2683 +1396 -5764 +3786 -1552 +-2318 233 +3607 486 +2699 611 +-1815 4187 +509 5717 +0 1170 +1404 -1575 +24 -2689 +2199 2395 +1074 7792 +-3492 2573 +539 -3578 +-5910 -2645 +-6336 4338 +2098 4635 +-2485 1502 +-635 5571 +5399 493 +3148 -2598 +-480 2934 +71 -1074 +2341 0 +71 1074 +-480 -2934 +3148 2598 +5399 -493 +-635 -5571 +-2485 -1502 +2098 -4635 +-6336 -4338 +-5910 2645 +539 3578 +-3492 -2573 +1074 -7792 +2199 -2395 +24 2689 +1404 1575 +0 -1170 +509 -5717 +-1815 -4187 +2699 -611 +3607 -486 +-2318 -233 +3786 1552 +1396 5764 +-3026 2683 +-118 -1871 +-1840 -218 +329 -341 +-718 2131 +-1929 -2090 +2272 -6500 +550 2787 +-5851 -4681 +1129 -1692 +6663 4135 +3952 -49 +1440 1312 +2550 3149 +2209 -6773 +3577 -1458 +-1312 4480 +-2035 -333 +2148 3723 +-758 4632 +-985 3653 +53 1010 +4417 -4244 +2439 689 +-4681 0 +2439 -689 +4417 4244 +53 -1010 +-985 -3653 +-758 -4632 +2148 -3723 +-2035 333 +-1312 -4480 +3577 1458 +2209 6773 +2550 -3149 +1440 -1312 +3952 49 +6663 -4135 +1129 1692 +-5851 4681 +-4177 1602 +2046 -3271 +-4034 -3216 +-3781 1312 +552 230 +-4445 1332 +3266 1101 +3653 -2140 +-4808 1767 +-1851 3509 +-2344 3072 +-1356 3653 +29 50 +-1824 -944 +610 1013 +2341 0 +610 -1013 +-1824 944 +29 -50 +-1356 -3653 +-2344 -3072 +-1851 -3509 +-4808 -1767 +3653 2140 +3266 -1101 +-4445 -1332 +552 -230 +-3781 -1312 +-4034 3216 +2046 3271 +-4177 -1602 +-5851 -4681 +1129 -1692 +6663 4135 +3952 -49 +1440 1312 +2550 3149 +2209 -6773 +3577 -1458 +-1312 4480 +-2035 -333 +2148 3723 +-758 4632 +-985 3653 +53 1010 +4417 -4244 +2439 689 +1170 -1170 +-511 -2310 +1197 853 +1784 -3865 +-1998 -3632 +-3115 285 +191 -3775 +-2383 2913 +0 3452 +1120 -5220 +-2429 -97 +-526 1538 +-343 60 +109 477 +-3765 796 +-2869 6605 +3511 0 +-2869 -6605 +-3765 -796 +109 -477 +-343 -60 +-526 -1538 +-2429 97 +1120 5220 +0 -3452 +-2383 -2913 +191 3775 +-3115 -285 +-1998 3632 +1784 3865 +1197 -853 +-511 2310 +1170 1170 +2372 341 +-2481 2503 +-738 2789 +-1998 322 +-1508 -4722 +6684 -3667 +-608 -5374 +0 -8133 +6973 884 +-1137 3956 +-2485 -2070 +-343 -3370 +-140 -390 +1739 4499 +2525 5534 +3511 0 +2525 -5534 +1739 -4499 +-140 390 +-343 3370 +-2485 2070 +-1137 -3956 +6973 -884 +0 8133 +-608 5374 +6684 3667 +-1508 4722 +-1998 -322 +-738 -2789 +-2481 -2503 +2372 -341 +1170 -1170 +-511 -2310 +1197 853 +1784 -3865 +-1998 -3632 +-3115 285 +191 -3775 +-2383 2913 +0 3452 +1120 -5220 +-2429 -97 +-526 1538 +-343 60 +109 477 +-3765 796 +-2869 6605 +-4681 3511 +-973 -2559 +2443 -4464 +2189 2264 +828 693 +2113 2219 +3229 3366 +719 5024 +3511 2483 +5837 -2923 +-1486 1888 +-3686 -508 +-828 1851 +-3340 138 +-3272 -8889 +-1620 3227 +-2341 0 +-1620 -3227 +-3272 8889 +-3340 -138 +-828 -1851 +-3686 508 +-1486 -1888 +5837 2923 +3511 -2483 +719 -5024 +3229 -3366 +2113 -2219 +828 -693 +2189 -2264 +2443 4464 +-973 2559 +-4681 -3511 +263 1150 +4433 -1127 +-144 -4723 +828 -4003 +1573 -2245 +-5255 1483 +-2342 1470 +3511 -2483 +111 -1884 +202 2962 +1792 -1457 +-828 -5161 +-497 -658 +-293 3297 +-1995 6665 +-2341 0 +-1995 -6665 +-293 -3297 +-497 658 +-828 5161 +1792 1457 +202 -2962 +111 1884 +3511 2483 +-2342 -1470 +-5255 -1483 +1573 2245 +828 4003 +-144 4723 +4433 1127 +263 -1150 +-4681 3511 +-973 -2559 +2443 -4464 +2189 2264 +828 693 +2113 2219 +3229 3366 +719 5024 +3511 2483 +5837 -2923 +-1486 1888 +-3686 -508 +-828 1851 +-3340 138 +-3272 -8889 +-1620 3227 +-1170 -4681 +-733 2988 +313 2564 +-3629 -1132 +-3370 -1901 +-811 -4326 +1675 1234 +8900 -2325 +5308 -6821 +-1157 2425 +-3007 -1601 +-1499 -5174 +3632 4571 +-1417 2319 +422 -4924 +4227 -3421 +0 0 +4227 3421 +422 4924 +-1417 -2319 +3632 -4571 +-1499 5174 +-3007 1601 +-1157 -2425 +5308 6821 +8900 2325 +1675 -1234 +-811 4326 +-3370 1901 +-3629 1132 +313 -2564 +-733 -2988 +-1170 4681 +1891 -187 +2609 -4726 +-1925 -3164 +60 -4435 +2765 -1934 +-272 -338 +357 713 +-2967 -201 +-3271 -1889 +-1706 2496 +-2751 349 +-322 -1545 +-3974 4182 +-34 2762 +3027 -992 +-2341 0 +3027 992 +-34 -2762 +-3974 -4182 +-322 1545 +-2751 -349 +-1706 -2496 +-3271 1889 +-2967 201 +357 -713 +-272 338 +2765 1934 +60 4435 +-1925 3164 +2609 4726 +1891 187 +-1170 -4681 +-733 2988 +313 2564 +-3629 -1132 +-3370 -1901 +-811 -4326 +1675 1234 +8900 -2325 +5308 -6821 +-1157 2425 +-3007 -1601 +-1499 -5174 +3632 4571 +-1417 2319 +422 -4924 +4227 -3421 +0 2341 +-2579 -915 +-6371 -5893 +-81 -977 +1235 -2066 +-711 -5150 +-65 -766 +-3683 638 +3653 1655 +4950 -138 +-526 -3209 +-3103 2928 +-9955 3333 +-1338 -2318 +2851 1699 +-1498 5151 +1170 0 +-1498 -5151 +2851 -1699 +-1338 2318 +-9955 -3333 +-3103 -2928 +-526 3209 +4950 138 +3653 -1655 +-3683 -638 +-65 766 +-711 5150 +1235 2066 +-81 977 +-6371 5893 +-2579 915 +0 -2341 +298 1173 +2417 106 +6042 -436 +1389 -275 +-4236 -1653 +3494 -2873 +942 -3600 +-1312 -1655 +4684 -2404 +-2903 -1801 +-3909 2584 +2649 -992 +717 -2762 +1103 505 +3506 -1731 +3511 0 +3506 1731 +1103 -505 +717 2762 +2649 992 +-3909 -2584 +-2903 1801 +4684 2404 +-1312 1655 +942 3600 +3494 2873 +-4236 1653 +1389 275 +6042 436 +2417 -106 +298 -1173 +0 2341 +-2579 -915 +-6371 -5893 +-81 -977 +1235 -2066 +-711 -5150 +-65 -766 +-3683 638 +3653 1655 +4950 -138 +-526 -3209 +-3103 2928 +-9955 3333 +-1338 -2318 +2851 1699 +-1498 5151 +0 1170 +4613 -6938 +1029 -4834 +-3994 3194 +-2535 -2103 +1342 -1020 +3006 2389 +6152 -1501 +1170 -828 +-812 -615 +1325 -3498 +-3310 -2685 +-3042 -574 +-1475 -4352 +2181 -7685 +1144 -3032 +-4681 0 +1144 3032 +2181 7685 +-1475 4352 +-3042 574 +-3310 2685 +1325 3498 +-812 615 +1170 828 +6152 1501 +3006 -2389 +1342 1020 +-2535 2103 +-3994 -3194 +1029 4834 +4613 6938 +0 -1170 +-3199 979 +3863 2745 +367 1816 +-3801 -1207 +-1950 -2581 +-4483 1995 +1591 -857 +1170 828 +-1830 4100 +1523 -3420 +-3716 -3721 +16 -2736 +6117 -65 +919 3658 +-1040 -3704 +0 0 +-1040 3704 +919 -3658 +6117 65 +16 2736 +-3716 3721 +1523 3420 +-1830 -4100 +1170 -828 +1591 857 +-4483 -1995 +-1950 2581 +-3801 1207 +367 -1816 +3863 -2745 +-3199 -979 +0 1170 +4613 -6938 +1029 -4834 +-3994 3194 +-2535 -2103 +1342 -1020 +3006 2389 +6152 -1501 +1170 -828 +-812 -615 +1325 -3498 +-3310 -2685 +-3042 -574 +-1475 -4352 +2181 -7685 +1144 -3032 +-4681 4681 +-4555 -1977 +2386 -1041 +831 -721 +2869 -3088 +-1074 699 +-1839 2473 +-754 1999 +-1998 6336 +660 4847 +-3431 -2638 +-5286 -1762 +1728 3207 +4894 2293 +2502 -342 +2114 -522 +3511 0 +2114 522 +2502 342 +4894 -2293 +1728 -3207 +-5286 1762 +-3431 2638 +660 -4847 +-1998 -6336 +-754 -1999 +-1839 -2473 +-1074 -699 +2869 3088 +831 721 +2386 1041 +-4555 1977 +-4681 -4681 +5929 -3781 +-2312 3963 +-1476 1267 +2498 -2563 +-3634 -1560 +-1650 -3876 +-4565 -1758 +-343 3026 +2126 -282 +-4382 -2075 +3878 -891 +6949 -4176 +1868 -3539 +-637 -46 +-954 -911 +1170 0 +-954 911 +-637 46 +1868 3539 +6949 4176 +3878 891 +-4382 2075 +2126 282 +-343 -3026 +-4565 1758 +-1650 3876 +-3634 1560 +2498 2563 +-1476 -1267 +-2312 -3963 +5929 3781 +-4681 4681 +-4555 -1977 +2386 -1041 +831 -721 +2869 -3088 +-1074 699 +-1839 2473 +-754 1999 +-1998 6336 +660 4847 +-3431 -2638 +-5286 -1762 +1728 3207 +4894 2293 +2502 -342 +2114 -522 +0 -5851 +6280 -5168 +3559 -881 +1470 -3180 +-2809 173 +-1954 1773 +1255 -4038 +-1341 -3334 +4480 828 +-648 2053 +-3860 2779 +3334 427 +-2050 -2073 +-430 315 +-2728 3887 +-3498 3985 +4681 0 +-3498 -3985 +-2728 -3887 +-430 -315 +-2050 2073 +3334 -427 +-3860 -2779 +-648 -2053 +4480 -828 +-1341 3334 +1255 4038 +-1954 -1773 +-2809 -173 +1470 3180 +3559 881 +6280 5168 +0 5851 +-738 -699 +3477 3550 +-2277 4648 +-5867 -2514 +1415 608 +8097 591 +2444 -5133 +-2140 -828 +-454 -79 +-811 -2915 +-2795 2696 +-3317 4414 +1236 1895 +373 2093 +-2044 589 +0 0 +-2044 -589 +373 -2093 +1236 -1895 +-3317 -4414 +-2795 -2696 +-811 2915 +-454 79 +-2140 828 +2444 5133 +8097 -591 +1415 -608 +-5867 2514 +-2277 -4648 +3477 -3550 +-738 699 +0 -5851 +6280 -5168 +3559 -881 +1470 -3180 +-2809 173 +-1954 1773 +1255 -4038 +-1341 -3334 +4480 828 +-648 2053 +-3860 2779 +3334 427 +-2050 -2073 +-430 315 +-2728 3887 +-3498 3985 +-3511 -4681 +-1833 -3745 +-867 -3495 +580 -5338 +-2446 4503 +-4869 2265 +-1180 160 +-4376 5966 +-828 0 +3558 -2983 +154 1175 +1172 430 +-1424 -1445 +-348 -2173 +2661 -2131 +-803 -1268 +-2341 0 +-803 1268 +2661 2131 +-348 2173 +-1424 1445 +1172 -430 +154 -1175 +3558 2983 +-828 0 +-4376 -5966 +-1180 -160 +-4869 -2265 +-2446 -4503 +580 5338 +-867 3495 +-1833 3745 +-3511 4681 +-1979 -7402 +-2401 -4140 +-1583 3168 +-1550 178 +1712 283 +8604 -1679 +2835 -1097 +828 0 +2307 1232 +413 3927 +3776 -4501 +738 -3236 +-441 6623 +1978 1116 +290 -3259 +0 0 +290 3259 +1978 -1116 +-441 -6623 +738 3236 +3776 4501 +413 -3927 +2307 -1232 +828 0 +2835 1097 +8604 1679 +1712 -283 +-1550 -178 +-1583 -3168 +-2401 4140 +-1979 7402 +-3511 -4681 +-1833 -3745 +-867 -3495 +580 -5338 +-2446 4503 +-4869 2265 +-1180 160 +-4376 5966 +-828 0 +3558 -2983 +154 1175 +1172 430 +-1424 -1445 +-348 -2173 +2661 -2131 +-803 -1268 +4681 2341 +45 -2222 +-224 -845 +4037 4323 +1440 -1006 +1287 69 +2033 -211 +4368 -3599 +6478 -2825 +-2338 -1012 +-5706 3967 +469 466 +-985 2409 +-3970 1998 +-1381 -5418 +3189 4172 +5851 0 +3189 -4172 +-1381 5418 +-3970 -1998 +-985 -2409 +469 -466 +-5706 -3967 +-2338 1012 +6478 2825 +4368 3599 +2033 211 +1287 -69 +1440 1006 +4037 -4323 +-224 845 +45 2222 +4681 -2341 +710 -720 +2072 726 +2857 -538 +-3781 -5330 +-990 1383 +87 7475 +-4423 809 +-1797 485 +-1931 -1777 +-4406 -3323 +-2558 986 +-1356 617 +-1133 1788 +-1838 -1321 +381 -7114 +3511 0 +381 7114 +-1838 1321 +-1133 -1788 +-1356 -617 +-2558 -986 +-4406 3323 +-1931 1777 +-1797 -485 +-4423 -809 +87 -7475 +-990 -1383 +-3781 5330 +2857 538 +2072 -726 +710 720 +4681 2341 +45 -2222 +-224 -845 +4037 4323 +1440 -1006 +1287 69 +2033 -211 +4368 -3599 +6478 -2825 +-2338 -1012 +-5706 3967 +469 466 +-985 2409 +-3970 1998 +-1381 -5418 +3189 4172 +-3511 2341 +-361 3122 +2974 -3868 +907 -3295 +3312 -2014 +-323 1970 +4115 1866 +9697 -1328 +1513 2341 +1595 2198 +-792 522 +-4116 -2518 +1646 -2192 +-3186 570 +-2841 -1160 +2087 1925 +0 0 +2087 -1925 +-2841 1160 +-3186 -570 +1646 2192 +-4116 2518 +-792 -522 +1595 -2198 +1513 -2341 +9697 1328 +4115 -1866 +-323 -1970 +3312 2014 +907 3295 +2974 3868 +-361 -3122 +-3511 -2341 +5510 1381 +3513 -2111 +-5595 59 +-4967 1044 +-2040 -525 +-1427 -1730 +1020 1150 +3168 2341 +424 -2019 +-1895 2925 +-2674 1073 +9 -3459 +3788 2666 +-3647 -1510 +-6732 -6428 +-2341 0 +-6732 6428 +-3647 1510 +3788 -2666 +9 3459 +-2674 -1073 +-1895 -2925 +424 2019 +3168 -2341 +1020 -1150 +-1427 1730 +-2040 525 +-4967 -1044 +-5595 -59 +3513 2111 +5510 -1381 +-3511 2341 +-361 3122 +2974 -3868 +907 -3295 +3312 -2014 +-323 1970 +4115 1866 +9697 -1328 +1513 2341 +1595 2198 +-792 522 +-4116 -2518 +1646 -2192 +-3186 570 +-2841 -1160 +2087 1925 +-4681 -3511 +1198 -145 +2265 2033 +1997 -1514 +605 4676 +-2909 5344 +-3437 1381 +-1571 -3586 +1170 -828 +87 5348 +-2352 -224 +3711 1138 +5689 -3518 +-2707 -4881 +-4770 4406 +-3434 -4790 +-4681 0 +-3434 4790 +-4770 -4406 +-2707 4881 +5689 3518 +3711 -1138 +-2352 224 +87 -5348 +1170 828 +-1571 3586 +-3437 -1381 +-2909 -5344 +605 -4676 +1997 1514 +2265 -2033 +1198 145 +-4681 3511 +-3931 -3107 +1689 87 +-261 2218 +80 -2336 +2923 -1278 +8 1838 +232 826 +1170 828 +476 4208 +5782 2072 +5700 -235 +-1694 1178 +-1836 5165 +816 5706 +323 -2129 +0 0 +323 2129 +816 -5706 +-1836 -5165 +-1694 -1178 +5700 235 +5782 -2072 +476 -4208 +1170 -828 +232 -826 +8 -1838 +2923 1278 +80 2336 +-261 -2218 +1689 -87 +-3931 3107 +-4681 -3511 +1198 -145 +2265 2033 +1997 -1514 +605 4676 +-2909 5344 +-3437 1381 +-1571 -3586 +1170 -828 +87 5348 +-2352 -224 +3711 1138 +5689 -3518 +-2707 -4881 +-4770 4406 +-3434 -4790 +1170 -4681 +-2366 1246 +-986 -2556 +-486 -3034 +-1550 4891 +3103 3160 +3430 1447 +-5680 140 +-4823 0 +1071 3928 +5785 1339 +4176 -1277 +738 -2848 +9779 -3074 +3397 1147 +-4907 723 +0 0 +-4907 -723 +3397 -1147 +9779 3074 +738 2848 +4176 1277 +5785 -1339 +1071 -3928 +-4823 0 +-5680 -140 +3430 -1447 +3103 -3160 +-1550 -4891 +-486 3034 +-986 2556 +-2366 -1246 +1170 4681 +1513 1729 +-3549 -502 +-497 4602 +-2446 3100 +-5444 -1097 +937 -2713 +-142 -563 +142 0 +-79 -1818 +-2160 -2606 +461 -2776 +-1424 1477 +2148 -1474 +2509 -4206 +-2652 4785 +-2341 0 +-2652 -4785 +2509 4206 +2148 1474 +-1424 -1477 +461 2776 +-2160 2606 +-79 1818 +142 0 +-142 563 +937 2713 +-5444 1097 +-2446 -3100 +-497 -4602 +-3549 502 +1513 -1729 +1170 -4681 +-2366 1246 +-986 -2556 +-486 -3034 +-1550 4891 +3103 3160 +3430 1447 +-5680 140 +-4823 0 +1071 3928 +5785 1339 +4176 -1277 +738 -2848 +9779 -3074 +3397 1147 +-4907 723 +-2341 1170 +3428 -1584 +1715 -1407 +-6840 2641 +-5192 -2640 +2763 -3650 +1977 1589 +2950 4896 +6821 828 +402 -4136 +-1977 2141 +-3948 2732 +-7589 2126 +-342 1435 +-1715 -3182 +-4372 3011 +0 0 +-4372 -3011 +-1715 3182 +-342 -1435 +-7589 -2126 +-3948 -2732 +-1977 -2141 +402 4136 +6821 -828 +2950 -4896 +1977 -1589 +2763 3650 +-5192 2640 +-6840 -2641 +1715 1407 +3428 1584 +-2341 -1170 +367 3347 +1715 4135 +-1362 -2502 +4507 -3011 +5096 3826 +1977 783 +3325 -1141 +201 -828 +-834 -4424 +-1977 1603 +-1105 606 +3594 -3095 +-882 -875 +-1715 -2080 +1354 2418 +0 0 +1354 -2418 +-1715 2080 +-882 875 +3594 3095 +-1105 -606 +-1977 -1603 +-834 4424 +201 828 +3325 1141 +1977 -783 +5096 -3826 +4507 3011 +-1362 2502 +1715 -4135 +367 -3347 +-2341 1170 +3428 -1584 +1715 -1407 +-6840 2641 +-5192 -2640 +2763 -3650 +1977 1589 +2950 4896 +6821 828 +402 -4136 +-1977 2141 +-3948 2732 +-7589 2126 +-342 1435 +-1715 -3182 +-4372 3011 +3511 -2341 +86 -147 +-416 4854 +2686 -4554 +-4830 -2699 +-5548 530 +2834 -6378 +-3059 -2022 +-7164 -2341 +-634 -3168 +-1350 2374 +483 1633 +5989 1804 +1928 1787 +-1682 -481 +-2784 -235 +-4681 0 +-2784 235 +-1682 481 +1928 -1787 +5989 -1804 +483 -1633 +-1350 -2374 +-634 3168 +-7164 2341 +-3059 2022 +2834 6378 +-5548 -530 +-4830 2699 +2686 4554 +-416 -4854 +86 147 +3511 2341 +205 430 +-1449 -2902 +-1488 -6752 +-135 359 +4907 -839 +4979 -676 +103 1518 +-2199 -2341 +279 1146 +4838 -1436 +3468 -928 +-1023 537 +184 -5457 +1609 1062 +-817 5620 +-2341 0 +-817 -5620 +1609 -1062 +184 5457 +-1023 -537 +3468 928 +4838 1436 +279 -1146 +-2199 2341 +103 -1518 +4979 676 +4907 839 +-135 -359 +-1488 6752 +-1449 2902 +205 -430 +3511 -2341 +86 -147 +-416 4854 +2686 -4554 +-4830 -2699 +-5548 530 +2834 -6378 +-3059 -2022 +-7164 -2341 +-634 -3168 +-1350 2374 +483 1633 +5989 1804 +1928 1787 +-1682 -481 +-2784 -235 +-5851 5851 +148 5802 +-440 -4469 +1267 -385 +1199 4414 +-3598 -1412 +-2489 230 +-3055 2488 +1655 -142 +2376 -247 +669 -1173 +-72 -1278 +-6048 173 +1128 156 +2906 491 +1876 554 +8192 0 +1876 -554 +2906 -491 +1128 -156 +-6048 -173 +-72 1278 +669 1173 +2376 247 +1655 142 +-3055 -2488 +-2489 -230 +-3598 1412 +1199 -4414 +1267 385 +-440 4469 +148 -5802 +-5851 -5851 +313 -304 +4646 -4925 +689 -7093 +457 -2073 +-5002 3701 +-2983 1530 +2327 -1177 +-1655 4823 +-4182 1914 +-1816 -3119 +2556 677 +4393 -2514 +3033 -1162 +-492 2787 +197 -4062 +3511 0 +197 4062 +-492 -2787 +3033 1162 +4393 2514 +2556 -677 +-1816 3119 +-4182 -1914 +-1655 -4823 +2327 1177 +-2983 -1530 +-5002 -3701 +457 2073 +689 7093 +4646 4925 +313 304 +-5851 5851 +148 5802 +-440 -4469 +1267 -385 +1199 4414 +-3598 -1412 +-2489 230 +-3055 2488 +1655 -142 +2376 -247 +669 -1173 +-72 -1278 +-6048 173 +1128 156 +2906 491 +1876 554 +-2341 1170 +2229 -1500 +4301 -700 +-176 -1925 +1513 -5270 +2420 -1583 +-438 -2727 +-1774 -3560 +1170 -142 +-3106 -714 +-4203 2968 +3638 -53 +3168 -8219 +1733 -66 +-3147 6623 +-4798 823 +0 0 +-4798 -823 +-3147 -6623 +1733 66 +3168 8219 +3638 53 +-4203 -2968 +-3106 714 +1170 142 +-1774 3560 +-438 2727 +2420 1583 +1513 5270 +-176 1925 +4301 700 +2229 1500 +-2341 -1170 +2554 -3150 +-290 -4951 +-1137 -439 +1513 1960 +859 500 +3454 1757 +326 -507 +1170 4823 +-547 6073 +-6804 -1998 +718 4814 +3168 4909 +-1434 -3074 +-2234 -972 +-1504 -2666 +0 0 +-1504 2666 +-2234 972 +-1434 3074 +3168 -4909 +718 -4814 +-6804 1998 +-547 -6073 +1170 -4823 +326 507 +3454 -1757 +859 -500 +1513 -1960 +-1137 439 +-290 4951 +2554 3150 +-2341 1170 +2229 -1500 +4301 -700 +-176 -1925 +1513 -5270 +2420 -1583 +-438 -2727 +-1774 -3560 +1170 -142 +-3106 -714 +-4203 2968 +3638 -53 +3168 -8219 +1733 -66 +-3147 6623 +-4798 823 +0 2341 +-2732 5317 +-1082 -3936 +6566 3094 +1065 3058 +-3440 -2135 +2745 2083 +4211 0 +1312 -1655 +-4598 893 +-5316 130 +-224 -3550 +2087 -1267 +3562 -87 +-1062 -1291 +-2598 4838 +1170 0 +-2598 -4838 +-1062 1291 +3562 87 +2087 1267 +-224 3550 +-5316 -130 +-4598 -893 +1312 1655 +4211 0 +2745 -2083 +-3440 2135 +1065 -3058 +6566 -3094 +-1082 3936 +-2732 -5317 +0 -2341 +992 4670 +2559 -196 +1610 819 +1961 -3058 +-2071 -3844 +-5845 -4067 +-3941 -5699 +-3653 1655 +277 -3282 +424 -6795 +-1396 881 +4249 1267 +2013 689 +-1786 1840 +1771 1839 +3511 0 +1771 -1839 +-1786 -1840 +2013 -689 +4249 -1267 +-1396 -881 +424 6795 +277 3282 +-3653 -1655 +-3941 5699 +-5845 4067 +-2071 3844 +1961 3058 +1610 -819 +2559 196 +992 -4670 +0 2341 +-2732 5317 +-1082 -3936 +6566 3094 +1065 3058 +-3440 -2135 +2745 2083 +4211 0 +1312 -1655 +-4598 893 +-5316 130 +-224 -3550 +2087 -1267 +3562 -87 +-1062 -1291 +-2598 4838 +-1170 3511 +-3465 1482 +-2946 -4270 +5349 -835 +1685 -2953 +-5360 -1191 +4377 3727 +1283 984 +-5166 -343 +-1488 -292 +-732 -4397 +1290 -4868 +285 2183 +158 1761 +2160 -4553 +4845 -2387 +8192 0 +4845 2387 +2160 4553 +158 -1761 +285 -2183 +1290 4868 +-732 4397 +-1488 292 +-5166 343 +1283 -984 +4377 -3727 +-5360 1191 +1685 2953 +5349 835 +-2946 4270 +-3465 -1482 +-1170 -3511 +1319 3870 +-112 -369 +2960 356 +7276 2267 +1554 -4561 +-3111 -3160 +-3856 -3356 +-1856 -1998 +-1783 1587 +-534 -3027 +-291 -464 +-4565 1812 +959 923 +898 1285 +-3477 -4577 +-1170 0 +-3477 4577 +898 -1285 +959 -923 +-4565 -1812 +-291 464 +-534 3027 +-1783 -1587 +-1856 1998 +-3856 3356 +-3111 3160 +1554 4561 +7276 -2267 +2960 -356 +-112 369 +1319 -3870 +-1170 3511 +-3465 1482 +-2946 -4270 +5349 -835 +1685 -2953 +-5360 -1191 +4377 3727 +1283 984 +-5166 -343 +-1488 -292 +-732 -4397 +1290 -4868 +285 2183 +158 1761 +2160 -4553 +4845 -2387 +-2341 -7022 +4676 -3415 +-857 6320 +-1342 -653 +-2446 -1751 +-4911 3168 +-1945 -2256 +-6751 -1533 +343 1655 +5026 184 +-154 1227 +1703 -2795 +-1424 -5883 +4254 -1463 +8529 -1316 +-1336 -3280 +-5851 0 +-1336 3280 +8529 1316 +4254 1463 +-1424 5883 +1703 2795 +-154 -1227 +5026 -184 +343 -1655 +-6751 1533 +-1945 2256 +-4911 -3168 +-2446 1751 +-1342 653 +-857 -6320 +4676 3415 +-2341 7022 +-1322 69 +1287 3074 +-5113 4305 +-1550 782 +1422 -10 +1346 496 +3344 1585 +1998 -1655 +-1619 -1923 +-1187 3066 +1786 1628 +738 233 +2202 790 +2342 -1962 +-2018 -1858 +-3511 0 +-2018 1858 +2342 1962 +2202 -790 +738 -233 +1786 -1628 +-1187 -3066 +-1619 1923 +1998 1655 +3344 -1585 +1346 -496 +1422 10 +-1550 -782 +-5113 -4305 +1287 -3074 +-1322 -69 +-2341 -7022 +4676 -3415 +-857 6320 +-1342 -653 +-2446 -1751 +-4911 3168 +-1945 -2256 +-6751 -1533 +343 1655 +5026 184 +-154 1227 +1703 -2795 +-1424 -5883 +4254 -1463 +8529 -1316 +-1336 -3280 +-1170 -4681 +-3372 -5594 +176 3129 +1633 2977 +1618 1998 +1437 2769 +-1254 -3575 +-969 -2857 +-1998 4480 +-5642 3694 +-3805 -1572 +-567 -220 +2251 -343 +3553 90 +-1165 1708 +-1248 -3997 +2341 0 +-1248 3997 +-1165 -1708 +3553 -90 +2251 343 +-567 220 +-3805 1572 +-5642 -3694 +-1998 -4480 +-969 2857 +-1254 3575 +1437 -2769 +1618 -1998 +1633 -2977 +176 -3129 +-3372 5594 +-1170 4681 +-1681 619 +-6292 -385 +-2463 -515 +722 1998 +-276 2409 +3787 3617 +4399 -3466 +-343 -2140 +-1098 4833 +1272 -6377 +2716 -3880 +89 -343 +587 -4165 +7281 2407 +2991 -2112 +-4681 0 +2991 2112 +7281 -2407 +587 4165 +89 343 +2716 3880 +1272 6377 +-1098 -4833 +-343 2140 +4399 3466 +3787 -3617 +-276 -2409 +722 -1998 +-2463 515 +-6292 385 +-1681 -619 +-1170 -4681 +-3372 -5594 +176 3129 +1633 2977 +1618 1998 +1437 2769 +-1254 -3575 +-969 -2857 +-1998 4480 +-5642 3694 +-3805 -1572 +-567 -220 +2251 -343 +3553 90 +-1165 1708 +-1248 -3997 +-7022 -2341 +-2805 -900 +371 -2154 +-1323 -759 +574 469 +-1574 2052 +2480 -111 +2372 -1640 +-5793 4480 +-2492 1136 +3507 -1455 +1462 6494 +-1207 291 +-36 -5571 +-1709 554 +-1720 -317 +1170 0 +-1720 317 +-1709 -554 +-36 5571 +-1207 -291 +1462 -6494 +3507 1455 +-2492 -1136 +-5793 -4480 +2372 1640 +2480 111 +-1574 -2052 +574 -469 +-1323 759 +371 2154 +-2805 900 +-7022 2341 +-3006 2003 +-3681 -397 +1944 5359 +2736 3527 +-2940 -5506 +830 -3707 +2525 -1949 +5793 -2140 +3711 1539 +-197 948 +518 -438 +-2103 -976 +1949 -2922 +-1601 205 +1414 3805 +12873 0 +1414 -3805 +-1601 -205 +1949 2922 +-2103 976 +518 438 +-197 -948 +3711 -1539 +5793 2140 +2525 1949 +830 3707 +-2940 5506 +2736 -3527 +1944 -5359 +-3681 397 +-3006 -2003 +-7022 -2341 +-2805 -900 +371 -2154 +-1323 -759 +574 469 +-1574 2052 +2480 -111 +2372 -1640 +-5793 4480 +-2492 1136 +3507 -1455 +1462 6494 +-1207 291 +-36 -5571 +-1709 554 +-1720 -317 +-3511 5851 +-2891 3988 +-7055 -2508 +-3245 -591 +5525 -4512 +2367 1716 +-2422 2215 +233 -5606 +1170 -1998 +-2834 -2738 +-5227 -1394 +-1712 2704 +1319 4757 +561 2318 +1182 -4194 +605 274 +-1170 0 +605 -274 +1182 4194 +561 -2318 +1319 -4757 +-1712 -2704 +-5227 1394 +-2834 2738 +1170 1998 +233 5606 +-2422 -2215 +2367 -1716 +5525 4512 +-3245 591 +-7055 2508 +-2891 -3988 +-3511 -5851 +-1266 584 +2045 -3261 +253 -920 +2467 -1825 +956 -2377 +-3365 4079 +2301 1515 +1170 -343 +-4803 -577 +3023 3008 +6024 6062 +52 -1731 +1416 -1022 +2457 3105 +2034 -1546 +3511 0 +2034 1546 +2457 -3105 +1416 1022 +52 1731 +6024 -6062 +3023 -3008 +-4803 577 +1170 343 +2301 -1515 +-3365 -4079 +956 2377 +2467 1825 +253 920 +2045 3261 +-1266 -584 +-3511 5851 +-2891 3988 +-7055 -2508 +-3245 -591 +5525 -4512 +2367 1716 +-2422 2215 +233 -5606 +1170 -1998 +-2834 -2738 +-5227 -1394 +-1712 2704 +1319 4757 +561 2318 +1182 -4194 +605 274 +0 3511 +1301 -4792 +-2337 4600 +16 6065 +6106 -1550 +1626 1265 +306 1057 +3741 -973 +1655 -343 +492 -1654 +-902 -1688 +-3549 2857 +-5735 -738 +-5115 -7221 +3041 -1037 +4435 3432 +0 0 +4435 -3432 +3041 1037 +-5115 7221 +-5735 738 +-3549 -2857 +-902 1688 +492 1654 +1655 343 +3741 973 +306 -1057 +1626 -1265 +6106 1550 +16 -6065 +-2337 -4600 +1301 4792 +0 -3511 +-1237 -356 +-1988 3794 +-574 -5615 +514 -2446 +-3097 -1551 +-2097 -46 +-1077 2559 +-1655 -1998 +3464 -3232 +2694 -7230 +-1600 -2786 +-885 1424 +-947 -1335 +1284 -499 +2122 -5690 +0 0 +2122 5690 +1284 499 +-947 1335 +-885 -1424 +-1600 2786 +2694 7230 +3464 3232 +-1655 1998 +-1077 -2559 +-2097 46 +-3097 1551 +514 2446 +-574 5615 +-1988 -3794 +-1237 356 +0 3511 +1301 -4792 +-2337 4600 +16 6065 +6106 -1550 +1626 1265 +306 1057 +3741 -973 +1655 -343 +492 -1654 +-902 -1688 +-3549 2857 +-5735 -738 +-5115 -7221 +3041 -1037 +4435 3432 +-3511 -8192 +1482 -2247 +5147 3867 +-1563 -1216 +880 933 +4947 2526 +-2957 1591 +3326 877 +3996 -3168 +-4322 -2752 +180 1357 +3169 3536 +4697 1744 +1009 -1018 +-6048 -1752 +-4003 -2557 +-1170 0 +-4003 2557 +-6048 1752 +1009 1018 +4697 -1744 +3169 -3536 +180 -1357 +-4322 2752 +3996 3168 +3326 -877 +-2957 -1591 +4947 -2526 +880 -933 +-1563 1216 +5147 -3867 +1482 2247 +-3511 8192 +-5506 269 +-3534 1920 +-379 3751 +2146 37 +573 1631 +-2812 2048 +1828 2446 +686 -1513 +-1608 -1560 +908 3653 +738 -898 +1639 3907 +-1873 8654 +-247 -452 +2184 -435 +-1170 0 +2184 435 +-247 452 +-1873 -8654 +1639 -3907 +738 898 +908 -3653 +-1608 1560 +686 1513 +1828 -2446 +-2812 -2048 +573 -1631 +2146 -37 +-379 -3751 +-3534 -1920 +-5506 -269 +-3511 -8192 +1482 -2247 +5147 3867 +-1563 -1216 +880 933 +4947 2526 +-2957 1591 +3326 877 +3996 -3168 +-4322 -2752 +180 1357 +3169 3536 +4697 1744 +1009 -1018 +-6048 -1752 +-4003 -2557 +-2341 -4681 +1906 2113 +4178 4667 +6246 48 +3564 455 +770 -2873 +6809 -103 +-2371 2251 +-5993 -1655 +4085 671 +320 2296 +-3718 2610 +-2930 4765 +-1847 4047 +-219 -2512 +-915 -8733 +-1170 0 +-915 8733 +-219 2512 +-1847 -4047 +-2930 -4765 +-3718 -2610 +320 -2296 +4085 -671 +-5993 1655 +-2371 -2251 +6809 103 +770 2873 +3564 -455 +6246 -48 +4178 -4667 +1906 -2113 +-2341 4681 +-1451 -3782 +-3121 -2327 +798 2441 +1401 -5136 +-4200 -4441 +2407 -2238 +1486 -1726 +-1028 1655 +1902 631 +-1545 45 +-486 -498 +-2035 -84 +-4183 1248 +534 171 +1979 1220 +1170 0 +1979 -1220 +534 -171 +-4183 -1248 +-2035 84 +-486 498 +-1545 -45 +1902 -631 +-1028 -1655 +1486 1726 +2407 2238 +-4200 4441 +1401 5136 +798 -2441 +-3121 2327 +-1451 3782 +-2341 -4681 +1906 2113 +4178 4667 +6246 48 +3564 455 +770 -2873 +6809 -103 +-2371 2251 +-5993 -1655 +4085 671 +320 2296 +-3718 2610 +-2930 4765 +-1847 4047 +-219 -2512 +-915 -8733 +2341 -1170 +1042 -1636 +3283 1307 +385 -99 +-4736 -1909 +-7602 3485 +-4904 -1220 +2472 -81 +2341 1312 +1347 -4876 +3967 3201 +-2100 755 +-3196 -1275 +2769 3733 +-3556 -3710 +-6565 -916 +-2341 0 +-6565 916 +-3556 3710 +2769 -3733 +-3196 1275 +-2100 -755 +3967 -3201 +1347 4876 +2341 -1312 +2472 81 +-4904 1220 +-7602 -3485 +-4736 1909 +385 99 +3283 -1307 +1042 1636 +2341 1170 +1745 -710 +-3147 -2396 +1883 677 +5705 254 +33 -117 +2234 2833 +6535 374 +2341 -3653 +864 -2822 +2012 3094 +-500 3983 +-2454 -380 +-1489 -4525 +110 -2060 +-819 6562 +-2341 0 +-819 -6562 +110 2060 +-1489 4525 +-2454 380 +-500 -3983 +2012 -3094 +864 2822 +2341 3653 +6535 -374 +2234 -2833 +33 117 +5705 -254 +1883 -677 +-3147 2396 +1745 710 +2341 -1170 +1042 -1636 +3283 1307 +385 -99 +-4736 -1909 +-7602 3485 +-4904 -1220 +2472 -81 +2341 1312 +1347 -4876 +3967 3201 +-2100 755 +-3196 -1275 +2769 3733 +-3556 -3710 +-6565 -916 +3511 -4681 +3603 -5033 +775 2217 +-7191 6609 +-4540 731 +-1421 -841 +-1650 -2191 +-621 937 +-3653 3511 +1990 -2754 +5481 1247 +-642 239 +2462 -3401 +3314 2509 +-2170 -1133 +-1701 -4048 +0 0 +-1701 4048 +-2170 1133 +3314 -2509 +2462 3401 +-642 -239 +5481 -1247 +1990 2754 +-3653 -3511 +-621 -937 +-1650 2191 +-1421 841 +-4540 -731 +-7191 -6609 +775 -2217 +3603 5033 +3511 4681 +3056 1395 +-1027 -384 +-812 1781 +-1111 3265 +329 3420 +3694 2401 +2573 4761 +1312 3511 +-631 -1331 +-905 334 +-1577 -614 +-1492 2715 +1379 185 +-4198 -5025 +-1647 6609 +7022 0 +-1647 -6609 +-4198 5025 +1379 -185 +-1492 -2715 +-1577 614 +-905 -334 +-631 1331 +1312 -3511 +2573 -4761 +3694 -2401 +329 -3420 +-1111 -3265 +-812 -1781 +-1027 384 +3056 -1395 +3511 -4681 +3603 -5033 +775 2217 +-7191 6609 +-4540 731 +-1421 -841 +-1650 -2191 +-621 937 +-3653 3511 +1990 -2754 +5481 1247 +-642 239 +2462 -3401 +3314 2509 +-2170 -1133 +-1701 -4048 +0 -1170 +-1912 4301 +-3117 3352 +-4724 -758 +633 -791 +-661 -5443 +-75 -167 +7109 -47 +1655 -5993 +-4521 2093 +-1472 918 +-1524 -532 +-1529 3079 +274 4682 +-878 5787 +-1019 -3268 +0 0 +-1019 3268 +-878 -5787 +274 -4682 +-1529 -3079 +-1524 532 +-1472 -918 +-4521 -2093 +1655 5993 +7109 47 +-75 167 +-661 5443 +633 791 +-4724 758 +-3117 -3352 +-1912 -4301 +0 1170 +5071 3764 +-1208 213 +-3823 -7482 +-633 105 +-4982 5131 +-1716 -1117 +1932 679 +-1655 -1028 +2099 -3639 +3264 1108 +547 3445 +1529 917 +1653 -334 +5203 1089 +4481 -206 +0 0 +4481 206 +5203 -1089 +1653 334 +1529 -917 +547 -3445 +3264 -1108 +2099 3639 +-1655 1028 +1932 -679 +-1716 1117 +-4982 -5131 +-633 -105 +-3823 7482 +-1208 -213 +5071 -3764 +0 -1170 +-1912 4301 +-3117 3352 +-4724 -758 +633 -791 +-661 -5443 +-75 -167 +7109 -47 +1655 -5993 +-4521 2093 +-1472 918 +-1524 -532 +-1529 3079 +274 4682 +-878 5787 +-1019 -3268 +-1170 -3511 +2248 -560 +1502 1906 +1067 -525 +3721 -665 +3695 1378 +-1345 -3321 +-4190 2509 +-1170 5308 +1312 -1672 +-665 2197 +-1774 1074 +1678 5367 +5129 4239 +4104 -4120 +581 8548 +-1170 0 +581 -8548 +4104 4120 +5129 -4239 +1678 -5367 +-1774 -1074 +-665 -2197 +1312 1672 +-1170 -5308 +-4190 -2509 +-1345 3321 +3695 -1378 +3721 665 +1067 525 +1502 -1906 +2248 560 +-1170 3511 +-4125 -1545 +-1250 -4398 +2803 3756 +1930 3289 +-857 2536 +-699 5323 +273 -783 +-1170 -2967 +-3238 -268 +-3912 1176 +-3871 2419 +-2647 1938 +427 -4170 +2265 -6363 +520 1662 +-1170 0 +520 -1662 +2265 6363 +427 4170 +-2647 -1938 +-3871 -2419 +-3912 -1176 +-3238 268 +-1170 2967 +273 783 +-699 -5323 +-857 -2536 +1930 -3289 +2803 -3756 +-1250 4398 +-4125 1545 +-1170 -3511 +2248 -560 +1502 1906 +1067 -525 +3721 -665 +3695 1378 +-1345 -3321 +-4190 2509 +-1170 5308 +1312 -1672 +-665 2197 +-1774 1074 +1678 5367 +5129 4239 +4104 -4120 +581 8548 +0 1170 +112 -277 +-1520 666 +-1262 -6271 +-730 -1825 +-2594 -980 +-2337 -4367 +-1778 1599 +-969 1312 +1309 131 +-4308 -1996 +-1740 -4337 +5757 -1731 +-2384 1187 +-2621 5230 +1066 4194 +-2341 0 +1066 -4194 +-2621 -5230 +-2384 -1187 +5757 1731 +-1740 4337 +-4308 1996 +1309 -131 +-969 -1312 +-1778 -1599 +-2337 4367 +-2594 980 +-730 1825 +-1262 6271 +-1520 -666 +112 277 +0 -1170 +-4254 -1311 +-957 2763 +6177 -5337 +3070 -4512 +2270 926 +2666 413 +2027 -1310 +5651 -3653 +232 -345 +-4012 -1958 +-2261 196 +-3417 4757 +1794 -3641 +3727 -1801 +1285 6954 +2341 0 +1285 -6954 +3727 1801 +1794 3641 +-3417 -4757 +-2261 -196 +-4012 1958 +232 345 +5651 3653 +2027 1310 +2666 -413 +2270 -926 +3070 4512 +6177 5337 +-957 -2763 +-4254 1311 +0 1170 +112 -277 +-1520 666 +-1262 -6271 +-730 -1825 +-2594 -980 +-2337 -4367 +-1778 1599 +-969 1312 +1309 131 +-4308 -1996 +-1740 -4337 +5757 -1731 +-2384 1187 +-2621 5230 +1066 4194 +1170 0 +5279 -2702 +-1885 -3028 +-6354 -1158 +-532 702 +2798 2115 +-811 4977 +-492 3801 +-1998 485 +-3102 1346 +-605 -1727 +-1885 -4546 +-1536 -1461 +-3159 -4461 +-3626 -3401 +742 5472 +2341 0 +742 -5472 +-3626 3401 +-3159 4461 +-1536 1461 +-1885 4546 +-605 1727 +-3102 -1346 +-1998 -485 +-492 -3801 +-811 -4977 +2798 -2115 +-532 -702 +-6354 1158 +-1885 3028 +5279 2702 +1170 0 +-621 -1171 +4006 568 +1344 -2138 +5213 -2357 +3708 5738 +-2408 4627 +2659 -3223 +-343 -2825 +2454 -1545 +2453 30 +-3606 2972 +6218 -194 +535 -1640 +-6486 -998 +-298 -3501 +0 0 +-298 3501 +-6486 998 +535 1640 +6218 194 +-3606 -2972 +2453 -30 +2454 1545 +-343 2825 +2659 3223 +-2408 -4627 +3708 -5738 +5213 2357 +1344 2138 +4006 -568 +-621 1171 +1170 0 +5279 -2702 +-1885 -3028 +-6354 -1158 +-532 702 +2798 2115 +-811 4977 +-492 3801 +-1998 485 +-3102 1346 +-605 -1727 +-1885 -4546 +-1536 -1461 +-3159 -4461 +-3626 -3401 +742 5472 +1170 1170 +-4712 3353 +1457 447 +6134 766 +343 186 +-459 716 +-3941 3454 +-2081 -1122 +2341 -1513 +1089 3444 +3192 2762 +1321 2635 +1998 2610 +5690 1113 +609 2 +-2492 -2158 +-1170 0 +-2492 2158 +609 -2 +5690 -1113 +1998 -2610 +1321 -2635 +3192 -2762 +1089 -3444 +2341 1513 +-2081 1122 +-3941 -3454 +-459 -716 +343 -186 +6134 -766 +1457 -447 +-4712 -3353 +1170 -1170 +2516 1093 +544 3388 +-1676 7359 +343 -186 +-2160 -1318 +-6542 7239 +1031 141 +2341 -3168 +1753 4729 +-700 1310 +-3027 -2733 +1998 -2610 +-5824 -5724 +-3982 -2788 +2897 2517 +-1170 0 +2897 -2517 +-3982 2788 +-5824 5724 +1998 2610 +-3027 2733 +-700 -1310 +1753 -4729 +2341 3168 +1031 -141 +-6542 -7239 +-2160 1318 +343 186 +-1676 -7359 +544 -3388 +2516 -1093 +1170 1170 +-4712 3353 +1457 447 +6134 766 +343 186 +-459 716 +-3941 3454 +-2081 -1122 +2341 -1513 +1089 3444 +3192 2762 +1321 2635 +1998 2610 +5690 1113 +609 2 +-2492 -2158 +-7022 4681 +-3978 5321 +-6068 -2191 +992 -1768 +864 -1380 +-6965 -1293 +-564 2218 +1634 494 +3653 0 +2929 -181 +170 -2019 +1058 1387 +-4734 -663 +-517 -5543 +1597 -2133 +-2805 611 +-1170 0 +-2805 -611 +1597 2133 +-517 5543 +-4734 663 +1058 -1387 +170 2019 +2929 181 +3653 0 +1634 -494 +-564 -2218 +-6965 1293 +864 1380 +992 1768 +-6068 2191 +-3978 -5321 +-7022 -4681 +114 1147 +4979 -731 +-827 -59 +1760 411 +156 -2069 +-1049 -815 +1963 -152 +-1312 0 +-410 2818 +6124 6732 +3218 3662 +-2572 -4988 +2886 -1113 +4173 2521 +553 -5088 +1170 0 +553 5088 +4173 -2521 +2886 1113 +-2572 4988 +3218 -3662 +6124 -6732 +-410 -2818 +-1312 0 +1963 152 +-1049 815 +156 2069 +1760 -411 +-827 59 +4979 731 +114 -1147 +-7022 4681 +-3978 5321 +-6068 -2191 +992 -1768 +864 -1380 +-6965 -1293 +-564 2218 +1634 494 +3653 0 +2929 -181 +170 -2019 +1058 1387 +-4734 -663 +-517 -5543 +1597 -2133 +-2805 611 +1170 4681 +-5850 -6177 +-793 -1709 +-3195 3064 +-6606 -1335 +-826 4006 +-3514 6066 +2426 114 +4338 -1170 +-975 2058 +3546 2211 +-409 -2446 +-871 -68 +3461 788 +-2238 -2894 +474 1229 +7022 0 +474 -1229 +-2238 2894 +3461 -788 +-871 68 +-409 2446 +3546 -2211 +-975 -2058 +4338 1170 +2426 -114 +-3514 -6066 +-826 -4006 +-6606 1335 +-3195 -3064 +-793 1709 +-5850 6177 +1170 -4681 +2692 -7026 +-337 -453 +-1943 4503 +-1385 2990 +878 -4860 +-2214 -5170 +1226 -2486 +2683 -1170 +1648 -461 +5492 -1315 +2148 2690 +-500 1723 +-115 -1484 +58 731 +-1641 -1101 +-4681 0 +-1641 1101 +58 -731 +-115 1484 +-500 -1723 +2148 -2690 +5492 1315 +1648 461 +2683 1170 +1226 2486 +-2214 5170 +878 4860 +-1385 -2990 +-1943 -4503 +-337 453 +2692 7026 +1170 4681 +-5850 -6177 +-793 -1709 +-3195 3064 +-6606 -1335 +-826 4006 +-3514 6066 +2426 114 +4338 -1170 +-975 2058 +3546 2211 +-409 -2446 +-871 -68 +3461 788 +-2238 -2894 +474 1229 +-3511 2341 +-2643 -815 +380 -586 +3750 2045 +2817 1655 +-3699 -5242 +-3325 -2636 +1274 3416 +142 686 +-3171 1121 +-2705 -106 +-4501 1706 +-3797 1655 +2309 -4072 +545 213 +4043 3004 +11703 0 +4043 -3004 +545 -213 +2309 4072 +-3797 -1655 +-4501 -1706 +-2705 106 +-3171 -1121 +142 -686 +1274 -3416 +-3325 2636 +-3699 5242 +2817 -1655 +3750 -2045 +380 586 +-2643 815 +-3511 -2341 +3567 3527 +3437 7252 +-724 -534 +1179 1655 +-2370 1740 +2566 -1496 +5552 2355 +-4823 3996 +-1087 3279 +154 655 +-3180 2784 +4482 1655 +1795 -2408 +-1052 1772 +-914 1080 +-4681 0 +-914 -1080 +-1052 -1772 +1795 2408 +4482 -1655 +-3180 -2784 +154 -655 +-1087 -3279 +-4823 -3996 +5552 -2355 +2566 1496 +-2370 -1740 +1179 -1655 +-724 534 +3437 -7252 +3567 -3527 +-3511 2341 +-2643 -815 +380 -586 +3750 2045 +2817 1655 +-3699 -5242 +-3325 -2636 +1274 3416 +142 686 +-3171 1121 +-2705 -106 +-4501 1706 +-3797 1655 +2309 -4072 +545 213 +4043 3004 +2341 3511 +-2370 -204 +-631 -1928 +-1808 891 +-2699 5481 +-593 -4 +-276 1698 +3859 3559 +4681 -1312 +2702 4706 +2069 -693 +-1384 -6226 +-1804 894 +983 -3205 +2450 -3615 +1494 3095 +0 0 +1494 -3095 +2450 3615 +983 3205 +-1804 -894 +-1384 6226 +2069 693 +2702 -4706 +4681 1312 +3859 -3559 +-276 -1698 +-593 4 +-2699 -5481 +-1808 -891 +-631 1928 +-2370 204 +2341 -3511 +1474 -3207 +-55 18 +3970 -1124 +359 2794 +-1570 4095 +-3720 1241 +-2964 1362 +4681 3653 +-1806 2749 +-6065 -2988 +-778 4201 +-537 7381 +1179 -3144 +-3136 -4915 +-2390 -3972 +4681 0 +-2390 3972 +-3136 4915 +1179 3144 +-537 -7381 +-778 -4201 +-6065 2988 +-1806 -2749 +4681 -3653 +-2964 -1362 +-3720 -1241 +-1570 -4095 +359 -2794 +3970 1124 +-55 -18 +1474 3207 +2341 3511 +-2370 -204 +-631 -1928 +-1808 891 +-2699 5481 +-593 -4 +-276 1698 +3859 3559 +4681 -1312 +2702 4706 +2069 -693 +-1384 -6226 +-1804 894 +983 -3205 +2450 -3615 +1494 3095 +-3511 0 +1271 5157 +1723 1842 +23 -3276 +2417 1723 +-657 -2120 +589 -3224 +-1054 1917 +-7648 -1170 +214 232 +2131 -15 +2306 -2301 +8642 -1335 +772 259 +663 1188 +4271 -3448 +0 0 +4271 3448 +663 -1188 +772 -259 +8642 1335 +2306 2301 +2131 15 +214 -232 +-7648 1170 +-1054 -1917 +589 3224 +-657 2120 +2417 -1723 +23 3276 +1723 -1842 +1271 -5157 +-3511 0 +-3617 853 +-1335 -4005 +3823 -4990 +2264 -68 +-5888 -540 +-5302 4120 +1080 5260 +627 -1170 +-4565 2976 +-728 911 +2448 -1457 +-3961 2990 +-2827 -261 +2259 -3350 +2401 -3872 +2341 0 +2401 3872 +2259 3350 +-2827 261 +-3961 -2990 +2448 1457 +-728 -911 +-4565 -2976 +627 1170 +1080 -5260 +-5302 -4120 +-5888 540 +2264 68 +3823 4990 +-1335 4005 +-3617 -853 +-3511 0 +1271 5157 +1723 1842 +23 -3276 +2417 1723 +-657 -2120 +589 -3224 +-1054 1917 +-7648 -1170 +214 232 +2131 -15 +2306 -2301 +8642 -1335 +772 259 +663 1188 +4271 -3448 +-1170 3511 +-6147 4415 +-6010 -2905 +835 203 +-224 -1081 +2579 -1640 +2982 2623 +2228 1573 +3310 2483 +-747 -409 +-2044 -3053 +-1050 3236 +-2642 -448 +-3298 -5105 +804 121 +395 123 +-3511 0 +395 -123 +804 -121 +-3298 5105 +-2642 448 +-1050 -3236 +-2044 3053 +-747 409 +3310 -2483 +2228 -1573 +2982 -2623 +2579 1640 +-224 1081 +835 -203 +-6010 2905 +-6147 -4415 +-1170 -3511 +-2203 7812 +2741 2905 +-2764 -2576 +-7082 1081 +1608 -861 +4443 -2623 +2079 -1472 +-3310 -2483 +765 -1519 +2610 3053 +-1345 4466 +5266 448 +3435 -306 +3836 -121 +3631 -3167 +-3511 0 +3631 3167 +3836 121 +3435 306 +5266 -448 +-1345 -4466 +2610 -3053 +765 1519 +-3310 2483 +2079 1472 +4443 2623 +1608 861 +-7082 -1081 +-2764 2576 +2741 -2905 +-2203 -7812 +-1170 3511 +-6147 4415 +-6010 -2905 +835 203 +-224 -1081 +2579 -1640 +2982 2623 +2228 1573 +3310 2483 +-747 -409 +-2044 -3053 +-1050 3236 +-2642 -448 +-3298 -5105 +804 121 +395 123 +3511 1170 +2514 6020 +2686 4515 +3072 -4047 +359 -3712 +-3133 1087 +-5229 1905 +1753 -2973 +-485 -4338 +-6550 -827 +-2570 -3522 +-467 -4884 +-537 -1634 +-2779 944 +415 2105 +2419 -57 +-1170 0 +2419 57 +415 -2105 +-2779 -944 +-537 1634 +-467 4884 +-2570 3522 +-6550 827 +-485 4338 +1753 2973 +-5229 -1905 +-3133 -1087 +359 3712 +3072 4047 +2686 -4515 +2514 -6020 +3511 -1170 +-1995 -465 +-643 1643 +88 909 +-2699 -283 +-1230 -976 +-1139 -3486 +2231 -1973 +2825 -2683 +3342 1578 +2318 3312 +-4595 -4788 +-1804 2320 +2426 2119 +4162 -3938 +2905 8565 +-1170 0 +2905 -8565 +4162 3938 +2426 -2119 +-1804 -2320 +-4595 4788 +2318 -3312 +3342 -1578 +2825 2683 +2231 1973 +-1139 3486 +-1230 976 +-2699 283 +88 -909 +-643 -1643 +-1995 465 +3511 1170 +2514 6020 +2686 4515 +3072 -4047 +359 -3712 +-3133 1087 +-5229 1905 +1753 -2973 +-485 -4338 +-6550 -827 +-2570 -3522 +-467 -4884 +-537 -1634 +-2779 944 +415 2105 +2419 -57 +2341 4681 +-1422 1220 +916 1319 +2471 2465 +-807 313 +-3310 1947 +-4922 2525 +-107 -3185 +6478 -2825 +3225 -1060 +-1939 -3328 +-1760 -3753 +-544 -58 +-63 -1555 +-435 -2239 +119 5583 +1170 0 +119 -5583 +-435 2239 +-63 1555 +-544 58 +-1760 3753 +-1939 3328 +3225 1060 +6478 2825 +-107 3185 +-4922 -2525 +-3310 -1947 +-807 -313 +2471 -2465 +916 -1319 +-1422 -1220 +2341 -4681 +328 -7249 +-2869 -171 +2525 224 +3147 -5278 +-116 1632 +-2132 1681 +-6485 1443 +-1797 485 +5159 -4768 +1002 914 +861 -5405 +2885 -4907 +-609 4748 +1017 -3234 +-817 -2458 +-5851 0 +-817 2458 +1017 3234 +-609 -4748 +2885 4907 +861 5405 +1002 -914 +5159 4768 +-1797 -485 +-6485 -1443 +-2132 -1681 +-116 -1632 +3147 5278 +2525 -224 +-2869 171 +328 7249 +2341 4681 +-1422 1220 +916 1319 +2471 2465 +-807 313 +-3310 1947 +-4922 2525 +-107 -3185 +6478 -2825 +3225 -1060 +-1939 -3328 +-1760 -3753 +-544 -58 +-63 -1555 +-435 -2239 +119 5583 +-1170 -4681 +3282 782 +2583 -4502 +1649 -903 +3410 -343 +4078 -2202 +900 4633 +-2362 2929 +2683 1170 +3952 184 +-5314 117 +-3272 4216 +6576 1998 +4753 437 +67 990 +-1291 -1054 +-2341 0 +-1291 1054 +67 -990 +4753 -437 +6576 -1998 +-3272 -4216 +-5314 -117 +3952 -184 +2683 -1170 +-2362 -2929 +900 -4633 +4078 2202 +3410 343 +1649 903 +2583 4502 +3282 -782 +-1170 4681 +-2369 -1221 +-1614 -9588 +-4249 41 +-1069 -343 +-186 1986 +-6551 4139 +-2229 -1529 +4338 1170 +639 3007 +-336 2602 +-620 -107 +-4236 1998 +-2153 3026 +902 -2408 +377 2406 +0 0 +377 -2406 +902 2408 +-2153 -3026 +-4236 -1998 +-620 107 +-336 -2602 +639 -3007 +4338 -1170 +-2229 1529 +-6551 -4139 +-186 -1986 +-1069 343 +-4249 -41 +-1614 9588 +-2369 1221 +-1170 -4681 +3282 782 +2583 -4502 +1649 -903 +3410 -343 +4078 -2202 +900 4633 +-2362 2929 +2683 1170 +3952 184 +-5314 117 +-3272 4216 +6576 1998 +4753 437 +67 990 +-1291 -1054 +-2341 1170 +-1429 -2010 +-595 -2101 +2707 -2699 +1154 -3781 +-2106 -737 +4969 328 +373 -664 +-1170 -4138 +3717 -3827 +-3790 -1753 +-2043 -4464 +3705 1356 +-1445 854 +-5455 -8778 +714 -4053 +7022 0 +714 4053 +-5455 8778 +-1445 -854 +3705 -1356 +-2043 4464 +-3790 1753 +3717 3827 +-1170 4138 +373 664 +4969 -328 +-2106 737 +1154 3781 +2707 2699 +-595 2101 +-1429 2010 +-2341 -1170 +-527 -1826 +-4685 -2360 +-901 -303 +4212 1440 +33 -1566 +1221 -1206 +-2857 1633 +-1170 4138 +4883 471 +-461 -2436 +1582 3952 +4972 985 +2173 -2065 +-567 1006 +-4874 -4109 +-7022 0 +-4874 4109 +-567 -1006 +2173 2065 +4972 -985 +1582 -3952 +-461 2436 +4883 -471 +-1170 -4138 +-2857 -1633 +1221 1206 +33 1566 +4212 -1440 +-901 303 +-4685 2360 +-527 1826 +-2341 1170 +-1429 -2010 +-595 -2101 +2707 -2699 +1154 -3781 +-2106 -737 +4969 328 +373 -664 +-1170 -4138 +3717 -3827 +-3790 -1753 +-2043 -4464 +3705 1356 +-1445 854 +-5455 -8778 +714 -4053 +1170 -2341 +1638 2141 +-3754 2530 +2035 296 +2662 880 +-1603 -931 +-3099 -3025 +-2837 -1618 +1312 -3511 +3473 -5697 +-1340 -3165 +1170 -4125 +5710 -4697 +-1642 1620 +-266 526 +-168 -6032 +-7022 0 +-168 6032 +-266 -526 +-1642 -1620 +5710 4697 +1170 4125 +-1340 3165 +3473 5697 +1312 3511 +-2837 1618 +-3099 3025 +-1603 931 +2662 -880 +2035 -296 +-3754 -2530 +1638 -2141 +1170 2341 +-4023 1806 +2351 -367 +-716 1571 +-1291 2146 +3682 1748 +2711 2130 +2737 -1449 +-3653 -3511 +-1582 1195 +-1582 2270 +-7574 -2272 +2281 -1639 +4648 2395 +4979 1637 +762 -819 +-9362 0 +762 819 +4979 -1637 +4648 -2395 +2281 1639 +-7574 2272 +-1582 -2270 +-1582 -1195 +-3653 3511 +2737 1449 +2711 -2130 +3682 -1748 +-1291 -2146 +-716 -1571 +2351 367 +-4023 -1806 +1170 -2341 +1638 2141 +-3754 2530 +2035 296 +2662 880 +-1603 -931 +-3099 -3025 +-2837 -1618 +1312 -3511 +3473 -5697 +-1340 -3165 +1170 -4125 +5710 -4697 +-1642 1620 +-266 526 +-168 -6032 +-5851 0 +2308 -2984 +6446 -1222 +-1212 -5242 +3684 -828 +1371 -1646 +-6219 -5964 +-21 1355 +-343 4480 +-3184 -603 +-996 -3498 +2735 810 +5584 -828 +730 -2155 +1860 3083 +3574 -1067 +0 0 +3574 1067 +1860 -3083 +730 2155 +5584 828 +2735 -810 +-996 3498 +-3184 603 +-343 -4480 +-21 -1355 +-6219 5964 +1371 1646 +3684 828 +-1212 5242 +6446 1222 +2308 2984 +-5851 0 +-3169 -5122 +-1733 -1717 +2646 -1978 +997 -828 +-1612 -1225 +3297 -2566 +-525 -2233 +-1998 -2140 +1938 1160 +608 1587 +1830 3534 +-903 -828 +-6488 -7213 +-3263 598 +-921 3757 +-2341 0 +-921 -3757 +-3263 -598 +-6488 7213 +-903 828 +1830 -3534 +608 -1587 +1938 -1160 +-1998 2140 +-525 2233 +3297 2566 +-1612 1225 +997 828 +2646 1978 +-1733 1717 +-3169 5122 +-5851 0 +2308 -2984 +6446 -1222 +-1212 -5242 +3684 -828 +1371 -1646 +-6219 -5964 +-21 1355 +-343 4480 +-3184 -603 +-996 -3498 +2735 810 +5584 -828 +730 -2155 +1860 3083 +3574 -1067 +2341 -4681 +2125 -692 +-3059 8946 +-2072 774 +-1149 -52 +-1665 3512 +-77 -1603 +388 78 +343 4965 +676 5295 +5898 1278 +4283 3531 +-2542 5525 +3065 814 +2532 -942 +-6196 -2947 +-8192 0 +-6196 2947 +2532 942 +3065 -814 +-2542 -5525 +4283 -3531 +5898 -1278 +676 -5295 +343 -4965 +388 -78 +-77 1603 +-1665 -3512 +-1149 52 +-2072 -774 +-3059 -8946 +2125 692 +2341 4681 +259 2189 +-2026 2103 +-3871 -4110 +2804 -1319 +1179 318 +-2221 1499 +4367 1824 +1998 -4965 +-1105 1287 +-289 1360 +-2005 -4382 +887 2467 +1087 532 +-758 -3991 +-513 -237 +-1170 0 +-513 237 +-758 3991 +1087 -532 +887 -2467 +-2005 4382 +-289 -1360 +-1105 -1287 +1998 4965 +4367 -1824 +-2221 -1499 +1179 -318 +2804 1319 +-3871 4110 +-2026 -2103 +259 -2189 +2341 -4681 +2125 -692 +-3059 8946 +-2072 774 +-1149 -52 +-1665 3512 +-77 -1603 +388 78 +343 4965 +676 5295 +5898 1278 +4283 3531 +-2542 5525 +3065 814 +2532 -942 +-6196 -2947 +3511 -4681 +3318 2788 +6517 2728 +1789 2404 +722 2505 +2372 -891 +-5060 3798 +-1502 3592 +343 1170 +-871 2200 +1301 582 +-4749 1392 +89 -1102 +6692 3032 +268 5924 +-2986 -5877 +-2341 0 +-2986 5877 +268 -5924 +6692 -3032 +89 1102 +-4749 -1392 +1301 -582 +-871 -2200 +343 -1170 +-1502 -3592 +-5060 -3798 +2372 891 +722 -2505 +1789 -2404 +6517 -2728 +3318 -2788 +3511 4681 +-294 -519 +-5023 -5110 +-2326 403 +1618 -1820 +-3028 -1654 +-7973 2658 +-114 2623 +1998 1170 +-46 -310 +432 -179 +-712 -2146 +2251 -2894 +-38 1566 +177 4365 +2496 3821 +0 0 +2496 -3821 +177 -4365 +-38 -1566 +2251 2894 +-712 2146 +432 179 +-46 310 +1998 -1170 +-114 -2623 +-7973 -2658 +-3028 1654 +1618 1820 +-2326 -403 +-5023 5110 +-294 519 +3511 -4681 +3318 2788 +6517 2728 +1789 2404 +722 2505 +2372 -891 +-5060 3798 +-1502 3592 +343 1170 +-871 2200 +1301 582 +-4749 1392 +89 -1102 +6692 3032 +268 5924 +-2986 -5877 +-2341 -3511 +4172 2071 +7586 6735 +1371 3206 +-896 -2901 +-5046 3739 +-5908 2901 +-374 1238 +0 1998 +1870 -4988 +1478 841 +-5202 1341 +-2162 -3341 +2097 -1184 +-2957 -2682 +-2031 2119 +2341 0 +-2031 -2119 +-2957 2682 +2097 1184 +-2162 3341 +-5202 -1341 +1478 -841 +1870 4988 +0 -1998 +-374 -1238 +-5908 -2901 +-5046 -3739 +-896 2901 +1371 -3206 +7586 -6735 +4172 -2071 +-2341 3511 +-251 537 +-2736 -5451 +-2113 2947 +896 3586 +-2939 -215 +317 3975 +1880 1891 +0 343 +6828 1645 +4114 2724 +-2083 2540 +2162 -654 +675 -1669 +-1892 656 +1147 3378 +2341 0 +1147 -3378 +-1892 -656 +675 1669 +2162 654 +-2083 -2540 +4114 -2724 +6828 -1645 +0 -343 +1880 -1891 +317 -3975 +-2939 215 +896 -3586 +-2113 -2947 +-2736 5451 +-251 -537 +-2341 -3511 +4172 2071 +7586 6735 +1371 3206 +-896 -2901 +-5046 3739 +-5908 2901 +-374 1238 +0 1998 +1870 -4988 +1478 841 +-5202 1341 +-2162 -3341 +2097 -1184 +-2957 -2682 +-2031 2119 +-3511 -4681 +2450 -3191 +1619 -3547 +-4168 13 +37 -553 +-29 -3075 +2462 1230 +3711 1318 +-1028 1170 +197 2175 +570 -5912 +-3359 -8185 +-3907 165 +-77 2289 +277 -1624 +1461 -2690 +4681 0 +1461 2690 +277 1624 +-77 -2289 +-3907 -165 +-3359 8185 +570 5912 +197 -2175 +-1028 -1170 +3711 -1318 +2462 -1230 +-29 3075 +37 553 +-4168 -13 +1619 3547 +2450 3191 +-3511 4681 +-693 3281 +-1322 892 +572 3252 +933 1238 +2432 -304 +6247 -115 +-4061 -961 +-5993 1170 +152 -3609 +2022 -5645 +956 481 +-1744 -4160 +3673 -3349 +-2513 5021 +-3218 988 +7022 0 +-3218 -988 +-2513 -5021 +3673 3349 +-1744 4160 +956 -481 +2022 5645 +152 3609 +-5993 -1170 +-4061 961 +6247 115 +2432 304 +933 -1238 +572 -3252 +-1322 -892 +-693 -3281 +-3511 -4681 +2450 -3191 +1619 -3547 +-4168 13 +37 -553 +-29 -3075 +2462 1230 +3711 1318 +-1028 1170 +197 2175 +570 -5912 +-3359 -8185 +-3907 165 +-77 2289 +277 -1624 +1461 -2690 +-1170 -1170 +2727 422 +1374 -4501 +-3865 609 +1148 5726 +-843 -3867 +-3128 -4198 +-3209 4317 +-4480 343 +380 -7024 +3681 -2000 +1014 5540 +-2414 3826 +-1978 2101 +-2490 1657 +-2865 -1952 +-1170 0 +-2865 1952 +-2490 -1657 +-1978 -2101 +-2414 -3826 +1014 -5540 +3681 2000 +380 7024 +-4480 -343 +-3209 -4317 +-3128 4198 +-843 3867 +1148 -5726 +-3865 -609 +1374 4501 +2727 -422 +-1170 1170 +2410 -196 +29 -3119 +3192 969 +5472 -761 +2010 -1075 +3516 349 +1470 -2655 +2140 1998 +-432 1471 +-759 -4592 +2143 316 +-4206 1139 +-1673 912 +-2223 6705 +-481 4326 +8192 0 +-481 -4326 +-2223 -6705 +-1673 -912 +-4206 -1139 +2143 -316 +-759 4592 +-432 -1471 +2140 -1998 +1470 2655 +3516 -349 +2010 1075 +5472 761 +3192 -969 +29 3119 +2410 196 +-1170 -1170 +2727 422 +1374 -4501 +-3865 609 +1148 5726 +-843 -3867 +-3128 -4198 +-3209 4317 +-4480 343 +380 -7024 +3681 -2000 +1014 5540 +-2414 3826 +-1978 2101 +-2490 1657 +-2865 -1952 +-4681 -1170 +-2818 -3802 +3554 -5193 +-1382 -6569 +68 -4139 +1765 2221 +-3556 2497 +2859 2634 +1170 4823 +-665 3304 +950 131 +-2562 -1471 +2990 819 +-2320 2400 +-6597 1143 +3226 1255 +7022 0 +3226 -1255 +-6597 -1143 +-2320 -2400 +2990 -819 +-2562 1471 +950 -131 +-665 -3304 +1170 -4823 +2859 -2634 +-3556 -2497 +1765 -2221 +68 4139 +-1382 6569 +3554 5193 +-2818 3802 +-4681 1170 +-24 3255 +5113 616 +4001 -3135 +-1723 4139 +-1632 1861 +-2795 -2749 +-663 3844 +1170 -142 +261 -1298 +2090 6237 +-1896 368 +-1335 -819 +4026 5314 +1240 901 +-2175 -2396 +-2341 0 +-2175 2396 +1240 -901 +4026 -5314 +-1335 819 +-1896 -368 +2090 -6237 +261 1298 +1170 142 +-663 -3844 +-2795 2749 +-1632 -1861 +-1723 -4139 +4001 3135 +5113 -616 +-24 -3255 +-4681 -1170 +-2818 -3802 +3554 -5193 +-1382 -6569 +68 -4139 +1765 2221 +-3556 2497 +2859 2634 +1170 4823 +-665 3304 +950 131 +-2562 -1471 +2990 819 +-2320 2400 +-6597 1143 +3226 1255 +3511 -3511 +1442 -3985 +-1825 -2241 +-1492 -1092 +-359 -2267 +1262 5281 +-1808 5764 +-2720 540 +-1170 2683 +1124 2935 +-2429 3506 +-5466 2868 +537 -1812 +-2248 -1657 +-2107 481 +2626 422 +1170 0 +2626 -422 +-2107 -481 +-2248 1657 +537 1812 +-5466 -2868 +-2429 -3506 +1124 -2935 +-1170 -2683 +-2720 -540 +-1808 -5764 +1262 -5281 +-359 2267 +-1492 1092 +-1825 2241 +1442 3985 +3511 3511 +-717 3358 +1276 -3199 +377 -1256 +2699 2953 +5735 -2392 +-4857 -575 +-5575 4564 +-1170 4338 +1054 -2156 +4413 -4369 +1002 1813 +1804 -2183 +830 1101 +-2025 6751 +2765 -5374 +5851 0 +2765 5374 +-2025 -6751 +830 -1101 +1804 2183 +1002 -1813 +4413 4369 +1054 2156 +-1170 -4338 +-5575 -4564 +-4857 575 +5735 2392 +2699 -2953 +377 1256 +1276 3199 +-717 -3358 +3511 -3511 +1442 -3985 +-1825 -2241 +-1492 -1092 +-359 -2267 +1262 5281 +-1808 5764 +-2720 540 +-1170 2683 +1124 2935 +-2429 3506 +-5466 2868 +537 -1812 +-2248 -1657 +-2107 481 +2626 422 +3511 -1170 +-66 4025 +218 2037 +2191 -4829 +1791 -1909 +-1548 -4878 +-1817 -4637 +-184 -739 +-2825 -2967 +-2036 -1859 +-1784 -2557 +-134 -419 +4325 -1275 +996 -4695 +980 -639 +659 -2120 +-3511 0 +659 2120 +980 639 +996 4695 +4325 1275 +-134 419 +-1784 2557 +-2036 1859 +-2825 2967 +-184 739 +-1817 4637 +-1548 4878 +1791 1909 +2191 4829 +218 -2037 +-66 -4025 +3511 1170 +-407 -1871 +-1621 -1666 +4024 3099 +-1791 254 +-2183 -4627 +1429 -584 +-4429 2210 +485 5308 +5907 5269 +-1138 -2664 +-6576 2215 +-4325 -380 +3230 -8337 +3733 1010 +556 2334 +1170 0 +556 -2334 +3733 -1010 +3230 8337 +-4325 380 +-6576 -2215 +-1138 2664 +5907 -5269 +485 -5308 +-4429 -2210 +1429 584 +-2183 4627 +-1791 -254 +4024 -3099 +-1621 1666 +-407 1871 +3511 -1170 +-66 4025 +218 2037 +2191 -4829 +1791 -1909 +-1548 -4878 +-1817 -4637 +-184 -739 +-2825 -2967 +-2036 -1859 +-1784 -2557 +-134 -419 +4325 -1275 +996 -4695 +980 -639 +659 -2120 +-2341 5851 +1226 -1104 +-7482 247 +-7069 -4011 +275 -4967 +-455 3859 +1745 98 +-477 -1636 +-1655 1028 +-2351 731 +-2196 1659 +4216 -3363 +-992 -9 +-2610 4721 +4633 -1713 +567 -960 +-4681 0 +567 960 +4633 1713 +-2610 -4721 +-992 9 +4216 3363 +-2196 -1659 +-2351 -731 +-1655 -1028 +-477 1636 +1745 -98 +-455 -3859 +275 4967 +-7069 4011 +-7482 -247 +1226 1104 +-2341 -5851 +-2758 -3753 +-290 1198 +105 -791 +2066 3312 +2245 675 +2444 -4601 +1678 2053 +1655 5993 +-1418 1057 +1318 -1481 +7745 -94 +3333 -1646 +2442 -1531 +-172 -1524 +-3087 -5268 +0 0 +-3087 5268 +-172 1524 +2442 1531 +3333 1646 +7745 94 +1318 1481 +-1418 -1057 +1655 -5993 +1678 -2053 +2444 4601 +2245 -675 +2066 -3312 +105 791 +-290 -1198 +-2758 3753 +-2341 5851 +1226 -1104 +-7482 247 +-7069 -4011 +275 -4967 +-455 3859 +1745 98 +-477 -1636 +-1655 1028 +-2351 731 +-2196 1659 +4216 -3363 +-992 -9 +-2610 4721 +4633 -1713 +567 -960 +2341 -4681 +-3874 18 +-4039 1754 +-3290 -3288 +722 -1335 +2385 -3144 +-4291 -2750 +-254 2478 +-828 1170 +-2147 1160 +3176 3645 +-2082 2343 +89 -68 +3728 1684 +-2740 3242 +-2175 -93 +1170 0 +-2175 93 +-2740 -3242 +3728 -1684 +89 68 +-2082 -2343 +3176 -3645 +-2147 -1160 +-828 -1170 +-254 -2478 +-4291 2750 +2385 3144 +722 1335 +-3290 3288 +-4039 -1754 +-3874 -18 +2341 4681 +-152 -781 +-4281 -1278 +-1472 75 +1618 2990 +1603 3178 +1814 -7404 +4891 -5992 +828 1170 +-698 1442 +-2070 2184 +-6231 224 +2251 1723 +5359 -2363 +3069 -5509 +4410 5447 +3511 0 +4410 -5447 +3069 5509 +5359 2363 +2251 -1723 +-6231 -224 +-2070 -2184 +-698 -1442 +828 -1170 +4891 5992 +1814 7404 +1603 -3178 +1618 -2990 +-1472 -75 +-4281 1278 +-152 781 +2341 -4681 +-3874 18 +-4039 1754 +-3290 -3288 +722 -1335 +2385 -3144 +-4291 -2750 +-254 2478 +-828 1170 +-2147 1160 +3176 3645 +-2082 2343 +89 -68 +3728 1684 +-2740 3242 +-2175 -93 +-3511 -1170 +-3063 -5875 +-1868 -2176 +-2162 76 +1804 -1179 +2752 -1848 +-1645 3783 +1685 -2602 +3795 -5993 +-1813 436 +-1811 -4710 +226 2401 +-359 4482 +654 -4259 +-485 -1984 +-611 -6664 +1170 0 +-611 6664 +-485 1984 +654 4259 +-359 -4482 +226 -2401 +-1811 4710 +-1813 -436 +3795 5993 +1685 2602 +-1645 -3783 +2752 1848 +1804 1179 +-2162 -76 +-1868 2176 +-3063 5875 +-3511 1170 +-995 -1860 +3135 6962 +5574 3519 +537 -2817 +-747 903 +4703 2627 +256 1689 +-6135 -1028 +-3438 -4511 +-1247 -3492 +1080 320 +2699 -3797 +-756 -4462 +-781 1521 +1358 -1491 +1170 0 +1358 1491 +-781 -1521 +-756 4462 +2699 3797 +1080 -320 +-1247 3492 +-3438 4511 +-6135 1028 +256 -1689 +4703 -2627 +-747 -903 +537 2817 +5574 -3519 +3135 -6962 +-995 1860 +-3511 -1170 +-3063 -5875 +-1868 -2176 +-2162 76 +1804 -1179 +2752 -1848 +-1645 3783 +1685 -2602 +3795 -5993 +-1813 436 +-1811 -4710 +226 2401 +-359 4482 +654 -4259 +-485 -1984 +-611 -6664 +-1170 0 +-6648 -7032 +-1233 1524 +4606 6971 +-1275 663 +-772 -1033 +1345 -1451 +146 -2718 +2483 -2341 +1572 49 +1768 33 +3289 1043 +-254 -411 +-272 -4544 +1766 820 +686 5186 +0 0 +686 -5186 +1766 -820 +-272 4544 +-254 411 +3289 -1043 +1768 -33 +1572 -49 +2483 2341 +146 2718 +1345 1451 +-772 1033 +-1275 -663 +4606 -6971 +-1233 -1524 +-6648 7032 +-1170 0 +280 3102 +3396 2664 +4596 -3923 +-380 4988 +1223 2000 +-449 -3010 +-5053 -1123 +-2483 -2341 +-3286 -1712 +-2663 -7804 +2881 -3302 +1909 1380 +-2309 -4997 +-3928 58 +-939 2423 +2341 0 +-939 -2423 +-3928 -58 +-2309 4997 +1909 -1380 +2881 3302 +-2663 7804 +-3286 1712 +-2483 2341 +-5053 1123 +-449 3010 +1223 -2000 +-380 -4988 +4596 3923 +3396 -2664 +280 -3102 +-1170 0 +-6648 -7032 +-1233 1524 +4606 6971 +-1275 663 +-772 -1033 +1345 -1451 +146 -2718 +2483 -2341 +1572 49 +1768 33 +3289 1043 +-254 -411 +-272 -4544 +1766 820 +686 5186 +-4681 4681 +-4669 2442 +4821 -1614 +-655 5216 +-1111 1723 +4172 -2166 +-2412 4754 +139 524 +828 -3511 +2771 -281 +3725 -4538 +-5813 -4975 +-1492 -1335 +3736 -2086 +4793 2053 +4904 6078 +1170 0 +4904 -6078 +4793 -2053 +3736 2086 +-1492 1335 +-5813 4975 +3725 4538 +2771 281 +828 3511 +139 -524 +-2412 -4754 +4172 2166 +-1111 -1723 +-655 -5216 +4821 1614 +-4669 -2442 +-4681 -4681 +-1312 1833 +966 1138 +2323 -1352 +-4540 -68 +-3850 4686 +-1227 5400 +-2642 -2893 +-828 -3511 +1523 445 +1285 -1291 +1165 1378 +2462 2990 +-1079 -166 +-2588 214 +-714 731 +-1170 0 +-714 -731 +-2588 -214 +-1079 166 +2462 -2990 +1165 -1378 +1285 1291 +1523 -445 +-828 3511 +-2642 2893 +-1227 -5400 +-3850 -4686 +-4540 68 +2323 1352 +966 -1138 +-1312 -1833 +-4681 4681 +-4669 2442 +4821 -1614 +-655 5216 +-1111 1723 +4172 -2166 +-2412 4754 +139 524 +828 -3511 +2771 -281 +3725 -4538 +-5813 -4975 +-1492 -1335 +3736 -2086 +4793 2053 +4904 6078 +3511 -1170 +4136 8560 +-2604 637 +-2166 -3400 +2162 5652 +-3967 715 +-238 641 +1199 4179 +-2825 -1028 +-1163 -866 +2737 -2821 +6045 -6772 +-896 -3987 +-3639 -539 +1944 -1234 +-164 -3833 +-3511 0 +-164 3833 +1944 1234 +-3639 539 +-896 3987 +6045 6772 +2737 2821 +-1163 866 +-2825 1028 +1199 -4179 +-238 -641 +-3967 -715 +2162 -5652 +-2166 3400 +-2604 -637 +4136 -8560 +3511 1170 +4805 623 +-2109 -2799 +-5215 -464 +-2162 -2626 +-3262 -49 +3160 254 +2856 -4913 +485 -5993 +1936 -1303 +-2349 3717 +-1112 223 +896 -2349 +75 -1177 +-541 -929 +-367 2220 +1170 0 +-367 -2220 +-541 929 +75 1177 +896 2349 +-1112 -223 +-2349 -3717 +1936 1303 +485 5993 +2856 4913 +3160 -254 +-3262 49 +-2162 2626 +-5215 464 +-2109 2799 +4805 -623 +3511 -1170 +4136 8560 +-2604 637 +-2166 -3400 +2162 5652 +-3967 715 +-238 641 +1199 4179 +-2825 -1028 +-1163 -866 +2737 -2821 +6045 -6772 +-896 -3987 +-3639 -539 +1944 -1234 +-164 -3833 +1170 0 +3554 -685 +-2076 1118 +-3536 4036 +-5367 388 +-4652 445 +2501 1296 +-3581 2683 +-2483 3310 +1660 -3086 +-4765 -4354 +199 -1756 +3289 -1403 +250 713 +991 149 +463 -1709 +0 0 +463 1709 +991 -149 +250 -713 +3289 1403 +199 1756 +-4765 4354 +1660 3086 +-2483 -3310 +-3581 -2683 +2501 -1296 +-4652 -445 +-5367 -388 +-3536 -4036 +-2076 -1118 +3554 685 +1170 0 +-896 2418 +-2266 1118 +-3985 2578 +-1938 2922 +3509 2455 +5641 1296 +287 -9434 +2483 -3310 +3152 6859 +-67 -4354 +1958 -2831 +-665 4713 +-364 1156 +41 149 +1980 -2015 +7022 0 +1980 2015 +41 -149 +-364 -1156 +-665 -4713 +1958 2831 +-67 4354 +3152 -6859 +2483 3310 +287 9434 +5641 -1296 +3509 -2455 +-1938 -2922 +-3985 -2578 +-2266 -1118 +-896 -2418 +1170 0 +3554 -685 +-2076 1118 +-3536 4036 +-5367 388 +-4652 445 +2501 1296 +-3581 2683 +-2483 3310 +1660 -3086 +-4765 -4354 +199 -1756 +3289 -1403 +250 713 +991 149 +463 -1709 +1170 1170 +-4395 1721 +-1463 -5686 +1303 -3073 +-896 -1149 +-84 -3316 +4188 5702 +1845 5718 +4480 1028 +5094 234 +-301 -1990 +-1642 4346 +-2162 2542 +4172 -2712 +3925 3492 +-3039 2590 +-3511 0 +-3039 -2590 +3925 -3492 +4172 2712 +-2162 -2542 +-1642 -4346 +-301 1990 +5094 -234 +4480 -1028 +1845 -5718 +4188 -5702 +-84 3316 +-896 1149 +1303 3073 +-1463 5686 +-4395 -1721 +1170 -1170 +5902 -1343 +-1731 -4468 +-898 -585 +896 2804 +-2428 707 +-252 -3436 +-4545 1674 +-2140 5993 +-602 -56 +-6946 1515 +-171 3842 +2162 -887 +-253 488 +2580 2337 +-259 -64 +-3511 0 +-259 64 +2580 -2337 +-253 -488 +2162 887 +-171 -3842 +-6946 -1515 +-602 56 +-2140 -5993 +-4545 -1674 +-252 3436 +-2428 -707 +896 -2804 +-898 585 +-1731 4468 +5902 1343 +1170 1170 +-4395 1721 +-1463 -5686 +1303 -3073 +-896 -1149 +-84 -3316 +4188 5702 +1845 5718 +4480 1028 +5094 234 +-301 -1990 +-1642 4346 +-2162 2542 +4172 -2712 +3925 3492 +-3039 2590 +-2341 -7022 +1015 -527 +1234 80 +3233 -1204 +4556 866 +-1224 -4161 +220 -3561 +3385 443 +2683 2341 +-3040 935 +-2591 -1616 +2764 2366 +-4996 -222 +-4303 -897 +3330 3497 +-255 -2420 +-3511 0 +-255 2420 +3330 -3497 +-4303 897 +-4996 222 +2764 -2366 +-2591 1616 +-3040 -935 +2683 -2341 +3385 -443 +220 3561 +-1224 4161 +4556 -866 +3233 1204 +1234 -80 +1015 527 +-2341 7022 +756 2004 +-863 3560 +-3400 4304 +-1931 -6517 +35 -731 +5000 5766 +4585 2405 +4338 2341 +914 -3783 +-2629 -4171 +1231 2525 +-2309 -747 +-4957 -2203 +-3701 1513 +-739 944 +3511 0 +-739 -944 +-3701 -1513 +-4957 2203 +-2309 747 +1231 -2525 +-2629 4171 +914 3783 +4338 -2341 +4585 -2405 +5000 -5766 +35 731 +-1931 6517 +-3400 -4304 +-863 -3560 +756 -2004 +-2341 -7022 +1015 -527 +1234 80 +3233 -1204 +4556 866 +-1224 -4161 +220 -3561 +3385 443 +2683 2341 +-3040 935 +-2591 -1616 +2764 2366 +-4996 -222 +-4303 -897 +3330 3497 +-255 -2420 +-8192 -1170 +-971 5487 +171 -1835 +2042 -5075 +1364 2862 +-852 -229 +4725 -1172 +-1963 5183 +-3996 828 +-861 -1900 +-1927 2500 +3371 3091 +1872 4391 +-2279 3860 +-5882 434 +-3275 882 +3511 0 +-3275 -882 +-5882 -434 +-2279 -3860 +1872 -4391 +3371 -3091 +-1927 -2500 +-861 1900 +-3996 -828 +-1963 -5183 +4725 1172 +-852 229 +1364 -2862 +2042 5075 +171 1835 +-971 -5487 +-8192 1170 +-1648 1590 +886 -2490 +-1637 2638 +2631 3758 +-199 1684 +4491 2963 +6421 981 +-686 -828 +728 -586 +702 -709 +-529 1947 +-1186 2229 +83 -2715 +6197 -4759 +1569 -2455 +-5851 0 +1569 2455 +6197 4759 +83 2715 +-1186 -2229 +-529 -1947 +702 709 +728 586 +-686 828 +6421 -981 +4491 -2963 +-199 -1684 +2631 -3758 +-1637 -2638 +886 2490 +-1648 -1590 +-8192 -1170 +-971 5487 +171 -1835 +2042 -5075 +1364 2862 +-852 -229 +4725 -1172 +-1963 5183 +-3996 828 +-861 -1900 +-1927 2500 +3371 3091 +1872 4391 +-2279 3860 +-5882 434 +-3275 882 +1170 -3511 +-319 -122 +4727 5785 +-2435 8884 +-3459 5504 +1379 2689 +-242 986 +216 2732 +-485 1312 +870 -2856 +650 2509 +-2854 1012 +2014 -2691 +2907 -3897 +-2291 -3430 +-1133 6890 +1170 0 +-1133 -6890 +-2291 3430 +2907 3897 +2014 2691 +-2854 -1012 +650 -2509 +870 2856 +-485 -1312 +216 -2732 +-242 -986 +1379 -2689 +-3459 -5504 +-2435 -8884 +4727 -5785 +-319 122 +1170 3511 +3731 -4 +-3460 -2160 +-2816 157 +-2192 -1508 +-631 2443 +3300 3549 +1090 -2918 +2825 -3653 +1135 1537 +-3708 3397 +-1204 -2416 +-1044 2005 +-966 3660 +1025 -937 +1031 7834 +1170 0 +1031 -7834 +1025 937 +-966 -3660 +-1044 -2005 +-1204 2416 +-3708 -3397 +1135 -1537 +2825 3653 +1090 2918 +3300 -3549 +-631 -2443 +-2192 1508 +-2816 -157 +-3460 2160 +3731 4 +1170 -3511 +-319 -122 +4727 5785 +-2435 8884 +-3459 5504 +1379 2689 +-242 986 +216 2732 +-485 1312 +870 -2856 +650 2509 +-2854 1012 +2014 -2691 +2907 -3897 +-2291 -3430 +-1133 6890 +-1170 -3511 +1750 -1945 +-2152 2542 +-6413 2036 +-2357 3644 +1863 -2627 +2916 -5966 +-1429 -1703 +1655 -1797 +2334 -1007 +-3513 -74 +-1563 2256 +194 4624 +3288 -749 +2855 -2627 +-136 1466 +1170 0 +-136 -1466 +2855 2627 +3288 749 +194 -4624 +-1563 -2256 +-3513 74 +2334 1007 +1655 1797 +-1429 1703 +2916 5966 +1863 2627 +-2357 -3644 +-6413 -2036 +-2152 -2542 +1750 1945 +-1170 3511 +992 -146 +-1802 6327 +-2610 4306 +702 2006 +-677 5201 +513 -3176 +-173 1693 +-1655 6478 +-4314 -5476 +83 -3016 +9027 675 +1461 -3655 +-2915 -1915 +1098 -1175 +976 -667 +1170 0 +976 667 +1098 1175 +-2915 1915 +1461 3655 +9027 -675 +83 3016 +-4314 5476 +-1655 -6478 +-173 -1693 +513 3176 +-677 -5201 +702 -2006 +-2610 -4306 +-1802 -6327 +992 146 +-1170 -3511 +1750 -1945 +-2152 2542 +-6413 2036 +-2357 3644 +1863 -2627 +2916 -5966 +-1429 -1703 +1655 -1797 +2334 -1007 +-3513 -74 +-1563 2256 +194 4624 +3288 -749 +2855 -2627 +-136 1466 +-5851 -3511 +-2095 -1117 +-3877 1476 +72 1383 +3139 -2610 +560 2143 +814 -632 +-1300 -6265 +3310 1513 +6873 1803 +783 -1963 +1023 -2505 +-427 186 +-6369 2142 +-2617 -3133 +2903 -2497 +3511 0 +2903 2497 +-2617 3133 +-6369 -2142 +-427 -186 +1023 2505 +783 1963 +6873 -1803 +3310 -1513 +-1300 6265 +814 632 +560 -2143 +3139 2610 +72 -1383 +-3877 -1476 +-2095 1117 +-5851 3511 +240 3471 +3117 2131 +-7898 4012 +-2453 2610 +3195 451 +-1321 -4767 +-1372 -2467 +-3310 3168 +-148 -2544 +3034 1246 +2353 3728 +4423 -186 +444 4625 +66 2059 +1520 -3139 +-1170 0 +1520 3139 +66 -2059 +444 -4625 +4423 186 +2353 -3728 +3034 -1246 +-148 2544 +-3310 -3168 +-1372 2467 +-1321 4767 +3195 -451 +-2453 -2610 +-7898 -4012 +3117 -2131 +240 -3471 +-5851 -3511 +-2095 -1117 +-3877 1476 +72 1383 +3139 -2610 +560 2143 +814 -632 +-1300 -6265 +3310 1513 +6873 1803 +783 -1963 +1023 -2505 +-427 186 +-6369 2142 +-2617 -3133 +2903 -2497 +0 5851 +1556 2049 +-1640 -2513 +957 -2806 +3527 -3781 +1574 1949 +802 -2659 +-899 -5993 +-1170 -828 +-1452 -1664 +-5039 -2658 +-2229 -5669 +976 1356 +-3789 -721 +-2292 -9279 +5945 5892 +9362 0 +5945 -5892 +-2292 9279 +-3789 721 +976 -1356 +-2229 5669 +-5039 2658 +-1452 1664 +-1170 828 +-899 5993 +802 2659 +1574 -1949 +3527 3781 +957 2806 +-1640 2513 +1556 -2049 +0 -5851 +-2201 874 +1462 2733 +1829 3208 +469 1440 +-415 -3538 +-2247 -2901 +-510 433 +-1170 828 +-3256 428 +1803 3151 +3603 2288 +-291 985 +-1530 -669 +-2210 -3173 +816 1356 +4681 0 +816 -1356 +-2210 3173 +-1530 669 +-291 -985 +3603 -2288 +1803 -3151 +-3256 -428 +-1170 -828 +-510 -433 +-2247 2901 +-415 3538 +469 -1440 +1829 -3208 +1462 -2733 +-2201 -874 +0 5851 +1556 2049 +-1640 -2513 +957 -2806 +3527 -3781 +1574 1949 +802 -2659 +-899 -5993 +-1170 -828 +-1452 -1664 +-5039 -2658 +-2229 -5669 +976 1356 +-3789 -721 +-2292 -9279 +5945 5892 +-2341 -3511 +2626 -4113 +999 -1801 +2130 -10453 +-5182 -2126 +-6602 4712 +-511 -599 +-2680 -950 +1170 -3168 +3518 -826 +2084 1975 +5886 1965 +679 3011 +-1983 1790 +4404 1478 +-190 -284 +-7022 0 +-190 284 +4404 -1478 +-1983 -1790 +679 -3011 +5886 -1965 +2084 -1975 +3518 826 +1170 3168 +-2680 950 +-511 599 +-6602 -4712 +-5182 2126 +2130 10453 +999 1801 +2626 4113 +-2341 3511 +-5189 -3339 +2489 146 +364 2228 +-2124 3095 +-1343 -1726 +-1354 -1056 +3568 4010 +1170 -1513 +-4406 -942 +-2158 -320 +2059 -1274 +1946 2640 +-511 931 +3409 177 +2753 1244 +-2341 0 +2753 -1244 +3409 -177 +-511 -931 +1946 -2640 +2059 1274 +-2158 320 +-4406 942 +1170 1513 +3568 -4010 +-1354 1056 +-1343 1726 +-2124 -3095 +364 -2228 +2489 -146 +-5189 3339 +-2341 -3511 +2626 -4113 +999 -1801 +2130 -10453 +-5182 -2126 +-6602 4712 +-511 -599 +-2680 -950 +1170 -3168 +3518 -826 +2084 1975 +5886 1965 +679 3011 +-1983 1790 +4404 1478 +-190 -284 +-3511 -3511 +5179 3833 +5781 1411 +-340 1826 +-633 9596 +-3186 -1683 +1185 -7901 +-1312 -341 +-5166 343 +1490 -567 +3801 1985 +2201 4433 +1529 852 +3490 -2528 +1563 -354 +-654 -910 +1170 0 +-654 910 +1563 354 +3490 2528 +1529 -852 +2201 -4433 +3801 -1985 +1490 567 +-5166 -343 +-1312 341 +1185 7901 +-3186 1683 +-633 -9596 +-340 -1826 +5781 -1411 +5179 -3833 +-3511 3511 +3375 -1372 +1272 -4543 +-2328 -1273 +633 50 +-5445 5570 +-1766 3146 +2445 -776 +-1856 1998 +-3639 2760 +-1848 4561 +1329 2764 +-1529 -568 +-2341 -229 +-625 -839 +-265 61 +1170 0 +-265 -61 +-625 839 +-2341 229 +-1529 568 +1329 -2764 +-1848 -4561 +-3639 -2760 +-1856 -1998 +2445 776 +-1766 -3146 +-5445 -5570 +633 -50 +-2328 1273 +1272 4543 +3375 1372 +-3511 -3511 +5179 3833 +5781 1411 +-340 1826 +-633 9596 +-3186 -1683 +1185 -7901 +-1312 -341 +-5166 343 +1490 -567 +3801 1985 +2201 4433 +1529 852 +3490 -2528 +1563 -354 +-654 -910 +5851 -5851 +4146 4667 +3868 5672 +3394 -1822 +-2124 2610 +-818 -1629 +781 -3913 +843 2587 +-2341 -2483 +-2387 -6076 +-324 -1059 +-1094 2145 +1946 -186 +1035 -6239 +1307 -5699 +-1680 -253 +-8192 0 +-1680 253 +1307 5699 +1035 6239 +1946 186 +-1094 -2145 +-324 1059 +-2387 6076 +-2341 2483 +843 -2587 +781 3913 +-818 1629 +-2124 -2610 +3394 1822 +3868 -5672 +4146 -4667 +5851 5851 +-2746 2982 +-1020 2319 +715 -803 +-5182 -2610 +-44 5497 +4110 2542 +509 -443 +-2341 2483 +2826 2105 +3424 2430 +-2369 -811 +679 186 +-818 1080 +-2784 -2292 +-1511 1786 +-3511 0 +-1511 -1786 +-2784 2292 +-818 -1080 +679 -186 +-2369 811 +3424 -2430 +2826 -2105 +-2341 -2483 +509 443 +4110 -2542 +-44 -5497 +-5182 2610 +715 803 +-1020 -2319 +-2746 -2982 +5851 -5851 +4146 4667 +3868 5672 +3394 -1822 +-2124 2610 +-818 -1629 +781 -3913 +843 2587 +-2341 -2483 +-2387 -6076 +-324 -1059 +-1094 2145 +1946 -186 +1035 -6239 +1307 -5699 +-1680 -253 +-2341 0 +1506 911 +5388 1669 +5921 943 +-596 -3801 +-2373 1118 +1346 -134 +1015 -67 +-2483 2825 +-3188 -3806 +241 1394 +-233 3217 +-2377 -16 +-367 1593 +-2235 552 +-4521 5773 +-3511 0 +-4521 -5773 +-2235 -552 +-367 -1593 +-2377 16 +-233 -3217 +241 -1394 +-3188 3806 +-2483 -2825 +1015 67 +1346 134 +-2373 -1118 +-596 3801 +5921 -943 +5388 -1669 +1506 -911 +-2341 0 +-4296 3636 +-1644 3804 +-1255 4105 +1566 -2535 +4443 -2500 +1383 2549 +4831 -2525 +2483 -485 +-4953 1214 +5021 -5600 +6574 -4600 +-3273 3042 +530 3455 +-137 -1699 +-3634 -1226 +-1170 0 +-3634 1226 +-137 1699 +530 -3455 +-3273 -3042 +6574 4600 +5021 5600 +-4953 -1214 +2483 485 +4831 2525 +1383 -2549 +4443 2500 +1566 2535 +-1255 -4105 +-1644 -3804 +-4296 -3636 +-2341 0 +1506 911 +5388 1669 +5921 943 +-596 -3801 +-2373 1118 +1346 -134 +1015 -67 +-2483 2825 +-3188 -3806 +241 1394 +-233 3217 +-2377 -16 +-367 1593 +-2235 552 +-4521 5773 +0 -2341 +4795 2983 +3554 -891 +3290 -1480 +3067 -2593 +2534 -778 +3140 2502 +-855 1612 +-2683 6336 +-393 557 +-1675 -4449 +473 1368 +4578 -2236 +2736 -2251 +822 435 +-2420 -4263 +-5851 0 +-2420 4263 +822 -435 +2736 2251 +4578 2236 +473 -1368 +-1675 4449 +-393 -557 +-2683 -6336 +-855 -1612 +3140 -2502 +2534 778 +3067 2593 +3290 1480 +3554 891 +4795 -2983 +0 2341 +-1992 3264 +2604 1861 +1207 -757 +-1412 -8709 +-474 1723 +-1559 3148 +-2506 -4180 +-4338 3026 +-3881 185 +1465 -1202 +-1014 2887 +-6234 297 +-2131 -3296 +1011 -1404 +632 7199 +1170 0 +632 -7199 +1011 1404 +-2131 3296 +-6234 -297 +-1014 -2887 +1465 1202 +-3881 -185 +-4338 -3026 +-2506 4180 +-1559 -3148 +-474 -1723 +-1412 8709 +1207 757 +2604 -1861 +-1992 -3264 +0 -2341 +4795 2983 +3554 -891 +3290 -1480 +3067 -2593 +2534 -778 +3140 2502 +-855 1612 +-2683 6336 +-393 557 +-1675 -4449 +473 1368 +4578 -2236 +2736 -2251 +822 435 +-2420 -4263 +4681 1170 +6921 -1718 +7090 1800 +1356 -159 +-4697 -1715 +-3712 -1187 +800 -3632 +3489 -2029 +485 -828 +-116 -2040 +3996 -1001 +363 284 +-2146 -1977 +4038 2293 +3394 4114 +-1568 -5849 +-2341 0 +-1568 5849 +3394 -4114 +4038 -2293 +-2146 1977 +363 -284 +3996 1001 +-116 2040 +485 828 +3489 2029 +800 3632 +-3712 1187 +-4697 1715 +1356 159 +7090 -1800 +6921 1718 +4681 -1170 +-2106 -2626 +-5257 -1607 +757 -292 +-1639 1715 +-6949 -1876 +-1010 -143 +736 -819 +-2825 828 +-1541 2502 +-2415 -7456 +-3453 -37 +-880 1977 +980 -6055 +2764 760 +805 -1804 +-2341 0 +805 1804 +2764 -760 +980 6055 +-880 -1977 +-3453 37 +-2415 7456 +-1541 -2502 +-2825 -828 +736 819 +-1010 143 +-6949 1876 +-1639 -1715 +757 292 +-5257 1607 +-2106 2626 +4681 1170 +6921 -1718 +7090 1800 +1356 -159 +-4697 -1715 +-3712 -1187 +800 -3632 +3489 -2029 +485 -828 +-116 -2040 +3996 -1001 +363 284 +-2146 -1977 +4038 2293 +3394 4114 +-1568 -5849 +-4681 -1170 +344 -3026 +4376 -5179 +3329 -6541 +-275 464 +2753 -703 +5969 -2256 +1126 -2044 +-2341 -4338 +-4083 -1626 +-2360 -2373 +-90 960 +992 1454 +3076 -2929 +-3385 1912 +-2645 1720 +4681 0 +-2645 -1720 +-3385 -1912 +3076 2929 +992 -1454 +-90 -960 +-2360 2373 +-4083 1626 +-2341 4338 +1126 2044 +5969 2256 +2753 703 +-275 -464 +3329 6541 +4376 5179 +344 3026 +-4681 1170 +-94 -3735 +635 -398 +-7330 1222 +-2066 -3490 +2161 -671 +-182 4775 +1225 -2664 +-2341 -2683 +-59 3034 +4564 -4471 +-500 200 +-3333 4883 +-3399 143 +-254 1874 +4187 -2365 +4681 0 +4187 2365 +-254 -1874 +-3399 -143 +-3333 -4883 +-500 -200 +4564 4471 +-59 -3034 +-2341 2683 +1225 2664 +-182 -4775 +2161 671 +-2066 3490 +-7330 -1222 +635 398 +-94 3735 +-4681 -1170 +344 -3026 +4376 -5179 +3329 -6541 +-275 464 +2753 -703 +5969 -2256 +1126 -2044 +-2341 -4338 +-4083 -1626 +-2360 -2373 +-90 960 +992 1454 +3076 -2929 +-3385 1912 +-2645 1720 +1170 1170 +3093 2902 +-839 298 +-7154 5904 +-8434 3079 +577 -2010 +-36 1545 +-529 -2613 +2140 -3653 +578 2060 +1076 -783 +-2991 -1523 +-1289 105 +5416 -4079 +884 -4680 +-3896 -1342 +-3511 0 +-3896 1342 +884 4680 +5416 4079 +-1289 -105 +-2991 1523 +1076 783 +578 -2060 +2140 3653 +-529 2613 +-36 -1545 +577 2010 +-8434 -3079 +-7154 -5904 +-839 -298 +3093 -2902 +1170 -1170 +-4967 3250 +1913 -805 +4637 25 +-527 917 +-1853 -1434 +-682 1006 +-781 1499 +-4480 1312 +4315 1655 +4323 23 +-4382 375 +5569 -791 +5751 -937 +2723 862 +2186 -918 +-3511 0 +2186 918 +2723 -862 +5751 937 +5569 791 +-4382 -375 +4323 -23 +4315 -1655 +-4480 -1312 +-781 -1499 +-682 -1006 +-1853 1434 +-527 -917 +4637 -25 +1913 805 +-4967 -3250 +1170 1170 +3093 2902 +-839 298 +-7154 5904 +-8434 3079 +577 -2010 +-36 1545 +-529 -2613 +2140 -3653 +578 2060 +1076 -783 +-2991 -1523 +-1289 105 +5416 -4079 +884 -4680 +-3896 -1342 +-5851 7022 +-694 -1413 +1251 -2254 +-2145 3443 +-1993 -1170 +-3134 -2084 +3418 100 +6107 -2008 +2483 -1655 +340 3268 +-38 391 +1822 -7108 +820 1170 +3471 4789 +3721 -4366 +-32 -2360 +0 0 +-32 2360 +3721 4366 +3471 -4789 +820 -1170 +1822 7108 +-38 -391 +340 -3268 +2483 1655 +6107 2008 +3418 -100 +-3134 2084 +-1993 1170 +-2145 -3443 +1251 2254 +-694 1413 +-5851 -7022 +-1413 -2354 +-5205 -415 +-1286 -2834 +5019 -1170 +-1272 -33 +11 3346 +-2860 1665 +-2483 1655 +2529 5395 +-3391 -255 +50 -1481 +5516 1170 +2494 -1291 +232 -1614 +-3977 -1764 +-7022 0 +-3977 1764 +232 1614 +2494 1291 +5516 -1170 +50 1481 +-3391 255 +2529 -5395 +-2483 -1655 +-2860 -1665 +11 -3346 +-1272 33 +5019 1170 +-1286 2834 +-5205 415 +-1413 2354 +-5851 7022 +-694 -1413 +1251 -2254 +-2145 3443 +-1993 -1170 +-3134 -2084 +3418 100 +6107 -2008 +2483 -1655 +340 3268 +-38 391 +1822 -7108 +820 1170 +3471 4789 +3721 -4366 +-32 -2360 +-4681 -3511 +5263 -3876 +-3334 -549 +-4416 3715 +1900 654 +-1282 -3399 +2279 -438 +-1078 1817 +-1655 343 +-358 596 +-5996 1141 +-4885 1201 +-4587 2901 +26 2351 +4209 -1513 +3294 -2876 +4681 0 +3294 2876 +4209 1513 +26 -2351 +-4587 -2901 +-4885 -1201 +-5996 -1141 +-358 -596 +-1655 -343 +-1078 -1817 +2279 438 +-1282 3399 +1900 -654 +-4416 -3715 +-3334 549 +5263 3876 +-4681 3511 +75 1402 +4853 -2001 +-3674 -324 +-1900 3341 +-3527 -1138 +-1264 -3379 +4261 3143 +1655 1998 +1262 -4643 +-1639 -1649 +-3043 735 +4587 -3586 +7560 -1850 +893 2272 +521 759 +4681 0 +521 -759 +893 -2272 +7560 1850 +4587 3586 +-3043 -735 +-1639 1649 +1262 4643 +1655 -1998 +4261 -3143 +-1264 3379 +-3527 1138 +-1900 -3341 +-3674 324 +4853 2001 +75 -1402 +-4681 -3511 +5263 -3876 +-3334 -549 +-4416 3715 +1900 654 +-1282 -3399 +2279 -438 +-1078 1817 +-1655 343 +-358 596 +-5996 1141 +-4885 1201 +-4587 2901 +26 2351 +4209 -1513 +3294 -2876 +4681 -1170 +2508 -2902 +-3131 -4274 +-16 -1585 +908 1275 +-2935 -5082 +-2020 1349 +-2443 6153 +1655 -3653 +4549 838 +-3486 1462 +-7737 -2974 +-2521 -254 +1881 -4632 +548 -3753 +1660 2152 +4681 0 +1660 -2152 +548 3753 +1881 4632 +-2521 254 +-7737 2974 +-3486 -1462 +4549 -838 +1655 3653 +-2443 -6153 +-2020 -1349 +-2935 5082 +908 -1275 +-16 1585 +-3131 4274 +2508 2902 +4681 1170 +5682 2248 +3712 2112 +-1024 -2549 +1433 380 +54 1873 +1082 -453 +3194 -961 +-1655 1312 +579 4355 +-3568 -566 +-6443 -235 +4862 1909 +2980 497 +-2500 1591 +-2488 -2807 +-4681 0 +-2488 2807 +-2500 -1591 +2980 -497 +4862 -1909 +-6443 235 +-3568 566 +579 -4355 +-1655 -1312 +3194 961 +1082 453 +54 -1873 +1433 -380 +-1024 2549 +3712 -2112 +5682 -2248 +4681 -1170 +2508 -2902 +-3131 -4274 +-16 -1585 +908 1275 +-2935 -5082 +-2020 1349 +-2443 6153 +1655 -3653 +4549 838 +-3486 1462 +-7737 -2974 +-2521 -254 +1881 -4632 +548 -3753 +1660 2152 +5851 3511 +4491 -4801 +-996 -1969 +-1425 -1563 +-5072 105 +-5462 -335 +-2372 -348 +-3629 1310 +-2825 -2683 +-3028 -1414 +628 -2384 +5156 -3970 +925 917 +-923 3124 +-2049 294 +-1783 -4718 +1170 0 +-1783 4718 +-2049 -294 +-923 -3124 +925 -917 +5156 3970 +628 2384 +-3028 1414 +-2825 2683 +-3629 -1310 +-2372 348 +-5462 335 +-5072 -105 +-1425 1563 +-996 1969 +4491 4801 +5851 -3511 +1814 -5073 +-1345 2729 +-2594 -98 +4102 -791 +4889 -2758 +31 -159 +1216 1701 +485 -4338 +-676 2130 +-2968 -1433 +-2051 -7535 +4725 3079 +2408 44 +-292 -2845 +1595 5789 +1170 0 +1595 -5789 +-292 2845 +2408 -44 +4725 -3079 +-2051 7535 +-2968 1433 +-676 -2130 +485 4338 +1216 -1701 +31 159 +4889 2758 +4102 791 +-2594 98 +-1345 -2729 +1814 5073 +5851 3511 +4491 -4801 +-996 -1969 +-1425 -1563 +-5072 105 +-5462 -335 +-2372 -348 +-3629 1310 +-2825 -2683 +-3028 -1414 +628 -2384 +5156 -3970 +925 917 +-923 3124 +-2049 294 +-1783 -4718 +2341 -2341 +-840 1016 +782 4326 +5237 497 +-722 -617 +-2407 -2979 +-393 969 +1971 7725 +-828 -485 +-6061 -2241 +-2284 3596 +-159 -278 +-89 1006 +3705 1053 +6598 -1674 +4300 4090 +1170 0 +4300 -4090 +6598 1674 +3705 -1053 +-89 -1006 +-159 278 +-2284 -3596 +-6061 2241 +-828 485 +1971 -7725 +-393 -969 +-2407 2979 +-722 617 +5237 -497 +782 -4326 +-840 -1016 +2341 2341 +-148 -663 +873 2220 +-2483 2402 +-1618 -2409 +556 -352 +-1262 2163 +4713 1167 +828 2825 +-1639 3141 +629 -2403 +-3091 -1682 +-2251 5330 +-7979 475 +-4943 -3081 +4323 4255 +3511 0 +4323 -4255 +-4943 3081 +-7979 -475 +-2251 -5330 +-3091 1682 +629 2403 +-1639 -3141 +828 -2825 +4713 -1167 +-1262 -2163 +556 352 +-1618 2409 +-2483 -2402 +873 -2220 +-148 663 +2341 -2341 +-840 1016 +782 4326 +5237 497 +-722 -617 +-2407 -2979 +-393 969 +1971 7725 +-828 -485 +-6061 -2241 +-2284 3596 +-159 -278 +-89 1006 +3705 1053 +6598 -1674 +4300 4090 +5851 2341 +1434 -1271 +-2329 177 +1730 665 +-4101 -4577 +-2809 -717 +4891 1672 +-382 795 +-3168 -1655 +-2855 -4247 +-2457 6658 +617 4142 +231 -6368 +1691 -735 +1055 2186 +-2217 1777 +-2341 0 +-2217 -1777 +1055 -2186 +1691 735 +231 6368 +617 -4142 +-2457 -6658 +-2855 4247 +-3168 1655 +-382 -795 +4891 -1672 +-2809 717 +-4101 4577 +1730 -665 +-2329 -177 +1434 1271 +5851 -2341 +88 -2724 +-3280 -370 +-4435 21 +-3205 -2043 +-1042 31 +193 2104 +-582 3200 +-1513 1655 +2804 252 +683 1799 +-1867 -3456 +2393 -252 +-505 49 +1244 -7060 +8330 2218 +9362 0 +8330 -2218 +1244 7060 +-505 -49 +2393 252 +-1867 3456 +683 -1799 +2804 -252 +-1513 -1655 +-582 -3200 +193 -2104 +-1042 -31 +-3205 2043 +-4435 -21 +-3280 370 +88 2724 +5851 2341 +1434 -1271 +-2329 177 +1730 665 +-4101 -4577 +-2809 -717 +4891 1672 +-382 795 +-3168 -1655 +-2855 -4247 +-2457 6658 +617 4142 +231 -6368 +1691 -735 +1055 2186 +-2217 1777 +-1170 0 +715 -3345 +-3696 331 +-958 -1682 +-1880 -1186 +-2094 5523 +4320 -87 +-1541 -2556 +-343 5166 +4325 3133 +3702 -3727 +1760 -3929 +-5943 -1364 +-2250 -100 +-1838 1094 +-3206 2250 +2341 0 +-3206 -2250 +-1838 -1094 +-2250 100 +-5943 1364 +1760 3929 +3702 3727 +4325 -3133 +-343 -5166 +-1541 2556 +4320 87 +-2094 -5523 +-1880 1186 +-958 1682 +-3696 -331 +715 3345 +-1170 0 +-1720 169 +-1598 1443 +-1935 -2678 +-460 1872 +-3119 -17 +-461 -5522 +-754 3247 +-1998 1856 +4086 -4737 +3740 1428 +919 1024 +3602 -2631 +7677 568 +5193 3991 +-1905 5518 +-4681 0 +-1905 -5518 +5193 -3991 +7677 -568 +3602 2631 +919 -1024 +3740 -1428 +4086 4737 +-1998 -1856 +-754 -3247 +-461 5522 +-3119 17 +-460 -1872 +-1935 2678 +-1598 -1443 +-1720 -169 +-1170 0 +715 -3345 +-3696 331 +-958 -1682 +-1880 -1186 +-2094 5523 +4320 -87 +-1541 -2556 +-343 5166 +4325 3133 +3702 -3727 +1760 -3929 +-5943 -1364 +-2250 -100 +-1838 1094 +-3206 2250 +0 -1170 +2738 808 +4346 -234 +-5125 -423 +-2906 -448 +6484 1165 +-2471 3175 +-2218 2913 +1170 1797 +1319 -188 +4768 264 +2606 2301 +2178 1081 +-1397 1633 +-2418 2886 +-3955 346 +-9362 0 +-3955 -346 +-2418 -2886 +-1397 -1633 +2178 -1081 +2606 -2301 +4768 -264 +1319 188 +1170 -1797 +-2218 -2913 +-2471 -3175 +6484 -1165 +-2906 448 +-5125 423 +4346 234 +2738 -808 +0 1170 +-3312 1779 +3351 -3373 +4501 -2722 +-3430 448 +3181 -505 +1771 2224 +-2851 -414 +1170 -6478 +1182 -623 +3924 453 +1480 -4951 +-5205 -1081 +-5110 -1467 +-3908 -1812 +477 5551 +4681 0 +477 -5551 +-3908 1812 +-5110 1467 +-5205 1081 +1480 4951 +3924 -453 +1182 623 +1170 6478 +-2851 414 +1771 -2224 +3181 505 +-3430 -448 +4501 2722 +3351 3373 +-3312 -1779 +0 -1170 +2738 808 +4346 -234 +-5125 -423 +-2906 -448 +6484 1165 +-2471 3175 +-2218 2913 +1170 1797 +1319 -188 +4768 264 +2606 2301 +2178 1081 +-1397 1633 +-2418 2886 +-3955 346 +1170 0 +3724 -944 +1631 6868 +-198 -2177 +1538 -1222 +-738 1165 +-1387 -2919 +3124 5519 +828 3996 +-3570 -823 +-27 182 +3660 397 +3945 6695 +1827 4730 +-3406 564 +-4374 5078 +-2341 0 +-4374 -5078 +-3406 -564 +1827 -4730 +3945 -6695 +3660 -397 +-27 -182 +-3570 823 +828 -3996 +3124 -5519 +-1387 2919 +-738 -1165 +1538 1222 +-198 2177 +1631 -6868 +3724 944 +1170 0 +-935 -6796 +-2002 -888 +-1254 453 +117 -2489 +-3047 -4464 +-3834 2783 +-183 5235 +-828 686 +629 2067 +5248 -3628 +125 -1311 +-5600 3637 +-375 -191 +3777 2106 +1584 275 +0 0 +1584 -275 +3777 -2106 +-375 191 +-5600 -3637 +125 1311 +5248 3628 +629 -2067 +-828 -686 +-183 -5235 +-3834 -2783 +-3047 4464 +117 2489 +-1254 -453 +-2002 888 +-935 6796 +1170 0 +3724 -944 +1631 6868 +-198 -2177 +1538 -1222 +-738 1165 +-1387 -2919 +3124 5519 +828 3996 +-3570 -823 +-27 182 +3660 397 +3945 6695 +1827 4730 +-3406 564 +-4374 5078 +-1170 -3511 +4664 2292 +4117 791 +-1839 2030 +1477 432 +-1724 -4087 +-1832 -6085 +1384 -3346 +-485 1998 +2270 -2832 +5118 -4894 +235 1039 +-4891 -3616 +861 -2392 +4470 3396 +-3225 -2956 +-8192 0 +-3225 2956 +4470 -3396 +861 2392 +-4891 3616 +235 -1039 +5118 4894 +2270 2832 +-485 -1998 +1384 3346 +-1832 6085 +-1724 4087 +1477 -432 +-1839 -2030 +4117 -791 +4664 -2292 +-1170 3511 +1745 6206 +-1388 -2761 +-2163 -1898 +-2848 2594 +-4282 757 +-541 3205 +-1783 3297 +2825 343 +3231 -23 +-1375 -2668 +-1864 -3592 +-3100 -2720 +4155 -3320 +792 -685 +-1665 2028 +5851 0 +-1665 -2028 +792 685 +4155 3320 +-3100 2720 +-1864 3592 +-1375 2668 +3231 23 +2825 -343 +-1783 -3297 +-541 -3205 +-4282 -757 +-2848 -2594 +-2163 1898 +-1388 2761 +1745 -6206 +-1170 -3511 +4664 2292 +4117 791 +-1839 2030 +1477 432 +-1724 -4087 +-1832 -6085 +1384 -3346 +-485 1998 +2270 -2832 +5118 -4894 +235 1039 +-4891 -3616 +861 -2392 +4470 3396 +-3225 -2956 +0 -2341 +8 1111 +-984 -1035 +2741 1252 +2416 4080 +-659 454 +-764 -2480 +215 1348 +8334 7991 +2337 3870 +-1361 -3121 +6533 -1122 +-516 -1141 +1239 6 +3374 2548 +-4684 -1342 +-5851 0 +-4684 1342 +3374 -2548 +1239 -6 +-516 1141 +6533 1122 +-1361 3121 +2337 -3870 +8334 -7991 +215 -1348 +-764 2480 +-659 -454 +2416 -4080 +2741 -1252 +-984 1035 +8 -1111 +0 2341 +2077 2946 +-597 -39 +-3909 2160 +-4071 -770 +-5148 -2459 +-1069 1762 +-944 593 +3369 1371 +6027 1381 +-4797 -2277 +-2244 2427 +2171 4451 +-5172 96 +-3164 1059 +1585 2090 +1170 0 +1585 -2090 +-3164 -1059 +-5172 -96 +2171 -4451 +-2244 -2427 +-4797 2277 +6027 -1381 +3369 -1371 +-944 -593 +-1069 -1762 +-5148 2459 +-4071 770 +-3909 -2160 +-597 39 +2077 -2946 +0 -2341 +8 1111 +-984 -1035 +2741 1252 +2416 4080 +-659 454 +-764 -2480 +215 1348 +8334 7991 +2337 3870 +-1361 -3121 +6533 -1122 +-516 -1141 +1239 6 +3374 2548 +-4684 -1342 +0 0 +-3907 -705 +-5442 -2162 +-3839 -306 +-2594 3184 +3576 -388 +5911 2447 +3480 7107 +343 -686 +-3223 2966 +107 3493 +934 -5782 +-2720 1022 +1848 5220 +3966 -570 +64 -847 +-1170 0 +64 847 +3966 570 +1848 -5220 +-2720 -1022 +934 5782 +107 -3493 +-3223 -2966 +343 686 +3480 -7107 +5911 -2447 +3576 388 +-2594 -3184 +-3839 306 +-5442 2162 +-3907 705 +0 0 +-2852 4021 +-2151 -178 +813 -4980 +-432 126 +427 -2500 +-277 -107 +-182 2119 +1998 -3996 +941 -5699 +2251 -5834 +165 3166 +-3616 2288 +2696 -3613 +4999 2910 +-940 -1177 +-3511 0 +-940 1177 +4999 -2910 +2696 3613 +-3616 -2288 +165 -3166 +2251 5834 +941 5699 +1998 3996 +-182 -2119 +-277 107 +427 2500 +-432 -126 +813 4980 +-2151 178 +-2852 -4021 +0 0 +-3907 -705 +-5442 -2162 +-3839 -306 +-2594 3184 +3576 -388 +5911 2447 +3480 7107 +343 -686 +-3223 2966 +107 3493 +934 -5782 +-2720 1022 +1848 5220 +3966 -570 +64 -847 +-5851 3511 +-1048 -1644 +1833 -2924 +216 1618 +-5399 -2983 +-4383 233 +6216 4069 +4609 -5017 +2825 -1998 +793 3338 +-1118 -65 +-264 4683 +-3607 4123 +1491 -2405 +-1686 -1566 +-2539 -1330 +5851 0 +-2539 1330 +-1686 1566 +1491 2405 +-3607 -4123 +-264 -4683 +-1118 65 +793 -3338 +2825 1998 +4609 5017 +6216 -4069 +-4383 -233 +-5399 2983 +216 -1618 +1833 2924 +-1048 1644 +-5851 -3511 +3787 -404 +3178 -1327 +-5519 -4015 +718 -3354 +1784 -1995 +-429 1210 +-146 1712 +-485 -343 +2378 -3333 +3322 -5957 +1344 -3135 +-1074 -1097 +-1289 -3302 +-1953 -4624 +-1215 -4028 +1170 0 +-1215 4028 +-1953 4624 +-1289 3302 +-1074 1097 +1344 3135 +3322 5957 +2378 3333 +-485 343 +-146 -1712 +-429 -1210 +1784 1995 +718 3354 +-5519 4015 +3178 1327 +3787 404 +-5851 3511 +-1048 -1644 +1833 -2924 +216 1618 +-5399 -2983 +-4383 233 +6216 4069 +4609 -5017 +2825 -1998 +793 3338 +-1118 -65 +-264 4683 +-3607 4123 +1491 -2405 +-1686 -1566 +-2539 -1330 +-4681 -4681 +3372 1794 +609 -2411 +-3177 -5289 +-270 4549 +3622 3823 +-493 -3093 +-2024 -2344 +828 -1170 +1757 -95 +626 -1331 +130 -3527 +2155 -850 +648 -2736 +1611 -4459 +724 1045 +-3511 0 +724 -1045 +1611 4459 +648 2736 +2155 850 +130 3527 +626 1331 +1757 95 +828 1170 +-2024 2344 +-493 3093 +3622 -3823 +-270 -4549 +-3177 5289 +609 2411 +3372 -1794 +-4681 4681 +-10370 680 +-4933 -4464 +-2215 1898 +4951 2757 +4673 714 +-1299 1067 +3139 -2616 +-828 -1170 +-339 4141 +1165 2615 +-2308 1591 +2526 3475 +-1373 2234 +2714 894 +3740 1072 +-5851 0 +3740 -1072 +2714 -894 +-1373 -2234 +2526 -3475 +-2308 -1591 +1165 -2615 +-339 -4141 +-828 1170 +3139 2616 +-1299 -1067 +4673 -714 +4951 -2757 +-2215 -1898 +-4933 4464 +-10370 -680 +-4681 -4681 +3372 1794 +609 -2411 +-3177 -5289 +-270 4549 +3622 3823 +-493 -3093 +-2024 -2344 +828 -1170 +1757 -95 +626 -1331 +130 -3527 +2155 -850 +648 -2736 +1611 -4459 +724 1045 +-2341 1170 +-1447 -2628 +-4441 -3555 +1735 5476 +5621 4197 +-4602 -2259 +-623 4168 +3810 4225 +969 1312 +-227 1090 +-3017 -3809 +-2098 1458 +-2909 4459 +-1232 -1692 +3679 -149 +4550 1357 +4681 0 +4550 -1357 +3679 149 +-1232 1692 +-2909 -4459 +-2098 -1458 +-3017 3809 +-227 -1090 +969 -1312 +3810 -4225 +-623 -4168 +-4602 2259 +5621 -4197 +1735 -5476 +-4441 3555 +-1447 2628 +-2341 -1170 +-546 -605 +-3330 -696 +-1873 -1578 +30 768 +-2462 1540 +4812 1111 +580 3286 +-5651 -3653 +939 -7688 +2138 -2213 +1527 -3340 +1940 506 +2385 1686 +782 -6041 +-1038 -2715 +0 0 +-1038 2715 +782 6041 +2385 -1686 +1940 -506 +1527 3340 +2138 2213 +939 7688 +-5651 3653 +580 -3286 +4812 -1111 +-2462 -1540 +30 -768 +-1873 1578 +-3330 696 +-546 605 +-2341 1170 +-1447 -2628 +-4441 -3555 +1735 5476 +5621 4197 +-4602 -2259 +-623 4168 +3810 4225 +969 1312 +-227 1090 +-3017 -3809 +-2098 1458 +-2909 4459 +-1232 -1692 +3679 -149 +4550 1357 +-2341 -3511 +-1148 207 +-2816 2343 +681 -2545 +763 -775 +-2767 1190 +1679 -425 +1633 -3225 +-485 828 +-2750 4633 +-638 699 +3066 3575 +-1669 5614 +1683 2838 +2861 2817 +-64 -334 +2341 0 +-64 334 +2861 -2817 +1683 -2838 +-1669 -5614 +3066 -3575 +-638 -699 +-2750 -4633 +-485 -828 +1633 3225 +1679 425 +-2767 -1190 +763 775 +681 2545 +-2816 -2343 +-1148 -207 +-2341 3511 +-304 -5344 +-64 -3746 +-3623 1872 +-2820 -2937 +-23 -2357 +1033 813 +2153 1486 +2825 -828 +-1035 -4581 +2608 -3621 +-277 -417 +-10318 4718 +1259 814 +4700 -7530 +1516 -3012 +7022 0 +1516 3012 +4700 7530 +1259 -814 +-10318 -4718 +-277 417 +2608 3621 +-1035 4581 +2825 828 +2153 -1486 +1033 -813 +-23 2357 +-2820 2937 +-3623 -1872 +-64 3746 +-304 5344 +-2341 -3511 +-1148 207 +-2816 2343 +681 -2545 +763 -775 +-2767 1190 +1679 -425 +1633 -3225 +-485 828 +-2750 4633 +-638 699 +3066 3575 +-1669 5614 +1683 2838 +2861 2817 +-64 -334 +1170 0 +-2549 -1813 +1202 -3604 +2118 -2539 +-2720 -5569 +329 -588 +-2605 1260 +-4933 2021 +3168 0 +4912 -6008 +2175 3740 +-124 856 +-432 -8434 +1161 -2731 +-3986 -66 +-2066 3647 +4681 0 +-2066 -3647 +-3986 66 +1161 2731 +-432 8434 +-124 -856 +2175 -3740 +4912 6008 +3168 0 +-4933 -2021 +-2605 -1260 +329 588 +-2720 5569 +2118 2539 +1202 3604 +-2549 1813 +1170 0 +-1386 2207 +960 934 +5497 3796 +-3616 1289 +-3620 -236 +3501 2186 +537 -1537 +1513 0 +3067 20 +-3071 -3604 +-5234 -1324 +-2594 -527 +-127 -5018 +1824 -5914 +2419 -363 +2341 0 +2419 363 +1824 5914 +-127 5018 +-2594 527 +-5234 1324 +-3071 3604 +3067 -20 +1513 0 +537 1537 +3501 -2186 +-3620 236 +-3616 -1289 +5497 -3796 +960 -934 +-1386 -2207 +1170 0 +-2549 -1813 +1202 -3604 +2118 -2539 +-2720 -5569 +329 -588 +-2605 1260 +-4933 2021 +3168 0 +4912 -6008 +2175 3740 +-124 856 +-432 -8434 +1161 -2731 +-3986 -66 +-2066 3647 +-2341 2341 +3342 -2074 +305 -162 +-894 615 +-3616 -3058 +-4856 -3985 +2410 -1906 +-888 7687 +343 6336 +1378 -982 +-7293 1449 +-4365 -766 +-2594 1267 +-1602 3032 +4368 -1138 +2251 3486 +-1170 0 +2251 -3486 +4368 1138 +-1602 -3032 +-2594 -1267 +-4365 766 +-7293 -1449 +1378 982 +343 -6336 +-888 -7687 +2410 1906 +-4856 3985 +-3616 3058 +-894 -615 +305 162 +3342 2074 +-2341 -2341 +-1481 492 +4061 -808 +1940 -3921 +-2720 3058 +232 475 +3496 -3745 +-2103 1233 +1998 3026 +6715 4059 +2758 4201 +1354 63 +-432 -1267 +1571 3089 +-743 2108 +-2594 -4291 +1170 0 +-2594 4291 +-743 -2108 +1571 -3089 +-432 1267 +1354 -63 +2758 -4201 +6715 -4059 +1998 -3026 +-2103 -1233 +3496 3745 +232 -475 +-2720 -3058 +1940 3921 +4061 808 +-1481 -492 +-2341 2341 +3342 -2074 +305 -162 +-894 615 +-3616 -3058 +-4856 -3985 +2410 -1906 +-888 7687 +343 6336 +1378 -982 +-7293 1449 +-4365 -766 +-2594 1267 +-1602 3032 +4368 -1138 +2251 3486 +1170 3511 +771 1278 +-2846 743 +-1762 1225 +-1461 -1440 +645 -1581 +6140 -3469 +5548 -1539 +-2341 828 +-3104 -1813 +-5176 -2689 +-3595 -3762 +2357 -985 +-5792 -235 +-1167 -3512 +3671 175 +-3511 0 +3671 -175 +-1167 3512 +-5792 235 +2357 985 +-3595 3762 +-5176 2689 +-3104 1813 +-2341 -828 +5548 1539 +6140 3469 +645 1581 +-1461 1440 +-1762 -1225 +-2846 -743 +771 -1278 +1170 -3511 +-4731 -213 +-2077 -1849 +-737 181 +-194 3781 +3403 -4216 +2940 -4851 +2270 208 +-2341 -828 +1130 -533 +7398 2359 +2353 3066 +-702 -1356 +-1134 121 +4152 1035 +1066 -6744 +-8192 0 +1066 6744 +4152 -1035 +-1134 -121 +-702 1356 +2353 -3066 +7398 -2359 +1130 533 +-2341 828 +2270 -208 +2940 4851 +3403 4216 +-194 -3781 +-737 -181 +-2077 1849 +-4731 213 +1170 3511 +771 1278 +-2846 743 +-1762 1225 +-1461 -1440 +645 -1581 +6140 -3469 +5548 -1539 +-2341 828 +-3104 -1813 +-5176 -2689 +-3595 -3762 +2357 -985 +-5792 -235 +-1167 -3512 +3671 175 +1170 -4681 +755 1534 +2266 3881 +412 -2109 +-4123 960 +102 -348 +-193 -2571 +-1946 224 +828 -2341 +107 -113 +7753 2725 +5520 994 +-3354 -3003 +5938 -2007 +1800 900 +-5096 -4947 +0 0 +-5096 4947 +1800 -900 +5938 2007 +-3354 3003 +5520 -994 +7753 -2725 +107 113 +828 2341 +-1946 -224 +-193 2571 +102 348 +-4123 -960 +412 2109 +2266 -3881 +755 -1534 +1170 4681 +379 3502 +-297 1129 +-209 841 +1097 2752 +-2232 -2542 +-2687 -3216 +3232 -489 +-828 -2341 +-4703 1367 +-192 -521 +-80 -4900 +-2983 -7328 +-2832 -6896 +912 2739 +651 4881 +-2341 0 +651 -4881 +912 -2739 +-2832 6896 +-2983 7328 +-80 4900 +-192 521 +-4703 -1367 +-828 2341 +3232 489 +-2687 3216 +-2232 2542 +1097 -2752 +-209 -841 +-297 -1129 +379 -3502 +1170 -4681 +755 1534 +2266 3881 +412 -2109 +-4123 960 +102 -348 +-193 -2571 +-1946 224 +828 -2341 +107 -113 +7753 2725 +5520 994 +-3354 -3003 +5938 -2007 +1800 900 +-5096 -4947 +1170 3511 +-1923 2452 +-2366 -2790 +-1930 3030 +-4080 -961 +-973 -2639 +1851 644 +1765 -916 +2140 1028 +-1708 -434 +983 2928 +2802 2212 +-1141 -8962 +4266 -6702 +4230 2600 +1084 4632 +3511 0 +1084 -4632 +4230 -2600 +4266 6702 +-1141 8962 +2802 -2212 +983 -2928 +-1708 434 +2140 -1028 +1765 916 +1851 -644 +-973 2639 +-4080 961 +-1930 -3030 +-2366 2790 +-1923 -2452 +1170 -3511 +3090 1163 +963 -2683 +-1416 -1728 +770 677 +-767 1884 +-2239 -3059 +-2438 -2268 +-4480 5993 +-1943 -810 +-3905 1278 +-2854 8335 +4451 -684 +871 -3297 +483 -1452 +2074 -2956 +-1170 0 +2074 2956 +483 1452 +871 3297 +4451 684 +-2854 -8335 +-3905 -1278 +-1943 810 +-4480 -5993 +-2438 2268 +-2239 3059 +-767 -1884 +770 -677 +-1416 1728 +963 2683 +3090 -1163 +1170 3511 +-1923 2452 +-2366 -2790 +-1930 3030 +-4080 -961 +-973 -2639 +1851 644 +1765 -916 +2140 1028 +-1708 -434 +983 2928 +2802 2212 +-1141 -8962 +4266 -6702 +4230 2600 +1084 4632 +4681 0 +-39 2198 +-4847 -622 +-3365 -1039 +-105 -2784 +1819 -947 +3391 666 +-84 -1694 +-7648 -4681 +-2148 -1437 +-127 1280 +-3723 -3276 +917 2259 +-2783 7048 +143 1078 +2745 1500 +-3511 0 +2745 -1500 +143 -1078 +-2783 -7048 +917 -2259 +-3723 3276 +-127 -1280 +-2148 1437 +-7648 4681 +-84 1694 +3391 -666 +1819 947 +-105 2784 +-3365 1039 +-4847 622 +-39 -2198 +4681 0 +3650 5736 +2685 -3374 +-96 -4346 +791 5124 +-1401 1562 +-4287 20 +4223 -228 +627 -4681 +-4797 -3438 +1022 -1966 +2529 -2308 +3079 -4599 +400 -2650 +2020 2917 +3071 737 +-1170 0 +3071 -737 +2020 -2917 +400 2650 +3079 4599 +2529 2308 +1022 1966 +-4797 3438 +627 4681 +4223 228 +-4287 -20 +-1401 -1562 +791 -5124 +-96 4346 +2685 3374 +3650 -5736 +4681 0 +-39 2198 +-4847 -622 +-3365 -1039 +-105 -2784 +1819 -947 +3391 666 +-84 -1694 +-7648 -4681 +-2148 -1437 +-127 1280 +-3723 -3276 +917 2259 +-2783 7048 +143 1078 +2745 1500 +-5851 -2341 +-2431 -2176 +4088 457 +5926 -3563 +4734 2813 +932 -317 +135 -5373 +1791 6288 +-2483 0 +-4781 -3731 +-414 6416 +-214 1124 +-1760 -4199 +-561 -1635 +-2756 1324 +-5039 4122 +-4681 0 +-5039 -4122 +-2756 -1324 +-561 1635 +-1760 4199 +-214 -1124 +-414 -6416 +-4781 3731 +-2483 0 +1791 -6288 +135 5373 +932 317 +4734 -2813 +5926 3563 +4088 -457 +-2431 2176 +-5851 2341 +-227 442 +2788 -160 +1595 -3052 +2572 497 +210 -2583 +-2161 -3336 +1502 464 +2483 0 +-31 -42 +-870 -3824 +-1943 3464 +-864 7509 +675 -234 +-810 912 +2595 -398 +7022 0 +2595 398 +-810 -912 +675 234 +-864 -7509 +-1943 -3464 +-870 3824 +-31 42 +2483 0 +1502 -464 +-2161 3336 +210 2583 +2572 -497 +1595 3052 +2788 160 +-227 -442 +-5851 -2341 +-2431 -2176 +4088 457 +5926 -3563 +4734 2813 +932 -317 +135 -5373 +1791 6288 +-2483 0 +-4781 -3731 +-414 6416 +-214 1124 +-1760 -4199 +-561 -1635 +-2756 1324 +-5039 4122 +-3511 1170 +-1639 116 +-2779 2217 +-219 2896 +-485 -3215 +-732 -863 +4996 1389 +-2220 -4837 +-1170 -2683 +1114 2706 +-3419 451 +3755 774 +2825 5875 +-165 7068 +2760 -402 +4044 -6980 +5851 0 +4044 6980 +2760 402 +-165 -7068 +2825 -5875 +3755 -774 +-3419 -451 +1114 -2706 +-1170 2683 +-2220 4837 +4996 -1389 +-732 863 +-485 3215 +-219 -2896 +-2779 -2217 +-1639 -116 +-3511 -1170 +4245 3101 +4297 2674 +-1509 -692 +-485 2530 +-5655 2104 +-3981 88 +-591 214 +-1170 -4338 +-1110 -3663 +-4216 2397 +1856 888 +2825 -1879 +-3949 -1702 +2341 -2698 +2776 -2119 +-3511 0 +2776 2119 +2341 2698 +-3949 1702 +2825 1879 +1856 -888 +-4216 -2397 +-1110 3663 +-1170 4338 +-591 -214 +-3981 -88 +-5655 -2104 +-485 -2530 +-1509 692 +4297 -2674 +4245 -3101 +-3511 1170 +-1639 116 +-2779 2217 +-219 2896 +-485 -3215 +-732 -863 +4996 1389 +-2220 -4837 +-1170 -2683 +1114 2706 +-3419 451 +3755 774 +2825 5875 +-165 7068 +2760 -402 +4044 -6980 +1170 2341 +5 636 +3251 1155 +-2725 -1000 +-4033 -2176 +3298 123 +268 2435 +2914 3411 +1312 2825 +-1718 364 +1401 -3166 +-332 -1314 +3221 3579 +3730 192 +2412 -2554 +228 1681 +-4681 0 +228 -1681 +2412 2554 +3730 -192 +3221 -3579 +-332 1314 +1401 3166 +-1718 -364 +1312 -2825 +2914 -3411 +268 -2435 +3298 -123 +-4033 2176 +-2725 1000 +3251 -1155 +5 -636 +1170 -2341 +-1558 -726 +2148 4454 +2439 -2265 +-4928 -6501 +2415 3257 +806 2649 +-6573 -3768 +-3653 -485 +-1243 1071 +2207 4940 +1239 9018 +1059 1788 +3176 868 +-3130 4852 +-5296 21 +-2341 0 +-5296 -21 +-3130 -4852 +3176 -868 +1059 -1788 +1239 -9018 +2207 -4940 +-1243 -1071 +-3653 485 +-6573 3768 +806 -2649 +2415 -3257 +-4928 6501 +2439 2265 +2148 -4454 +-1558 726 +1170 2341 +5 636 +3251 1155 +-2725 -1000 +-4033 -2176 +3298 123 +268 2435 +2914 3411 +1312 2825 +-1718 364 +1401 -3166 +-332 -1314 +3221 3579 +3730 192 +2412 -2554 +228 1681 +-1170 3511 +-91 782 +3786 -1308 +-146 5546 +1751 -409 +7410 -5500 +2272 2809 +3248 1140 +2825 -2967 +-6341 223 +-1815 5568 +137 5585 +-5883 31 +-2186 -2051 +-2485 -312 +-454 2063 +5851 0 +-454 -2063 +-2485 312 +-2186 2051 +-5883 -31 +137 -5585 +-1815 -5568 +-6341 -223 +2825 2967 +3248 -1140 +2272 -2809 +7410 5500 +1751 409 +-146 -5546 +3786 1308 +-91 -782 +-1170 -3511 +1420 -1413 +539 2889 +-1117 986 +-782 -6896 +676 -3797 +-480 -4642 +-696 -1539 +-485 5308 +-3104 -202 +24 590 +3736 -2566 +233 -2656 +-1891 4916 +-1840 523 +-603 469 +1170 0 +-603 -469 +-1840 -523 +-1891 -4916 +233 2656 +3736 2566 +24 -590 +-3104 202 +-485 -5308 +-696 1539 +-480 4642 +676 3797 +-782 6896 +-1117 -986 +539 -2889 +1420 1413 +-1170 3511 +-91 782 +3786 -1308 +-146 5546 +1751 -409 +7410 -5500 +2272 2809 +3248 1140 +2825 -2967 +-6341 223 +-1815 5568 +137 5585 +-5883 31 +-2186 -2051 +-2485 -312 +-454 2063 +-3511 -2341 +4793 -7146 +4052 -7165 +-7635 1751 +-3079 -3184 +4123 1001 +1019 4280 +1148 -2593 +828 2341 +10 -1770 +-1253 -5221 +-1648 1245 +105 -1022 +-1500 -2585 +1567 -3897 +1927 -5955 +-2341 0 +1927 5955 +1567 3897 +-1500 2585 +105 1022 +-1648 -1245 +-1253 5221 +10 1770 +828 -2341 +1148 2593 +1019 -4280 +4123 -1001 +-3079 3184 +-7635 -1751 +4052 7165 +4793 7146 +-3511 2341 +-3440 3560 +2106 -322 +4172 -752 +-917 -126 +-14 1611 +562 1178 +-4339 1211 +-828 2341 +1390 -5728 +1043 -5303 +1864 -1167 +-791 -2288 +638 1051 +266 -848 +-1488 -3747 +0 0 +-1488 3747 +266 848 +638 -1051 +-791 2288 +1864 1167 +1043 5303 +1390 5728 +-828 -2341 +-4339 -1211 +562 -1178 +-14 -1611 +-917 126 +4172 752 +2106 322 +-3440 -3560 +-3511 -2341 +4793 -7146 +4052 -7165 +-7635 1751 +-3079 -3184 +4123 1001 +1019 4280 +1148 -2593 +828 2341 +10 -1770 +-1253 -5221 +-1648 1245 +105 -1022 +-1500 -2585 +1567 -3897 +1927 -5955 +-2341 1170 +-707 1333 +2208 -1478 +-2880 -2294 +-4781 -2736 +-1013 -3233 +-1838 1695 +1433 2378 +-485 -1513 +-1709 -1296 +-166 -2616 +-6210 -4075 +-2602 -2103 +2886 3281 +1850 5315 +-45 -108 +-2341 0 +-45 108 +1850 -5315 +2886 -3281 +-2602 2103 +-6210 4075 +-166 2616 +-1709 1296 +-485 1513 +1433 -2378 +-1838 -1695 +-1013 3233 +-4781 2736 +-2880 2294 +2208 1478 +-707 -1333 +-2341 -1170 +-378 4050 +3401 2493 +1903 -6909 +3126 -574 +3549 3341 +-3246 -6797 +413 -3540 +2825 -3168 +1654 -4338 +1940 4135 +-651 -1002 +4257 -1207 +2415 4934 +-4149 2320 +-661 4896 +2341 0 +-661 -4896 +-4149 -2320 +2415 -4934 +4257 1207 +-651 1002 +1940 -4135 +1654 4338 +2825 3168 +413 3540 +-3246 6797 +3549 -3341 +3126 574 +1903 6909 +3401 -2493 +-378 -4050 +-2341 1170 +-707 1333 +2208 -1478 +-2880 -2294 +-4781 -2736 +-1013 -3233 +-1838 1695 +1433 2378 +-485 -1513 +-1709 -1296 +-166 -2616 +-6210 -4075 +-2602 -2103 +2886 3281 +1850 5315 +-45 -108 +0 2341 +1869 -5227 +2671 -4089 +-1736 -2782 +-1149 -7687 +-1089 -459 +-2735 5434 +-523 -1221 +4338 -3310 +2878 -574 +959 1477 +2529 1900 +-2542 423 +-4479 4234 +-2684 3852 +-1190 -3771 +1170 0 +-1190 3771 +-2684 -3852 +-4479 -4234 +-2542 -423 +2529 -1900 +959 -1477 +2878 574 +4338 3310 +-523 1221 +-2735 -5434 +-1089 459 +-1149 7687 +-1736 2782 +2671 4089 +1869 5227 +0 -2341 +1803 597 +1864 4807 +5858 -442 +2804 -304 +-787 52 +-1632 -1827 +-3822 3299 +2683 3310 +-3634 -3192 +-4583 -2551 +6982 498 +887 948 +-658 1968 +-3222 1547 +-4000 -82 +3511 0 +-4000 82 +-3222 -1547 +-658 -1968 +887 -948 +6982 -498 +-4583 2551 +-3634 3192 +2683 -3310 +-3822 -3299 +-1632 1827 +-787 -52 +2804 304 +5858 442 +1864 -4807 +1803 -597 +0 2341 +1869 -5227 +2671 -4089 +-1736 -2782 +-1149 -7687 +-1089 -459 +-2735 5434 +-523 -1221 +4338 -3310 +2878 -574 +959 1477 +2529 1900 +-2542 423 +-4479 4234 +-2684 3852 +-1190 -3771 +-1170 0 +-2853 3891 +3148 -391 +1608 -1685 +-1646 -992 +-3285 452 +-2148 -2254 +469 -2035 +-2483 -3310 +-1410 -9094 +-121 -1614 +475 490 +4967 -2066 +657 1757 +-2686 -100 +1123 900 +2341 0 +1123 -900 +-2686 100 +657 -1757 +4967 2066 +475 -490 +-121 1614 +-1410 9094 +-2483 3310 +469 2035 +-2148 2254 +-3285 -452 +-1646 992 +1608 1685 +3148 391 +-2853 -3891 +-1170 0 +2396 4011 +-986 255 +-307 3344 +-9 3333 +1338 -3435 +3044 -415 +1827 8863 +2483 3310 +-886 -5821 +-775 -4366 +1471 -6155 +-3312 -275 +-1958 1100 +523 -3346 +-667 7862 +0 0 +-667 -7862 +523 3346 +-1958 -1100 +-3312 275 +1471 6155 +-775 4366 +-886 5821 +2483 -3310 +1827 -8863 +3044 415 +1338 3435 +-9 -3333 +-307 -3344 +-986 -255 +2396 -4011 +-1170 0 +-2853 3891 +3148 -391 +1608 -1685 +-1646 -992 +-3285 452 +-2148 -2254 +469 -2035 +-2483 -3310 +-1410 -9094 +-121 -1614 +475 490 +4967 -2066 +657 1757 +-2686 -100 +1123 900 +-1170 0 +461 -49 +-1265 1890 +-2037 1747 +-596 -3198 +-87 -1398 +1951 3456 +2892 -1414 +3653 2825 +-1222 7540 +-1419 -1154 +1163 1343 +-2377 3453 +2453 -3460 +-53 -2998 +-1844 -2047 +4681 0 +-1844 2047 +-53 2998 +2453 3460 +-2377 -3453 +1163 -1343 +-1419 1154 +-1222 -7540 +3653 -2825 +2892 1414 +1951 -3456 +-87 1398 +-596 3198 +-2037 -1747 +-1265 -1890 +461 49 +-1170 0 +-708 1499 +-3060 3001 +-2307 -4488 +1566 -8789 +549 -4132 +-3742 -1979 +-6654 225 +-1312 -485 +1674 1054 +3210 4001 +1685 -3919 +-3273 -1396 +5201 6415 +4378 -101 +-1219 -2703 +2341 0 +-1219 2703 +4378 101 +5201 -6415 +-3273 1396 +1685 3919 +3210 -4001 +1674 -1054 +-1312 485 +-6654 -225 +-3742 1979 +549 4132 +1566 8789 +-2307 4488 +-3060 -3001 +-708 -1499 +-1170 0 +461 -49 +-1265 1890 +-2037 1747 +-596 -3198 +-87 -1398 +1951 3456 +2892 -1414 +3653 2825 +-1222 7540 +-1419 -1154 +1163 1343 +-2377 3453 +2453 -3460 +-53 -2998 +-1844 -2047 +0 1170 +-5288 278 +-98 -3913 +731 1118 +-2014 3690 +527 -958 +-1729 -2697 +772 -3371 +686 -1998 +324 1144 +733 -2146 +-1294 -1776 +2192 5219 +-1284 2392 +465 -1815 +3983 1917 +0 0 +3983 -1917 +465 1815 +-1284 -2392 +2192 -5219 +-1294 1776 +733 2146 +324 -1144 +686 1998 +772 3371 +-1729 2697 +527 958 +-2014 -3690 +731 -1118 +-98 3913 +-5288 -278 +0 -1170 +-1368 4081 +-1855 3270 +-1072 2444 +1044 4585 +5941 2682 +-5325 2578 +-4134 4483 +3996 -343 +-5373 -6148 +-1670 -4593 +5771 966 +3459 3056 +3921 -1363 +116 -5449 +-2155 -3675 +0 0 +-2155 3675 +116 5449 +3921 1363 +3459 -3056 +5771 -966 +-1670 4593 +-5373 6148 +3996 343 +-4134 -4483 +-5325 -2578 +5941 -2682 +1044 -4585 +-1072 -2444 +-1855 -3270 +-1368 -4081 +0 1170 +-5288 278 +-98 -3913 +731 1118 +-2014 3690 +527 -958 +-1729 -2697 +772 -3371 +686 -1998 +324 1144 +733 -2146 +-1294 -1776 +2192 5219 +-1284 2392 +465 -1815 +3983 1917 +1170 2341 +1387 60 +-4751 -1756 +-3041 2373 +-681 3653 +-2996 422 +-3331 -69 +883 -2269 +5993 485 +4586 4598 +4103 285 +1446 4568 +-2833 1312 +3065 -5319 +2943 2195 +-1272 433 +0 0 +-1272 -433 +2943 -2195 +3065 5319 +-2833 -1312 +1446 -4568 +4103 -285 +4586 -4598 +5993 -485 +883 2269 +-3331 69 +-2996 -422 +-681 -3653 +-3041 -2373 +-4751 1756 +1387 -60 +1170 -2341 +-1198 2622 +-123 996 +1555 -1411 +6331 3653 +-110 -843 +-5126 577 +2821 4063 +1028 -2825 +-2174 -509 +-328 3532 +-874 3423 +1863 1312 +954 1453 +-2750 356 +-5032 -8695 +-7022 0 +-5032 8695 +-2750 -356 +954 -1453 +1863 -1312 +-874 -3423 +-328 -3532 +-2174 509 +1028 2825 +2821 -4063 +-5126 -577 +-110 843 +6331 -3653 +1555 1411 +-123 -996 +-1198 -2622 +1170 2341 +1387 60 +-4751 -1756 +-3041 2373 +-681 3653 +-2996 422 +-3331 -69 +883 -2269 +5993 485 +4586 4598 +4103 285 +1446 4568 +-2833 1312 +3065 -5319 +2943 2195 +-1272 433 +-3511 0 +1970 1113 +4365 -1993 +-2675 -2601 +-1699 -1118 +1934 2655 +3732 935 +-103 -1930 +1513 1655 +8349 -554 +2478 1841 +-3241 6377 +-558 -4354 +1023 -1856 +-1459 6948 +-1870 -2211 +0 0 +-1870 2211 +-1459 -6948 +1023 1856 +-558 4354 +-3241 -6377 +2478 -1841 +8349 554 +1513 -1655 +-103 1930 +3732 -935 +1934 -2655 +-1699 1118 +-2675 2601 +4365 1993 +1970 -1113 +-3511 0 +680 3054 +-387 1055 +637 958 +-1328 149 +-1153 -1609 +6887 1017 +4924 681 +3168 -1655 +-3743 -1711 +-8417 -1260 +-3384 -231 +-5778 -1296 +238 -1306 +2162 106 +-3585 -1257 +-2341 0 +-3585 1257 +2162 -106 +238 1306 +-5778 1296 +-3384 231 +-8417 1260 +-3743 1711 +3168 1655 +4924 -681 +6887 -1017 +-1153 1609 +-1328 -149 +637 -958 +-387 -1055 +680 -3054 +-3511 0 +1970 1113 +4365 -1993 +-2675 -2601 +-1699 -1118 +1934 2655 +3732 935 +-103 -1930 +1513 1655 +8349 -554 +2478 1841 +-3241 6377 +-558 -4354 +1023 -1856 +-1459 6948 +-1870 -2211 +-2341 0 +-1864 -1651 +-139 3497 +-630 268 +476 -1888 +3690 -1680 +5134 -2619 +2946 -4188 +1998 -3310 +1133 2024 +1771 -964 +-404 -2194 +-6137 96 +-3151 1382 +70 5152 +-2517 1386 +-3511 0 +-2517 -1386 +70 -5152 +-3151 -1382 +-6137 -96 +-404 2194 +1771 964 +1133 -2024 +1998 3310 +2946 4188 +5134 2619 +3690 1680 +476 1888 +-630 -268 +-139 -3497 +-1864 1651 +-2341 0 +5290 -512 +4642 3497 +-1192 628 +-1162 4228 +-6534 2575 +-1898 -2619 +6679 2025 +343 3310 +-1604 139 +-326 -964 +2744 1298 +2142 -2437 +-7764 -2278 +108 5152 +3178 777 +-5851 0 +3178 -777 +108 -5152 +-7764 2278 +2142 2437 +2744 -1298 +-326 964 +-1604 -139 +343 -3310 +6679 -2025 +-1898 2619 +-6534 -2575 +-1162 -4228 +-1192 -628 +4642 -3497 +5290 512 +-2341 0 +-1864 -1651 +-139 3497 +-630 268 +476 -1888 +3690 -1680 +5134 -2619 +2946 -4188 +1998 -3310 +1133 2024 +1771 -964 +-404 -2194 +-6137 96 +-3151 1382 +70 5152 +-2517 1386 +-1170 -3511 +-5116 -844 +-1427 1742 +-1850 600 +-992 -3975 +-3398 -4570 +-4391 -2958 +486 -601 +1170 -1312 +5919 -2358 +5253 -605 +-1889 -4670 +2066 2057 +-596 3878 +-7927 -7916 +236 -2270 +8192 0 +236 2270 +-7927 7916 +-596 -3878 +2066 -2057 +-1889 4670 +5253 605 +5919 2358 +1170 1312 +486 601 +-4391 2958 +-3398 4570 +-992 3975 +-1850 -600 +-1427 -1742 +-5116 844 +-1170 3511 +4461 1554 +626 640 +1360 1445 +3333 -21 +1782 884 +-231 -3497 +-1024 -1021 +1170 3653 +735 -3589 +1308 202 +972 2776 +275 -1372 +3619 -41 +-2574 -2374 +-5697 -1345 +-1170 0 +-5697 1345 +-2574 2374 +3619 41 +275 1372 +972 -2776 +1308 -202 +735 3589 +1170 -3653 +-1024 1021 +-231 3497 +1782 -884 +3333 21 +1360 -1445 +626 -640 +4461 -1554 +-1170 -3511 +-5116 -844 +-1427 1742 +-1850 600 +-992 -3975 +-3398 -4570 +-4391 -2958 +486 -601 +1170 -1312 +5919 -2358 +5253 -605 +-1889 -4670 +2066 2057 +-596 3878 +-7927 -7916 +236 -2270 +1170 -1170 +3917 -3316 +1467 -3559 +3338 -5339 +-1639 37 +-2681 1654 +1830 -2187 +-7734 1946 +0 828 +6803 -2737 +-2032 3374 +791 5829 +-880 3907 +-1757 2005 +1121 -783 +114 -144 +1170 0 +114 144 +1121 783 +-1757 -2005 +-880 -3907 +791 -5829 +-2032 -3374 +6803 2737 +0 -828 +-7734 -1946 +1830 2187 +-2681 -1654 +-1639 -37 +3338 5339 +1467 3559 +3917 3316 +1170 1170 +3034 -724 +-22 2978 +-10536 2365 +-4697 933 +4195 2081 +2673 1249 +-1540 -192 +0 -828 +2199 2973 +2210 3679 +3035 -1080 +-2146 1744 +-3004 2656 +2116 -1170 +-172 1205 +-3511 0 +-172 -1205 +2116 1170 +-3004 -2656 +-2146 -1744 +3035 1080 +2210 -3679 +2199 -2973 +0 828 +-1540 192 +2673 -1249 +4195 -2081 +-4697 -933 +-10536 -2365 +-22 -2978 +3034 724 +1170 -1170 +3917 -3316 +1467 -3559 +3338 -5339 +-1639 37 +-2681 1654 +1830 -2187 +-7734 1946 +0 828 +6803 -2737 +-2032 3374 +791 5829 +-880 3907 +-1757 2005 +1121 -783 +114 -144 +-3511 0 +-2110 1485 +2056 111 +2996 -4139 +-1013 4862 +-2302 -280 +-4507 -2281 +-392 2870 +7164 -3996 +1242 -3603 +-5397 383 +-1966 2018 +3438 -908 +3564 902 +-3041 5456 +-1317 -4402 +4681 0 +-1317 4402 +-3041 -5456 +3564 -902 +3438 908 +-1966 -2018 +-5397 -383 +1242 3603 +7164 3996 +-392 -2870 +-4507 2281 +-2302 280 +-1013 -4862 +2996 4139 +2056 -111 +-2110 -1485 +-3511 0 +-4549 -6627 +-967 -2840 +382 -2921 +-642 -2521 +4986 3228 +6120 -92 +3095 -2002 +2199 -686 +-1139 -3165 +-897 -4126 +59 -589 +-1783 -1433 +-1099 -2860 +-2729 -193 +-1450 -1755 +2341 0 +-1450 1755 +-2729 193 +-1099 2860 +-1783 1433 +59 589 +-897 4126 +-1139 3165 +2199 686 +3095 2002 +6120 92 +4986 -3228 +-642 2521 +382 2921 +-967 2840 +-4549 6627 +-3511 0 +-2110 1485 +2056 111 +2996 -4139 +-1013 4862 +-2302 -280 +-4507 -2281 +-392 2870 +7164 -3996 +1242 -3603 +-5397 383 +-1966 2018 +3438 -908 +3564 902 +-3041 5456 +-1317 -4402 +-1170 3511 +-1525 4520 +2395 4690 +-416 -4781 +1968 544 +1973 2282 +-3364 -1860 +-3431 3979 +-3996 3168 +-2130 166 +-605 -3744 +-1990 -2529 +-1597 3147 +-1946 -1827 +2203 -1036 +1617 5242 +-3511 0 +1617 -5242 +2203 1036 +-1946 1827 +-1597 -3147 +-1990 2529 +-605 3744 +-2130 -166 +-3996 -3168 +-3431 -3979 +-3364 1860 +1973 -2282 +1968 -544 +-416 4781 +2395 -4690 +-1525 -4520 +-1170 -3511 +4874 -265 +4152 6689 +348 -2657 +-3623 -2885 +-1347 2567 +232 650 +-2582 3167 +-686 1513 +-1283 -655 +1798 3905 +7207 5860 +3252 -807 +2791 -510 +2552 4424 +-2160 -2002 +-3511 0 +-2160 2002 +2552 -4424 +2791 510 +3252 807 +7207 -5860 +1798 -3905 +-1283 655 +-686 -1513 +-2582 -3167 +232 -650 +-1347 -2567 +-3623 2885 +348 2657 +4152 -6689 +4874 265 +-1170 3511 +-1525 4520 +2395 4690 +-416 -4781 +1968 544 +1973 2282 +-3364 -1860 +-3431 3979 +-3996 3168 +-2130 166 +-605 -3744 +-1990 -2529 +-1597 3147 +-1946 -1827 +2203 -1036 +1617 5242 +1170 1170 +3275 -656 +-2456 -5142 +895 1967 +-507 3354 +-5276 -1131 +3540 572 +3730 224 +3795 -343 +3587 -2265 +1513 -6081 +3909 -2266 +-759 1097 +-199 139 +-1684 -1488 +-2199 -3972 +3511 0 +-2199 3972 +-1684 1488 +-199 -139 +-759 -1097 +3909 2266 +1513 6081 +3587 2265 +3795 343 +3730 -224 +3540 -572 +-5276 1131 +-507 -3354 +895 -1967 +-2456 5142 +3275 656 +1170 -1170 +2356 1356 +-466 -3493 +-4966 -2851 +3817 2983 +1358 3787 +-4943 680 +-2985 -2646 +-6135 -1998 +-6124 -1592 +3200 -2029 +4334 -2292 +-2551 -4123 +-55 1124 +1295 2215 +-1641 -6125 +-1170 0 +-1641 6125 +1295 -2215 +-55 -1124 +-2551 4123 +4334 2292 +3200 2029 +-6124 1592 +-6135 1998 +-2985 2646 +-4943 -680 +1358 -3787 +3817 -2983 +-4966 2851 +-466 3493 +2356 -1356 +1170 1170 +3275 -656 +-2456 -5142 +895 1967 +-507 3354 +-5276 -1131 +3540 572 +3730 224 +3795 -343 +3587 -2265 +1513 -6081 +3909 -2266 +-759 1097 +-199 139 +-1684 -1488 +-2199 -3972 +3511 3511 +-117 -1342 +-5895 23 +-8867 3187 +2288 -2720 +4307 -1957 +-664 1191 +7 -4039 +1170 -1312 +1248 1752 +2064 -1880 +1128 538 +-3184 432 +-1914 -645 +352 3880 +143 3294 +1170 0 +143 -3294 +352 -3880 +-1914 645 +-3184 -432 +1128 -538 +2064 1880 +1248 -1752 +1170 1312 +7 4039 +-664 -1191 +4307 1957 +2288 2720 +-8867 -3187 +-5895 -23 +-117 1342 +3511 -3511 +5070 -599 +-1159 5915 +3293 2743 +1022 -3616 +-4149 137 +1245 2787 +-2844 132 +1170 3653 +3108 3767 +-4016 1177 +-271 3486 +-126 2594 +-147 5798 +-1289 6739 +5 -2430 +5851 0 +5 2430 +-1289 -6739 +-147 -5798 +-126 -2594 +-271 -3486 +-4016 -1177 +3108 -3767 +1170 -3653 +-2844 -132 +1245 -2787 +-4149 -137 +1022 3616 +3293 -2743 +-1159 -5915 +5070 599 +3511 3511 +-117 -1342 +-5895 23 +-8867 3187 +2288 -2720 +4307 -1957 +-664 1191 +7 -4039 +1170 -1312 +1248 1752 +2064 -1880 +1128 538 +-3184 432 +-1914 -645 +352 3880 +143 3294 +3511 -3511 +1919 706 +1840 2259 +5639 328 +2162 -2804 +-1671 -455 +-1229 3435 +787 5268 +1170 -343 +-3026 -5115 +1494 2142 +4553 861 +-896 887 +869 3300 +-1137 -4312 +-1506 -1906 +3511 0 +-1506 1906 +-1137 4312 +869 -3300 +-896 -887 +4553 -861 +1494 -2142 +-3026 5115 +1170 343 +787 -5268 +-1229 -3435 +-1671 455 +2162 2804 +5639 -328 +1840 -2259 +1919 -706 +3511 3511 +1325 1648 +281 -37 +-46 3982 +-2162 1149 +-5545 237 +-1990 1489 +1269 -744 +1170 -1998 +6071 213 +354 842 +-4973 -6922 +896 -2542 +-5447 1787 +-8975 -4768 +-220 1454 +3511 0 +-220 -1454 +-8975 4768 +-5447 -1787 +896 2542 +-4973 6922 +354 -842 +6071 -213 +1170 1998 +1269 744 +-1990 -1489 +-5545 -237 +-2162 -1149 +-46 -3982 +281 37 +1325 -1648 +3511 -3511 +1919 706 +1840 2259 +5639 328 +2162 -2804 +-1671 -455 +-1229 3435 +787 5268 +1170 -343 +-3026 -5115 +1494 2142 +4553 861 +-896 887 +869 3300 +-1137 -4312 +-1506 -1906 +2341 -3511 +1593 2295 +-940 1120 +-4014 -246 +2780 -3632 +3440 -1294 +-434 2607 +-109 -2940 +-1856 -142 +683 3548 +1695 -900 +-3600 -2320 +110 60 +3661 1822 +-2599 3537 +-2097 5541 +2341 0 +-2097 -5541 +-2599 -3537 +3661 -1822 +110 -60 +-3600 2320 +1695 900 +683 -3548 +-1856 142 +-109 2940 +-434 -2607 +3440 1294 +2780 3632 +-4014 246 +-940 -1120 +1593 -2295 +2341 3511 +5927 2049 +899 -1670 +-798 698 +246 322 +-5883 4356 +-3681 4059 +-2048 5788 +-5166 4823 +460 -4010 +1049 2885 +943 2072 +6226 -3370 +-368 1940 +-5351 595 +2212 2113 +7022 0 +2212 -2113 +-5351 -595 +-368 -1940 +6226 3370 +943 -2072 +1049 -2885 +460 4010 +-5166 -4823 +-2048 -5788 +-3681 -4059 +-5883 -4356 +246 -322 +-798 -698 +899 1670 +5927 -2049 +2341 -3511 +1593 2295 +-940 1120 +-4014 -246 +2780 -3632 +3440 -1294 +-434 2607 +-109 -2940 +-1856 -142 +683 3548 +1695 -900 +-3600 -2320 +110 60 +3661 1822 +-2599 3537 +-2097 5541 +-1170 -1170 +-492 518 +2584 4202 +4211 -837 +3996 1013 +-2349 6357 +-2293 -989 +3833 -3479 +-2825 3653 +42 1096 +449 -3063 +-5394 1184 +686 3438 +-2477 2234 +2210 -790 +262 -3900 +-12873 0 +262 3900 +2210 790 +-2477 -2234 +686 -3438 +-5394 -1184 +449 3063 +42 -1096 +-2825 -3653 +3833 3479 +-2293 989 +-2349 -6357 +3996 -1013 +4211 837 +2584 -4202 +-492 -518 +-1170 1170 +-4296 -2879 +-5254 4 +-1136 1942 +3996 642 +4778 5579 +-1153 6462 +-337 -434 +485 -1312 +-1747 2206 +-313 1915 +-1360 -46 +686 -1783 +3726 -2564 +3769 -1625 +2735 -610 +1170 0 +2735 610 +3769 1625 +3726 2564 +686 1783 +-1360 46 +-313 -1915 +-1747 -2206 +485 1312 +-337 434 +-1153 -6462 +4778 -5579 +3996 -642 +-1136 -1942 +-5254 -4 +-4296 2879 +-1170 -1170 +-492 518 +2584 4202 +4211 -837 +3996 1013 +-2349 6357 +-2293 -989 +3833 -3479 +-2825 3653 +42 1096 +449 -3063 +-5394 1184 +686 3438 +-2477 2234 +2210 -790 +262 -3900 +-1170 -2341 +4422 -4333 +1052 -2762 +9182 3596 +4608 2162 +-3671 -4413 +2705 -3775 +-1949 102 +828 1655 +3163 -2185 +-2566 -3067 +2518 2056 +528 896 +-566 -86 +-380 -340 +-5965 -5124 +-7022 0 +-5965 5124 +-380 340 +-566 86 +528 -896 +2518 -2056 +-2566 3067 +3163 2185 +828 -1655 +-1949 -102 +2705 3775 +-3671 4413 +4608 -2162 +9182 -3596 +1052 2762 +4422 4333 +-1170 2341 +-6444 -943 +-545 422 +1526 4292 +-612 -2162 +-2307 -2184 +-154 6116 +-1789 1497 +-828 -1655 +5172 2413 +3325 727 +-88 -661 +157 -896 +27 -17 +-3437 2680 +-3231 1219 +0 0 +-3231 -1219 +-3437 -2680 +27 17 +157 896 +-88 661 +3325 -727 +5172 -2413 +-828 1655 +-1789 -1497 +-154 -6116 +-2307 2184 +-612 2162 +1526 -4292 +-545 -422 +-6444 943 +-1170 -2341 +4422 -4333 +1052 -2762 +9182 3596 +4608 2162 +-3671 -4413 +2705 -3775 +-1949 102 +828 1655 +3163 -2185 +-2566 -3067 +2518 2056 +528 896 +-566 -86 +-380 -340 +-5965 -5124 +1170 1170 +1558 -2935 +2485 -2637 +570 -1531 +1820 829 +949 5701 +-5590 -1817 +-5470 1879 +-2341 4138 +-282 -5864 +5173 3592 +3322 1767 +-2894 -4129 +-307 3726 +2050 -1224 +-226 -2378 +-1170 0 +-226 2378 +2050 1224 +-307 -3726 +-2894 4129 +3322 -1767 +5173 -3592 +-282 5864 +-2341 -4138 +-5470 -1879 +-5590 1817 +949 -5701 +1820 -829 +570 1531 +2485 2637 +1558 2935 +1170 -1170 +1707 551 +1140 2637 +864 -1086 +-2505 -7449 +-2650 -4677 +1055 1817 +-2234 670 +-2341 -4138 +3662 -6856 +733 -3592 +-3411 -3780 +-1102 -2491 +664 3861 +2317 1224 +1286 -2035 +-1170 0 +1286 2035 +2317 -1224 +664 -3861 +-1102 2491 +-3411 3780 +733 3592 +3662 6856 +-2341 4138 +-2234 -670 +1055 -1817 +-2650 4677 +-2505 7449 +864 1086 +1140 -2637 +1707 -551 +1170 1170 +1558 -2935 +2485 -2637 +570 -1531 +1820 829 +949 5701 +-5590 -1817 +-5470 1879 +-2341 4138 +-282 -5864 +5173 3592 +3322 1767 +-2894 -4129 +-307 3726 +2050 -1224 +-226 -2378 +0 -3511 +-351 -2149 +1294 763 +3309 6936 +908 1275 +3686 -5816 +5144 3215 +4512 6512 +969 343 +-828 -1187 +1456 -2512 +-3500 -2155 +-2521 -254 +2430 -2136 +-1439 -2825 +-1104 -992 +2341 0 +-1104 992 +-1439 2825 +2430 2136 +-2521 254 +-3500 2155 +1456 2512 +-828 1187 +969 -343 +4512 -6512 +5144 -3215 +3686 5816 +908 -1275 +3309 -6936 +1294 -763 +-351 2149 +0 3511 +-739 2226 +1376 -689 +-2523 273 +1433 380 +4557 1786 +-1698 273 +-617 -2312 +-5651 1998 +-4585 -2100 +-1592 -5301 +-5758 3583 +4862 1909 +4419 -1181 +-4541 960 +-2908 -3676 +-2341 0 +-2908 3676 +-4541 -960 +4419 1181 +4862 -1909 +-5758 -3583 +-1592 5301 +-4585 2100 +-5651 -1998 +-617 2312 +-1698 -273 +4557 -1786 +1433 -380 +-2523 -273 +1376 689 +-739 -2226 +0 -3511 +-351 -2149 +1294 763 +3309 6936 +908 1275 +3686 -5816 +5144 3215 +4512 6512 +969 343 +-828 -1187 +1456 -2512 +-3500 -2155 +-2521 -254 +2430 -2136 +-1439 -2825 +-1104 -992 +3511 1170 +1901 1780 +3930 -367 +-831 -567 +-679 1133 +2502 4334 +-1586 333 +-436 -3037 +-3996 2483 +-611 -760 +5487 -1932 +1612 2808 +2124 -5077 +-4563 -2588 +-6396 4592 +-4087 -4180 +-8192 0 +-4087 4180 +-6396 -4592 +-4563 2588 +2124 5077 +1612 -2808 +5487 1932 +-611 760 +-3996 -2483 +-436 3037 +-1586 -333 +2502 -4334 +-679 -1133 +-831 567 +3930 367 +1901 -1780 +3511 -1170 +479 1032 +-365 1085 +1275 2637 +-1946 238 +1127 -1386 +2870 3274 +2584 1256 +-686 -2483 +-6638 853 +-3461 858 +2394 -3765 +5182 -2914 +3105 3496 +-479 807 +188 -7115 +1170 0 +188 7115 +-479 -807 +3105 -3496 +5182 2914 +2394 3765 +-3461 -858 +-6638 -853 +-686 2483 +2584 -1256 +2870 -3274 +1127 1386 +-1946 -238 +1275 -2637 +-365 -1085 +479 -1032 +3511 1170 +1901 1780 +3930 -367 +-831 -567 +-679 1133 +2502 4334 +-1586 333 +-436 -3037 +-3996 2483 +-611 -760 +5487 -1932 +1612 2808 +2124 -5077 +-4563 -2588 +-6396 4592 +-4087 -4180 +-3511 5851 +-761 3817 +-1807 -147 +-2943 2758 +-1060 5077 +-976 -2520 +-1181 -2752 +703 -1812 +1655 -3854 +-1411 4287 +1472 906 +4756 -4671 +-924 -238 +-744 298 +3918 2673 +5183 3157 +5851 0 +5183 -3157 +3918 -2673 +-744 -298 +-924 238 +4756 4671 +1472 -906 +-1411 -4287 +1655 3854 +703 1812 +-1181 2752 +-976 2520 +-1060 -5077 +-2943 -2758 +-1807 147 +-761 -3817 +-3511 -5851 +-741 3154 +32 5546 +775 -1875 +5056 2914 +-2219 5268 +-4428 1678 +-51 -2607 +-1655 -5509 +2277 1819 +827 2701 +-546 -68 +1609 -1133 +-4723 -4160 +1166 -1956 +1420 -1644 +-8192 0 +1420 1644 +1166 1956 +-4723 4160 +1609 1133 +-546 68 +827 -2701 +2277 -1819 +-1655 5509 +-51 2607 +-4428 -1678 +-2219 -5268 +5056 -2914 +775 1875 +32 -5546 +-741 -3154 +-3511 5851 +-761 3817 +-1807 -147 +-2943 2758 +-1060 5077 +-976 -2520 +-1181 -2752 +703 -1812 +1655 -3854 +-1411 4287 +1472 906 +4756 -4671 +-924 -238 +-744 298 +3918 2673 +5183 3157 +-3511 -1170 +-401 169 +1242 6187 +454 -4947 +411 -2183 +1937 2256 +-2543 -4353 +-3648 -1462 +485 1312 +-4804 2008 +-1699 771 +7435 -2457 +4988 -2267 +3100 3119 +247 7524 +2209 2102 +8192 0 +2209 -2102 +247 -7524 +3100 -3119 +4988 2267 +7435 2457 +-1699 -771 +-4804 -2008 +485 -1312 +-3648 1462 +-2543 4353 +1937 -2256 +411 2183 +454 4947 +1242 -6187 +-401 -169 +-3511 1170 +-2215 -2675 +-1242 4043 +-3770 735 +-1380 -1812 +797 -1723 +2543 -1063 +2040 337 +-2825 -3653 +-482 30 +1699 1804 +1791 -676 +663 2953 +-5124 4985 +-247 1336 +679 -4187 +-5851 0 +679 4187 +-247 -1336 +-5124 -4985 +663 -2953 +1791 676 +1699 -1804 +-482 -30 +-2825 3653 +2040 -337 +2543 1063 +797 1723 +-1380 1812 +-3770 -735 +-1242 -4043 +-2215 2675 +-3511 -1170 +-401 169 +1242 6187 +454 -4947 +411 -2183 +1937 2256 +-2543 -4353 +-3648 -1462 +485 1312 +-4804 2008 +-1699 771 +7435 -2457 +4988 -2267 +3100 3119 +247 7524 +2209 2102 +4681 -3511 +2226 2460 +-4104 5080 +-387 -584 +-992 -2416 +-5328 -918 +-3437 -1798 +-4279 -1571 +-7991 -343 +-487 342 +3791 1483 +-1035 313 +2066 -516 +2340 2573 +153 1622 +955 -2634 +0 0 +955 2634 +153 -1622 +2340 -2573 +2066 516 +-1035 -313 +3791 -1483 +-487 -342 +-7991 343 +-4279 1571 +-3437 1798 +-5328 918 +-992 2416 +-387 584 +-4104 -5080 +2226 -2460 +4681 3511 +596 -410 +-1351 -4902 +-4614 -558 +3333 4071 +1933 3900 +-4083 353 +5261 -3725 +-1371 -1998 +-1509 2354 +7038 1754 +-672 1297 +275 2171 +1143 -2344 +1992 -6125 +3858 -3307 +0 0 +3858 3307 +1992 6125 +1143 2344 +275 -2171 +-672 -1297 +7038 -1754 +-1509 -2354 +-1371 1998 +5261 3725 +-4083 -353 +1933 -3900 +3333 -4071 +-4614 558 +-1351 4902 +596 410 +4681 -3511 +2226 2460 +-4104 5080 +-387 -584 +-992 -2416 +-5328 -918 +-3437 -1798 +-4279 -1571 +-7991 -343 +-487 342 +3791 1483 +-1035 313 +2066 -516 +2340 2573 +153 1622 +955 -2634 +-2341 -3511 +36 -3142 +-2624 4277 +1637 2076 +1364 -3273 +-3041 -3428 +4318 1222 +2712 72 +2140 -4138 +6491 -784 +2637 -114 +2338 -2350 +1872 596 +613 3300 +-1472 -1881 +-3544 -7776 +-2341 0 +-3544 7776 +-1472 1881 +613 -3300 +1872 -596 +2338 2350 +2637 114 +6491 784 +2140 4138 +2712 -72 +4318 -1222 +-3041 3428 +1364 3273 +1637 -2076 +-2624 -4277 +36 3142 +-2341 3511 +-2158 -1004 +210 986 +2667 -4832 +2631 -2377 +-1619 4276 +-3170 -4966 +-5856 -1277 +-4480 4138 +-541 -3376 +2835 -2258 +3098 -3001 +-1186 -1566 +926 3727 +-2734 -848 +-3761 -2066 +2341 0 +-3761 2066 +-2734 848 +926 -3727 +-1186 1566 +3098 3001 +2835 2258 +-541 3376 +-4480 -4138 +-5856 1277 +-3170 4966 +-1619 -4276 +2631 2377 +2667 4832 +210 -986 +-2158 1004 +-2341 -3511 +36 -3142 +-2624 4277 +1637 2076 +1364 -3273 +-3041 -3428 +4318 1222 +2712 72 +2140 -4138 +6491 -784 +2637 -114 +2338 -2350 +1872 596 +613 3300 +-1472 -1881 +-3544 -7776 +-2341 1170 +7675 4230 +4990 907 +-1412 2936 +3252 2788 +1298 -2642 +1632 -905 +2348 3323 +1856 2483 +3099 -1091 +-3103 -506 +-3315 15 +1968 -3422 +-688 3040 +-5142 7337 +-3151 -1457 +0 0 +-3151 1457 +-5142 -7337 +-688 -3040 +1968 3422 +-3315 -15 +-3103 506 +3099 1091 +1856 -2483 +2348 -3323 +1632 905 +1298 2642 +3252 -2788 +-1412 -2936 +4990 -907 +7675 -4230 +-2341 -1170 +-2640 671 +-2498 -2233 +-1945 1471 +-1597 1893 +-2596 -853 +369 -1856 +2572 -5624 +5166 -2483 +-384 2100 +-269 -316 +3094 -199 +-3623 -1259 +-1057 -1942 +-5340 2639 +-2898 3048 +9362 0 +-2898 -3048 +-5340 -2639 +-1057 1942 +-3623 1259 +3094 199 +-269 316 +-384 -2100 +5166 2483 +2572 5624 +369 1856 +-2596 853 +-1597 -1893 +-1945 -1471 +-2498 2233 +-2640 -671 +-2341 1170 +7675 4230 +4990 907 +-1412 2936 +3252 2788 +1298 -2642 +1632 -905 +2348 3323 +1856 2483 +3099 -1091 +-3103 -506 +-3315 15 +1968 -3422 +-688 3040 +-5142 7337 +-3151 -1457 +-4681 0 +1042 153 +839 -428 +3419 -3937 +3758 -4160 +2230 -2682 +2427 -3998 +-3581 -3432 +828 -4480 +1269 -3673 +-2268 2293 +1236 2372 +-2229 -553 +2433 -196 +2790 833 +-4211 1841 +-3511 0 +-4211 -1841 +2790 -833 +2433 196 +-2229 553 +1236 -2372 +-2268 -2293 +1269 3673 +828 4480 +-3581 3432 +2427 3998 +2230 2682 +3758 4160 +3419 3937 +839 428 +1042 -153 +-4681 0 +-2697 731 +-1305 218 +-1764 -267 +2862 165 +-575 -4363 +-863 -2160 +1926 4496 +-828 2140 +-2924 3218 +-1235 -460 +419 -8402 +-4391 1238 +-778 3626 +8977 -2414 +2556 4145 +-5851 0 +2556 -4145 +8977 2414 +-778 -3626 +-4391 -1238 +419 8402 +-1235 460 +-2924 -3218 +-828 -2140 +1926 -4496 +-863 2160 +-575 4363 +2862 -165 +-1764 267 +-1305 -218 +-2697 -731 +-4681 0 +1042 153 +839 -428 +3419 -3937 +3758 -4160 +2230 -2682 +2427 -3998 +-3581 -3432 +828 -4480 +1269 -3673 +-2268 2293 +1236 2372 +-2229 -553 +2433 -196 +2790 833 +-4211 1841 +0 2341 +-763 -8095 +-3884 -3531 +-4324 3090 +1550 -663 +3370 -4050 +2180 -88 +-774 -2682 +-3653 -3996 +2185 2607 +1453 1997 +-2780 4015 +-738 411 +-2967 -4707 +-346 1890 +-417 17 +-5851 0 +-417 -17 +-346 -1890 +-2967 4707 +-738 -411 +-2780 -4015 +1453 -1997 +2185 -2607 +-3653 3996 +-774 2682 +2180 88 +3370 4050 +1550 663 +-4324 -3090 +-3884 3531 +-763 8095 +0 -2341 +-1702 -3998 +-1588 2846 +1620 -3057 +2446 -4988 +3290 1382 +234 4084 +-1909 658 +1312 -686 +-278 -1678 +2753 -5992 +5547 -484 +1424 -1380 +2864 -5043 +-802 -1205 +-2962 -6414 +1170 0 +-2962 6414 +-802 1205 +2864 5043 +1424 1380 +5547 484 +2753 5992 +-278 1678 +1312 686 +-1909 -658 +234 -4084 +3290 -1382 +2446 4988 +1620 3057 +-1588 -2846 +-1702 3998 +0 2341 +-763 -8095 +-3884 -3531 +-4324 3090 +1550 -663 +3370 -4050 +2180 -88 +-774 -2682 +-3653 -3996 +2185 2607 +1453 1997 +-2780 4015 +-738 411 +-2967 -4707 +-346 1890 +-417 17 +0 2341 +-487 -1931 +-269 -3557 +1360 2749 +4003 -6197 +2017 -3810 +2771 278 +1445 -2275 +-3168 1170 +-407 -2014 +551 -4377 +-2786 -4504 +-5161 840 +-6348 2391 +403 -4159 +6291 1891 +5851 0 +6291 -1891 +403 4159 +-6348 -2391 +-5161 -840 +-2786 4504 +551 4377 +-407 2014 +-3168 -1170 +1445 2275 +2771 -278 +2017 3810 +4003 6197 +1360 -2749 +-269 3557 +-487 1931 +0 -2341 +3609 4899 +269 -1800 +-3184 -2742 +-693 5511 +-2222 3261 +-2771 -3318 +-1839 -5170 +-1513 1170 +1305 3576 +-551 -1974 +-1096 -2517 +1851 3156 +-981 506 +-403 -4508 +3324 721 +3511 0 +3324 -721 +-403 4508 +-981 -506 +1851 -3156 +-1096 2517 +-551 1974 +1305 -3576 +-1513 -1170 +-1839 5170 +-2771 3318 +-2222 -3261 +-693 -5511 +-3184 2742 +269 1800 +3609 -4899 +0 2341 +-487 -1931 +-269 -3557 +1360 2749 +4003 -6197 +2017 -3810 +2771 278 +1445 -2275 +-3168 1170 +-407 -2014 +551 -4377 +-2786 -4504 +-5161 840 +-6348 2391 +403 -4159 +6291 1891 +1170 1170 +521 -650 +-4680 -540 +1088 -852 +-649 -596 +-3303 1858 +3170 4981 +-5493 1982 +-3996 -4823 +1468 -1194 +-4219 1489 +-429 -2079 +4064 2377 +5110 31 +-1338 -6640 +-1588 -947 +5851 0 +-1588 947 +-1338 6640 +5110 -31 +4064 -2377 +-429 2079 +-4219 -1489 +1468 1194 +-3996 4823 +-5493 -1982 +3170 -4981 +-3303 -1858 +-649 596 +1088 852 +-4680 540 +521 650 +1170 -1170 +3440 -1595 +4502 -1580 +1412 -1053 +3675 1566 +-745 -4345 +-4615 -8200 +-2325 -3 +-686 142 +507 -4462 +983 -3337 +1671 -1927 +2272 3273 +1816 3166 +-3165 -3472 +-3149 -2313 +1170 0 +-3149 2313 +-3165 3472 +1816 -3166 +2272 -3273 +1671 1927 +983 3337 +507 4462 +-686 -142 +-2325 3 +-4615 8200 +-745 4345 +3675 -1566 +1412 1053 +4502 1580 +3440 1595 +1170 1170 +521 -650 +-4680 -540 +1088 -852 +-649 -596 +-3303 1858 +3170 4981 +-5493 1982 +-3996 -4823 +1468 -1194 +-4219 1489 +-429 -2079 +4064 2377 +5110 31 +-1338 -6640 +-1588 -947 +-1170 0 +-2552 406 +625 -656 +-1889 3473 +-1191 4354 +950 416 +-13 -2541 +2436 -117 +4823 -284 +4041 -1046 +-988 2177 +-1307 -3083 +202 -149 +-5100 2466 +-1296 -3561 +1687 3799 +-2341 0 +1687 -3799 +-1296 3561 +-5100 -2466 +202 149 +-1307 3083 +-988 -2177 +4041 1046 +4823 284 +2436 117 +-13 2541 +950 -416 +-1191 -4354 +-1889 -3473 +625 656 +-2552 -406 +-1170 0 +2906 3838 +4130 5919 +2530 3383 +-5145 1296 +-3062 3965 +1206 -1203 +-2727 2372 +-142 9646 +-440 -1801 +-2144 -4550 +108 -171 +-3228 1118 +1150 3375 +7842 832 +1269 1964 +-4681 0 +1269 -1964 +7842 -832 +1150 -3375 +-3228 -1118 +108 171 +-2144 4550 +-440 1801 +-142 -9646 +-2727 -2372 +1206 1203 +-3062 -3965 +-5145 -1296 +2530 -3383 +4130 -5919 +2906 -3838 +-1170 0 +-2552 406 +625 -656 +-1889 3473 +-1191 4354 +950 416 +-13 -2541 +2436 -117 +4823 -284 +4041 -1046 +-988 2177 +-1307 -3083 +202 -149 +-5100 2466 +-1296 -3561 +1687 3799 +2341 2341 +-248 207 +1637 -4975 +-3333 3258 +2901 5114 +5914 668 +-5475 2287 +2613 -1855 +5993 -2341 +147 2983 +207 1741 +-3307 3355 +-3341 3669 +-5966 -1884 +-2227 1024 +3524 5828 +1170 0 +3524 -5828 +-2227 -1024 +-5966 1884 +-3341 -3669 +-3307 -3355 +207 -1741 +147 -2983 +5993 2341 +2613 1855 +-5475 -2287 +5914 -668 +2901 -5114 +-3333 -3258 +1637 4975 +-248 -207 +2341 -2341 +1443 541 +-741 -2078 +-278 1983 +-3586 3847 +1031 -2011 +3313 -2868 +-230 -2024 +1028 -2341 +3313 -3909 +1955 -3694 +-832 1502 +-654 611 +150 -2658 +1331 -87 +-3942 616 +-10533 0 +-3942 -616 +1331 87 +150 2658 +-654 -611 +-832 -1502 +1955 3694 +3313 3909 +1028 2341 +-230 2024 +3313 2868 +1031 2011 +-3586 -3847 +-278 -1983 +-741 2078 +1443 -541 +2341 2341 +-248 207 +1637 -4975 +-3333 3258 +2901 5114 +5914 668 +-5475 2287 +2613 -1855 +5993 -2341 +147 2983 +207 1741 +-3307 3355 +-3341 3669 +-5966 -1884 +-2227 1024 +3524 5828 +-2341 -2341 +618 -1141 +1488 1745 +-1408 1584 +642 -2288 +-3719 2166 +-2481 4267 +3408 643 +1028 2341 +-4869 -1739 +-3536 -2437 +4774 3272 +1783 -3184 +-4305 -2216 +-12 1372 +3532 -7816 +3511 0 +3532 7816 +-12 -1372 +-4305 2216 +1783 3184 +4774 -3272 +-3536 2437 +-4869 1739 +1028 -2341 +3408 -643 +-2481 -4267 +-3719 -2166 +642 2288 +-1408 -1584 +1488 -1745 +618 1141 +-2341 2341 +1476 4015 +-1803 5341 +-1109 4584 +1013 -1022 +2280 -1908 +3706 3917 +-353 3512 +5993 2341 +3333 3088 +-5680 -680 +-2321 -2238 +-3438 -126 +-813 2541 +-1045 3775 +-525 1264 +5851 0 +-525 -1264 +-1045 -3775 +-813 -2541 +-3438 126 +-2321 2238 +-5680 680 +3333 -3088 +5993 -2341 +-353 -3512 +3706 -3917 +2280 1908 +1013 1022 +-1109 -4584 +-1803 -5341 +1476 -4015 +-2341 -2341 +618 -1141 +1488 1745 +-1408 1584 +642 -2288 +-3719 2166 +-2481 4267 +3408 643 +1028 2341 +-4869 -1739 +-3536 -2437 +4774 3272 +1783 -3184 +-4305 -2216 +-12 1372 +3532 -7816 +2341 -2341 +-2089 4009 +-3654 -1045 +1747 965 +-2752 3446 +-2982 -3585 +-1060 -4620 +-3997 -900 +-3653 686 +393 224 +2993 402 +3719 1163 +4638 -2670 +121 -2160 +-3207 2956 +-2720 1525 +-3511 0 +-2720 -1525 +-3207 -2956 +121 2160 +4638 2670 +3719 -1163 +2993 -402 +393 -224 +-3653 -686 +-3997 900 +-1060 4620 +-2982 3585 +-2752 -3446 +1747 -965 +-3654 1045 +-2089 -4009 +2341 2341 +-966 2805 +-713 -6397 +-4201 -1455 +2468 -136 +5499 -5720 +-4846 -674 +990 3112 +1312 3996 +-2487 2765 +1542 -3758 +1399 -1041 +5009 5980 +1318 4477 +-417 903 +4257 -555 +3511 0 +4257 555 +-417 -903 +1318 -4477 +5009 -5980 +1399 1041 +1542 3758 +-2487 -2765 +1312 -3996 +990 -3112 +-4846 674 +5499 5720 +2468 136 +-4201 1455 +-713 6397 +-966 -2805 +2341 -2341 +-2089 4009 +-3654 -1045 +1747 965 +-2752 3446 +-2982 -3585 +-1060 -4620 +-3997 -900 +-3653 686 +393 224 +2993 402 +3719 1163 +4638 -2670 +121 -2160 +-3207 2956 +-2720 1525 +3511 -1170 +3091 1409 +-2591 -3442 +1427 4386 +828 3399 +2851 -1704 +2717 1878 +-3975 3833 +-686 2483 +-1505 374 +-3371 808 +747 -1418 +-828 1692 +-644 4681 +-1544 -949 +-646 845 +3511 0 +-646 -845 +-1544 949 +-644 -4681 +-828 -1692 +747 1418 +-3371 -808 +-1505 -374 +-686 -2483 +-3975 -3833 +2717 -1878 +2851 1704 +828 -3399 +1427 -4386 +-2591 3442 +3091 -1409 +3511 1170 +-1047 5694 +4753 -4330 +2563 -3032 +828 5562 +3026 4063 +-1821 -6067 +-1936 -7903 +-3996 -2483 +-5319 -4088 +2476 -1686 +2530 887 +-828 2588 +741 3147 +-618 -3512 +-1901 -2748 +-1170 0 +-1901 2748 +-618 3512 +741 -3147 +-828 -2588 +2530 -887 +2476 1686 +-5319 4088 +-3996 2483 +-1936 7903 +-1821 6067 +3026 -4063 +828 -5562 +2563 3032 +4753 4330 +-1047 -5694 +3511 -1170 +3091 1409 +-2591 -3442 +1427 4386 +828 3399 +2851 -1704 +2717 1878 +-3975 3833 +-686 2483 +-1505 374 +-3371 808 +747 -1418 +-828 1692 +-644 4681 +-1544 -949 +-646 845 +1170 1170 +2928 1049 +1336 -2169 +-2004 3844 +-275 6001 +-1481 -1938 +-2508 2864 +-2029 5027 +-3511 -343 +-2 2211 +-1043 -835 +-2332 1729 +992 4818 +-1611 -526 +2602 845 +5356 -243 +1170 0 +5356 243 +2602 -845 +-1611 526 +992 -4818 +-2332 -1729 +-1043 835 +-2 -2211 +-3511 343 +-2029 -5027 +-2508 -2864 +-1481 1938 +-275 -6001 +-2004 -3844 +1336 2169 +2928 -1049 +1170 -1170 +1689 2216 +2796 -3271 +-24 -5278 +-2066 1305 +3888 236 +524 2325 +-8867 2677 +-3511 -1998 +4782 1169 +7708 -29 +2459 -1640 +-3333 -2194 +1106 883 +-2052 6387 +-3857 -817 +1170 0 +-3857 817 +-2052 -6387 +1106 -883 +-3333 2194 +2459 1640 +7708 29 +4782 -1169 +-3511 1998 +-8867 -2677 +524 -2325 +3888 -236 +-2066 -1305 +-24 5278 +2796 3271 +1689 -2216 +1170 1170 +2928 1049 +1336 -2169 +-2004 3844 +-275 6001 +-1481 -1938 +-2508 2864 +-2029 5027 +-3511 -343 +-2 2211 +-1043 -835 +-2332 1729 +992 4818 +-1611 -526 +2602 845 +5356 -243 +-2341 4681 +-2685 2427 +1652 4635 +3798 1490 +1618 320 +197 4781 +-881 -263 +-3216 -469 +-2483 6821 +877 1672 +1199 -3269 +444 4304 +2251 1587 +2200 38 +-1024 8015 +-1968 3029 +-1170 0 +-1968 -3029 +-1024 -8015 +2200 -38 +2251 -1587 +444 -4304 +1199 3269 +877 -1672 +-2483 -6821 +-3216 469 +-881 263 +197 -4781 +1618 -320 +3798 -1490 +1652 -4635 +-2685 -2427 +-2341 -4681 +134 759 +3 4088 +19 2182 +722 4645 +-704 909 +-774 168 +2457 -2498 +2483 201 +-1637 5886 +-2854 -8127 +-951 -6101 +89 3378 +1617 -1110 +2679 -1230 +-583 -5302 +-3511 0 +-583 5302 +2679 1230 +1617 1110 +89 -3378 +-951 6101 +-2854 8127 +-1637 -5886 +2483 -201 +2457 2498 +-774 -168 +-704 -909 +722 -4645 +19 -2182 +3 -4088 +134 -759 +-2341 4681 +-2685 2427 +1652 4635 +3798 1490 +1618 320 +197 4781 +-881 -263 +-3216 -469 +-2483 6821 +877 1672 +1199 -3269 +444 4304 +2251 1587 +2200 38 +-1024 8015 +-1968 3029 +-1170 -1170 +-805 -60 +-1585 -2172 +-2711 1242 +-4286 -2610 +-2195 -5556 +3533 -323 +-438 2923 +-2341 3168 +6091 -4766 +4370 -3951 +723 3255 +2841 186 +-1190 2504 +-1743 4499 +207 -1366 +-1170 0 +207 1366 +-1743 -4499 +-1190 -2504 +2841 -186 +723 -3255 +4370 3951 +6091 4766 +-2341 -3168 +-438 -2923 +3533 323 +-2195 5556 +-4286 2610 +-2711 -1242 +-1585 2172 +-805 60 +-1170 1170 +-1187 2311 +-1935 3439 +-2446 5752 +-3019 2610 +-30 2873 +5935 -2735 +1212 -5058 +-2341 1513 +4080 2630 +774 892 +-3326 -5938 +-217 -186 +-2064 4490 +14 -3232 +4080 3618 +3511 0 +4080 -3618 +14 3232 +-2064 -4490 +-217 186 +-3326 5938 +774 -892 +4080 -2630 +-2341 -1513 +1212 5058 +5935 2735 +-30 -2873 +-3019 -2610 +-2446 -5752 +-1935 -3439 +-1187 -2311 +-1170 -1170 +-805 -60 +-1585 -2172 +-2711 1242 +-4286 -2610 +-2195 -5556 +3533 -323 +-438 2923 +-2341 3168 +6091 -4766 +4370 -3951 +723 3255 +2841 186 +-1190 2504 +-1743 4499 +207 -1366 +1170 -1170 +-2171 -4926 +1038 426 +-3003 2681 +-4496 -1165 +-2775 2962 +1064 1987 +5822 -3797 +-2341 -142 +909 1506 +3134 2495 +-2921 6704 +4675 7 +-156 -6248 +-2940 -937 +621 2052 +-3511 0 +621 -2052 +-2940 937 +-156 6248 +4675 -7 +-2921 -6704 +3134 -2495 +909 -1506 +-2341 142 +5822 3797 +1064 -1987 +-2775 -2962 +-4496 1165 +-3003 -2681 +1038 -426 +-2171 4926 +1170 1170 +4231 1484 +2527 4973 +1215 5216 +-1438 5847 +-585 342 +220 -3061 +-1325 4211 +-2341 4823 +-305 70 +-1108 1112 +-1354 -1525 +5941 -4688 +2959 38 +-3935 1655 +-1162 -1589 +1170 0 +-1162 1589 +-3935 -1655 +2959 -38 +5941 4688 +-1354 1525 +-1108 -1112 +-305 -70 +-2341 -4823 +-1325 -4211 +220 3061 +-585 -342 +-1438 -5847 +1215 -5216 +2527 -4973 +4231 -1484 +1170 -1170 +-2171 -4926 +1038 426 +-3003 2681 +-4496 -1165 +-2775 2962 +1064 1987 +5822 -3797 +-2341 -142 +909 1506 +3134 2495 +-2921 6704 +4675 7 +-156 -6248 +-2940 -937 +621 2052 +-3511 2341 +1555 4786 +584 77 +-1469 1480 +-1699 2699 +-679 2772 +-1010 -3683 +-1186 380 +-1797 5651 +-4285 -2770 +-1666 1059 +1384 248 +-558 -1804 +-1863 4980 +-2557 -146 +703 -1608 +4681 0 +703 1608 +-2557 146 +-1863 -4980 +-558 1804 +1384 -248 +-1666 -1059 +-4285 2770 +-1797 -5651 +-1186 -380 +-1010 3683 +-679 -2772 +-1699 -2699 +-1469 -1480 +584 -77 +1555 -4786 +-3511 -2341 +-3501 4532 +4637 311 +1925 147 +-1328 -359 +2974 2342 +639 8396 +2486 2849 +6478 -969 +2985 239 +2037 344 +-3680 -557 +-5778 -537 +1407 586 +-2664 -2776 +1243 -4196 +11703 0 +1243 4196 +-2664 2776 +1407 -586 +-5778 537 +-3680 557 +2037 -344 +2985 -239 +6478 969 +2486 -2849 +639 -8396 +2974 -2342 +-1328 359 +1925 -147 +4637 -311 +-3501 -4532 +-3511 2341 +1555 4786 +584 77 +-1469 1480 +-1699 2699 +-679 2772 +-1010 -3683 +-1186 380 +-1797 5651 +-4285 -2770 +-1666 1059 +1384 248 +-558 -1804 +-1863 4980 +-2557 -146 +703 -1608 +1170 1170 +-386 -771 +-3514 -3731 +3476 5753 +4862 5115 +1693 -769 +609 1817 +1056 -2972 +2825 -1998 +-600 5444 +-4289 2552 +-1571 -3093 +908 -1288 +-464 -733 +-3083 -3700 +-1539 -1039 +1170 0 +-1539 1039 +-3083 3700 +-464 733 +908 1288 +-1571 3093 +-4289 -2552 +-600 -5444 +2825 1998 +1056 2972 +609 -1817 +1693 769 +4862 -5115 +3476 -5753 +-3514 3731 +-386 771 +1170 -1170 +-4143 -3687 +2188 -5678 +4347 -2911 +-2521 -4430 +-296 364 +2152 2273 +1445 1266 +-485 -343 +-2404 -2825 +3467 4848 +4260 897 +1433 -2708 +1794 1783 +-6893 -2399 +-6668 906 +1170 0 +-6668 -906 +-6893 2399 +1794 -1783 +1433 2708 +4260 -897 +3467 -4848 +-2404 2825 +-485 343 +1445 -1266 +2152 -2273 +-296 -364 +-2521 4430 +4347 2911 +2188 5678 +-4143 3687 +1170 1170 +-386 -771 +-3514 -3731 +3476 5753 +4862 5115 +1693 -769 +609 1817 +1056 -2972 +2825 -1998 +-600 5444 +-4289 2552 +-1571 -3093 +908 -1288 +-464 -733 +-3083 -3700 +-1539 -1039 +2341 1170 +2100 4107 +6958 -1230 +458 -5111 +-2974 283 +-173 5430 +-4913 2598 +-620 2091 +-3310 1312 +-4945 -6370 +1769 -3268 +-2615 4633 +-812 -2320 +1904 -5142 +-585 2530 +-2190 3077 +-4681 0 +-2190 -3077 +-585 -2530 +1904 5142 +-812 2320 +-2615 -4633 +1769 3268 +-4945 6370 +-3310 -1312 +-620 -2091 +-4913 -2598 +-173 -5430 +-2974 -283 +458 5111 +6958 1230 +2100 -4107 +2341 -1170 +-159 2104 +232 -1618 +847 -522 +-1707 3712 +3585 1493 +1662 2293 +1368 2571 +3310 -3653 +887 -2719 +6163 168 +2513 -1763 +-3870 1634 +100 2078 +-1923 -4007 +-3061 -3997 +0 0 +-3061 3997 +-1923 4007 +100 -2078 +-3870 -1634 +2513 1763 +6163 -168 +887 2719 +3310 3653 +1368 -2571 +1662 -2293 +3585 -1493 +-1707 -3712 +847 522 +232 1618 +-159 -2104 +2341 1170 +2100 4107 +6958 -1230 +458 -5111 +-2974 283 +-173 5430 +-4913 2598 +-620 2091 +-3310 1312 +-4945 -6370 +1769 -3268 +-2615 4633 +-812 -2320 +1904 -5142 +-585 2530 +-2190 3077 +1170 -1170 +4067 -1868 +163 78 +-531 4770 +-1170 -6347 +-1413 -4209 +1211 558 +2325 -4084 +4480 343 +1598 2161 +-4871 849 +-1459 -3436 +-1170 -672 +-4073 6296 +5550 859 +7322 -271 +1170 0 +7322 271 +5550 -859 +-4073 -6296 +-1170 672 +-1459 3436 +-4871 -849 +1598 -2161 +4480 -343 +2325 4084 +1211 -558 +-1413 4209 +-1170 6347 +-531 -4770 +163 -78 +4067 1868 +1170 1170 +-2600 1900 +1356 -4970 +361 784 +-1170 3723 +2864 -596 +-196 -2034 +-4374 -4103 +-2140 1998 +-2355 1969 +-2764 -3697 +-769 -4532 +-1170 -6634 +-1601 -1162 +-449 2241 +638 -3363 +1170 0 +638 3363 +-449 -2241 +-1601 1162 +-1170 6634 +-769 4532 +-2764 3697 +-2355 -1969 +-2140 -1998 +-4374 4103 +-196 2034 +2864 596 +-1170 -3723 +361 -784 +1356 4970 +-2600 -1900 +1170 -1170 +4067 -1868 +163 78 +-531 4770 +-1170 -6347 +-1413 -4209 +1211 558 +2325 -4084 +4480 343 +1598 2161 +-4871 849 +-1459 -3436 +-1170 -672 +-4073 6296 +5550 859 +7322 -271 +1170 2341 +-2810 -1111 +1420 -1681 +2877 -1976 +1081 372 +-62 408 +544 -3234 +4163 -1360 +-1998 -2140 +-2074 -3459 +-1629 -171 +-5613 -2865 +-448 -3938 +-3203 1261 +1014 3328 +4435 2797 +-2341 0 +4435 -2797 +1014 -3328 +-3203 -1261 +-448 3938 +-5613 2865 +-1629 171 +-2074 3459 +-1998 2140 +4163 1360 +544 3234 +-62 -408 +1081 -372 +2877 1976 +1420 1681 +-2810 1111 +1170 -2341 +2919 -1455 +4560 -2525 +2178 -6534 +-1081 5964 +3112 11134 +-407 -2239 +-972 -727 +-343 4480 +-5441 -568 +-1818 1319 +772 3106 +448 912 +-61 1531 +-3684 -914 +-219 -3424 +4681 0 +-219 3424 +-3684 914 +-61 -1531 +448 -912 +772 -3106 +-1818 -1319 +-5441 568 +-343 -4480 +-972 727 +-407 2239 +3112 -11134 +-1081 -5964 +2178 6534 +4560 2525 +2919 1455 +1170 2341 +-2810 -1111 +1420 -1681 +2877 -1976 +1081 372 +-62 408 +544 -3234 +4163 -1360 +-1998 -2140 +-2074 -3459 +-1629 -171 +-5613 -2865 +-448 -3938 +-3203 1261 +1014 3328 +4435 2797 +-3511 2341 +-5885 -700 +2259 2059 +597 -4819 +-21 633 +66 2968 +-2406 -4901 +554 -1895 +-828 0 +1798 834 +7626 3319 +3573 3894 +1372 1529 +3355 -57 +3340 1867 +-801 -623 +-4681 0 +-801 623 +3340 -1867 +3355 57 +1372 -1529 +3573 -3894 +7626 -3319 +1798 -834 +-828 0 +554 1895 +-2406 4901 +66 -2968 +-21 -633 +597 4819 +2259 -2059 +-5885 700 +-3511 -2341 +2073 -2281 +-1945 6947 +-1600 -34 +-3975 -633 +-3223 3263 +1181 -1572 +-2095 -2697 +828 0 +4068 3223 +1590 -429 +1376 -1245 +-2057 -1529 +-4143 -8379 +-2284 -2223 +289 6292 +2341 0 +289 -6292 +-2284 2223 +-4143 8379 +-2057 1529 +1376 1245 +1590 429 +4068 -3223 +828 0 +-2095 2697 +1181 1572 +-3223 -3263 +-3975 633 +-1600 34 +-1945 -6947 +2073 2281 +-3511 2341 +-5885 -700 +2259 2059 +597 -4819 +-21 633 +66 2968 +-2406 -4901 +554 -1895 +-828 0 +1798 834 +7626 3319 +3573 3894 +1372 1529 +3355 -57 +3340 1867 +-801 -623 +0 5851 +2542 -981 +-277 3854 +-3266 -1315 +-1872 -722 +-4570 5613 +-5847 -1777 +-301 -3152 +1170 142 +1871 2315 +6463 2581 +3395 -1263 +-2631 89 +-323 3852 +2451 2128 +1001 -1632 +0 0 +1001 1632 +2451 -2128 +-323 -3852 +-2631 -89 +3395 1263 +6463 -2581 +1871 -2315 +1170 -142 +-301 3152 +-5847 1777 +-4570 -5613 +-1872 722 +-3266 1315 +-277 -3854 +2542 981 +0 -5851 +-2047 -4092 +-4671 3200 +-5150 -2134 +1186 -1618 +4323 -2479 +879 2358 +-830 2707 +1170 -4823 +5103 2936 +5125 -628 +-342 -5386 +-1364 2251 +-687 -1102 +-4124 -3065 +-720 -487 +4681 0 +-720 487 +-4124 3065 +-687 1102 +-1364 -2251 +-342 5386 +5125 628 +5103 -2936 +1170 4823 +-830 -2707 +879 -2358 +4323 2479 +1186 1618 +-5150 2134 +-4671 -3200 +-2047 4092 +0 5851 +2542 -981 +-277 3854 +-3266 -1315 +-1872 -722 +-4570 5613 +-5847 -1777 +-301 -3152 +1170 142 +1871 2315 +6463 2581 +3395 -1263 +-2631 89 +-323 3852 +2451 2128 +1001 -1632 +-4681 0 +1123 -3892 +3763 3282 +-3319 -995 +-380 1791 +5581 5357 +-2321 -816 +-5348 3663 +1312 0 +3051 -5125 +1688 1461 +94 41 +1909 -4325 +7472 -3290 +5393 -5121 +-1292 -7154 +-3511 0 +-1292 7154 +5393 5121 +7472 3290 +1909 4325 +94 -41 +1688 -1461 +3051 5125 +1312 0 +-5348 -3663 +-2321 816 +5581 -5357 +-380 -1791 +-3319 995 +3763 -3282 +1123 3892 +-4681 0 +-3265 -1198 +-1930 2369 +-1735 -4898 +-1275 -1791 +828 1243 +2110 1785 +-2251 2342 +-3653 0 +-554 1703 +-107 -2431 +1131 715 +-254 4325 +-3433 -1826 +765 -530 +1915 -742 +-1170 0 +1915 742 +765 530 +-3433 1826 +-254 -4325 +1131 -715 +-107 2431 +-554 -1703 +-3653 0 +-2251 -2342 +2110 -1785 +828 -1243 +-1275 1791 +-1735 4898 +-1930 -2369 +-3265 1198 +-4681 0 +1123 -3892 +3763 3282 +-3319 -995 +-380 1791 +5581 5357 +-2321 -816 +-5348 3663 +1312 0 +3051 -5125 +1688 1461 +94 41 +1909 -4325 +7472 -3290 +5393 -5121 +-1292 -7154 +3511 5851 +31 -2675 +-2162 3749 +1341 6358 +-1022 -380 +-4764 -1740 +2791 768 +1957 -902 +-2825 -5308 +684 13 +-1194 1624 +479 -2932 +126 -1909 +-9827 -2020 +-4331 -690 +2124 2061 +-1170 0 +2124 -2061 +-4331 690 +-9827 2020 +126 1909 +479 2932 +-1194 -1624 +684 -13 +-2825 5308 +1957 902 +2791 -768 +-4764 1740 +-1022 380 +1341 -6358 +-2162 -3749 +31 2675 +3511 -5851 +6659 -2582 +4832 4782 +-1728 -853 +-2288 -1275 +219 -1595 +656 2913 +-37 5679 +485 2967 +2225 2616 +1057 -4563 +1771 -1838 +3184 254 +-731 -3271 +-1649 2601 +-403 -103 +-1170 0 +-403 103 +-1649 -2601 +-731 3271 +3184 -254 +1771 1838 +1057 4563 +2225 -2616 +485 -2967 +-37 -5679 +656 -2913 +219 1595 +-2288 1275 +-1728 853 +4832 -4782 +6659 2582 +3511 5851 +31 -2675 +-2162 3749 +1341 6358 +-1022 -380 +-4764 -1740 +2791 768 +1957 -902 +-2825 -5308 +684 13 +-1194 1624 +479 -2932 +126 -1909 +-9827 -2020 +-4331 -690 +2124 2061 +0 0 +3310 1947 +-362 4346 +-4333 -3782 +-985 3116 +768 3997 +-1495 184 +817 -1768 +3168 -6135 +-149 1103 +-1080 1195 +-104 188 +-3781 4012 +-3564 156 +3640 288 +5553 325 +3511 0 +5553 -325 +3640 -288 +-3564 -156 +-3781 -4012 +-104 -188 +-1080 -1195 +-149 -1103 +3168 6135 +817 1768 +-1495 -184 +768 -3997 +-985 -3116 +-4333 3782 +-362 -4346 +3310 -1947 +0 0 +-2598 -5916 +-2308 -1886 +-2731 -4339 +-1356 1849 +385 4623 +-1952 -9789 +-2810 -5033 +1513 3795 +3661 -417 +1216 502 +-34 2974 +1440 953 +2993 2247 +2340 4111 +-1164 451 +-3511 0 +-1164 -451 +2340 -4111 +2993 -2247 +1440 -953 +-34 -2974 +1216 -502 +3661 417 +1513 -3795 +-2810 5033 +-1952 9789 +385 -4623 +-1356 -1849 +-2731 4339 +-2308 1886 +-2598 5916 +0 0 +3310 1947 +-362 4346 +-4333 -3782 +-985 3116 +768 3997 +-1495 184 +817 -1768 +3168 -6135 +-149 1103 +-1080 1195 +-104 188 +-3781 4012 +-3564 156 +3640 288 +5553 325 +-7022 -5851 +-542 -1887 +2863 564 +2959 -2494 +-1872 -5943 +-2725 -687 +1844 1093 +-2628 326 +1170 5509 +2279 100 +79 -2503 +3356 5227 +-2631 460 +-954 1629 +138 7680 +-1659 -1008 +2341 0 +-1659 1008 +138 -7680 +-954 -1629 +-2631 -460 +3356 -5227 +79 2503 +2279 -100 +1170 -5509 +-2628 -326 +1844 -1093 +-2725 687 +-1872 5943 +2959 2494 +2863 -564 +-542 1887 +-7022 5851 +86 3743 +3159 -2575 +-1658 -314 +1186 3602 +779 1771 +2407 142 +4924 617 +1170 3854 +-4575 2635 +-6269 -2314 +-1409 182 +-1364 1880 +-347 -112 +5142 2981 +2115 4656 +-2341 0 +2115 -4656 +5142 -2981 +-347 112 +-1364 -1880 +-1409 -182 +-6269 2314 +-4575 -2635 +1170 -3854 +4924 -617 +2407 -142 +779 -1771 +1186 -3602 +-1658 314 +3159 2575 +86 -3743 +-7022 -5851 +-542 -1887 +2863 564 +2959 -2494 +-1872 -5943 +-2725 -687 +1844 1093 +-2628 326 +1170 5509 +2279 100 +79 -2503 +3356 5227 +-2631 460 +-954 1629 +138 7680 +-1659 -1008 +-3511 1170 +2269 1845 +2794 -4795 +399 -6588 +3088 864 +-2707 2218 +995 -833 +1557 588 +-2825 1312 +2626 -1416 +2848 -1899 +5183 2206 +3207 4734 +-5899 2462 +-745 3120 +1471 5472 +-3511 0 +1471 -5472 +-745 -3120 +-5899 -2462 +3207 -4734 +5183 -2206 +2848 1899 +2626 1416 +-2825 -1312 +1557 -588 +995 833 +-2707 -2218 +3088 -864 +399 6588 +2794 4795 +2269 -1845 +-3511 -1170 +3663 -1195 +1531 -96 +-1294 5917 +2563 1760 +1979 -5605 +797 -644 +-2187 1410 +485 -3653 +-2268 -2787 +-4640 -949 +885 103 +-4176 2572 +-5166 -179 +-3580 -20 +-509 4961 +5851 0 +-509 -4961 +-3580 20 +-5166 179 +-4176 -2572 +885 -103 +-4640 949 +-2268 2787 +485 3653 +-2187 -1410 +797 644 +1979 5605 +2563 -1760 +-1294 -5917 +1531 96 +3663 1195 +-3511 1170 +2269 1845 +2794 -4795 +399 -6588 +3088 864 +-2707 2218 +995 -833 +1557 588 +-2825 1312 +2626 -1416 +2848 -1899 +5183 2206 +3207 4734 +-5899 2462 +-745 3120 +1471 5472 +0 -1170 +5648 -983 +5461 61 +1371 50 +2894 4921 +616 -582 +-3487 -4064 +4394 562 +5166 1513 +687 37 +-407 -698 +399 525 +2505 -586 +-3720 -264 +-896 -486 +1398 -5590 +-4681 0 +1398 5590 +-896 486 +-3720 264 +2505 586 +399 -525 +-407 698 +687 -37 +5166 -1513 +4394 -562 +-3487 4064 +616 582 +2894 -4921 +1371 -50 +5461 -61 +5648 983 +0 1170 +-3289 -5959 +-538 1594 +17 3253 +1102 -5891 +-787 1982 +-5593 5719 +-1273 1893 +1856 3168 +2812 627 +-1815 -957 +-6849 -3449 +-1820 -5065 +-4289 -758 +-2089 -1169 +2863 -3144 +0 0 +2863 3144 +-2089 1169 +-4289 758 +-1820 5065 +-6849 3449 +-1815 957 +2812 -627 +1856 -3168 +-1273 -1893 +-5593 -5719 +-787 -1982 +1102 5891 +17 -3253 +-538 -1594 +-3289 5959 +0 -1170 +5648 -983 +5461 61 +1371 50 +2894 4921 +616 -582 +-3487 -4064 +4394 562 +5166 1513 +687 37 +-407 -698 +399 525 +2505 -586 +-3720 -264 +-896 -486 +1398 -5590 +1170 0 +3166 1003 +1946 -1584 +2081 -5607 +-917 2288 +-2285 2125 +-887 -386 +5259 7476 +1513 1655 +-4611 -4195 +4869 3197 +6586 3061 +-791 3184 +-1239 4332 +775 -1382 +734 -1989 +0 0 +734 1989 +775 1382 +-1239 -4332 +-791 -3184 +6586 -3061 +4869 -3197 +-4611 4195 +1513 -1655 +5259 -7476 +-887 386 +-2285 -2125 +-917 -2288 +2081 5607 +1946 1584 +3166 -1003 +1170 0 +-3286 -2163 +-914 2658 +-4326 5345 +-3079 1022 +-1909 -360 +-3945 1104 +5096 1840 +3168 -1655 +1891 -3130 +3273 2202 +-3910 3657 +105 126 +-1617 -2382 +-5117 -2226 +-1629 170 +-2341 0 +-1629 -170 +-5117 2226 +-1617 2382 +105 -126 +-3910 -3657 +3273 -2202 +1891 3130 +3168 1655 +5096 -1840 +-3945 -1104 +-1909 360 +-3079 -1022 +-4326 -5345 +-914 -2658 +-3286 2163 +1170 0 +3166 1003 +1946 -1584 +2081 -5607 +-917 2288 +-2285 2125 +-887 -386 +5259 7476 +1513 1655 +-4611 -4195 +4869 3197 +6586 3061 +-791 3184 +-1239 4332 +775 -1382 +734 -1989 +0 7022 +-2337 2961 +4649 -1673 +4526 183 +-3758 850 +-270 407 +-92 1712 +1056 -213 +828 2825 +-2969 3896 +-1982 -1637 +-2297 -1271 +2229 -2757 +4397 1042 +342 6330 +280 -1875 +1170 0 +280 1875 +342 -6330 +4397 -1042 +2229 2757 +-2297 1271 +-1982 1637 +-2969 -3896 +828 -2825 +1056 213 +-92 -1712 +-270 -407 +-3758 -850 +4526 -183 +4649 1673 +-2337 -2961 +0 -7022 +-2459 -754 +452 5239 +1196 -1473 +-2862 -3475 +-2725 -262 +-7543 -2996 +-3794 492 +-828 -485 +-3447 -3261 +2997 3663 +5796 -1474 +4391 -4549 +2617 4141 +1177 546 +429 -4924 +-1170 0 +429 4924 +1177 -546 +2617 -4141 +4391 4549 +5796 1474 +2997 -3663 +-3447 3261 +-828 485 +-3794 -492 +-7543 2996 +-2725 262 +-2862 3475 +1196 1473 +452 -5239 +-2459 754 +0 7022 +-2337 2961 +4649 -1673 +4526 183 +-3758 850 +-270 407 +-92 1712 +1056 -213 +828 2825 +-2969 3896 +-1982 -1637 +-2297 -1271 +2229 -2757 +4397 1042 +342 6330 +280 -1875 +4681 -1170 +1483 196 +-1419 -3206 +1359 -2639 +1940 2930 +1240 -1089 +939 -241 +-2650 2772 +-5651 -1312 +1349 -1802 +1958 3648 +1694 6723 +5621 1401 +-4643 2450 +-2778 6578 +425 -377 +-7022 0 +425 377 +-2778 -6578 +-4643 -2450 +5621 -1401 +1694 -6723 +1958 -3648 +1349 1802 +-5651 1312 +-2650 -2772 +939 241 +1240 1089 +1940 -2930 +1359 2639 +-1419 3206 +1483 -196 +4681 1170 +2063 -4871 +2822 -6398 +-935 -190 +-2909 2035 +111 -359 +-551 -4158 +1919 1190 +969 3653 +899 -4761 +963 -6107 +-2030 -683 +30 3564 +-3417 -534 +-1935 -4881 +1131 1160 +-2341 0 +1131 -1160 +-1935 4881 +-3417 534 +30 -3564 +-2030 683 +963 6107 +899 4761 +969 -3653 +1919 -1190 +-551 4158 +111 359 +-2909 -2035 +-935 190 +2822 6398 +2063 4871 +4681 -1170 +1483 196 +-1419 -3206 +1359 -2639 +1940 2930 +1240 -1089 +939 -241 +-2650 2772 +-5651 -1312 +1349 -1802 +1958 3648 +1694 6723 +5621 1401 +-4643 2450 +-2778 6578 +425 -377 +-2341 -3511 +750 -519 +5303 2052 +-810 3932 +-5621 2720 +-6678 2623 +-5838 -798 +312 -426 +686 3653 +-1430 -1305 +538 1080 +2497 3723 +2909 -432 +-949 -1842 +-2862 -3212 +-1917 -151 +-2341 0 +-1917 151 +-2862 3212 +-949 1842 +2909 432 +2497 -3723 +538 -1080 +-1430 1305 +686 -3653 +312 426 +-5838 798 +-6678 -2623 +-5621 -2720 +-810 -3932 +5303 -2052 +750 519 +-2341 3511 +1738 -1622 +2469 -3141 +-2449 6261 +-30 3616 +8657 1138 +1650 2411 +-2217 -1106 +3996 -1312 +-1767 935 +340 5214 +3159 1913 +-1940 -2594 +3194 -2072 +-1599 -2558 +-2089 1914 +7022 0 +-2089 -1914 +-1599 2558 +3194 2072 +-1940 2594 +3159 -1913 +340 -5214 +-1767 -935 +3996 1312 +-2217 1106 +1650 -2411 +8657 -1138 +-30 -3616 +-2449 -6261 +2469 3141 +1738 1622 +-2341 -3511 +750 -519 +5303 2052 +-810 3932 +-5621 2720 +-6678 2623 +-5838 -798 +312 -426 +686 3653 +-1430 -1305 +538 1080 +2497 3723 +2909 -432 +-949 -1842 +-2862 -3212 +-1917 -151 +-5851 5851 +-3091 546 +-2687 -550 +-7255 298 +880 -2766 +12 3670 +-5784 5514 +4208 -3827 +1655 -828 +642 6303 +2328 2026 +-1967 -1120 +4697 -163 +1485 -583 +334 -1228 +2064 -1506 +-3511 0 +2064 1506 +334 1228 +1485 583 +4697 163 +-1967 1120 +2328 -2026 +642 -6303 +1655 828 +4208 3827 +-5784 -5514 +12 -3670 +880 2766 +-7255 -298 +-2687 550 +-3091 -546 +-5851 -5851 +-2908 278 +2316 3997 +4737 -3582 +2146 -6195 +173 -3186 +564 466 +1640 2571 +-1655 828 +-374 1447 +2892 644 +-752 -4868 +1639 -4117 +3566 189 +37 1364 +-2180 1973 +-3511 0 +-2180 -1973 +37 -1364 +3566 -189 +1639 4117 +-752 4868 +2892 -644 +-374 -1447 +-1655 -828 +1640 -2571 +564 -466 +173 3186 +2146 6195 +4737 3582 +2316 -3997 +-2908 -278 +-5851 5851 +-3091 546 +-2687 -550 +-7255 298 +880 -2766 +12 3670 +-5784 5514 +4208 -3827 +1655 -828 +642 6303 +2328 2026 +-1967 -1120 +4697 -163 +1485 -583 +334 -1228 +2064 -1506 +-1170 0 +514 -1455 +1153 -535 +2720 -4069 +1566 1904 +1527 1037 +1552 -1285 +1626 5025 +-343 3795 +-6765 3732 +-587 598 +4232 -2009 +-3273 2438 +-239 1382 +1510 2299 +-2673 4421 +-2341 0 +-2673 -4421 +1510 -2299 +-239 -1382 +-3273 -2438 +4232 2009 +-587 -598 +-6765 -3732 +-343 -3795 +1626 -5025 +1552 1285 +1527 -1037 +1566 -1904 +2720 4069 +1153 535 +514 1455 +-1170 0 +-1077 -159 +2454 4352 +2283 -2786 +-596 -7270 +-1823 -4937 +3847 2044 +4722 1936 +-1998 -6135 +417 -1600 +-130 -3149 +-3936 -4186 +-2377 6238 +-4765 2708 +-436 -1791 +3236 2376 +0 0 +3236 -2376 +-436 1791 +-4765 -2708 +-2377 -6238 +-3936 4186 +-130 3149 +417 1600 +-1998 6135 +4722 -1936 +3847 -2044 +-1823 4937 +-596 7270 +2283 2786 +2454 -4352 +-1077 159 +-1170 0 +514 -1455 +1153 -535 +2720 -4069 +1566 1904 +1527 1037 +1552 -1285 +1626 5025 +-343 3795 +-6765 3732 +-587 598 +4232 -2009 +-3273 2438 +-239 1382 +1510 2299 +-2673 4421 +-3511 -1170 +158 -6490 +-1214 -1875 +-3922 1609 +-2162 -2804 +169 409 +997 2238 +-4828 -1919 +-485 -2967 +5064 -2470 +-186 -326 +-786 1563 +896 887 +1780 -2722 +-1437 -1968 +1256 4152 +8192 0 +1256 -4152 +-1437 1968 +1780 2722 +896 -887 +-786 -1563 +-186 326 +5064 2470 +-485 2967 +-4828 1919 +997 -2238 +169 -409 +-2162 2804 +-3922 -1609 +-1214 1875 +158 6490 +-3511 1170 +-1111 -2122 +-1708 -644 +-138 2066 +2162 1149 +-1730 1337 +-2401 1548 +-2604 -1365 +2825 5308 +6693 3154 +4899 -5251 +4137 1282 +-896 -2542 +489 -1867 +1048 8811 +-4628 567 +-5851 0 +-4628 -567 +1048 -8811 +489 1867 +-896 2542 +4137 -1282 +4899 5251 +6693 -3154 +2825 -5308 +-2604 1365 +-2401 -1548 +-1730 -1337 +2162 -1149 +-138 -2066 +-1708 644 +-1111 2122 +-3511 -1170 +158 -6490 +-1214 -1875 +-3922 1609 +-2162 -2804 +169 409 +997 2238 +-4828 -1919 +-485 -2967 +5064 -2470 +-186 -326 +-786 1563 +896 887 +1780 -2722 +-1437 -1968 +1256 4152 +-5851 1170 +-1664 2078 +3082 6136 +-3473 6164 +-1380 -3586 +-803 -6416 +-728 1959 +-84 3450 +1170 -1312 +2867 -626 +-1219 832 +522 -162 +663 654 +-5387 1167 +-3539 377 +-549 431 +-1170 0 +-549 -431 +-3539 -377 +-5387 -1167 +663 -654 +522 162 +-1219 -832 +2867 626 +1170 1312 +-84 -3450 +-728 -1959 +-803 6416 +-1380 3586 +-3473 -6164 +3082 -6136 +-1664 -2078 +-5851 -1170 +3344 -145 +1243 -1245 +2438 -1763 +411 2901 +-3298 3471 +2519 -482 +-3487 1694 +1170 3653 +6547 74 +-573 2016 +6386 7000 +4988 3341 +-3004 -2967 +-786 -3477 +-354 -1451 +-1170 0 +-354 1451 +-786 3477 +-3004 2967 +4988 -3341 +6386 -7000 +-573 -2016 +6547 -74 +1170 -3653 +-3487 -1694 +2519 482 +-3298 -3471 +411 -2901 +2438 1763 +1243 1245 +3344 145 +-5851 1170 +-1664 2078 +3082 6136 +-3473 6164 +-1380 -3586 +-803 -6416 +-728 1959 +-84 3450 +1170 -1312 +2867 -626 +-1219 832 +522 -162 +663 654 +-5387 1167 +-3539 377 +-549 431 +-4681 -2341 +633 -5278 +-3394 -1448 +-5357 3142 +4363 401 +-98 -2503 +1880 -3 +4876 771 +1312 0 +3543 2858 +-2610 5604 +-3818 5306 +3460 3281 +2631 379 +1878 -66 +1113 1407 +-1170 0 +1113 -1407 +1878 66 +2631 -379 +3460 -3281 +-3818 -5306 +-2610 -5604 +3543 -2858 +1312 0 +4876 -771 +1880 3 +-98 2503 +4363 -401 +-5357 -3142 +-3394 1448 +633 5278 +-4681 2341 +2323 -2384 +2498 -2443 +1406 3427 +2943 5250 +-1101 -2014 +283 -4245 +-1274 927 +-3653 0 +-3834 -740 +447 4760 +1706 2493 +-6085 -2311 +-1991 2524 +-982 1424 +-758 -5906 +5851 0 +-758 5906 +-982 -1424 +-1991 -2524 +-6085 2311 +1706 -2493 +447 -4760 +-3834 740 +-3653 0 +-1274 -927 +283 4245 +-1101 2014 +2943 -5250 +1406 -3427 +2498 2443 +2323 2384 +-4681 -2341 +633 -5278 +-3394 -1448 +-5357 3142 +4363 401 +-98 -2503 +1880 -3 +4876 771 +1312 0 +3543 2858 +-2610 5604 +-3818 5306 +3460 3281 +2631 379 +1878 -66 +1113 1407 +-3511 -2341 +-2898 -4208 +-1231 3710 +-1656 2218 +4317 -3549 +4914 -114 +1379 4558 +-21 739 +1312 -1170 +6374 456 +-1944 -4047 +-5515 2211 +4055 4561 +152 -4553 +-1097 -493 +-1297 3144 +-7022 0 +-1297 -3144 +-1097 493 +152 4553 +4055 -4561 +-5515 -2211 +-1944 4047 +6374 -456 +1312 1170 +-21 -739 +1379 -4558 +4914 114 +4317 3549 +-1656 -2218 +-1231 -3710 +-2898 4208 +-3511 2341 +-952 2324 +-424 4821 +2751 1423 +364 3833 +-1039 -280 +276 -877 +-6300 3897 +-3653 -1170 +4272 -501 +3599 1108 +3432 2075 +626 5085 +-3038 3513 +-558 2404 +822 -347 +0 0 +822 347 +-558 -2404 +-3038 -3513 +626 -5085 +3432 -2075 +3599 -1108 +4272 501 +-3653 1170 +-6300 -3897 +276 877 +-1039 280 +364 -3833 +2751 -1423 +-424 -4821 +-952 -2324 +-3511 -2341 +-2898 -4208 +-1231 3710 +-1656 2218 +4317 -3549 +4914 -114 +1379 4558 +-21 739 +1312 -1170 +6374 456 +-1944 -4047 +-5515 2211 +4055 4561 +152 -4553 +-1097 -493 +-1297 3144 +0 1170 +1471 3030 +4742 2841 +6919 -3299 +1791 1634 +-234 6661 +2881 -3270 +-1333 -2846 +-2341 -343 +-4443 -5417 +-4720 -899 +2020 1343 +4325 283 +6083 498 +-1495 -1947 +-3030 132 +4681 0 +-3030 -132 +-1495 1947 +6083 -498 +4325 -283 +2020 -1343 +-4720 899 +-4443 5417 +-2341 343 +-1333 2846 +2881 3270 +-234 -6661 +1791 -1634 +6919 3299 +4742 -2841 +1471 -3030 +0 -1170 +728 -1119 +850 977 +939 1445 +-1791 -2320 +-509 -169 +1968 4029 +-4088 -1879 +-2341 -1998 +710 1048 +-129 -1652 +-773 2260 +-4325 3712 +-1204 4120 +-4096 2455 +-3255 -7227 +4681 0 +-3255 7227 +-4096 -2455 +-1204 -4120 +-4325 -3712 +-773 -2260 +-129 1652 +710 -1048 +-2341 1998 +-4088 1879 +1968 -4029 +-509 169 +-1791 2320 +939 -1445 +850 -977 +728 1119 +0 1170 +1471 3030 +4742 2841 +6919 -3299 +1791 1634 +-234 6661 +2881 -3270 +-1333 -2846 +-2341 -343 +-4443 -5417 +-4720 -899 +2020 1343 +4325 283 +6083 498 +-1495 -1947 +-3030 132 +-1170 3511 +5012 -863 +3236 -4322 +1996 -2664 +206 -1081 +-3542 5510 +2627 5492 +-1702 -2904 +-3026 -828 +2662 3158 +480 -1680 +-2281 -3570 +-3982 -448 +-2886 1779 +-7832 49 +-3067 -3008 +8192 0 +-3067 3008 +-7832 -49 +-2886 -1779 +-3982 448 +-2281 3570 +480 1680 +2662 -3158 +-3026 828 +-1702 2904 +2627 -5492 +-3542 -5510 +206 1081 +1996 2664 +3236 4322 +5012 863 +-1170 -3511 +4993 1548 +3388 1982 +-1722 -1331 +3789 1081 +-2299 -1515 +-2610 -3152 +-949 2219 +-6336 828 +-1025 -2473 +2813 -660 +3021 -426 +4668 448 +1094 2217 +-2101 2291 +697 2323 +3511 0 +697 -2323 +-2101 -2291 +1094 -2217 +4668 -448 +3021 426 +2813 660 +-1025 2473 +-6336 -828 +-949 -2219 +-2610 3152 +-2299 1515 +3789 -1081 +-1722 1331 +3388 -1982 +4993 -1548 +-1170 3511 +5012 -863 +3236 -4322 +1996 -2664 +206 -1081 +-3542 5510 +2627 5492 +-1702 -2904 +-3026 -828 +2662 3158 +480 -1680 +-2281 -3570 +-3982 -448 +-2886 1779 +-7832 49 +-3067 -3008 +-1170 0 +-405 2161 +-1840 -1445 +-3342 -1593 +2484 3252 +4047 375 +389 -3893 +4242 2124 +343 485 +-4731 -2609 +-2900 3348 +206 1010 +2474 -1968 +-4009 -3167 +-944 -1009 +1468 8371 +-4681 0 +1468 -8371 +-944 1009 +-4009 3167 +2474 1968 +206 -1010 +-2900 -3348 +-4731 2609 +343 -485 +4242 -2124 +389 3893 +4047 -375 +2484 -3252 +-3342 1593 +-1840 1445 +-405 -2161 +-1170 0 +-1544 2036 +-2873 -717 +-8517 498 +-5794 -1597 +1611 -2411 +2533 4789 +3529 604 +1998 -2825 +4322 656 +3288 -2453 +-2043 1636 +836 3623 +-1193 -2610 +2347 -1154 +6358 507 +2341 0 +6358 -507 +2347 1154 +-1193 2610 +836 -3623 +-2043 -1636 +3288 2453 +4322 -656 +1998 2825 +3529 -604 +2533 -4789 +1611 2411 +-5794 1597 +-8517 -498 +-2873 717 +-1544 -2036 +-1170 0 +-405 2161 +-1840 -1445 +-3342 -1593 +2484 3252 +4047 375 +389 -3893 +4242 2124 +343 485 +-4731 -2609 +-2900 3348 +206 1010 +2474 -1968 +-4009 -3167 +-944 -1009 +1468 8371 +1170 2341 +-4257 548 +-2477 -995 +3577 1486 +186 -880 +-230 -1786 +1774 3232 +-3869 -1372 +-4338 -1170 +-2486 4139 +-1819 -4118 +-2095 787 +-2610 4697 +3896 -5396 +4957 -3206 +1629 -898 +2341 0 +1629 898 +4957 3206 +3896 5396 +-2610 -4697 +-2095 -787 +-1819 4118 +-2486 -4139 +-4338 1170 +-3869 1372 +1774 -3232 +-230 1786 +186 880 +3577 -1486 +-2477 995 +-4257 -548 +1170 -2341 +6521 2292 +3415 -1990 +-4622 -7212 +-186 -2146 +3392 -3724 +178 -1010 +-298 2157 +-2683 -1170 +-981 -44 +1238 -4962 +452 -2987 +2610 1639 +2251 -3640 +2097 -1717 +-2878 427 +-9362 0 +-2878 -427 +2097 1717 +2251 3640 +2610 -1639 +452 2987 +1238 4962 +-981 44 +-2683 1170 +-298 -2157 +178 1010 +3392 3724 +-186 2146 +-4622 7212 +3415 1990 +6521 -2292 +1170 2341 +-4257 548 +-2477 -995 +3577 1486 +186 -880 +-230 -1786 +1774 3232 +-3869 -1372 +-4338 -1170 +-2486 4139 +-1819 -4118 +-2095 787 +-2610 4697 +3896 -5396 +4957 -3206 +1629 -898 +4681 -1170 +-133 4253 +1306 -3016 +3043 -2841 +-2094 3244 +-7229 4979 +-3190 -167 +2222 -3419 +485 2483 +2126 4389 +2253 7062 +1642 6880 +3886 1344 +-810 51 +-1579 864 +-1269 3488 +-4681 0 +-1269 -3488 +-1579 -864 +-810 -51 +3886 -1344 +1642 -6880 +2253 -7062 +2126 -4389 +485 -2483 +2222 3419 +-3190 167 +-7229 -4979 +-2094 -3244 +3043 2841 +1306 3016 +-133 -4253 +4681 1170 +-574 446 +-5124 -2827 +2259 3737 +439 -3244 +369 -1216 +3949 2973 +-2277 -6697 +-2825 -2483 +-279 2912 +298 2364 +894 1355 +-2231 -1344 +-167 1439 +2086 -87 +184 -3974 +0 0 +184 3974 +2086 87 +-167 -1439 +-2231 1344 +894 -1355 +298 -2364 +-279 -2912 +-2825 2483 +-2277 6697 +3949 -2973 +369 1216 +439 3244 +2259 -3737 +-5124 2827 +-574 -446 +4681 -1170 +-133 4253 +1306 -3016 +3043 -2841 +-2094 3244 +-7229 4979 +-3190 -167 +2222 -3419 +485 2483 +2126 4389 +2253 7062 +1642 6880 +3886 1344 +-810 51 +-1579 864 +-1269 3488 +2341 -1170 +-3649 -1295 +695 303 +-2225 967 +-6078 -2514 +-2337 -1622 +-5996 2997 +3222 3921 +6821 3168 +-551 88 +10 -3344 +-1013 1550 +-1483 4414 +-4279 -3909 +-2249 -4985 +3050 2631 +2341 0 +3050 -2631 +-2249 4985 +-4279 3909 +-1483 -4414 +-1013 -1550 +10 3344 +-551 -88 +6821 -3168 +3222 -3921 +-5996 -2997 +-2337 1622 +-6078 2514 +-2225 -967 +695 -303 +-3649 1295 +2341 1170 +-3650 3186 +-2140 1604 +6627 -3046 +-1228 173 +473 4628 +1493 701 +-3975 -1536 +201 1513 +1304 506 +-188 -2888 +2877 -2869 +4108 -2073 +-123 -2495 +-987 -3039 +4249 -2531 +7022 0 +4249 2531 +-987 3039 +-123 2495 +4108 2073 +2877 2869 +-188 2888 +1304 -506 +201 -1513 +-3975 1536 +1493 -701 +473 -4628 +-1228 -173 +6627 3046 +-2140 -1604 +-3650 -3186 +2341 -1170 +-3649 -1295 +695 303 +-2225 967 +-6078 -2514 +-2337 -1622 +-5996 2997 +3222 3921 +6821 3168 +-551 88 +10 -3344 +-1013 1550 +-1483 4414 +-4279 -3909 +-2249 -4985 +3050 2631 +0 1170 +-39 3404 +2172 -1452 +2760 430 +2962 432 +163 2098 +1881 -1145 +398 -3598 +-5651 -343 +809 -4373 +1531 1412 +180 4955 +5495 -3616 +-680 -2402 +-231 830 +3474 583 +0 0 +3474 -583 +-231 -830 +-680 2402 +5495 3616 +180 -4955 +1531 -1412 +809 4373 +-5651 343 +398 3598 +1881 1145 +163 -2098 +2962 -432 +2760 -430 +2172 1452 +-39 -3404 +0 -1170 +-1802 6060 +-3827 2897 +2339 1341 +-621 2594 +-4894 3214 +-226 -3358 +-1740 -8765 +969 -1998 +5633 2535 +124 -1233 +-3084 -7131 +-3155 -2720 +-3404 -572 +-1424 -4066 +-114 3423 +0 0 +-114 -3423 +-1424 4066 +-3404 572 +-3155 2720 +-3084 7131 +124 1233 +5633 -2535 +969 1998 +-1740 8765 +-226 3358 +-4894 -3214 +-621 -2594 +2339 -1341 +-3827 -2897 +-1802 -6060 +0 1170 +-39 3404 +2172 -1452 +2760 430 +2962 432 +163 2098 +1881 -1145 +398 -3598 +-5651 -343 +809 -4373 +1531 1412 +180 4955 +5495 -3616 +-680 -2402 +-231 830 +3474 583 +1170 -1170 +-2664 -1419 +2854 -507 +-2272 -2464 +-2078 -2542 +3103 387 +-3582 -3318 +41 -6109 +-2140 -2683 +-6304 -3061 +228 -490 +-225 3535 +1351 -2804 +722 -4233 +43 2757 +3651 2889 +3511 0 +3651 -2889 +43 -2757 +722 4233 +1351 2804 +-225 -3535 +228 490 +-6304 3061 +-2140 2683 +41 6109 +-3582 3318 +3103 -387 +-2078 2542 +-2272 2464 +2854 507 +-2664 1419 +1170 1170 +4483 -1028 +1859 -1656 +-3839 1101 +-2603 887 +7320 -1078 +660 4214 +-1743 4397 +4480 -4338 +99 -3332 +-616 1386 +-3340 455 +-6032 1149 +-1468 -1810 +-1446 -4920 +2438 -655 +8192 0 +2438 655 +-1446 4920 +-1468 1810 +-6032 -1149 +-3340 -455 +-616 -1386 +99 3332 +4480 4338 +-1743 -4397 +660 -4214 +7320 1078 +-2603 -887 +-3839 -1101 +1859 1656 +4483 1028 +1170 -1170 +-2664 -1419 +2854 -507 +-2272 -2464 +-2078 -2542 +3103 387 +-3582 -3318 +41 -6109 +-2140 -2683 +-6304 -3061 +228 -490 +-225 3535 +1351 -2804 +722 -4233 +43 2757 +3651 2889 +1170 0 +1756 -1300 +1530 -2463 +-1890 -572 +2930 4407 +-1036 2273 +-455 -2819 +3966 1232 +828 2341 +1670 -1597 +2626 2970 +1119 2380 +-1401 -5673 +-2290 -387 +278 4384 +770 -2102 +0 0 +770 2102 +278 -4384 +-2290 387 +-1401 5673 +1119 -2380 +2626 -2970 +1670 1597 +828 -2341 +3966 -1232 +-455 2819 +-1036 -2273 +2930 -4407 +-1890 572 +1530 2463 +1756 1300 +1170 0 +4694 295 +-4217 2075 +-469 1883 +2035 2615 +-2463 3225 +6942 -1894 +4042 -3521 +-828 2341 +-3058 2198 +-9113 -4373 +-4240 -5887 +-3564 -1348 +-1971 2054 +2409 -1463 +-599 -5376 +-2341 0 +-599 5376 +2409 1463 +-1971 -2054 +-3564 1348 +-4240 5887 +-9113 4373 +-3058 -2198 +-828 -2341 +4042 3521 +6942 1894 +-2463 -3225 +2035 -2615 +-469 -1883 +-4217 -2075 +4694 -295 +1170 0 +1756 -1300 +1530 -2463 +-1890 -572 +2930 4407 +-1036 2273 +-455 -2819 +3966 1232 +828 2341 +1670 -1597 +2626 2970 +1119 2380 +-1401 -5673 +-2290 -387 +278 4384 +770 -2102 +-1170 -2341 +484 -1933 +-1309 586 +2117 3788 +5436 5056 +1374 -3217 +4854 -4385 +3392 196 +-1998 485 +-2895 -733 +-1410 417 +2850 4030 +-299 -1609 +-1813 -5283 +219 1003 +-1621 776 +-2341 0 +-1621 -776 +219 -1003 +-1813 5283 +-299 1609 +2850 -4030 +-1410 -417 +-2895 733 +-1998 -485 +3392 -196 +4854 4385 +1374 3217 +5436 -5056 +2117 -3788 +-1309 -586 +484 1933 +-1170 2341 +-3644 -5613 +-6851 -3854 +1091 1293 +215 -1060 +-6699 -834 +4048 -3040 +1671 -4812 +-343 -2825 +7258 -929 +-871 150 +-3369 -1881 +-670 924 +-2171 581 +1321 -5642 +1975 -2627 +0 0 +1975 2627 +1321 5642 +-2171 -581 +-670 -924 +-3369 1881 +-871 -150 +7258 929 +-343 2825 +1671 4812 +4048 3040 +-6699 834 +215 1060 +1091 -1293 +-6851 3854 +-3644 5613 +-1170 -2341 +484 -1933 +-1309 586 +2117 3788 +5436 5056 +1374 -3217 +4854 -4385 +3392 196 +-1998 485 +-2895 -733 +-1410 417 +2850 4030 +-299 -1609 +-1813 -5283 +219 1003 +-1621 776 +0 1170 +-1846 1902 +2807 292 +2836 -1208 +-976 722 +-5999 -1194 +-726 -5532 +363 -4113 +-4480 -5509 +-1205 -4862 +2174 3652 +2175 4270 +-469 -89 +-1088 -414 +-326 -2348 +-2993 -3414 +-4681 0 +-2993 3414 +-326 2348 +-1088 414 +-469 89 +2175 -4270 +2174 -3652 +-1205 4862 +-4480 5509 +363 4113 +-726 5532 +-5999 1194 +-976 -722 +2836 1208 +2807 -292 +-1846 -1902 +0 -1170 +5282 -959 +-2628 -4901 +-2449 -4201 +291 1618 +-538 -1614 +2171 -2323 +-668 465 +2140 -3854 +1510 3006 +1063 7786 +4362 -2754 +-3527 -2251 +701 -671 +4829 -1693 +-443 6149 +0 0 +-443 -6149 +4829 1693 +701 671 +-3527 2251 +4362 2754 +1063 -7786 +1510 -3006 +2140 3854 +-668 -465 +2171 2323 +-538 1614 +291 -1618 +-2449 4201 +-2628 4901 +5282 959 +0 1170 +-1846 1902 +2807 292 +2836 -1208 +-976 722 +-5999 -1194 +-726 -5532 +363 -4113 +-4480 -5509 +-1205 -4862 +2174 3652 +2175 4270 +-469 -89 +-1088 -414 +-326 -2348 +-2993 -3414 +1170 2341 +-3877 -3280 +-1577 172 +3676 2449 +21 -1707 +322 -1110 +-2218 -5883 +-1665 -4290 +3168 3310 +4507 -947 +594 -4533 +-4525 -577 +-1372 3870 +-1678 1475 +-3673 -988 +-1975 3812 +-2341 0 +-1975 -3812 +-3673 988 +-1678 -1475 +-1372 -3870 +-4525 577 +594 4533 +4507 947 +3168 -3310 +-1665 4290 +-2218 5883 +322 1110 +21 1707 +3676 -2449 +-1577 -172 +-3877 3280 +1170 -2341 +-3118 1415 +521 2361 +3248 -5123 +3975 -2974 +4611 1949 +-6998 -234 +-3691 -4766 +1513 -3310 +-3980 -1994 +631 -1583 +1887 3950 +2057 812 +5699 -1615 +3359 3521 +559 439 +0 0 +559 -439 +3359 -3521 +5699 1615 +2057 -812 +1887 -3950 +631 1583 +-3980 1994 +1513 3310 +-3691 4766 +-6998 234 +4611 -1949 +3975 2974 +3248 5123 +521 -2361 +-3118 -1415 +1170 2341 +-3877 -3280 +-1577 172 +3676 2449 +21 -1707 +322 -1110 +-2218 -5883 +-1665 -4290 +3168 3310 +4507 -947 +594 -4533 +-4525 -577 +-1372 3870 +-1678 1475 +-3673 -988 +-1975 3812 +-4681 2341 +4753 2246 +2979 -396 +3657 -4216 +4818 -4270 +-122 -1414 +1578 815 +-1569 -3797 +-1998 -1655 +-763 173 +-3156 -3441 +1040 1629 +-1305 -307 +-3702 -947 +-69 2541 +826 -5729 +1170 0 +826 5729 +-69 -2541 +-3702 947 +-1305 307 +1040 -1629 +-3156 3441 +-763 -173 +-1998 1655 +-1569 3797 +1578 -815 +-122 1414 +4818 4270 +3657 4216 +2979 396 +4753 -2246 +-4681 -2341 +-4214 -4074 +2790 5109 +1885 6853 +-2194 -6062 +-981 -3063 +4717 2107 +2375 -1253 +-343 1655 +-43 3189 +1543 3052 +63 4838 +-6001 4018 +-1840 1289 +-1020 -1137 +-1364 -927 +3511 0 +-1364 927 +-1020 1137 +-1840 -1289 +-6001 -4018 +63 -4838 +1543 -3052 +-43 -3189 +-343 -1655 +2375 1253 +4717 -2107 +-981 3063 +-2194 6062 +1885 -6853 +2790 -5109 +-4214 4074 +-4681 2341 +4753 2246 +2979 -396 +3657 -4216 +4818 -4270 +-122 -1414 +1578 815 +-1569 -3797 +-1998 -1655 +-763 173 +-3156 -3441 +1040 1629 +-1305 -307 +-3702 -947 +-69 2541 +826 -5729 +0 4681 +256 -2908 +-4457 -3241 +-248 1317 +2953 -6391 +985 -2198 +3423 2862 +475 -2498 +2282 0 +2998 1936 +-2086 230 +1357 888 +2183 1541 +2211 2956 +2173 1212 +280 -1978 +1170 0 +280 1978 +2173 -1212 +2211 -2956 +2183 -1541 +1357 -888 +-2086 -230 +2998 -1936 +2282 0 +475 2498 +3423 -2862 +985 2198 +2953 6391 +-248 -1317 +-4457 3241 +256 2908 +0 -4681 +-3561 -1830 +-2807 -5080 +1796 -3025 +-2267 4050 +-3382 -40 +3316 -385 +-5833 -834 +-9303 0 +-950 4514 +1967 876 +4350 -173 +1812 799 +-449 1032 +-1530 -1541 +-286 -8960 +3511 0 +-286 8960 +-1530 1541 +-449 -1032 +1812 -799 +4350 173 +1967 -876 +-950 -4514 +-9303 0 +-5833 834 +3316 385 +-3382 40 +-2267 -4050 +1796 3025 +-2807 5080 +-3561 1830 +0 4681 +256 -2908 +-4457 -3241 +-248 1317 +2953 -6391 +985 -2198 +3423 2862 +475 -2498 +2282 0 +2998 1936 +-2086 230 +1357 888 +2183 1541 +2211 2956 +2173 1212 +280 -1978 +4681 5851 +-5374 4329 +-5150 -3781 +450 -1265 +275 -3742 +-2691 -833 +-1086 7681 +3505 1627 +0 1028 +-4420 2208 +-57 1269 +844 3909 +-992 306 +958 1887 +-146 5979 +1193 1630 +4681 0 +1193 -1630 +-146 -5979 +958 -1887 +-992 -306 +844 -3909 +-57 -1269 +-4420 -2208 +0 -1028 +3505 -1627 +-1086 -7681 +-2691 833 +275 3742 +450 1265 +-5150 3781 +-5374 -4329 +4681 -5851 +5322 -2256 +-1904 -1271 +717 -2896 +2066 -5904 +-961 3317 +1667 2221 +1632 178 +0 5993 +1074 -2937 +-1896 -730 +-1517 4691 +3333 -590 +2200 69 +-792 -1669 +-2933 -2091 +-4681 0 +-2933 2091 +-792 1669 +2200 -69 +3333 590 +-1517 -4691 +-1896 730 +1074 2937 +0 -5993 +1632 -178 +1667 -2221 +-961 -3317 +2066 5904 +717 2896 +-1904 1271 +5322 2256 +4681 5851 +-5374 4329 +-5150 -3781 +450 -1265 +275 -3742 +-2691 -833 +-1086 7681 +3505 1627 +0 1028 +-4420 2208 +-57 1269 +844 3909 +-992 306 +958 1887 +-146 5979 +1193 1630 +2341 1170 +-1434 -114 +907 -2878 +4477 -4815 +5631 1223 +3168 298 +-1299 -4387 +-401 868 +-1655 627 +3818 -4864 +1914 -4907 +-3951 -1621 +5359 5271 +703 4579 +-2607 -963 +2328 1288 +0 0 +2328 -1288 +-2607 963 +703 -4579 +5359 -5271 +-3951 1621 +1914 4907 +3818 4864 +-1655 -627 +-401 -868 +-1299 4387 +3168 -298 +5631 -1223 +4477 4815 +907 2878 +-1434 114 +2341 -1170 +-951 -3381 +-1845 -1076 +-3158 1388 +-6601 -939 +-1089 -2836 +-653 957 +302 -76 +1655 -7648 +-1927 -3498 +-1333 1478 +-2453 -1420 +292 4375 +2303 4731 +-4446 -2991 +-1734 -697 +4681 0 +-1734 697 +-4446 2991 +2303 -4731 +292 -4375 +-2453 1420 +-1333 -1478 +-1927 3498 +1655 7648 +302 76 +-653 -957 +-1089 2836 +-6601 939 +-3158 -1388 +-1845 1076 +-951 3381 +2341 1170 +-1434 -114 +907 -2878 +4477 -4815 +5631 1223 +3168 298 +-1299 -4387 +-401 868 +-1655 627 +3818 -4864 +1914 -4907 +-3951 -1621 +5359 5271 +703 4579 +-2607 -963 +2328 1288 +-1170 1170 +3175 2168 +-723 4368 +2733 2333 +-1529 1275 +-7553 146 +697 -1959 +943 3066 +4480 5024 +2995 2656 +-4249 1715 +344 -2190 +-633 -254 +299 4110 +787 -1111 +-1346 -4724 +1170 0 +-1346 4724 +787 1111 +299 -4110 +-633 254 +344 2190 +-4249 -1715 +2995 -2656 +4480 -5024 +943 -3066 +697 1959 +-7553 -146 +-1529 -1275 +2733 -2333 +-723 -4368 +3175 -2168 +-1170 -1170 +-178 1896 +2378 432 +-1484 -6431 +1529 380 +800 1083 +-2352 -9986 +-2648 -1844 +-2140 6679 +501 713 +2594 2323 +2085 4853 +633 1909 +2777 2589 +869 3169 +-3442 1574 +-3511 0 +-3442 -1574 +869 -3169 +2777 -2589 +633 -1909 +2085 -4853 +2594 -2323 +501 -713 +-2140 -6679 +-2648 1844 +-2352 9986 +800 -1083 +1529 -380 +-1484 6431 +2378 -432 +-178 -1896 +-1170 1170 +3175 2168 +-723 4368 +2733 2333 +-1529 1275 +-7553 146 +697 -1959 +943 3066 +4480 5024 +2995 2656 +-4249 1715 +344 -2190 +-633 -254 +299 4110 +787 -1111 +-1346 -4724 +0 -2341 +3107 -503 +6110 -2994 +1736 -2239 +-4676 4435 +-1870 504 +-1932 -234 +-6466 4811 +1513 2825 +3597 -3695 +1603 -3875 +2481 3520 +-3518 1545 +-1501 -1763 +-3643 1642 +-6174 -861 +-1170 0 +-6174 861 +-3643 -1642 +-1501 1763 +-3518 -1545 +2481 -3520 +1603 3875 +3597 3695 +1513 -2825 +-6466 -4811 +-1932 234 +-1870 -504 +-4676 -4435 +1736 2239 +6110 2994 +3107 503 +0 2341 +-1614 -2730 +-131 -242 +6405 6137 +2336 1901 +-896 -1223 +2068 412 +-2625 2699 +3168 -485 +3975 -2903 +-5050 -628 +-730 -5401 +1178 -4571 +995 1757 +973 -197 +-421 -497 +1170 0 +-421 497 +973 197 +995 -1757 +1178 4571 +-730 5401 +-5050 628 +3975 2903 +3168 485 +-2625 -2699 +2068 -412 +-896 1223 +2336 -1901 +6405 -6137 +-131 242 +-1614 2730 +0 -2341 +3107 -503 +6110 -2994 +1736 -2239 +-4676 4435 +-1870 504 +-1932 -234 +-6466 4811 +1513 2825 +3597 -3695 +1603 -3875 +2481 3520 +-3518 1545 +-1501 -1763 +-3643 1642 +-6174 -861 +-5851 0 +-2874 -4729 +-836 494 +-872 294 +-3632 -702 +-2867 -325 +-2362 -5823 +-1605 -4759 +1998 485 +336 2735 +820 -1164 +4551 -3237 +-60 1461 +-2833 3901 +1971 2644 +3522 -207 +2341 0 +3522 207 +1971 -2644 +-2833 -3901 +-60 -1461 +4551 3237 +820 1164 +336 -2735 +1998 -485 +-1605 4759 +-2362 5823 +-2867 325 +-3632 702 +-872 -294 +-836 -494 +-2874 4729 +-5851 0 +-3136 2066 +5549 2683 +2369 -4358 +322 2357 +6582 3695 +-560 -174 +-5003 -1940 +343 -2825 +-2139 1363 +-1208 1787 +2678 4458 +3370 194 +3632 -750 +-3374 7153 +-2341 -1022 +4681 0 +-2341 1022 +-3374 -7153 +3632 750 +3370 -194 +2678 -4458 +-1208 -1787 +-2139 -1363 +343 2825 +-5003 1940 +-560 174 +6582 -3695 +322 -2357 +2369 4358 +5549 -2683 +-3136 -2066 +-5851 0 +-2874 -4729 +-836 494 +-872 294 +-3632 -702 +-2867 -325 +-2362 -5823 +-1605 -4759 +1998 485 +336 2735 +820 -1164 +4551 -3237 +-60 1461 +-2833 3901 +1971 2644 +3522 -207 +0 2341 +1895 -1413 +2709 -5429 +-3292 -191 +2057 -2825 +2719 869 +1314 6565 +6725 -1094 +627 -3996 +-2819 -736 +-337 -639 +-755 -3552 +21 -485 +-2822 -898 +506 -4089 +5102 3883 +3511 0 +5102 -3883 +506 4089 +-2822 898 +21 485 +-755 3552 +-337 639 +-2819 736 +627 3996 +6725 1094 +1314 -6565 +2719 -869 +2057 2825 +-3292 191 +2709 5429 +1895 1413 +0 -2341 +1716 430 +-2338 418 +-168 489 +-1372 -2825 +-2302 -1063 +3906 -778 +-2586 -4239 +-7648 -686 +-4126 1100 +-4884 -1565 +-439 -6425 +3975 -485 +439 7396 +-877 449 +714 -1912 +1170 0 +714 1912 +-877 -449 +439 -7396 +3975 485 +-439 6425 +-4884 1565 +-4126 -1100 +-7648 686 +-2586 4239 +3906 778 +-2302 1063 +-1372 2825 +-168 -489 +-2338 -418 +1716 -430 +0 2341 +1895 -1413 +2709 -5429 +-3292 -191 +2057 -2825 +2719 869 +1314 6565 +6725 -1094 +627 -3996 +-2819 -736 +-337 -639 +-755 -3552 +21 -485 +-2822 -898 +506 -4089 +5102 3883 +1170 1170 +-2624 528 +-6243 -1056 +-3927 8529 +-1335 6754 +883 -2086 +-2397 -177 +-203 -2769 +1655 828 +235 5318 +640 -146 +488 2034 +68 -2167 +-3173 -4812 +2647 1975 +5951 -2470 +1170 0 +5951 2470 +2647 -1975 +-3173 4812 +68 2167 +488 -2034 +640 146 +235 -5318 +1655 -828 +-203 2769 +-2397 177 +883 2086 +-1335 -6754 +-3927 -8529 +-6243 1056 +-2624 -528 +1170 -1170 +-6165 1337 +-244 -599 +7485 -715 +2990 267 +1495 -1403 +-290 -1478 +-1545 2695 +-1655 -828 +1513 -5244 +2047 1801 +-2866 1454 +-1723 -4854 +-386 -3001 +3840 -320 +2837 605 +-3511 0 +2837 -605 +3840 320 +-386 3001 +-1723 4854 +-2866 -1454 +2047 -1801 +1513 5244 +-1655 828 +-1545 -2695 +-290 1478 +1495 1403 +2990 -267 +7485 715 +-244 599 +-6165 -1337 +1170 1170 +-2624 528 +-6243 -1056 +-3927 8529 +-1335 6754 +883 -2086 +-2397 -177 +-203 -2769 +1655 828 +235 5318 +640 -146 +488 2034 +68 -2167 +-3173 -4812 +2647 1975 +5951 -2470 +1170 -1170 +-839 -7283 +1287 241 +-1121 -3966 +-2272 -3907 +5052 -1335 +4579 -5388 +-2812 781 +-3310 2483 +-48 1069 +1878 -137 +2015 -5007 +649 -933 +-1599 2440 +-3359 -1346 +-76 -2172 +3511 0 +-76 2172 +-3359 1346 +-1599 -2440 +649 933 +2015 5007 +1878 137 +-48 -1069 +-3310 -2483 +-2812 -781 +4579 5388 +5052 1335 +-2272 3907 +-1121 3966 +1287 -241 +-839 7283 +1170 1170 +-73 -2237 +-3152 5021 +-1297 1664 +-4064 -1744 +-4106 -3870 +3234 1644 +3787 2522 +3310 -2483 +4916 3249 +1610 -2235 +-156 -5299 +-3675 -37 +-5410 -3223 +3285 -1383 +1765 287 +-5851 0 +1765 -287 +3285 1383 +-5410 3223 +-3675 37 +-156 5299 +1610 2235 +4916 -3249 +3310 2483 +3787 -2522 +3234 -1644 +-4106 3870 +-4064 1744 +-1297 -1664 +-3152 -5021 +-73 2237 +1170 -1170 +-839 -7283 +1287 241 +-1121 -3966 +-2272 -3907 +5052 -1335 +4579 -5388 +-2812 781 +-3310 2483 +-48 1069 +1878 -137 +2015 -5007 +649 -933 +-1599 2440 +-3359 -1346 +-76 -2172 +-1170 -2341 +-1015 3897 +-663 2214 +-1771 -1211 +-848 4108 +-209 1018 +-2841 456 +1489 -1941 +4338 -3511 +-2637 1861 +-131 -191 +8057 6076 +2199 6078 +-5222 1502 +-1873 4249 +2033 -5725 +2341 0 +2033 5725 +-1873 -4249 +-5222 -1502 +2199 -6078 +8057 -6076 +-131 191 +-2637 -1861 +4338 3511 +1489 1941 +-2841 -456 +-209 -1018 +-848 -4108 +-1771 1211 +-663 -2214 +-1015 -3897 +-1170 2341 +-3106 315 +-2395 -737 +8 -827 +-4802 -1483 +-4037 1068 +4108 2644 +3622 -1193 +2683 -3511 +3370 -1328 +-1135 -4700 +-1005 -3570 +-1230 1228 +-2441 -378 +4931 -1401 +2865 -2379 +-4681 0 +2865 2379 +4931 1401 +-2441 378 +-1230 -1228 +-1005 3570 +-1135 4700 +3370 1328 +2683 3511 +3622 1193 +4108 -2644 +-4037 -1068 +-4802 1483 +8 827 +-2395 737 +-3106 -315 +-1170 -2341 +-1015 3897 +-663 2214 +-1771 -1211 +-848 4108 +-209 1018 +-2841 456 +1489 -1941 +4338 -3511 +-2637 1861 +-131 -191 +8057 6076 +2199 6078 +-5222 1502 +-1873 4249 +2033 -5725 +-1170 0 +1446 -257 +-507 -2618 +-3521 4817 +1081 194 +7381 -6625 +4715 958 +-1645 4894 +-1998 1856 +-289 -184 +-2052 883 +-2660 -532 +-448 -702 +-782 493 +-1946 -2650 +1530 -1717 +4681 0 +1530 1717 +-1946 2650 +-782 -493 +-448 702 +-2660 532 +-2052 -883 +-289 184 +-1998 -1856 +-1645 -4894 +4715 -958 +7381 6625 +1081 -194 +-3521 -4817 +-507 2618 +1446 257 +-1170 0 +-773 5595 +3429 5137 +3573 2187 +-1081 1461 +-4035 -996 +-3312 -4744 +-1513 5178 +-343 5166 +-878 -3074 +-2661 4694 +-2478 1175 +448 2357 +2522 5413 +2334 -4193 +2122 3086 +2341 0 +2122 -3086 +2334 4193 +2522 -5413 +448 -2357 +-2478 -1175 +-2661 -4694 +-878 3074 +-343 -5166 +-1513 -5178 +-3312 4744 +-4035 996 +-1081 -1461 +3573 -2187 +3429 -5137 +-773 -5595 +-1170 0 +1446 -257 +-507 -2618 +-3521 4817 +1081 194 +7381 -6625 +4715 958 +-1645 4894 +-1998 1856 +-289 -184 +-2052 883 +-2660 -532 +-448 -702 +-782 493 +-1946 -2650 +1530 -1717 +3511 0 +911 -865 +979 2986 +5378 1269 +105 2341 +-4662 974 +-1761 -2446 +-1600 3771 +-4138 3310 +-2063 676 +2598 4136 +1437 3478 +-917 -2341 +-1387 -3838 +-5112 2135 +-1556 3890 +4681 0 +-1556 -3890 +-5112 -2135 +-1387 3838 +-917 2341 +1437 -3478 +2598 -4136 +-2063 -676 +-4138 -3310 +-1600 -3771 +-1761 2446 +-4662 -974 +105 -2341 +5378 -1269 +979 -2986 +911 865 +3511 0 +-672 2310 +-3005 5471 +-3012 3300 +-791 2341 +370 -1418 +-1805 2639 +3782 733 +4138 -3310 +-1638 4990 +4277 738 +1841 -2046 +-3079 -2341 +6657 -5701 +3828 1641 +-3784 1460 +-2341 0 +-3784 -1460 +3828 -1641 +6657 5701 +-3079 2341 +1841 2046 +4277 -738 +-1638 -4990 +4138 3310 +3782 -733 +-1805 -2639 +370 1418 +-791 -2341 +-3012 -3300 +-3005 -5471 +-672 -2310 +3511 0 +911 -865 +979 2986 +5378 1269 +105 2341 +-4662 974 +-1761 -2446 +-1600 3771 +-4138 3310 +-2063 676 +2598 4136 +1437 3478 +-917 -2341 +-1387 -3838 +-5112 2135 +-1556 3890 +5851 -1170 +4367 4450 +1647 723 +1624 -5390 +-3915 -2491 +-3571 -467 +-3008 -2496 +-3132 -1808 +-2341 828 +-850 -687 +2964 -1515 +336 784 +-2379 829 +-2023 3432 +-2060 2930 +-97 -3631 +1170 0 +-97 3631 +-2060 -2930 +-2023 -3432 +-2379 -829 +336 -784 +2964 1515 +-850 687 +-2341 -828 +-3132 1808 +-3008 2496 +-3571 467 +-3915 2491 +1624 5390 +1647 -723 +4367 -4450 +5851 1170 +-93 1384 +652 1261 +-3683 1458 +-3390 -4129 +2966 507 +1234 3046 +329 -4939 +-2341 -828 +2638 1931 +2120 -2617 +-4833 -2115 +5004 -7449 +2564 -5993 +-3549 3736 +3457 1474 +5851 0 +3457 -1474 +-3549 -3736 +2564 5993 +5004 7449 +-4833 2115 +2120 2617 +2638 -1931 +-2341 828 +329 4939 +1234 -3046 +2966 -507 +-3390 4129 +-3683 -1458 +652 -1261 +-93 -1384 +5851 -1170 +4367 4450 +1647 723 +1624 -5390 +-3915 -2491 +-3571 -467 +-3008 -2496 +-3132 -1808 +-2341 828 +-850 -687 +2964 -1515 +336 784 +-2379 829 +-2023 3432 +-2060 2930 +-97 -3631 +-3511 -1170 +826 4657 +-2984 2910 +966 -6008 +6837 -7113 +-1678 123 +-1520 -86 +-1795 -1165 +0 -1513 +4202 -2101 +-838 689 +-145 -470 +-2334 1630 +-4609 2521 +1640 -2893 +-1893 -1184 +-8192 0 +-1893 1184 +1640 2893 +-4609 -2521 +-2334 -1630 +-145 470 +-838 -689 +4202 2101 +0 1513 +-1795 1165 +-1520 86 +-1678 -123 +6837 7113 +966 6008 +-2984 -2910 +826 -4657 +-3511 1170 +425 2095 +-581 -3627 +-2487 1421 +3779 2432 +1729 -6737 +236 -3521 +608 -2559 +0 -3168 +-1496 3834 +-1188 384 +1109 -1399 +-3601 3051 +-1504 379 +5236 -2506 +5744 -2589 +5851 0 +5744 2589 +5236 2506 +-1504 -379 +-3601 -3051 +1109 1399 +-1188 -384 +-1496 -3834 +0 3168 +608 2559 +236 3521 +1729 6737 +3779 -2432 +-2487 -1421 +-581 3627 +425 -2095 +-3511 -1170 +826 4657 +-2984 2910 +966 -6008 +6837 -7113 +-1678 123 +-1520 -86 +-1795 -1165 +0 -1513 +4202 -2101 +-838 689 +-145 -470 +-2334 1630 +-4609 2521 +1640 -2893 +-1893 -1184 +0 -2341 +916 -7159 +-2174 -3824 +607 318 +4181 -427 +1733 446 +-118 369 +1470 -4746 +-828 -4480 +-2441 1443 +-2022 2226 +-2414 1404 +-1925 2453 +-4279 556 +138 419 +3914 2949 +1170 0 +3914 -2949 +138 -419 +-4279 -556 +-1925 -2453 +-2414 -1404 +-2022 -2226 +-2441 -1443 +-828 4480 +1470 4746 +-118 -369 +1733 -446 +4181 427 +607 -318 +-2174 3824 +916 7159 +0 2341 +3501 -3196 +6202 -2334 +-2965 2359 +3810 4423 +4227 1799 +-6800 1212 +2130 3852 +828 2140 +-3965 -1323 +-2362 -2016 +-4323 -4260 +3296 -3139 +794 3639 +-2227 1414 +1096 -5669 +-1170 0 +1096 5669 +-2227 -1414 +794 -3639 +3296 3139 +-4323 4260 +-2362 2016 +-3965 1323 +828 -2140 +2130 -3852 +-6800 -1212 +4227 -1799 +3810 -4423 +-2965 -2359 +6202 2334 +3501 3196 +0 -2341 +916 -7159 +-2174 -3824 +607 318 +4181 -427 +1733 446 +-118 369 +1470 -4746 +-828 -4480 +-2441 1443 +-2022 2226 +-2414 1404 +-1925 2453 +-4279 556 +138 419 +3914 2949 +-4681 0 +-7238 -13 +-5427 -793 +-327 269 +2019 -4423 +-2129 -925 +2969 2347 +5396 1820 +-4138 -485 +-2718 -3254 +2318 2194 +-531 424 +-1029 3139 +-2894 4842 +-4332 -2156 +1540 5132 +5851 0 +1540 -5132 +-4332 2156 +-2894 -4842 +-1029 -3139 +-531 -424 +2318 -2194 +-2718 3254 +-4138 485 +5396 -1820 +2969 -2347 +-2129 925 +2019 4423 +-327 -269 +-5427 793 +-7238 13 +-4681 0 +680 1820 +-1490 -2055 +1050 -524 +5973 427 +-3690 2141 +-5058 2545 +4752 51 +4138 2825 +1996 -2510 +1710 -5294 +506 -726 +2400 -2453 +1394 5 +-52 679 +2212 -4339 +3511 0 +2212 4339 +-52 -679 +1394 -5 +2400 2453 +506 726 +1710 5294 +1996 2510 +4138 -2825 +4752 -51 +-5058 -2545 +-3690 -2141 +5973 -427 +1050 524 +-1490 2055 +680 -1820 +-4681 0 +-7238 -13 +-5427 -793 +-327 269 +2019 -4423 +-2129 -925 +2969 2347 +5396 1820 +-4138 -485 +-2718 -3254 +2318 2194 +-531 424 +-1029 3139 +-2894 4842 +-4332 -2156 +1540 5132 +2341 0 +3947 -3617 +-2328 208 +-4752 2398 +2297 126 +-67 -2400 +-3472 63 +2780 591 +4338 1655 +1040 2302 +-3720 -4820 +-2567 -799 +128 2288 +-2844 -394 +4432 2301 +5877 -2723 +-1170 0 +5877 2723 +4432 -2301 +-2844 394 +128 -2288 +-2567 799 +-3720 4820 +1040 -2302 +4338 -1655 +2780 -591 +-3472 -63 +-67 2400 +2297 -126 +-4752 -2398 +-2328 -208 +3947 3617 +2341 0 +-237 -2216 +4057 -1282 +7696 -2006 +2668 3184 +-833 3579 +-1671 -781 +766 -2444 +2683 -1655 +-5600 -845 +-5748 -578 +-1634 5289 +-5093 1022 +-1618 -2524 +-912 1306 +-1952 -6421 +1170 0 +-1952 6421 +-912 -1306 +-1618 2524 +-5093 -1022 +-1634 -5289 +-5748 578 +-5600 845 +2683 1655 +766 2444 +-1671 781 +-833 -3579 +2668 -3184 +7696 2006 +4057 1282 +-237 2216 +2341 0 +3947 -3617 +-2328 208 +-4752 2398 +2297 126 +-67 -2400 +-3472 63 +2780 591 +4338 1655 +1040 2302 +-3720 -4820 +-2567 -799 +128 2288 +-2844 -394 +4432 2301 +5877 -2723 +-1170 1170 +-1190 -3877 +4625 -1160 +132 102 +-2757 2873 +-1312 1304 +-141 -4341 +-4226 -371 +-5651 3168 +-1270 2291 +1132 573 +3226 -5381 +3475 -3877 +5081 3081 +5908 3652 +2651 2478 +1170 0 +2651 -2478 +5908 -3652 +5081 -3081 +3475 3877 +3226 5381 +1132 -573 +-1270 -2291 +-5651 -3168 +-4226 371 +-141 4341 +-1312 -1304 +-2757 -2873 +132 -102 +4625 1160 +-1190 3877 +-1170 -1170 +4105 -4107 +-1525 2427 +-1100 782 +-4549 -2873 +-3986 201 +2989 1283 +-5235 1114 +969 1513 +6407 481 +-2609 -3631 +280 -3317 +-850 3877 +-2322 841 +-1017 -2385 +-1242 4807 +1170 0 +-1242 -4807 +-1017 2385 +-2322 -841 +-850 -3877 +280 3317 +-2609 3631 +6407 -481 +969 -1513 +-5235 -1114 +2989 -1283 +-3986 -201 +-4549 2873 +-1100 -782 +-1525 -2427 +4105 4107 +-1170 1170 +-1190 -3877 +4625 -1160 +132 102 +-2757 2873 +-1312 1304 +-141 -4341 +-4226 -371 +-5651 3168 +-1270 2291 +1132 573 +3226 -5381 +3475 -3877 +5081 3081 +5908 3652 +2651 2478 +-2341 -4681 +5009 928 +4698 493 +2297 -5882 +1566 -3623 +2426 2170 +2015 2355 +-1760 -1055 +828 -485 +-1077 -604 +-1468 1132 +2512 4115 +-3273 -3252 +-2504 1167 +-1590 8299 +-80 -3367 +5851 0 +-80 3367 +-1590 -8299 +-2504 -1167 +-3273 3252 +2512 -4115 +-1468 -1132 +-1077 604 +828 485 +-1760 1055 +2015 -2355 +2426 -2170 +1566 3623 +2297 5882 +4698 -493 +5009 -928 +-2341 4681 +-6612 1830 +-5086 -2190 +-1810 -2274 +-596 1968 +2881 4310 +2698 104 +-5032 2175 +-828 2825 +2768 562 +65 3267 +-184 490 +-2377 1597 +1001 4784 +-1332 1305 +164 2221 +8192 0 +164 -2221 +-1332 -1305 +1001 -4784 +-2377 -1597 +-184 -490 +65 -3267 +2768 -562 +-828 -2825 +-5032 -2175 +2698 -104 +2881 -4310 +-596 -1968 +-1810 2274 +-5086 2190 +-6612 -1830 +-2341 -4681 +5009 928 +4698 493 +2297 -5882 +1566 -3623 +2426 2170 +2015 2355 +-1760 -1055 +828 -485 +-1077 -604 +-1468 1132 +2512 4115 +-3273 -3252 +-2504 1167 +-1590 8299 +-80 -3367 +-4681 0 +964 5908 +-1937 1606 +346 4551 +1634 285 +-156 -4484 +-102 -3050 +-2505 -2819 +-343 -1655 +1315 -2925 +-233 1693 +-542 -451 +-283 -7276 +3208 767 +2305 1383 +-51 -5734 +1170 0 +-51 5734 +2305 -1383 +3208 -767 +-283 7276 +-542 451 +-233 -1693 +1315 2925 +-343 1655 +-2505 2819 +-102 3050 +-156 4484 +1634 -285 +346 -4551 +-1937 -1606 +964 -5908 +-4681 0 +-3905 5869 +2115 1840 +-4131 1882 +-2320 -4565 +1608 -294 +1547 9030 +5295 4084 +-1998 1655 +-4105 368 +3470 977 +-910 1551 +-3712 -1685 +577 -1695 +2198 -1247 +2992 450 +3511 0 +2992 -450 +2198 1247 +577 1695 +-3712 1685 +-910 -1551 +3470 -977 +-4105 -368 +-1998 -1655 +5295 -4084 +1547 -9030 +1608 294 +-2320 4565 +-4131 -1882 +2115 -1840 +-3905 -5869 +-4681 0 +964 5908 +-1937 1606 +346 4551 +1634 285 +-156 -4484 +-102 -3050 +-2505 -2819 +-343 -1655 +1315 -2925 +-233 1693 +-542 -451 +-283 -7276 +3208 767 +2305 1383 +-51 -5734 +2341 -2341 +4255 -3098 +-1029 2460 +-1079 -6084 +418 -3623 +-228 3906 +-2261 346 +-5617 1812 +-2483 -201 +-180 2296 +-3065 6035 +57 -2634 +-859 -3252 +-3863 3082 +4953 1469 +4193 -1794 +-3511 0 +4193 1794 +4953 -1469 +-3863 -3082 +-859 3252 +57 2634 +-3065 -6035 +-180 -2296 +-2483 201 +-5617 -1812 +-2261 -346 +-228 -3906 +418 3623 +-1079 6084 +-1029 -2460 +4255 3098 +2341 2341 +-2242 -763 +-374 -490 +-3656 -2959 +-6069 1968 +245 1472 +1873 2534 +915 94 +2483 -6821 +284 981 +144 1526 +3474 20 +1828 1597 +-1571 -4134 +-240 -4180 +5012 -3438 +8192 0 +5012 3438 +-240 4180 +-1571 4134 +1828 -1597 +3474 -20 +144 -1526 +284 -981 +2483 6821 +915 -94 +1873 -2534 +245 -1472 +-6069 -1968 +-3656 2959 +-374 490 +-2242 763 +2341 -2341 +4255 -3098 +-1029 2460 +-1079 -6084 +418 -3623 +-228 3906 +-2261 346 +-5617 1812 +-2483 -201 +-180 2296 +-3065 6035 +57 -2634 +-859 -3252 +-3863 3082 +4953 1469 +4193 -1794 +2341 3511 +-4258 224 +-1123 -1080 +2197 592 +2505 225 +2381 5376 +-3640 362 +-625 463 +3511 4823 +-2238 -2361 +3444 2340 +7698 -1057 +1102 -7598 +2956 1640 +2073 1495 +-3839 -427 +-4681 0 +-3839 427 +2073 -1495 +2956 -1640 +1102 7598 +7698 1057 +3444 -2340 +-2238 2361 +3511 -4823 +-625 -463 +-3640 -362 +2381 -5376 +2505 -225 +2197 -592 +-1123 1080 +-4258 -224 +2341 -3511 +2471 -280 +-3380 1216 +-3397 1497 +-1820 -1195 +202 1518 +404 2308 +1523 -4033 +3511 -142 +-2747 3116 +-4888 3640 +2455 6160 +2894 1947 +-1252 -1343 +-2251 1952 +-3528 4695 +-4681 0 +-3528 -4695 +-2251 -1952 +-1252 1343 +2894 -1947 +2455 -6160 +-4888 -3640 +-2747 -3116 +3511 142 +1523 4033 +404 -2308 +202 -1518 +-1820 1195 +-3397 -1497 +-3380 -1216 +2471 280 +2341 3511 +-4258 224 +-1123 -1080 +2197 592 +2505 225 +2381 5376 +-3640 362 +-625 463 +3511 4823 +-2238 -2361 +3444 2340 +7698 -1057 +1102 -7598 +2956 1640 +2073 1495 +-3839 -427 +-1170 -3511 +2864 -2240 +1853 1588 +-932 2018 +6585 -2103 +4269 -847 +-4501 -3100 +-2675 204 +-1655 4823 +2173 -2859 +3417 -353 +-1123 1938 +2243 -574 +1576 348 +-2310 -6081 +2183 -6527 +5851 0 +2183 6527 +-2310 6081 +1576 -348 +2243 574 +-1123 -1938 +3417 353 +2173 2859 +-1655 -4823 +-2675 -204 +-4501 3100 +4269 847 +6585 2103 +-932 -2018 +1853 -1588 +2864 2240 +-1170 3511 +-3303 2546 +-1895 287 +1794 -106 +-2589 -1207 +-4486 -1132 +386 -804 +1275 1015 +1655 -142 +1018 -2038 +-673 -809 +-2985 -6451 +-1557 -2736 +1887 -969 +-5639 -8027 +-3535 716 +5851 0 +-3535 -716 +-5639 8027 +1887 969 +-1557 2736 +-2985 6451 +-673 809 +1018 2038 +1655 142 +1275 -1015 +386 804 +-4486 1132 +-2589 1207 +1794 106 +-1895 -287 +-3303 -2546 +-1170 -3511 +2864 -2240 +1853 1588 +-932 2018 +6585 -2103 +4269 -847 +-4501 -3100 +-2675 204 +-1655 4823 +2173 -2859 +3417 -353 +-1123 1938 +2243 -574 +1576 348 +-2310 -6081 +2183 -6527 +1170 -4681 +3854 -2710 +-390 3439 +47 -301 +-887 -2573 +-4719 -2934 +-2721 -4939 +-434 529 +828 969 +1685 -5607 +-848 -1527 +-8 8236 +1149 4092 +-1893 -51 +-1443 1498 +-2055 -4307 +-4681 0 +-2055 4307 +-1443 -1498 +-1893 51 +1149 -4092 +-8 -8236 +-848 1527 +1685 5607 +828 -969 +-434 -529 +-2721 4939 +-4719 2934 +-887 2573 +47 301 +-390 -3439 +3854 2710 +1170 4681 +-4310 465 +-1772 -2560 +1254 1730 +2542 3543 +2773 -5326 +1826 -2832 +2729 -2509 +-828 -5651 +-3980 -1293 +1744 -2934 +1954 2713 +-2804 1559 +593 -1914 +3605 2691 +2511 -6736 +2341 0 +2511 6736 +3605 -2691 +593 1914 +-2804 -1559 +1954 -2713 +1744 2934 +-3980 1293 +-828 5651 +2729 2509 +1826 2832 +2773 5326 +2542 -3543 +1254 -1730 +-1772 2560 +-4310 -465 +1170 -4681 +3854 -2710 +-390 3439 +47 -301 +-887 -2573 +-4719 -2934 +-2721 -4939 +-434 529 +828 969 +1685 -5607 +-848 -1527 +-8 8236 +1149 4092 +-1893 -51 +-1443 1498 +-2055 -4307 +-5851 -2341 +-1471 -1795 +-2561 -3235 +-2207 -7024 +2458 -7412 +1052 -1625 +1488 337 +-2772 -3095 +-2199 0 +2720 4195 +4231 -1007 +1418 -3619 +-3260 1415 +1225 1833 +-3216 -527 +-2650 516 +7022 0 +-2650 -516 +-3216 527 +1225 -1833 +-3260 -1415 +1418 3619 +4231 1007 +2720 -4195 +-2199 0 +-2772 3095 +1488 -337 +1052 1625 +2458 7412 +-2207 7024 +-2561 3235 +-1471 1795 +-5851 2341 +3417 1483 +4471 -1478 +1751 -1075 +3878 1762 +-3347 -600 +1451 -3259 +1472 -490 +-7164 0 +-1419 -1308 +-550 1396 +877 1038 +6286 -2385 +-769 -926 +-5314 -876 +704 -3718 +4681 0 +704 3718 +-5314 876 +-769 926 +6286 2385 +877 -1038 +-550 -1396 +-1419 1308 +-7164 0 +1472 490 +1451 3259 +-3347 600 +3878 -1762 +1751 1075 +4471 1478 +3417 -1483 +-5851 -2341 +-1471 -1795 +-2561 -3235 +-2207 -7024 +2458 -7412 +1052 -1625 +1488 337 +-2772 -3095 +-2199 0 +2720 4195 +4231 -1007 +1418 -3619 +-3260 1415 +1225 1833 +-3216 -527 +-2650 516 +-8192 -5851 +-203 -422 +-3109 -4884 +-5478 -3350 +2974 4375 +3084 1457 +3703 2338 +830 -1068 +-2825 -3653 +-3399 5279 +-2169 506 +4444 -4896 +812 1223 +-2912 -1407 +2677 -3906 +3103 314 +1170 0 +3103 -314 +2677 3906 +-2912 1407 +812 -1223 +4444 4896 +-2169 -506 +-3399 -5279 +-2825 3653 +830 1068 +3703 -2338 +3084 -1457 +2974 -4375 +-5478 3350 +-3109 4884 +-203 422 +-8192 5851 +-1654 3791 +2972 -337 +2670 2122 +1707 5271 +-4168 5242 +-1033 -2709 +113 -2996 +485 1312 +665 -2129 +-3810 -877 +965 797 +3870 -939 +1395 -1257 +769 -1314 +545 908 +1170 0 +545 -908 +769 1314 +1395 1257 +3870 939 +965 -797 +-3810 877 +665 2129 +485 -1312 +113 2996 +-1033 2709 +-4168 -5242 +1707 -5271 +2670 -2122 +2972 337 +-1654 -3791 +-8192 -5851 +-203 -422 +-3109 -4884 +-5478 -3350 +2974 4375 +3084 1457 +3703 2338 +830 -1068 +-2825 -3653 +-3399 5279 +-2169 506 +4444 -4896 +812 1223 +-2912 -1407 +2677 -3906 +3103 314 +0 2341 +-1670 3961 +-3310 -1522 +583 -3449 +-1344 -3282 +858 -1598 +77 -210 +-3146 3578 +2483 4196 +886 -2499 +246 2289 +992 7430 +-3244 3908 +2246 -930 +2391 -863 +7 7083 +3511 0 +7 -7083 +2391 863 +2246 930 +-3244 -3908 +992 -7430 +246 -2289 +886 2499 +2483 -4196 +-3146 -3578 +77 210 +858 1598 +-1344 3282 +583 3449 +-3310 1522 +-1670 -3961 +0 -2341 +-3479 -577 +-1015 -1028 +-520 -110 +1344 -4024 +3098 -3396 +-1869 -3608 +-2916 1735 +-2483 7506 +-1444 -3727 +1546 -2796 +1672 165 +3244 -6533 +4310 598 +1934 1622 +-1478 -5876 +-3511 0 +-1478 5876 +1934 -1622 +4310 -598 +3244 6533 +1672 -165 +1546 2796 +-1444 3727 +-2483 -7506 +-2916 -1735 +-1869 3608 +3098 3396 +1344 4024 +-520 110 +-1015 1028 +-3479 577 +0 2341 +-1670 3961 +-3310 -1522 +583 -3449 +-1344 -3282 +858 -1598 +77 -210 +-3146 3578 +2483 4196 +886 -2499 +246 2289 +992 7430 +-3244 3908 +2246 -930 +2391 -863 +7 7083 +1170 -3511 +2182 -3255 +-2352 3145 +-6540 4032 +-3543 2393 +-54 394 +4144 -3347 +-1702 -1801 +-3795 1998 +-192 4097 +-4539 2317 +5 315 +1559 4101 +-4701 1164 +468 -3203 +2541 1906 +-1170 0 +2541 -1906 +468 3203 +-4701 -1164 +1559 -4101 +5 -315 +-4539 -2317 +-192 -4097 +-3795 -1998 +-1702 1801 +4144 3347 +-54 -394 +-3543 -2393 +-6540 -4032 +-2352 -3145 +2182 3255 +1170 3511 +2012 1821 +-513 2043 +458 -652 +2573 231 +4030 -1454 +898 -3885 +-3685 1711 +6135 343 +1997 -2395 +-5185 3123 +4669 2950 +4092 3205 +2134 6540 +-2284 2339 +-3152 -1549 +3511 0 +-3152 1549 +-2284 -2339 +2134 -6540 +4092 -3205 +4669 -2950 +-5185 -3123 +1997 2395 +6135 -343 +-3685 -1711 +898 3885 +4030 1454 +2573 -231 +458 652 +-513 -2043 +2012 -1821 +1170 -3511 +2182 -3255 +-2352 3145 +-6540 4032 +-3543 2393 +-54 394 +4144 -3347 +-1702 -1801 +-3795 1998 +-192 4097 +-4539 2317 +5 315 +1559 4101 +-4701 1164 +468 -3203 +2541 1906 +-1170 -1170 +-3637 1196 +-5444 4585 +444 1955 +1506 -4608 +1043 646 +-4451 434 +-5401 1288 +3511 7648 +-97 -3019 +44 -2589 +4407 5699 +-2951 528 +-2631 134 +-1608 418 +-2172 -1865 +1170 0 +-2172 1865 +-1608 -418 +-2631 -134 +-2951 -528 +4407 -5699 +44 2589 +-97 3019 +3511 -7648 +-5401 -1288 +-4451 -434 +1043 -646 +1506 4608 +444 -1955 +-5444 -4585 +-3637 -1196 +-1170 1170 +-2531 92 +1119 306 +905 4284 +2773 612 +5510 -839 +2659 1043 +4367 609 +3511 -627 +355 -780 +1748 5437 +-1532 3890 +-6009 157 +-1524 -95 +5933 -3518 +2497 199 +-3511 0 +2497 -199 +5933 3518 +-1524 95 +-6009 -157 +-1532 -3890 +1748 -5437 +355 780 +3511 627 +4367 -609 +2659 -1043 +5510 839 +2773 -612 +905 -4284 +1119 -306 +-2531 -92 +-1170 -1170 +-3637 1196 +-5444 4585 +444 1955 +1506 -4608 +1043 646 +-4451 434 +-5401 1288 +3511 7648 +-97 -3019 +44 -2589 +4407 5699 +-2951 528 +-2631 134 +-1608 418 +-2172 -1865 +-7022 0 +1227 3846 +107 3145 +-3366 -5109 +2045 -1529 +282 -2357 +531 -1574 +2945 -906 +-343 -3996 +-923 28 +-664 -1426 +2657 366 +4704 633 +-328 -592 +1547 2960 +2552 -4221 +-1170 0 +2552 4221 +1547 -2960 +-328 592 +4704 -633 +2657 -366 +-664 1426 +-923 -28 +-343 3996 +2945 906 +531 1574 +282 2357 +2045 1529 +-3366 5109 +107 -3145 +1227 -3846 +-7022 0 +712 -3948 +4008 462 +-7644 3168 +-3700 1529 +6492 -1701 +7418 -3825 +4274 -1099 +-1998 -686 +-2244 1278 +706 709 +-2300 -1113 +-3049 -633 +-2413 -4659 +-4291 -4034 +-1922 809 +1170 0 +-1922 -809 +-4291 4034 +-2413 4659 +-3049 633 +-2300 1113 +706 -709 +-2244 -1278 +-1998 686 +4274 1099 +7418 3825 +6492 1701 +-3700 -1529 +-7644 -3168 +4008 -462 +712 3948 +-7022 0 +1227 3846 +107 3145 +-3366 -5109 +2045 -1529 +282 -2357 +531 -1574 +2945 -906 +-343 -3996 +-923 28 +-664 -1426 +2657 366 +4704 633 +-328 -592 +1547 2960 +2552 -4221 +-3511 -7022 +-683 -549 +1259 1016 +-122 -4926 +1492 679 +-1530 73 +-2924 -1312 +1594 -3666 +343 -3511 +-1882 5705 +58 1422 +2626 1195 +4540 2124 +2777 -4325 +-93 665 +-1542 1320 +-2341 0 +-1542 -1320 +-93 -665 +2777 4325 +4540 -2124 +2626 -1195 +58 -1422 +-1882 -5705 +343 3511 +1594 3666 +-2924 1312 +-1530 -73 +1492 -679 +-122 4926 +1259 -1016 +-683 549 +-3511 7022 +-1982 1082 +-2526 818 +-69 2841 +-2462 1946 +-8880 1051 +-134 1522 +5953 -546 +1998 -3511 +3761 2399 +3000 159 +1940 -3233 +1111 5182 +-3363 1819 +1359 -6823 +1402 -4454 +-4681 0 +1402 4454 +1359 6823 +-3363 -1819 +1111 -5182 +1940 3233 +3000 -159 +3761 -2399 +1998 3511 +5953 546 +-134 -1522 +-8880 -1051 +-2462 -1946 +-69 -2841 +-2526 -818 +-1982 -1082 +-3511 -7022 +-683 -549 +1259 1016 +-122 -4926 +1492 679 +-1530 73 +-2924 -1312 +1594 -3666 +343 -3511 +-1882 5705 +58 1422 +2626 1195 +4540 2124 +2777 -4325 +-93 665 +-1542 1320 +-7022 1170 +-6429 -3556 +-1918 1344 +-3333 220 +565 -6816 +2133 -4220 +770 -1573 +347 866 +1856 -828 +1176 358 +2064 5974 +-10 -95 +-4519 -962 +4058 557 +3782 -2171 +-2265 4044 +0 0 +-2265 -4044 +3782 2171 +4058 -557 +-4519 962 +-10 95 +2064 -5974 +1176 -358 +1856 828 +347 -866 +770 1573 +2133 4220 +565 6816 +-3333 -220 +-1918 -1344 +-6429 3556 +-7022 -1170 +5218 1861 +1411 5129 +-2261 282 +1090 196 +-4377 -872 +-3320 1217 +2005 4721 +5166 828 +797 548 +-2823 3032 +4045 -317 +2864 -5658 +-256 -4737 +35 -719 +-849 -1058 +0 0 +-849 1058 +35 719 +-256 4737 +2864 5658 +4045 317 +-2823 -3032 +797 -548 +5166 -828 +2005 -4721 +-3320 -1217 +-4377 872 +1090 -196 +-2261 -282 +1411 -5129 +5218 -1861 +-7022 1170 +-6429 -3556 +-1918 1344 +-3333 220 +565 -6816 +2133 -4220 +770 -1573 +347 866 +1856 -828 +1176 358 +2064 5974 +-10 -95 +-4519 -962 +4058 557 +3782 -2171 +-2265 4044 +-4681 2341 +1392 -4705 +283 330 +731 -1416 +6137 -3459 +2116 6203 +570 -1293 +-4044 -7047 +-3653 969 +2307 -1300 +-100 -1249 +288 1107 +1162 -2014 +751 -351 +-1017 -83 +-6166 -2360 +-8192 0 +-6166 2360 +-1017 83 +751 351 +1162 2014 +288 -1107 +-100 1249 +2307 1300 +-3653 -969 +-4044 7047 +570 1293 +2116 -6203 +6137 3459 +731 1416 +283 -330 +1392 4705 +-4681 -2341 +-102 -4102 +-104 1325 +-1678 2044 +-2142 -2192 +-6028 -3963 +875 2948 +2205 445 +1312 -5651 +5647 -977 +3336 -406 +1090 -658 +-476 1044 +2729 -812 +5520 -1572 +-1241 -2122 +-5851 0 +-1241 2122 +5520 1572 +2729 812 +-476 -1044 +1090 658 +3336 406 +5647 977 +1312 5651 +2205 -445 +875 -2948 +-6028 3963 +-2142 2192 +-1678 -2044 +-104 -1325 +-102 4102 +-4681 2341 +1392 -4705 +283 330 +731 -1416 +6137 -3459 +2116 6203 +570 -1293 +-4044 -7047 +-3653 969 +2307 -1300 +-100 -1249 +288 1107 +1162 -2014 +751 -351 +-1017 -83 +-6166 -2360 +-2341 0 +6193 -3338 +5182 -1090 +-2703 1465 +-1275 3446 +-1345 1319 +-2690 2829 +-4894 5698 +-3653 0 +-2197 -5222 +1061 -417 +5437 4043 +-254 -2670 +-3780 -4129 +-5010 1662 +-1055 -281 +5851 0 +-1055 281 +-5010 -1662 +-3780 4129 +-254 2670 +5437 -4043 +1061 417 +-2197 5222 +-3653 0 +-4894 -5698 +-2690 -2829 +-1345 -1319 +-1275 -3446 +-2703 -1465 +5182 1090 +6193 3338 +-2341 0 +2953 -3803 +1693 -177 +-3935 -1590 +-380 -136 +639 3892 +664 229 +286 948 +1312 0 +-1103 566 +-2345 3475 +2127 -771 +1909 5980 +3559 5942 +1445 -2929 +-184 4442 +3511 0 +-184 -4442 +1445 2929 +3559 -5942 +1909 -5980 +2127 771 +-2345 -3475 +-1103 -566 +1312 0 +286 -948 +664 -229 +639 -3892 +-380 136 +-3935 1590 +1693 177 +2953 3803 +-2341 0 +6193 -3338 +5182 -1090 +-2703 1465 +-1275 3446 +-1345 1319 +-2690 2829 +-4894 5698 +-3653 0 +-2197 -5222 +1061 -417 +5437 4043 +-254 -2670 +-3780 -4129 +-5010 1662 +-1055 -281 +-5851 -1170 +-6 1302 +7016 1220 +1522 -2728 +262 516 +3583 1963 +2212 -3710 +-292 460 +-1170 -1312 +3136 -8802 +352 1307 +-3516 736 +3691 -4071 +3413 637 +-648 -3094 +769 -2190 +1170 0 +769 2190 +-648 3094 +3413 -637 +3691 4071 +-3516 -736 +352 -1307 +3136 8802 +-1170 1312 +-292 -460 +2212 3710 +3583 -1963 +262 -516 +1522 2728 +7016 -1220 +-6 -1302 +-5851 1170 +-5301 -3976 +-5064 -2833 +-1606 1310 +-262 -2171 +3209 -298 +4842 -2060 +-196 -866 +-1170 3653 +-1633 -3563 +586 -2396 +1826 1202 +-3691 2416 +-1810 4838 +67 -3201 +-3097 -5824 +-3511 0 +-3097 5824 +67 3201 +-1810 -4838 +-3691 -2416 +1826 -1202 +586 2396 +-1633 3563 +-1170 -3653 +-196 866 +4842 2060 +3209 298 +-262 2171 +-1606 -1310 +-5064 2833 +-5301 3976 +-5851 -1170 +-6 1302 +7016 1220 +1522 -2728 +262 516 +3583 1963 +2212 -3710 +-292 460 +-1170 -1312 +3136 -8802 +352 1307 +-3516 736 +3691 -4071 +3413 637 +-648 -3094 +769 -2190 +-1170 -3511 +-4554 -3093 +2308 3515 +1548 -955 +1723 -1178 +-9 299 +-9291 502 +-1589 1759 +6336 -1513 +307 -294 +-1247 1403 +-283 -1248 +1335 -4676 +4065 765 +1990 4142 +-777 -6135 +-1170 0 +-777 6135 +1990 -4142 +4065 -765 +1335 4676 +-283 1248 +-1247 -1403 +307 294 +6336 1513 +-1589 -1759 +-9291 -502 +-9 -299 +1723 1178 +1548 955 +2308 -3515 +-4554 3093 +-1170 3511 +-240 1402 +-4768 7864 +-844 6262 +-68 3518 +1854 1204 +-313 -1712 +-4764 -3362 +3026 -3168 +2736 210 +-450 -1242 +1749 1735 +-2990 2336 +-1459 -3093 +2409 -754 +2261 -658 +3511 0 +2261 658 +2409 754 +-1459 3093 +-2990 -2336 +1749 -1735 +-450 1242 +2736 -210 +3026 3168 +-4764 3362 +-313 1712 +1854 -1204 +-68 -3518 +-844 -6262 +-4768 -7864 +-240 -1402 +-1170 -3511 +-4554 -3093 +2308 3515 +1548 -955 +1723 -1178 +-9 299 +-9291 502 +-1589 1759 +6336 -1513 +307 -294 +-1247 1403 +-283 -1248 +1335 -4676 +4065 765 +1990 4142 +-777 -6135 +4681 -2341 +1677 -4007 +746 2698 +2313 4014 +-254 245 +-2771 2894 +-336 -2224 +713 527 +-1028 5651 +-654 1169 +-229 3655 +-1033 -333 +-380 2932 +-1171 3913 +-3074 -8380 +78 -947 +3511 0 +78 947 +-3074 8380 +-1171 -3913 +-380 -2932 +-1033 333 +-229 -3655 +-654 -1169 +-1028 -5651 +713 -527 +-336 2224 +-2771 -2894 +-254 -245 +2313 -4014 +746 -2698 +1677 4007 +4681 2341 +3427 1110 +1552 1983 +2367 -623 +1909 -3555 +423 -402 +-1438 -2457 +-5665 -5201 +-5993 -969 +1281 -1161 +5313 1026 +1589 -1856 +-1275 -6242 +-1718 4159 +-2535 3699 +-857 -6631 +1170 0 +-857 6631 +-2535 -3699 +-1718 -4159 +-1275 6242 +1589 1856 +5313 -1026 +1281 1161 +-5993 969 +-5665 5201 +-1438 2457 +423 402 +1909 3555 +2367 623 +1552 -1983 +3427 -1110 +4681 -2341 +1677 -4007 +746 2698 +2313 4014 +-254 245 +-2771 2894 +-336 -2224 +713 527 +-1028 5651 +-654 1169 +-229 3655 +-1033 -333 +-380 2932 +-1171 3913 +-3074 -8380 +78 -947 +-1170 2341 +147 159 +1749 996 +-3715 2788 +-2885 1609 +-3986 -1157 +-6342 577 +-1847 -243 +2683 -2825 +34 2717 +-583 3532 +2830 -1828 +807 1060 +-2412 2467 +-4558 356 +5182 2537 +16384 0 +5182 -2537 +-4558 -356 +-2412 -2467 +807 -1060 +2830 1828 +-583 -3532 +34 -2717 +2683 2825 +-1847 243 +-6342 -577 +-3986 1157 +-2885 -1609 +-3715 -2788 +1749 -996 +147 -159 +-1170 -2341 +4051 -4617 +1012 -1756 +890 54 +544 -924 +-2585 752 +-3634 -69 +-1973 -1463 +4338 485 +-2330 -98 +-743 285 +6275 -368 +-3147 -5056 +2704 -1416 +3736 2195 +-3264 -2670 +0 0 +-3264 2670 +3736 -2195 +2704 1416 +-3147 5056 +6275 368 +-743 -285 +-2330 98 +4338 -485 +-1973 1463 +-3634 69 +-2585 -752 +544 924 +890 -54 +1012 1756 +4051 4617 +-1170 2341 +147 159 +1749 996 +-3715 2788 +-2885 1609 +-3986 -1157 +-6342 577 +-1847 -243 +2683 -2825 +34 2717 +-583 3532 +2830 -1828 +807 1060 +-2412 2467 +-4558 356 +5182 2537 +1170 -7022 +-760 -2646 +-4776 2236 +-2787 372 +-2885 -1998 +392 -4676 +1460 -848 +-9271 130 +-2967 -2825 +5009 -1875 +2002 -1077 +4869 520 +807 343 +-404 3141 +2906 4931 +688 -2157 +0 0 +688 2157 +2906 -4931 +-404 -3141 +807 -343 +4869 -520 +2002 1077 +5009 1875 +-2967 2825 +-9271 -130 +1460 848 +392 4676 +-2885 1998 +-2787 -372 +-4776 -2236 +-760 2646 +1170 7022 +-297 -1294 +-1340 -1011 +-1290 2850 +544 -1998 +3481 -1311 +1073 1904 +-175 2781 +5308 485 +-2456 -1415 +-4535 762 +3218 -811 +-3147 343 +-858 3034 +3211 4285 +642 8000 +2341 0 +642 -8000 +3211 -4285 +-858 -3034 +-3147 -343 +3218 811 +-4535 -762 +-2456 1415 +5308 -485 +-175 -2781 +1073 -1904 +3481 1311 +544 1998 +-1290 -2850 +-1340 1011 +-297 1294 +1170 -7022 +-760 -2646 +-4776 2236 +-2787 372 +-2885 -1998 +392 -4676 +1460 -848 +-9271 130 +-2967 -2825 +5009 -1875 +2002 -1077 +4869 520 +807 343 +-404 3141 +2906 4931 +688 -2157 +-5851 0 +-209 -605 +1683 2173 +-7994 6402 +-2668 2192 +4349 -1571 +5848 859 +2400 -908 +142 -3996 +1970 -460 +164 2141 +4236 231 +5093 -1044 +-2278 -1596 +-1612 -1119 +2110 511 +2341 0 +2110 -511 +-1612 1119 +-2278 1596 +5093 1044 +4236 -231 +164 -2141 +1970 460 +142 3996 +2400 908 +5848 -859 +4349 1571 +-2668 -2192 +-7994 -6402 +1683 -2173 +-209 605 +-5851 0 +2005 2510 +1028 1823 +1907 -745 +-2297 3459 +-5731 4831 +1713 -1544 +-6240 -3098 +-4823 -686 +1597 -2027 +-3044 -1455 +2487 2014 +-128 2014 +-3595 -382 +3581 -2876 +2986 -3707 +0 0 +2986 3707 +3581 2876 +-3595 382 +-128 -2014 +2487 -2014 +-3044 1455 +1597 2027 +-4823 686 +-6240 3098 +1713 1544 +-5731 -4831 +-2297 -3459 +1907 745 +1028 -1823 +2005 -2510 +-5851 0 +-209 -605 +1683 2173 +-7994 6402 +-2668 2192 +4349 -1571 +5848 859 +2400 -908 +142 -3996 +1970 -460 +164 2141 +4236 231 +5093 -1044 +-2278 -1596 +-1612 -1119 +2110 511 +0 3511 +-1983 1783 +-510 1745 +1525 -48 +-275 -2280 +-4383 -919 +-5356 -1602 +-1927 -282 +1655 -1028 +2243 -5210 +1298 -2168 +1015 562 +992 -6496 +447 420 +408 7947 +1545 -4801 +2341 0 +1545 4801 +408 -7947 +447 -420 +992 6496 +1015 -562 +1298 2168 +2243 5210 +1655 1028 +-1927 282 +-5356 1602 +-4383 919 +-275 2280 +1525 48 +-510 -1745 +-1983 -1783 +0 -3511 +2205 4591 +898 4193 +-1935 -2885 +-2066 625 +75 2109 +643 5581 +-983 -689 +-1655 -5993 +-347 3581 +105 1465 +-1808 2839 +-3333 4841 +-1556 1600 +2514 2672 +5868 -5466 +7022 0 +5868 5466 +2514 -2672 +-1556 -1600 +-3333 -4841 +-1808 -2839 +105 -1465 +-347 -3581 +-1655 5993 +-983 689 +643 -5581 +75 -2109 +-2066 -625 +-1935 2885 +898 -4193 +2205 -4591 +0 3511 +-1983 1783 +-510 1745 +1525 -48 +-275 -2280 +-4383 -919 +-5356 -1602 +-1927 -282 +1655 -1028 +2243 -5210 +1298 -2168 +1015 562 +992 -6496 +447 420 +408 7947 +1545 -4801 +5851 -2341 +-863 -477 +-2994 -2601 +4117 -744 +532 3549 +-1900 -4109 +-3055 -4857 +874 1678 +4338 1170 +605 -1440 +-1257 152 +360 2217 +1536 -4561 +498 -5329 +5554 1952 +3259 -250 +-4681 0 +3259 250 +5554 -1952 +498 5329 +1536 4561 +360 -2217 +-1257 -152 +605 1440 +4338 -1170 +874 -1678 +-3055 4857 +-1900 4109 +532 -3549 +4117 744 +-2994 2601 +-863 477 +5851 2341 +-4546 -1675 +-2986 -1605 +-2260 -55 +-5213 -3833 +4634 -2930 +2919 -615 +643 1614 +2683 1170 +2203 50 +4704 996 +-1303 -4575 +-6218 -5085 +-4145 -151 +-2885 462 +-2175 2779 +-2341 0 +-2175 -2779 +-2885 -462 +-4145 151 +-6218 5085 +-1303 4575 +4704 -996 +2203 -50 +2683 -1170 +643 -1614 +2919 615 +4634 2930 +-5213 3833 +-2260 55 +-2986 1605 +-4546 1675 +5851 -2341 +-863 -477 +-2994 -2601 +4117 -744 +532 3549 +-1900 -4109 +-3055 -4857 +874 1678 +4338 1170 +605 -1440 +-1257 152 +360 2217 +1536 -4561 +498 -5329 +5554 1952 +3259 -250 +0 1170 +-663 7715 +-2697 70 +-3903 1920 +-702 1207 +4056 1284 +3506 1564 +-2091 -720 +-5166 1513 +-3243 2633 +-1927 3200 +-3187 -351 +-1461 2736 +4408 3615 +6552 -1858 +1516 5462 +-2341 0 +1516 -5462 +6552 1858 +4408 -3615 +-1461 -2736 +-3187 351 +-1927 -3200 +-3243 -2633 +-5166 -1513 +-2091 720 +3506 -1564 +4056 -1284 +-702 -1207 +-3903 -1920 +-2697 -70 +-663 -7715 +0 -1170 +981 4264 +2738 -6735 +3314 51 +2357 2103 +1402 -3323 +609 2568 +-776 4583 +-1856 3168 +-1525 -4109 +-816 -3749 +-752 5204 +-194 574 +1282 -1372 +1397 -126 +-819 -5443 +-2341 0 +-819 5443 +1397 126 +1282 1372 +-194 -574 +-752 -5204 +-816 3749 +-1525 4109 +-1856 -3168 +-776 -4583 +609 -2568 +1402 3323 +2357 -2103 +3314 -51 +2738 6735 +981 -4264 +0 1170 +-663 7715 +-2697 70 +-3903 1920 +-702 1207 +4056 1284 +3506 1564 +-2091 -720 +-5166 1513 +-3243 2633 +-1927 3200 +-3187 -351 +-1461 2736 +4408 3615 +6552 -1858 +1516 5462 +2341 1170 +-1360 1654 +-3219 -1785 +-1772 342 +-1006 1081 +-1391 -1001 +26 2703 +1758 -758 +1170 -5793 +43 16 +-990 -696 +-3189 -7951 +-2409 448 +4103 1932 +7321 -7162 +759 -657 +-4681 0 +759 657 +7321 7162 +4103 -1932 +-2409 -448 +-3189 7951 +-990 696 +43 -16 +1170 5793 +1758 758 +26 -2703 +-1391 1001 +-1006 -1081 +-1772 -342 +-3219 1785 +-1360 -1654 +2341 -1170 +3070 -1330 +727 3111 +-2419 3814 +-5330 -1081 +-5566 3875 +-2027 58 +982 501 +1170 5793 +2319 -1050 +4363 1518 +2510 1399 +-617 -448 +1103 -583 +3162 -2813 +-950 6826 +-4681 0 +-950 -6826 +3162 2813 +1103 583 +-617 448 +2510 -1399 +4363 -1518 +2319 1050 +1170 -5793 +982 -501 +-2027 -58 +-5566 -3875 +-5330 1081 +-2419 -3814 +727 -3111 +3070 1330 +2341 1170 +-1360 1654 +-3219 -1785 +-1772 342 +-1006 1081 +-1391 -1001 +26 2703 +1758 -758 +1170 -5793 +43 16 +-990 -696 +-3189 -7951 +-2409 448 +4103 1932 +7321 -7162 +759 -657 +-1170 -2341 +-2301 -2973 +-1532 -2417 +2954 -2591 +-380 -1170 +288 2967 +2804 1311 +686 2166 +828 1655 +-2188 -2181 +658 5262 +5819 4088 +1909 1170 +1335 5229 +-338 1184 +-975 1327 +2341 0 +-975 -1327 +-338 -1184 +1335 -5229 +1909 -1170 +5819 -4088 +658 -5262 +-2188 2181 +828 -1655 +686 -2166 +2804 -1311 +288 -2967 +-380 1170 +2954 2591 +-1532 2417 +-2301 2973 +-1170 2341 +-3194 -1377 +1903 -1771 +5423 -136 +-1275 -1170 +-4720 3919 +2417 3150 +2755 -2586 +-828 -1655 +2329 1613 +-5879 2510 +-10037 -4179 +-254 1170 +-1063 7670 +-33 -2062 +2886 -1947 +0 0 +2886 1947 +-33 2062 +-1063 -7670 +-254 -1170 +-10037 4179 +-5879 -2510 +2329 -1613 +-828 1655 +2755 2586 +2417 -3150 +-4720 -3919 +-1275 1170 +5423 136 +1903 1771 +-3194 1377 +-1170 -2341 +-2301 -2973 +-1532 -2417 +2954 -2591 +-380 -1170 +288 2967 +2804 1311 +686 2166 +828 1655 +-2188 -2181 +658 5262 +5819 4088 +1909 1170 +1335 5229 +-338 1184 +-975 1327 +1170 0 +472 673 +892 -4353 +808 -1246 +-44 -5114 +-2737 -1292 +1560 4665 +4901 -1203 +-828 -2625 +44 -2733 +857 1474 +-1140 2117 +-2213 -3669 +-6978 -1150 +-1170 -2703 +3564 -6563 +0 0 +3564 6563 +-1170 2703 +-6978 1150 +-2213 3669 +-1140 -2117 +857 -1474 +44 2733 +-828 2625 +4901 1203 +1560 -4665 +-2737 1292 +-44 5114 +808 1246 +892 4353 +472 -673 +1170 0 +1722 4761 +2344 -909 +3079 -3456 +327 -3847 +-21 -720 +-1382 -921 +-2440 1220 +828 7306 +805 -798 +3646 898 +587 7088 +-7433 -611 +-219 1046 +2615 5431 +-2448 1828 +-2341 0 +-2448 -1828 +2615 -5431 +-219 -1046 +-7433 611 +587 -7088 +3646 -898 +805 798 +828 -7306 +-2440 -1220 +-1382 921 +-21 720 +327 3847 +3079 3456 +2344 909 +1722 -4761 +1170 0 +472 673 +892 -4353 +808 -1246 +-44 -5114 +-2737 -1292 +1560 4665 +4901 -1203 +-828 -2625 +44 -2733 +857 1474 +-1140 2117 +-2213 -3669 +-6978 -1150 +-1170 -2703 +3564 -6563 +-2341 -1170 +1574 -7564 +-3298 -5392 +-4815 415 +-3459 558 +1381 -2969 +3975 52 +2752 2830 +2341 -2683 +-1470 2416 +-2271 5816 +2262 -483 +2014 -1328 +186 -3003 +508 -3902 +270 -658 +0 0 +270 658 +508 3902 +186 3003 +2014 1328 +2262 483 +-2271 -5816 +-1470 -2416 +2341 2683 +2752 -2830 +3975 -52 +1381 2969 +-3459 -558 +-4815 -415 +-3298 5392 +1574 7564 +-2341 1170 +-8144 1982 +1643 994 +4928 936 +-2192 5778 +-2077 -3240 +-2320 -1749 +-405 2205 +2341 -4338 +139 1247 +3926 3788 +3535 2265 +-1044 -1699 +1219 -3638 +-2163 1442 +-1336 -3553 +4681 0 +-1336 3553 +-2163 -1442 +1219 3638 +-1044 1699 +3535 -2265 +3926 -3788 +139 -1247 +2341 4338 +-405 -2205 +-2320 1749 +-2077 3240 +-2192 -5778 +4928 -936 +1643 -994 +-8144 -1982 +-2341 -1170 +1574 -7564 +-3298 -5392 +-4815 415 +-3459 558 +1381 -2969 +3975 52 +2752 2830 +2341 -2683 +-1470 2416 +-2271 5816 +2262 -483 +2014 -1328 +186 -3003 +508 -3902 +270 -658 +0 -5851 +4575 -8184 +1821 5537 +4838 -478 +844 -2953 +-4242 -2175 +-1261 -6785 +-1020 -3159 +1655 -343 +1898 1892 +602 1339 +2027 -586 +-3362 2183 +-5154 6323 +-534 5820 +460 -1339 +0 0 +460 1339 +-534 -5820 +-5154 -6323 +-3362 -2183 +2027 586 +602 -1339 +1898 -1892 +1655 343 +-1020 3159 +-1261 6785 +-4242 2175 +844 2953 +4838 478 +1821 -5537 +4575 8184 +0 5851 +-1924 -5229 +3578 1636 +-2252 1887 +-2215 2267 +3431 3154 +2335 102 +1185 -793 +-1655 -1998 +1247 1286 +3005 -31 +-4526 -1003 +-4629 1812 +-743 -861 +-184 -18 +200 1677 +0 0 +200 -1677 +-184 18 +-743 861 +-4629 -1812 +-4526 1003 +3005 31 +1247 -1286 +-1655 1998 +1185 793 +2335 -102 +3431 -3154 +-2215 -2267 +-2252 -1887 +3578 -1636 +-1924 5229 +0 -5851 +4575 -8184 +1821 5537 +4838 -478 +844 -2953 +-4242 -2175 +-1261 -6785 +-1020 -3159 +1655 -343 +1898 1892 +602 1339 +2027 -586 +-3362 2183 +-5154 6323 +-534 5820 +460 -1339 +1170 0 +1226 -3733 +2808 -2622 +-1888 2567 +-2788 1216 +4425 1519 +349 3605 +948 -4846 +1998 -2140 +-679 6637 +721 -555 +-4758 -3286 +-3422 -576 +2112 963 +-316 2731 +202 -1723 +2341 0 +202 1723 +-316 -2731 +2112 -963 +-3422 576 +-4758 3286 +721 555 +-679 -6637 +1998 2140 +948 4846 +349 -3605 +4425 -1519 +-2788 -1216 +-1888 -2567 +2808 2622 +1226 3733 +1170 0 +-553 1210 +3696 4784 +1940 2426 +-1893 3749 +-1079 3716 +-7596 -4500 +-4106 -1013 +343 4480 +-488 835 +3215 -341 +-380 256 +-1259 5541 +-373 5129 +-2879 -569 +3450 3169 +9362 0 +3450 -3169 +-2879 569 +-373 -5129 +-1259 -5541 +-380 -256 +3215 341 +-488 -835 +343 -4480 +-4106 1013 +-7596 4500 +-1079 -3716 +-1893 -3749 +1940 -2426 +3696 -4784 +-553 -1210 +1170 0 +1226 -3733 +2808 -2622 +-1888 2567 +-2788 1216 +4425 1519 +349 3605 +948 -4846 +1998 -2140 +-679 6637 +721 -555 +-4758 -3286 +-3422 -576 +2112 963 +-316 2731 +202 -1723 +2341 0 +1162 -2603 +-1237 273 +382 4698 +670 -3252 +6012 -815 +1298 -120 +-7704 -4137 +-1513 1856 +1400 -760 +3705 -717 +3105 3760 +-5436 1968 +-1302 1919 +1125 -431 +-1138 -1555 +1170 0 +-1138 1555 +1125 431 +-1302 -1919 +-5436 -1968 +3105 -3760 +3705 717 +1400 760 +-1513 -1856 +-7704 4137 +1298 120 +6012 815 +670 3252 +382 -4698 +-1237 -273 +1162 2603 +2341 0 +128 2414 +2699 623 +1338 -1559 +299 1597 +-2025 5514 +-6729 2283 +-2806 3186 +-3168 5166 +-5417 -191 +3097 2879 +6386 938 +-215 -3623 +-656 1220 +5404 1326 +1136 1366 +-5851 0 +1136 -1366 +5404 -1326 +-656 -1220 +-215 3623 +6386 -938 +3097 -2879 +-5417 191 +-3168 -5166 +-2806 -3186 +-6729 -2283 +-2025 -5514 +299 -1597 +1338 1559 +2699 -623 +128 -2414 +2341 0 +1162 -2603 +-1237 273 +382 4698 +670 -3252 +6012 -815 +1298 -120 +-7704 -4137 +-1513 1856 +1400 -760 +3705 -717 +3105 3760 +-5436 1968 +-1302 1919 +1125 -431 +-1138 -1555 +4681 0 +4864 1876 +-2286 1316 +-1745 -207 +3159 -2208 +-2066 2366 +-3228 2591 +-1386 -5776 +-828 -2140 +1253 771 +-2556 -1880 +-2757 -1154 +-1799 -1490 +-5364 -183 +1036 2521 +1136 3191 +-5851 0 +1136 -3191 +1036 -2521 +-5364 183 +-1799 1490 +-2757 1154 +-2556 1880 +1253 -771 +-828 2140 +-1386 5776 +-3228 -2591 +-2066 -2366 +3159 2208 +-1745 207 +-2286 -1316 +4864 -1876 +4681 0 +4934 -4043 +3256 -4416 +-683 -659 +1522 -417 +-807 4238 +-2422 257 +3381 1566 +828 4480 +-6054 -3967 +-3095 3357 +4854 2655 +6480 -5815 +1948 835 +-66 2370 +-1508 2277 +-3511 0 +-1508 -2277 +-66 -2370 +1948 -835 +6480 5815 +4854 -2655 +-3095 -3357 +-6054 3967 +828 -4480 +3381 -1566 +-2422 -257 +-807 -4238 +1522 417 +-683 659 +3256 4416 +4934 4043 +4681 0 +4864 1876 +-2286 1316 +-1745 -207 +3159 -2208 +-2066 2366 +-3228 2591 +-1386 -5776 +-828 -2140 +1253 771 +-2556 -1880 +-2757 -1154 +-1799 -1490 +-5364 -183 +1036 2521 +1136 3191 +0 1170 +-5215 -5653 +-217 -2276 +398 4816 +-1872 2588 +-368 416 +-2318 -79 +3349 1587 +2140 -828 +-1497 -475 +3955 1607 +730 -2717 +-2631 3399 +-2000 3269 +-442 -3284 +1096 5203 +0 0 +1096 -5203 +-442 3284 +-2000 -3269 +-2631 -3399 +730 2717 +3955 -1607 +-1497 475 +2140 828 +3349 -1587 +-2318 79 +-368 -416 +-1872 -2588 +398 -4816 +-217 2276 +-5215 5653 +0 -1170 +1512 4646 +2186 7241 +3655 35 +1186 1692 +261 3863 +-561 5044 +-1699 4725 +-4480 828 +-152 -1625 +3606 -6572 +-623 -3949 +-1364 5562 +-2052 3877 +3154 -1681 +2607 -1381 +-4681 0 +2607 1381 +3154 1681 +-2052 -3877 +-1364 -5562 +-623 3949 +3606 6572 +-152 1625 +-4480 -828 +-1699 -4725 +-561 -5044 +261 -3863 +1186 -1692 +3655 -35 +2186 -7241 +1512 -4646 +0 1170 +-5215 -5653 +-217 -2276 +398 4816 +-1872 2588 +-368 416 +-2318 -79 +3349 1587 +2140 -828 +-1497 -475 +3955 1607 +730 -2717 +-2631 3399 +-2000 3269 +-442 -3284 +1096 5203 +0 -3511 +812 -1801 +72 22 +-109 2788 +-6226 2914 +-3953 2497 +3308 2918 +-1933 -1118 +-1170 3168 +-371 6209 +-2559 -606 +331 1896 +-2780 -1133 +-2187 -8896 +-2138 -2432 +457 1709 +7022 0 +457 -1709 +-2138 2432 +-2187 8896 +-2780 1133 +331 -1896 +-2559 606 +-371 -6209 +-1170 -3168 +-1933 1118 +3308 -2918 +-3953 -2497 +-6226 -2914 +-109 -2788 +72 -22 +812 1801 +0 3511 +-3173 1695 +985 -2006 +7065 -1473 +-110 5077 +-1253 3992 +5908 -3467 +222 681 +-1170 1513 +562 -803 +1334 4738 +3860 1786 +-246 -238 +2865 785 +2453 -4233 +-3197 -2591 +-2341 0 +-3197 2591 +2453 4233 +2865 -785 +-246 238 +3860 -1786 +1334 -4738 +562 803 +-1170 -1513 +222 -681 +5908 3467 +-1253 -3992 +-110 -5077 +7065 1473 +985 2006 +-3173 -1695 +0 -3511 +812 -1801 +72 22 +-109 2788 +-6226 2914 +-3953 2497 +3308 2918 +-1933 -1118 +-1170 3168 +-371 6209 +-2559 -606 +331 1896 +-2780 -1133 +-2187 -8896 +-2138 -2432 +457 1709 +-4681 -1170 +2366 -6805 +-156 -4958 +-4160 3476 +-6585 3781 +-1908 784 +5515 1679 +-1696 -704 +-1170 -142 +929 2576 +14 155 +2780 -380 +-2243 -1356 +291 -3878 +410 -1222 +-660 1341 +4681 0 +-660 -1341 +410 1222 +291 3878 +-2243 1356 +2780 380 +14 -155 +929 -2576 +-1170 142 +-1696 704 +5515 -1679 +-1908 -784 +-6585 -3781 +-4160 -3476 +-156 4958 +2366 6805 +-4681 1170 +-420 -1360 +5925 666 +3703 -1431 +2589 -1440 +-388 9251 +779 7715 +395 -1084 +-1170 4823 +372 2494 +-1627 -3433 +-485 2507 +1557 -985 +166 -1985 +-1499 2982 +-1286 -2648 +0 0 +-1286 2648 +-1499 -2982 +166 1985 +1557 985 +-485 -2507 +-1627 3433 +372 -2494 +-1170 -4823 +395 1084 +779 -7715 +-388 -9251 +2589 1440 +3703 1431 +5925 -666 +-420 1360 +-4681 -1170 +2366 -6805 +-156 -4958 +-4160 3476 +-6585 3781 +-1908 784 +5515 1679 +-1696 -704 +-1170 -142 +929 2576 +14 155 +2780 -380 +-2243 -1356 +291 -3878 +410 -1222 +-660 1341 +-2341 -2341 +-1872 -530 +5150 329 +7322 -2574 +2953 -96 +-467 558 +-4184 -4033 +-2692 -4116 +1312 -3026 +-2488 -2068 +-5637 -1859 +-1597 -2540 +2183 -4228 +1901 -1217 +112 1267 +1445 -4670 +3511 0 +1445 4670 +112 -1267 +1901 1217 +2183 4228 +-1597 2540 +-5637 1859 +-2488 2068 +1312 3026 +-2692 4116 +-4184 4033 +-467 -558 +2953 96 +7322 2574 +5150 -329 +-1872 530 +-2341 2341 +-2220 689 +-1469 -4325 +1444 -1113 +-2267 2437 +-1043 -2176 +6094 4718 +1420 1090 +-3653 -6336 +-2083 2707 +-2894 1173 +301 1343 +1812 1888 +-1241 692 +2827 2728 +1870 -7486 +-3511 0 +1870 7486 +2827 -2728 +-1241 -692 +1812 -1888 +301 -1343 +-2894 -1173 +-2083 -2707 +-3653 6336 +1420 -1090 +6094 -4718 +-1043 2176 +-2267 -2437 +1444 1113 +-1469 4325 +-2220 -689 +-2341 -2341 +-1872 -530 +5150 329 +7322 -2574 +2953 -96 +-467 558 +-4184 -4033 +-2692 -4116 +1312 -3026 +-2488 -2068 +-5637 -1859 +-1597 -2540 +2183 -4228 +1901 -1217 +112 1267 +1445 -4670 +2341 1170 +-1899 2090 +-958 -716 +-3709 -4458 +-1461 -5161 +1212 -2054 +-1535 471 +-506 2003 +4480 1513 +2690 -1125 +-393 414 +363 2917 +2357 693 +5538 1797 +-495 7014 +-292 6483 +7022 0 +-292 -6483 +-495 -7014 +5538 -1797 +2357 -693 +363 -2917 +-393 -414 +2690 1125 +4480 -1513 +-506 -2003 +-1535 -471 +1212 2054 +-1461 5161 +-3709 4458 +-958 716 +-1899 -2090 +2341 -1170 +2736 2113 +-5200 -609 +343 -4147 +-194 1851 +-3286 3252 +-46 -3232 +-5102 5 +-2140 3168 +-2183 -2710 +603 -1236 +9346 1087 +-702 -4003 +-3186 -976 +-1338 2961 +-2064 -1503 +2341 0 +-2064 1503 +-1338 -2961 +-3186 976 +-702 4003 +9346 -1087 +603 1236 +-2183 2710 +-2140 -3168 +-5102 -5 +-46 3232 +-3286 -3252 +-194 -1851 +343 4147 +-5200 609 +2736 -2113 +2341 1170 +-1899 2090 +-958 -716 +-3709 -4458 +-1461 -5161 +1212 -2054 +-1535 471 +-506 2003 +4480 1513 +2690 -1125 +-393 414 +363 2917 +2357 693 +5538 1797 +-495 7014 +-292 6483 +1170 -2341 +1411 -2874 +-5736 3481 +348 7582 +3712 -4713 +1826 -1863 +3347 4266 +2151 -3155 +3168 686 +-532 2116 +-4364 -1428 +-184 -942 +-1634 -388 +-4188 1269 +-3314 -950 +-4174 -2159 +-4681 0 +-4174 2159 +-3314 950 +-4188 -1269 +-1634 388 +-184 942 +-4364 1428 +-532 -2116 +3168 -686 +2151 3155 +3347 -4266 +1826 1863 +3712 4713 +348 -7582 +-5736 -3481 +1411 2874 +1170 2341 +-1278 -131 +3903 844 +703 6003 +283 1403 +370 -1343 +-3137 -6057 +468 -7459 +1513 3996 +2238 2540 +2783 -363 +-220 773 +2320 -2922 +1346 2113 +-2844 5275 +-284 1184 +2341 0 +-284 -1184 +-2844 -5275 +1346 -2113 +2320 2922 +-220 -773 +2783 363 +2238 -2540 +1513 -3996 +468 7459 +-3137 6057 +370 1343 +283 -1403 +703 -6003 +3903 -844 +-1278 131 +1170 -2341 +1411 -2874 +-5736 3481 +348 7582 +3712 -4713 +1826 -1863 +3347 4266 +2151 -3155 +3168 686 +-532 2116 +-4364 -1428 +-184 -942 +-1634 -388 +-4188 1269 +-3314 -950 +-4174 -2159 +-1170 4681 +1498 3977 +-555 -4098 +-4775 -762 +1783 3333 +2688 1798 +3152 2464 +2516 -2565 +-828 -3310 +365 5616 +-2309 5152 +-4271 -492 +1013 -275 +2557 416 +-5126 -299 +-5304 -628 +0 0 +-5304 628 +-5126 299 +2557 -416 +1013 275 +-4271 492 +-2309 -5152 +365 -5616 +-828 3310 +2516 2565 +3152 -2464 +2688 -1798 +1783 -3333 +-4775 762 +-555 4098 +1498 -3977 +-1170 -4681 +2394 2263 +-593 4234 +-763 1982 +-3438 -992 +-5686 1374 +1054 206 +-462 -3409 +828 3310 +3697 4036 +4723 828 +4736 3812 +642 -2066 +5515 -2927 +-346 3745 +-4704 -108 +2341 0 +-4704 108 +-346 -3745 +5515 2927 +642 2066 +4736 -3812 +4723 -828 +3697 -4036 +828 -3310 +-462 3409 +1054 -206 +-5686 -1374 +-3438 992 +-763 -1982 +-593 -4234 +2394 -2263 +-1170 4681 +1498 3977 +-555 -4098 +-4775 -762 +1783 3333 +2688 1798 +3152 2464 +2516 -2565 +-828 -3310 +365 5616 +-2309 5152 +-4271 -492 +1013 -275 +2557 416 +-5126 -299 +-5304 -628 +-3511 -5851 +-1327 154 +7452 -926 +1871 -2512 +828 -1566 +821 -783 +-1284 -250 +2541 6948 +-3996 3854 +-5084 -6520 +4279 1602 +1813 2092 +-828 -3273 +1044 -660 +-977 -4998 +-3025 -4033 +-3511 0 +-3025 4033 +-977 4998 +1044 660 +-828 3273 +1813 -2092 +4279 -1602 +-5084 6520 +-3996 -3854 +2541 -6948 +-1284 250 +821 783 +828 1566 +1871 2512 +7452 926 +-1327 -154 +-3511 5851 +2811 7653 +109 1864 +735 -262 +828 596 +645 1814 +3254 -1702 +502 771 +-686 5509 +-1270 488 +-1568 -2183 +31 -5113 +-828 -2377 +-340 454 +-1903 -2056 +-1769 4709 +1170 0 +-1769 -4709 +-1903 2056 +-340 -454 +-828 2377 +31 5113 +-1568 2183 +-1270 -488 +-686 -5509 +502 -771 +3254 1702 +645 -1814 +828 -596 +735 262 +109 -1864 +2811 -7653 +-3511 -5851 +-1327 154 +7452 -926 +1871 -2512 +828 -1566 +821 -783 +-1284 -250 +2541 6948 +-3996 3854 +-5084 -6520 +4279 1602 +1813 2092 +-828 -3273 +1044 -660 +-977 -4998 +-3025 -4033 +-1170 2341 +3092 3042 +-545 6233 +-3749 2175 +2393 -5346 +-420 -2061 +1397 2602 +4736 3015 +-1513 2341 +-2468 2009 +728 1780 +-798 -421 +-4101 -1917 +-2628 -2060 +-1845 397 +-1753 3950 +0 0 +-1753 -3950 +-1845 -397 +-2628 2060 +-4101 1917 +-798 421 +728 -1780 +-2468 -2009 +-1513 -2341 +4736 -3015 +1397 -2602 +-420 2061 +2393 5346 +-3749 -2175 +-545 -6233 +3092 -3042 +-1170 -2341 +-1198 3414 +-932 3550 +4459 -3393 +231 2036 +-5528 3822 +1703 351 +-899 5129 +-3168 2341 +423 18 +4163 3916 +2420 -351 +-3205 -1393 +6242 -1691 +4693 -6597 +-1932 -3611 +2341 0 +-1932 3611 +4693 6597 +6242 1691 +-3205 1393 +2420 351 +4163 -3916 +423 -18 +-3168 -2341 +-899 -5129 +1703 -351 +-5528 -3822 +231 -2036 +4459 3393 +-932 -3550 +-1198 -3414 +-1170 2341 +3092 3042 +-545 6233 +-3749 2175 +2393 -5346 +-420 -2061 +1397 2602 +4736 3015 +-1513 2341 +-2468 2009 +728 1780 +-798 -421 +-4101 -1917 +-2628 -2060 +-1845 397 +-1753 3950 +5851 3511 +-180 130 +-4048 -5660 +-5846 -4760 +-3333 3838 +2584 -1107 +1420 -729 +-114 6396 +-1170 343 +-3126 -2158 +-1876 -3198 +449 -606 +-275 3923 +-1669 -602 +2748 448 +4023 3337 +1170 0 +4023 -3337 +2748 -448 +-1669 602 +-275 -3923 +449 606 +-1876 3198 +-3126 2158 +-1170 -343 +-114 -6396 +1420 729 +2584 1107 +-3333 -3838 +-5846 4760 +-4048 5660 +-180 -130 +5851 -3511 +93 -511 +-802 3827 +5391 1608 +992 3467 +4580 2316 +4172 518 +-5594 3547 +-1170 1998 +-592 -215 +-3715 1617 +-1769 4977 +-2066 -1298 +2901 -2129 +2102 5710 +-1130 -52 +1170 0 +-1130 52 +2102 -5710 +2901 2129 +-2066 1298 +-1769 -4977 +-3715 -1617 +-592 215 +-1170 -1998 +-5594 -3547 +4172 -518 +4580 -2316 +992 -3467 +5391 -1608 +-802 -3827 +93 511 +5851 3511 +-180 130 +-4048 -5660 +-5846 -4760 +-3333 3838 +2584 -1107 +1420 -729 +-114 6396 +-1170 343 +-3126 -2158 +-1876 -3198 +449 -606 +-275 3923 +-1669 -602 +2748 448 +4023 3337 +1170 -8192 +2970 -17 +-24 4734 +632 -681 +3721 -3467 +-2531 -2329 +-5601 -2715 +-2297 -3289 +485 -1312 +3771 -3920 +1359 -964 +-790 5066 +1678 1298 +1214 -3860 +1514 -3302 +-1406 630 +-5851 0 +-1406 -630 +1514 3302 +1214 3860 +1678 -1298 +-790 -5066 +1359 964 +3771 3920 +485 1312 +-2297 3289 +-5601 2715 +-2531 2329 +3721 3467 +632 681 +-24 -4734 +2970 17 +1170 8192 +-669 -875 +-2509 1676 +-448 7377 +1930 -3838 +-1877 1302 +-515 3177 +705 -672 +-2825 3653 +1131 -3203 +4757 -3823 +1887 -2427 +-2647 -3923 +-4708 -1760 +1020 -4899 +2415 -1943 +-1170 0 +2415 1943 +1020 4899 +-4708 1760 +-2647 3923 +1887 2427 +4757 3823 +1131 3203 +-2825 -3653 +705 672 +-515 -3177 +-1877 -1302 +1930 3838 +-448 -7377 +-2509 -1676 +-669 875 +1170 -8192 +2970 -17 +-24 4734 +632 -681 +3721 -3467 +-2531 -2329 +-5601 -2715 +-2297 -3289 +485 -1312 +3771 -3920 +1359 -964 +-790 5066 +1678 1298 +1214 -3860 +1514 -3302 +-1406 630 +-1170 -2341 +2961 5655 +2549 1628 +4471 365 +2610 68 +-805 1236 +73 -2663 +-1757 -5962 +-2683 485 +-3319 -3203 +-60 -4090 +3712 561 +186 -2990 +1908 -2775 +4769 1368 +1611 3320 +0 0 +1611 -3320 +4769 -1368 +1908 2775 +186 2990 +3712 -561 +-60 4090 +-3319 3203 +-2683 -485 +-1757 5962 +73 2663 +-805 -1236 +2610 -68 +4471 -365 +2549 -1628 +2961 -5655 +-1170 2341 +1938 2736 +1447 -4865 +-10837 689 +-2610 -1723 +6803 -1323 +612 2841 +40 -2794 +-4338 -2825 +-6182 -2243 +746 -413 +459 2661 +-186 1335 +910 519 +-773 77 +-1913 1760 +-2341 0 +-1913 -1760 +-773 -77 +910 -519 +-186 -1335 +459 -2661 +746 413 +-6182 2243 +-4338 2825 +40 2794 +612 -2841 +6803 1323 +-2610 1723 +-10837 -689 +1447 4865 +1938 -2736 +-1170 -2341 +2961 5655 +2549 1628 +4471 365 +2610 68 +-805 1236 +73 -2663 +-1757 -5962 +-2683 485 +-3319 -3203 +-60 -4090 +3712 561 +186 -2990 +1908 -2775 +4769 1368 +1611 3320 +4681 -2341 +3355 -4799 +-5052 1349 +-6852 424 +-2759 -194 +208 -4179 +1936 719 +-3000 3676 +-4823 -2140 +3593 3631 +3580 2006 +-3278 -935 +-1482 702 +-23 -2825 +-2011 -395 +3087 21 +8192 0 +3087 -21 +-2011 395 +-23 2825 +-1482 -702 +-3278 935 +3580 -2006 +3593 -3631 +-4823 2140 +-3000 -3676 +1936 -719 +208 4179 +-2759 194 +-6852 -424 +-5052 -1349 +3355 4799 +4681 2341 +2219 -1690 +2131 4945 +2497 -626 +3728 -1461 +-356 930 +-3339 370 +2143 8265 +142 4480 +-3751 318 +1133 3763 +-1676 -942 +-4169 -2357 +2860 1251 +1623 2008 +-1027 1481 +1170 0 +-1027 -1481 +1623 -2008 +2860 -1251 +-4169 2357 +-1676 942 +1133 -3763 +-3751 -318 +142 -4480 +2143 -8265 +-3339 -370 +-356 -930 +3728 1461 +2497 626 +2131 -4945 +2219 1690 +4681 -2341 +3355 -4799 +-5052 1349 +-6852 424 +-2759 -194 +208 -4179 +1936 719 +-3000 3676 +-4823 -2140 +3593 3631 +3580 2006 +-3278 -935 +-1482 702 +-23 -2825 +-2011 -395 +3087 21 +1170 0 +-1518 -223 +1910 -3396 +8259 -2460 +1230 1998 +-2367 -383 +-294 86 +-1026 784 +-1998 -2140 +2302 432 +617 853 +-2517 -317 +848 -343 +-4595 -2878 +-2830 -549 +2631 3201 +0 0 +2631 -3201 +-2830 549 +-4595 2878 +848 343 +-2517 317 +617 -853 +2302 -432 +-1998 2140 +-1026 -784 +-294 -86 +-2367 383 +1230 -1998 +8259 2460 +1910 3396 +-1518 223 +1170 0 +-2691 4099 +4206 6495 +5558 -1320 +-2199 1998 +-1861 7842 +-2240 -2934 +23 -1030 +-343 4480 +-1026 -1098 +1917 -2330 +1406 -4541 +4802 -343 +2737 2765 +-3286 -4343 +-5316 -2487 +-7022 0 +-5316 2487 +-3286 4343 +2737 -2765 +4802 343 +1406 4541 +1917 2330 +-1026 1098 +-343 -4480 +23 1030 +-2240 2934 +-1861 -7842 +-2199 -1998 +5558 1320 +4206 -6495 +-2691 -4099 +1170 0 +-1518 -223 +1910 -3396 +8259 -2460 +1230 1998 +-2367 -383 +-294 86 +-1026 784 +-1998 -2140 +2302 432 +617 853 +-2517 -317 +848 -343 +-4595 -2878 +-2830 -549 +2631 3201 +2341 3511 +6249 2389 +1436 -504 +1559 -1453 +0 2393 +38 4268 +1873 2586 +167 -2470 +5651 -1998 +3399 1713 +-5965 -1543 +-3320 4022 +0 4101 +-624 -1869 +296 2402 +3912 -344 +7022 0 +3912 344 +296 -2402 +-624 1869 +0 -4101 +-3320 -4022 +-5965 1543 +3399 -1713 +5651 1998 +167 2470 +1873 -2586 +38 -4268 +0 -2393 +1559 1453 +1436 504 +6249 -2389 +2341 -3511 +-1536 2584 +-466 5039 +-4481 -1293 +0 231 +-426 2320 +-7524 1780 +-1571 1759 +-969 -343 +-4802 -3439 +314 -2082 +2931 7666 +0 3205 +-2298 -2395 +674 3504 +801 -2318 +-2341 0 +801 2318 +674 -3504 +-2298 2395 +0 -3205 +2931 -7666 +314 2082 +-4802 3439 +-969 343 +-1571 -1759 +-7524 -1780 +-426 -2320 +0 -231 +-4481 1293 +-466 -5039 +-1536 -2584 +2341 3511 +6249 2389 +1436 -504 +1559 -1453 +0 2393 +38 4268 +1873 2586 +167 -2470 +5651 -1998 +3399 1713 +-5965 -1543 +-3320 4022 +0 4101 +-624 -1869 +296 2402 +3912 -344 +0 1170 +557 1904 +-4242 -1027 +3200 -2954 +2825 -4071 +-85 -213 +3776 283 +-3765 -3635 +-1655 -343 +817 -1670 +-2578 -2229 +1429 3973 +-485 -2171 +2279 -3516 +1287 5632 +-4091 3135 +-2341 0 +-4091 -3135 +1287 -5632 +2279 3516 +-485 2171 +1429 -3973 +-2578 2229 +817 1670 +-1655 343 +-3765 3635 +3776 -283 +-85 213 +2825 4071 +3200 2954 +-4242 1027 +557 -1904 +0 -1170 +-4327 -294 +-996 -418 +5131 5004 +2825 2416 +-2335 -2483 +6528 4220 +8816 3863 +1655 -1998 +-1270 528 +-4417 2051 +-2558 1323 +-485 516 +-442 -2426 +641 -2395 +-3357 -154 +-7022 0 +-3357 154 +641 2395 +-442 2426 +-485 -516 +-2558 -1323 +-4417 -2051 +-1270 -528 +1655 1998 +8816 -3863 +6528 -4220 +-2335 2483 +2825 -2416 +5131 -5004 +-996 418 +-4327 294 +0 1170 +557 1904 +-4242 -1027 +3200 -2954 +2825 -4071 +-85 -213 +3776 283 +-3765 -3635 +-1655 -343 +817 -1670 +-2578 -2229 +1429 3973 +-485 -2171 +2279 -3516 +1287 5632 +-4091 3135 +-1170 -3511 +-3112 -3965 +51 -509 +-4884 2529 +-291 -3758 +-706 2468 +-1351 7560 +6792 -1209 +2341 -828 +-48 4144 +705 3717 +-2117 -1924 +3527 -2229 +2239 -1336 +-2086 -5137 +3953 -616 +8192 0 +3953 616 +-2086 5137 +2239 1336 +3527 2229 +-2117 1924 +705 -3717 +-48 -4144 +2341 828 +6792 1209 +-1351 -7560 +-706 -2468 +-291 3758 +-4884 -2529 +51 509 +-3112 3965 +-1170 3511 +5831 2698 +-2899 1286 +-2801 529 +976 -2862 +-5153 590 +-3540 1866 +850 -58 +2341 828 +818 -2878 +-3805 -911 +-2969 -1134 +469 -4391 +3151 -1723 +3563 -706 +-1843 1883 +-5851 0 +-1843 -1883 +3563 706 +3151 1723 +469 4391 +-2969 1134 +-3805 911 +818 2878 +2341 -828 +850 58 +-3540 -1866 +-5153 -590 +976 2862 +-2801 -529 +-2899 -1286 +5831 -2698 +-1170 -3511 +-3112 -3965 +51 -509 +-4884 2529 +-291 -3758 +-706 2468 +-1351 7560 +6792 -1209 +2341 -828 +-48 4144 +705 3717 +-2117 -1924 +3527 -2229 +2239 -1336 +-2086 -5137 +3953 -616 +-1170 1170 +5471 155 +3761 -2524 +-1991 1934 +-549 -1013 +-2434 -8439 +-285 -4131 +-194 -671 +-485 -343 +1460 1960 +-1470 263 +2227 -3600 +1984 -3438 +-5251 4017 +-3620 7095 +-1873 460 +-3511 0 +-1873 -460 +-3620 -7095 +-5251 -4017 +1984 3438 +2227 3600 +-1470 -263 +1460 -1960 +-485 343 +-194 671 +-285 4131 +-2434 8439 +-549 1013 +-1991 -1934 +3761 2524 +5471 -155 +-1170 -1170 +972 -2714 +235 -4022 +-2740 1959 +-4132 -642 +-1650 -3621 +971 999 +-1647 -2824 +2825 -1998 +1900 3972 +2155 -1456 +2871 -2616 +-6665 1783 +2347 -900 +7616 -2340 +532 -213 +1170 0 +532 213 +7616 2340 +2347 900 +-6665 -1783 +2871 2616 +2155 1456 +1900 -3972 +2825 1998 +-1647 2824 +971 -999 +-1650 3621 +-4132 642 +-2740 -1959 +235 4022 +972 2714 +-1170 1170 +5471 155 +3761 -2524 +-1991 1934 +-549 -1013 +-2434 -8439 +-285 -4131 +-194 -671 +-485 -343 +1460 1960 +-1470 263 +2227 -3600 +1984 -3438 +-5251 4017 +-3620 7095 +-1873 460 +1170 -1170 +-55 445 +3476 -2514 +2570 -3593 +3497 2640 +3532 2893 +-3578 -2281 +-7415 -992 +-2341 1513 +-1193 703 +-466 154 +2529 -2356 +-964 -2126 +-298 2975 +847 -1240 +907 -8610 +3511 0 +907 8610 +847 1240 +-298 -2975 +-964 2126 +2529 2356 +-466 -154 +-1193 -703 +-2341 -1513 +-7415 992 +-3578 2281 +3532 -2893 +3497 -2640 +2570 3593 +3476 2514 +-55 -445 +1170 1170 +906 -1028 +-3105 -3465 +12 851 +-5152 3011 +238 1036 +8798 2417 +-4496 4211 +-2341 3168 +367 2873 +-4754 3293 +2854 3396 +2619 3095 +1802 755 +-1218 -1429 +-2261 -978 +3511 0 +-2261 978 +-1218 1429 +1802 -755 +2619 -3095 +2854 -3396 +-4754 -3293 +367 -2873 +-2341 -3168 +-4496 -4211 +8798 -2417 +238 -1036 +-5152 -3011 +12 -851 +-3105 3465 +906 1028 +1170 -1170 +-55 445 +3476 -2514 +2570 -3593 +3497 2640 +3532 2893 +-3578 -2281 +-7415 -992 +-2341 1513 +-1193 703 +-466 154 +2529 -2356 +-964 -2126 +-298 2975 +847 -1240 +907 -8610 +0 3511 +-306 2725 +2248 -2617 +1002 -906 +3168 4317 +-1573 528 +-4715 -1261 +3149 1641 +2825 2483 +1608 -41 +1374 -2930 +-2589 844 +1513 -4055 +4695 -3615 +1201 3046 +-4990 -6844 +-9362 0 +-4990 6844 +1201 -3046 +4695 3615 +1513 4055 +-2589 -844 +1374 2930 +1608 41 +2825 -2483 +3149 -1641 +-4715 1261 +-1573 -528 +3168 -4317 +1002 906 +2248 2617 +-306 -2725 +0 -3511 +813 1227 +-5096 -1515 +-3076 -4010 +3168 364 +3925 -2475 +-177 -723 +-2311 902 +-485 -2483 +-3964 -222 +-4474 -3736 +-778 -2015 +1513 -626 +5014 -7145 +276 -2496 +-618 1369 +4681 0 +-618 -1369 +276 2496 +5014 7145 +1513 626 +-778 2015 +-4474 3736 +-3964 222 +-485 2483 +-2311 -902 +-177 723 +3925 2475 +3168 -364 +-3076 4010 +-5096 1515 +813 -1227 +0 3511 +-306 2725 +2248 -2617 +1002 -906 +3168 4317 +-1573 528 +-4715 -1261 +3149 1641 +2825 2483 +1608 -41 +1374 -2930 +-2589 844 +1513 -4055 +4695 -3615 +1201 3046 +-4990 -6844 +3511 2341 +1304 476 +-2070 3048 +-2291 4957 +-1191 -359 +837 2994 +2739 1707 +6700 -4235 +828 0 +-5875 2723 +-2429 1904 +1851 515 +202 -537 +-6140 2600 +599 4087 +2714 2170 +-4681 0 +2714 -2170 +599 -4087 +-6140 -2600 +202 537 +1851 -515 +-2429 -1904 +-5875 -2723 +828 0 +6700 4235 +2739 -1707 +837 -2994 +-1191 359 +-2291 -4957 +-2070 -3048 +1304 -476 +3511 -2341 +2879 1429 +6573 592 +-981 699 +-5145 2699 +1993 266 +497 497 +1458 229 +-828 0 +-2556 -5210 +3874 -7691 +658 1730 +-3228 -1804 +-2548 -4578 +-421 923 +-4 -5366 +-2341 0 +-4 5366 +-421 -923 +-2548 4578 +-3228 1804 +658 -1730 +3874 7691 +-2556 5210 +-828 0 +1458 -229 +497 -497 +1993 -266 +-5145 -2699 +-981 -699 +6573 -592 +2879 -1429 +3511 2341 +1304 476 +-2070 3048 +-2291 4957 +-1191 -359 +837 2994 +2739 1707 +6700 -4235 +828 0 +-5875 2723 +-2429 1904 +1851 515 +202 -537 +-6140 2600 +599 4087 +2714 2170 +1170 -1170 +687 508 +-4083 1450 +1739 1223 +3669 -3205 +3472 -1135 +1046 -469 +-5130 -2086 +-2825 1028 +1179 739 +6341 2848 +4379 25 +-3847 -2393 +2314 2370 +3968 -232 +-2771 -1615 +-3511 0 +-2771 1615 +3968 232 +2314 -2370 +-3847 2393 +4379 -25 +6341 -2848 +1179 -739 +-2825 -1028 +-5130 2086 +1046 469 +3472 1135 +3669 3205 +1739 -1223 +-4083 -1450 +687 -508 +1170 1170 +-1668 -724 +1846 -1957 +-1837 129 +611 -4101 +4653 -264 +1547 3020 +5490 1224 +485 5993 +-5625 2723 +2367 -3607 +233 -3216 +-5114 -231 +-1713 -2810 +-3671 -3586 +-5401 5724 +-3511 0 +-5401 -5724 +-3671 3586 +-1713 2810 +-5114 231 +233 3216 +2367 3607 +-5625 -2723 +485 -5993 +5490 -1224 +1547 -3020 +4653 264 +611 4101 +-1837 -129 +1846 1957 +-1668 724 +1170 -1170 +687 508 +-4083 1450 +1739 1223 +3669 -3205 +3472 -1135 +1046 -469 +-5130 -2086 +-2825 1028 +1179 739 +6341 2848 +4379 25 +-3847 -2393 +2314 2370 +3968 -232 +-2771 -1615 +-5851 -3511 +2449 -886 +-3327 209 +-7191 1669 +2699 -1686 +426 -2344 +459 1030 +139 -2117 +1170 -1998 +7377 3599 +-1512 -467 +-4127 -1982 +1804 5241 +-53 1090 +2730 -5212 +1679 -672 +-3511 0 +1679 672 +2730 5212 +-53 -1090 +1804 -5241 +-4127 1982 +-1512 467 +7377 -3599 +1170 1998 +139 2117 +459 -1030 +426 2344 +2699 1686 +-7191 -1669 +-3327 -209 +2449 886 +-5851 3511 +-3289 7912 +269 5949 +1799 1750 +-359 1001 +-3051 -210 +808 -2611 +-1774 -3386 +1170 -343 +3684 3214 +245 257 +909 -3734 +537 -1246 +4668 1908 +328 3379 +-3645 4031 +1170 0 +-3645 -4031 +328 -3379 +4668 -1908 +537 1246 +909 3734 +245 -257 +3684 -3214 +1170 343 +-1774 3386 +808 2611 +-3051 210 +-359 -1001 +1799 -1750 +269 -5949 +-3289 -7912 +-5851 -3511 +2449 -886 +-3327 209 +-7191 1669 +2699 -1686 +426 -2344 +459 1030 +139 -2117 +1170 -1998 +7377 3599 +-1512 -467 +-4127 -1982 +1804 5241 +-53 1090 +2730 -5212 +1679 -672 +3511 0 +3509 1516 +-858 -1800 +-3209 -1441 +-3907 -1186 +-3907 -27 +-5157 -2396 +1494 -5867 +343 485 +-7627 1116 +122 -2226 +4641 928 +933 -1364 +3956 1172 +6894 4433 +903 -3553 +-4681 0 +903 3553 +6894 -4433 +3956 -1172 +933 1364 +4641 -928 +122 2226 +-7627 -1116 +343 -485 +1494 5867 +-5157 2396 +-3907 27 +-3907 1186 +-3209 1441 +-858 1800 +3509 -1516 +3511 0 +1053 2613 +1635 -1299 +-4950 -295 +-1744 1872 +1185 2908 +-4269 5244 +2835 -748 +1998 -2825 +493 4585 +2685 3703 +-2696 -1209 +37 -2631 +-1641 -3328 +-1051 459 +3961 4016 +2341 0 +3961 -4016 +-1051 -459 +-1641 3328 +37 2631 +-2696 1209 +2685 -3703 +493 -4585 +1998 2825 +2835 748 +-4269 -5244 +1185 -2908 +-1744 -1872 +-4950 295 +1635 1299 +1053 -2613 +3511 0 +3509 1516 +-858 -1800 +-3209 -1441 +-3907 -1186 +-3907 -27 +-5157 -2396 +1494 -5867 +343 485 +-7627 1116 +122 -2226 +4641 928 +933 -1364 +3956 1172 +6894 4433 +903 -3553 +-1170 -1170 +799 -1276 +-1000 -2226 +-4273 -6172 +2909 -1550 +2386 1448 +-4519 -7403 +-669 -5536 +201 -1312 +-1894 -4167 +-947 2211 +256 74 +-30 -738 +-1321 4842 +4252 -1099 +3068 -1138 +-3511 0 +3068 1138 +4252 1099 +-1321 -4842 +-30 738 +256 -74 +-947 -2211 +-1894 4167 +201 1312 +-669 5536 +-4519 7403 +2386 -1448 +2909 1550 +-4273 6172 +-1000 2226 +799 1276 +-1170 1170 +-1547 -4278 +1252 -1042 +4375 2042 +-1940 -2446 +-3692 2187 +2475 -22 +5920 -2431 +6821 3653 +-47 -637 +-3630 -1644 +-2260 -106 +-5621 1424 +-2091 3344 +2116 -3540 +989 -3996 +1170 0 +989 3996 +2116 3540 +-2091 -3344 +-5621 -1424 +-2260 106 +-3630 1644 +-47 637 +6821 -3653 +5920 2431 +2475 22 +-3692 -2187 +-1940 2446 +4375 -2042 +1252 1042 +-1547 4278 +-1170 -1170 +799 -1276 +-1000 -2226 +-4273 -6172 +2909 -1550 +2386 1448 +-4519 -7403 +-669 -5536 +201 -1312 +-1894 -4167 +-947 2211 +256 74 +-30 -738 +-1321 4842 +4252 -1099 +3068 -1138 +7022 -1170 +1678 2309 +-4099 -1864 +-4139 1643 +-3317 4540 +5618 -2614 +7643 150 +3670 2025 +-1170 828 +-1401 3768 +2585 -414 +-575 -50 +-2809 2462 +-796 -1797 +4165 463 +2967 3726 +-2341 0 +2967 -3726 +4165 -463 +-796 1797 +-2809 -2462 +-575 50 +2585 414 +-1401 -3768 +-1170 -828 +3670 -2025 +7643 -150 +5618 2614 +-3317 -4540 +-4139 -1643 +-4099 1864 +1678 -2309 +7022 1170 +-1212 2256 +1220 -1058 +1490 -782 +-2050 1111 +697 1369 +-4931 1253 +-2182 3734 +-1170 -828 +-6204 -2335 +-615 5128 +-3206 596 +-5867 -1492 +912 4450 +3396 -75 +2683 -3486 +2341 0 +2683 3486 +3396 75 +912 -4450 +-5867 1492 +-3206 -596 +-615 -5128 +-6204 2335 +-1170 828 +-2182 -3734 +-4931 -1253 +697 -1369 +-2050 -1111 +1490 782 +1220 1058 +-1212 -2256 +7022 -1170 +1678 2309 +-4099 -1864 +-4139 1643 +-3317 4540 +5618 -2614 +7643 150 +3670 2025 +-1170 828 +-1401 3768 +2585 -414 +-575 -50 +-2809 2462 +-796 -1797 +4165 463 +2967 3726 +0 -1170 +4121 4816 +-427 -149 +394 -1638 +-2244 3175 +-1735 -3180 +3139 -3202 +-433 4147 +0 -1998 +-4994 -5548 +-2453 824 +3626 3217 +-6569 4333 +-56 2141 +4423 -3282 +-1833 -2657 +0 0 +-1833 2657 +4423 3282 +-56 -2141 +-6569 -4333 +3626 -3217 +-2453 -824 +-4994 5548 +0 1998 +-433 -4147 +3139 3202 +-1735 3180 +-2244 -3175 +394 1638 +-427 149 +4121 -4816 +0 1170 +-3867 1454 +-427 -2013 +-1139 303 +-4778 -1520 +-3896 -907 +3139 4097 +4352 1479 +0 -343 +-3249 2524 +-2453 71 +214 -3721 +-453 -2678 +2592 107 +4423 1120 +5904 278 +9362 0 +5904 -278 +4423 -1120 +2592 -107 +-453 2678 +214 3721 +-2453 -71 +-3249 -2524 +0 343 +4352 -1479 +3139 -4097 +-3896 907 +-4778 1520 +-1139 -303 +-427 2013 +-3867 -1454 +0 -1170 +4121 4816 +-427 -149 +394 -1638 +-2244 3175 +-1735 -3180 +3139 -3202 +-433 4147 +0 -1998 +-4994 -5548 +-2453 824 +3626 3217 +-6569 4333 +-56 2141 +4423 -3282 +-1833 -2657 +1170 1170 +-3083 2216 +-3538 -3839 +246 -46 +3139 2281 +2179 -1091 +-3521 3071 +-183 -1700 +686 -5509 +-95 -1393 +3432 179 +1409 2712 +-427 -2662 +-2773 506 +-1162 9630 +-1372 564 +-5851 0 +-1372 -564 +-1162 -9630 +-2773 -506 +-427 2662 +1409 -2712 +3432 -179 +-95 1393 +686 5509 +-183 1700 +-3521 -3071 +2179 1091 +3139 -2281 +246 46 +-3538 3839 +-3083 -2216 +1170 -1170 +-2864 -3211 +-3887 -5419 +-3932 4287 +-2453 5710 +1658 247 +-1118 1358 +894 210 +3996 -3854 +3710 -97 +-164 1508 +-3455 -3555 +4423 1291 +4667 3736 +595 -2906 +2994 -1559 +3511 0 +2994 1559 +595 2906 +4667 -3736 +4423 -1291 +-3455 3555 +-164 -1508 +3710 97 +3996 3854 +894 -210 +-1118 -1358 +1658 -247 +-2453 -5710 +-3932 -4287 +-3887 5419 +-2864 3211 +1170 1170 +-3083 2216 +-3538 -3839 +246 -46 +3139 2281 +2179 -1091 +-3521 3071 +-183 -1700 +686 -5509 +-95 -1393 +3432 179 +1409 2712 +-427 -2662 +-2773 506 +-1162 9630 +-1372 564 +-1170 -3511 +3251 2298 +-138 -3819 +-4641 -4997 +-178 1909 +1352 -4501 +158 -287 +4075 2323 +4480 -2683 +1963 509 +2537 -5901 +-82 -3180 +-3236 1275 +-1758 -3704 +-1472 -210 +-1867 -2366 +-1170 0 +-1867 2366 +-1472 210 +-1758 3704 +-3236 -1275 +-82 3180 +2537 5901 +1963 -509 +4480 2683 +4075 -2323 +158 287 +1352 4501 +-178 -1909 +-4641 4997 +-138 3819 +3251 -2298 +-1170 3511 +-512 4570 +2615 583 +-662 -3084 +-4503 -254 +-3950 3548 +-487 466 +388 -2216 +-2140 -4338 +1209 -5742 +5783 1398 +1161 9120 +-1445 380 +1960 -4104 +367 1654 +-1887 -2726 +-1170 0 +-1887 2726 +367 -1654 +1960 4104 +-1445 -380 +1161 -9120 +5783 -1398 +1209 5742 +-2140 4338 +388 2216 +-487 -466 +-3950 -3548 +-4503 254 +-662 3084 +2615 -583 +-512 -4570 +-1170 -3511 +3251 2298 +-138 -3819 +-4641 -4997 +-178 1909 +1352 -4501 +158 -287 +4075 2323 +4480 -2683 +1963 509 +2537 -5901 +-82 -3180 +-3236 1275 +-1758 -3704 +-1472 -210 +-1867 -2366 +0 3511 +-4367 -1930 +3994 -801 +3755 -2430 +-2311 -1783 +-2363 2718 +844 1287 +7054 -3145 +3996 -343 +-3621 4374 +-2810 844 +-579 2099 +-401 1013 +65 -1214 +2024 2534 +1815 -2102 +0 0 +1815 2102 +2024 -2534 +65 1214 +-401 -1013 +-579 -2099 +-2810 -844 +-3621 -4374 +3996 343 +7054 3145 +844 -1287 +-2363 -2718 +-2311 1783 +3755 2430 +3994 801 +-4367 1930 +0 -3511 +4311 733 +2237 5304 +3799 -1465 +3281 3438 +2826 2103 +-2751 -4523 +-4524 -1505 +686 -1998 +-6544 -1033 +-5213 601 +1635 1350 +-5250 642 +-2518 -1309 +1674 -2712 +-744 -7086 +0 0 +-744 7086 +1674 2712 +-2518 1309 +-5250 -642 +1635 -1350 +-5213 -601 +-6544 1033 +686 1998 +-4524 1505 +-2751 4523 +2826 -2103 +3281 -3438 +3799 1465 +2237 -5304 +4311 -733 +0 3511 +-4367 -1930 +3994 -801 +3755 -2430 +-2311 -1783 +-2363 2718 +844 1287 +7054 -3145 +3996 -343 +-3621 4374 +-2810 844 +-579 2099 +-401 1013 +65 -1214 +2024 2534 +1815 -2102 +2341 1170 +-2672 22 +-133 -1147 +-88 -2901 +1251 -448 +-3007 -5538 +-5168 -5046 +4318 307 +485 -3168 +-6113 3149 +837 3820 +3999 -2583 +-523 1081 +-5133 2113 +-3077 3392 +318 5337 +0 0 +318 -5337 +-3077 -3392 +-5133 -2113 +-523 -1081 +3999 2583 +837 -3820 +-6113 -3149 +485 3168 +4318 -307 +-5168 5046 +-3007 5538 +1251 448 +-88 2901 +-133 1147 +-2672 -22 +2341 -1170 +2946 1725 +-2967 1445 +1725 -4495 +1775 448 +637 -2859 +2320 -3663 +-3578 4866 +-2825 -1513 +271 -3819 +639 -1228 +6007 -3008 +6860 -1081 +2482 -82 +-1814 -1155 +-2110 -2814 +0 0 +-2110 2814 +-1814 1155 +2482 82 +6860 1081 +6007 3008 +639 1228 +271 3819 +-2825 1513 +-3578 -4866 +2320 3663 +637 2859 +1775 -448 +1725 4495 +-2967 -1445 +2946 -1725 +2341 1170 +-2672 22 +-133 -1147 +-88 -2901 +1251 -448 +-3007 -5538 +-5168 -5046 +4318 307 +485 -3168 +-6113 3149 +837 3820 +3999 -2583 +-523 1081 +-5133 2113 +-3077 3392 +318 5337 +-2341 3511 +-3104 4080 +506 -4519 +-2460 503 +976 -1618 +3495 2796 +261 7086 +1793 -1490 +2825 3168 +3717 3593 +1287 -4177 +-1978 -1774 +469 2251 +1296 -574 +3488 -5753 +924 -3718 +-4681 0 +924 3718 +3488 5753 +1296 574 +469 -2251 +-1978 1774 +1287 4177 +3717 -3593 +2825 -3168 +1793 1490 +261 -7086 +3495 -2796 +976 1618 +-2460 -503 +506 4519 +-3104 -4080 +-2341 -3511 +2396 4481 +-1402 -1758 +-3839 -328 +-291 -722 +5567 -3355 +1902 1759 +-4827 3252 +-485 1513 +-1460 1836 +-3449 5031 +2343 1635 +3527 89 +2197 3913 +-2592 847 +-6059 -37 +-4681 0 +-6059 37 +-2592 -847 +2197 -3913 +3527 -89 +2343 -1635 +-3449 -5031 +-1460 -1836 +-485 -1513 +-4827 -3252 +1902 -1759 +5567 3355 +-291 722 +-3839 328 +-1402 1758 +2396 -4481 +-2341 3511 +-3104 4080 +506 -4519 +-2460 503 +976 -1618 +3495 2796 +261 7086 +1793 -1490 +2825 3168 +3717 3593 +1287 -4177 +-1978 -1774 +469 2251 +1296 -574 +3488 -5753 +924 -3718 +-1170 8192 +623 -7306 +-1664 -2059 +-4094 5304 +-2768 -4654 +82 807 +649 1963 +257 245 +2341 3168 +5248 -1329 +4006 4767 +-1321 4101 +-4794 -66 +-2949 2018 +1062 -1476 +3188 2928 +3511 0 +3188 -2928 +1062 1476 +-2949 -2018 +-4794 66 +-1321 -4101 +4006 -4767 +5248 1329 +2341 -3168 +257 -245 +649 -1963 +82 -807 +-2768 4654 +-4094 -5304 +-1664 2059 +623 7306 +-1170 -8192 +-4270 -5256 +-3421 3133 +592 -1337 +2082 -1967 +-652 1295 +-2947 -1246 +-1137 -1418 +2341 1513 +3268 814 +1603 632 +372 -4212 +798 -6554 +1349 -3006 +712 -2131 +-556 1151 +-1170 0 +-556 -1151 +712 2131 +1349 3006 +798 6554 +372 4212 +1603 -632 +3268 -814 +2341 -1513 +-1137 1418 +-2947 1246 +-652 -1295 +2082 1967 +592 1337 +-3421 -3133 +-4270 5256 +-1170 8192 +623 -7306 +-1664 -2059 +-4094 5304 +-2768 -4654 +82 807 +649 1963 +257 245 +2341 3168 +5248 -1329 +4006 4767 +-1321 4101 +-4794 -66 +-2949 2018 +1062 -1476 +3188 2928 +2341 -2341 +-2012 -4392 +-1067 -3713 +-2150 -1889 +2223 -2974 +1885 -1785 +-3634 -629 +-2794 -2055 +-7648 -1371 +-1198 -2072 +4263 -2526 +1535 1064 +7941 812 +123 -2239 +-2668 -2121 +1151 -1269 +-3511 0 +1151 1269 +-2668 2121 +123 2239 +7941 -812 +1535 -1064 +4263 2526 +-1198 2072 +-7648 1371 +-2794 2055 +-3634 629 +1885 1785 +2223 2974 +-2150 1889 +-1067 3713 +-2012 4392 +2341 2341 +2451 833 +-959 -612 +1288 -284 +803 -1707 +-1669 -2468 +69 2420 +4194 -1415 +627 -7991 +-1994 38 +2613 4317 +2574 1897 +-1605 3870 +-3586 -2081 +1384 -2203 +201 8506 +-5851 0 +201 -8506 +1384 2203 +-3586 2081 +-1605 -3870 +2574 -1897 +2613 -4317 +-1994 -38 +627 7991 +4194 1415 +69 -2420 +-1669 2468 +803 1707 +1288 284 +-959 612 +2451 -833 +2341 -2341 +-2012 -4392 +-1067 -3713 +-2150 -1889 +2223 -2974 +1885 -1785 +-3634 -629 +-2794 -2055 +-7648 -1371 +-1198 -2072 +4263 -2526 +1535 1064 +7941 812 +123 -2239 +-2668 -2121 +1151 -1269 +2341 -1170 +-2264 383 +5201 -1083 +1829 -1505 +-4160 89 +798 -985 +-1255 534 +1736 2573 +-1170 -828 +-2021 -2889 +4105 -1444 +-1704 -1239 +553 -1618 +2449 -2568 +-4527 -3657 +-5431 -2401 +-4681 0 +-5431 2401 +-4527 3657 +2449 2568 +553 1618 +-1704 1239 +4105 1444 +-2021 2889 +-1170 828 +1736 -2573 +-1255 -534 +798 985 +-4160 -89 +1829 1505 +5201 1083 +-2264 -383 +2341 1170 +-3940 -1594 +-5023 -3378 +5268 2474 +165 2251 +-1007 -2851 +2700 -1412 +2287 -270 +-1170 828 +-5040 3400 +-869 -2744 +-117 -6922 +-1238 -722 +5724 -787 +9030 -4114 +1432 -602 +-4681 0 +1432 602 +9030 4114 +5724 787 +-1238 722 +-117 6922 +-869 2744 +-5040 -3400 +-1170 -828 +2287 270 +2700 1412 +-1007 2851 +165 -2251 +5268 -2474 +-5023 3378 +-3940 1594 +2341 -1170 +-2264 383 +5201 -1083 +1829 -1505 +-4160 89 +798 -985 +-1255 534 +1736 2573 +-1170 -828 +-2021 -2889 +4105 -1444 +-1704 -1239 +553 -1618 +2449 -2568 +-4527 -3657 +-5431 -2401 +0 -1170 +733 -711 +-2433 2308 +-1807 1987 +1998 3696 +2853 -2366 +5476 4662 +4487 6710 +485 -4138 +-520 1427 +-2534 255 +-58 -3643 +343 -901 +-5363 -538 +-1352 2899 +-7 -146 +-4681 0 +-7 146 +-1352 -2899 +-5363 538 +343 901 +-58 3643 +-2534 -255 +-520 -1427 +485 4138 +4487 -6710 +5476 -4662 +2853 2366 +1998 -3696 +-1807 -1987 +-2433 -2308 +733 711 +0 1170 +-29 -6334 +2804 -1978 +4850 2914 +1998 3325 +-1369 -1511 +-255 -5768 +-3022 2342 +-2825 4138 +2365 -2158 +-2686 -2732 +-4736 -3186 +343 -6121 +-991 -257 +981 5421 +2613 -700 +0 0 +2613 700 +981 -5421 +-991 257 +343 6121 +-4736 3186 +-2686 2732 +2365 2158 +-2825 -4138 +-3022 -2342 +-255 5768 +-1369 1511 +1998 -3325 +4850 -2914 +2804 1978 +-29 6334 +0 -1170 +733 -711 +-2433 2308 +-1807 1987 +1998 3696 +2853 -2366 +5476 4662 +4487 6710 +485 -4138 +-520 1427 +-2534 255 +-58 -3643 +343 -901 +-5363 -538 +-1352 2899 +-7 -146 +4681 0 +901 1833 +-3051 1260 +-1898 -717 +-2251 -2906 +-1847 -6732 +-100 5418 +521 4909 +2483 -3795 +1549 3669 +-1936 -936 +-841 -2377 +-722 -2178 +-2504 -6910 +787 3290 +2545 5233 +1170 0 +2545 -5233 +787 -3290 +-2504 6910 +-722 2178 +-841 2377 +-1936 936 +1549 -3669 +2483 3795 +521 -4909 +-100 -5418 +-1847 6732 +-2251 2906 +-1898 717 +-3051 -1260 +901 -1833 +4681 0 +1160 -421 +1648 3663 +4735 -68 +-89 -3430 +-3106 2774 +-289 3661 +-679 2808 +-2483 6135 +-2405 2677 +-985 -1286 +693 6410 +-1618 5205 +-1851 -1866 +3926 -306 +3029 -2450 +-1170 0 +3029 2450 +3926 306 +-1851 1866 +-1618 -5205 +693 -6410 +-985 1286 +-2405 -2677 +-2483 -6135 +-679 -2808 +-289 -3661 +-3106 -2774 +-89 3430 +4735 68 +1648 -3663 +1160 421 +4681 0 +901 1833 +-3051 1260 +-1898 -717 +-2251 -2906 +-1847 -6732 +-100 5418 +521 4909 +2483 -3795 +1549 3669 +-1936 -936 +-841 -2377 +-722 -2178 +-2504 -6910 +787 3290 +2545 5233 +3511 0 +7254 -1569 +410 -4293 +3911 -4971 +-887 -1034 +-2575 683 +2166 -1603 +-4014 -323 +3168 2341 +2038 748 +-5673 -1643 +2984 -3419 +1149 -4810 +-1426 -2285 +-2826 1541 +-2949 1734 +2341 0 +-2949 -1734 +-2826 -1541 +-1426 2285 +1149 4810 +2984 3419 +-5673 1643 +2038 -748 +3168 -2341 +-4014 323 +2166 1603 +-2575 -683 +-887 1034 +3911 4971 +410 4293 +7254 1569 +3511 0 +-5968 -69 +-2380 298 +1530 -2787 +2542 -4617 +2779 450 +714 2289 +-431 -909 +1513 2341 +-903 5151 +-1888 958 +123 1983 +-2804 3840 +-704 -1421 +115 2454 +-1647 10379 +0 0 +-1647 -10379 +115 -2454 +-704 1421 +-2804 -3840 +123 -1983 +-1888 -958 +-903 -5151 +1513 -2341 +-431 909 +714 -2289 +2779 -450 +2542 4617 +1530 2787 +-2380 -298 +-5968 69 +3511 0 +7254 -1569 +410 -4293 +3911 -4971 +-887 -1034 +-2575 683 +2166 -1603 +-4014 -323 +3168 2341 +2038 748 +-5673 -1643 +2984 -3419 +1149 -4810 +-1426 -2285 +-2826 1541 +-2949 1734 +-1170 2341 +1088 289 +-2448 5056 +-1172 1448 +1783 3333 +-2310 4553 +-5299 924 +2492 1273 +8819 -3026 +-191 -3448 +-2431 1609 +2947 3724 +1013 -275 +2348 -1908 +741 1060 +-3172 -2214 +-2341 0 +-3172 2214 +741 -1060 +2348 1908 +1013 275 +2947 -3724 +-2431 -1609 +-191 3448 +8819 3026 +2492 -1273 +-5299 -924 +-2310 -4553 +1783 -3333 +-1172 -1448 +-2448 -5056 +1088 -289 +-1170 -2341 +872 -1048 +1255 5056 +-7020 -941 +-3438 -992 +268 -736 +-1247 924 +2598 1278 +544 -6336 +-1590 897 +-2324 1609 +-4215 -7542 +642 -2066 +2535 1400 +2391 1060 +4522 2974 +4681 0 +4522 -2974 +2391 -1060 +2535 -1400 +642 2066 +-4215 7542 +-2324 -1609 +-1590 -897 +544 6336 +2598 -1278 +-1247 -924 +268 736 +-3438 992 +-7020 941 +1255 -5056 +872 1048 +-1170 2341 +1088 289 +-2448 5056 +-1172 1448 +1783 3333 +-2310 4553 +-5299 924 +2492 1273 +8819 -3026 +-191 -3448 +-2431 1609 +2947 3724 +1013 -275 +2348 -1908 +741 1060 +-3172 -2214 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +7 0 +6 0 +5 0 +4 0 +3 0 +2 0 +1 0 diff --git a/testing_inputs/simulated/ht_mcs0_gi0_aggr0_len14_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs0_gi0_aggr0_len14_pre100_post200_openwifi.txt new file mode 100644 index 0000000..a6de44e --- /dev/null +++ b/testing_inputs/simulated/ht_mcs0_gi0_aggr0_len14_pre100_post200_openwifi.txt @@ -0,0 +1,1500 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-4096 0 +1863 2714 +1441 -2538 +-7281 -1375 +-3922 1422 +730 997 +969 2345 +2774 -3708 +5244 -1448 +748 5218 +-2229 824 +-1995 3656 +-2465 3146 +5119 -2802 +4146 1481 +41 2289 +3072 0 +41 -2289 +4146 -1481 +5119 2802 +-2465 -3146 +-1995 -3656 +-2229 -824 +748 -5218 +5244 1448 +2774 3708 +969 -2345 +730 -997 +-3922 -1422 +-7281 1375 +1441 2538 +1863 -2714 +-4096 0 +2260 2407 +1780 609 +678 -1682 +3322 -3470 +324 551 +702 2039 +71 1037 +900 1448 +-697 671 +-5235 2361 +-1955 477 +-1032 -1098 +-1412 4729 +-1574 3583 +-1268 -2593 +1024 0 +-1268 2593 +-1574 -3583 +-1412 -4729 +-1032 1098 +-1955 -477 +-5235 -2361 +-697 -671 +900 -1448 +71 -1037 +702 -2039 +324 -551 +3322 3470 +678 1682 +1780 -609 +2260 -2407 +-4096 0 +1863 2714 +1441 -2538 +-7281 -1375 +-3922 1422 +730 997 +969 2345 +2774 -3708 +5244 -1448 +748 5218 +-2229 824 +-1995 3656 +-2465 3146 +5119 -2802 +4146 1481 +41 2289 +2048 0 +351 -129 +-1019 -1249 +-1416 452 +-1730 -704 +-753 338 +-2614 3474 +-273 878 +724 -2048 +-2329 2324 +-1410 -2037 +-3070 -2904 +-392 5753 +4466 -534 +-737 -682 +-3210 -2724 +1024 -14336 +4794 -4531 +1136 1021 +-5431 -333 +-1500 3861 +1110 -1321 +272 -1637 +2530 364 +724 -600 +2311 1472 +4317 1465 +1348 1638 +946 79 +-390 -1586 +-989 -111 +-1650 836 +-2048 -2048 +1025 -1364 +-548 3741 +413 -2374 +1730 -4488 +-3655 2148 +-1170 806 +2448 -994 +-724 -2048 +-3064 1577 +-2374 4749 +1797 1985 +392 3536 +-2333 888 +-831 -610 +-2982 433 +-1024 0 +1399 2239 +431 -2313 +3298 687 +1500 5428 +163 402 +3512 4350 +2863 3536 +-724 -3496 +-4486 -1589 +-533 2815 +3061 849 +-946 -5272 +1393 -336 +2557 2603 +274 -2329 +2048 0 +351 -129 +-1019 -1249 +-1416 452 +-1730 -704 +-753 338 +-2614 3474 +-273 878 +724 -2048 +-2329 2324 +-1410 -2037 +-3070 -2904 +-392 5753 +4466 -534 +-737 -682 +-3210 -2724 +-3072 3072 +649 -923 +1798 -6148 +-1643 -445 +-2596 273 +-472 599 +-524 3874 +1537 1589 +-1872 1324 +-4108 650 +4632 658 +-1495 2106 +-6536 -2394 +867 -5989 +-194 -5631 +963 -789 +1024 2048 +620 -2596 +594 -3928 +-1832 -5788 +4644 -4286 +-465 3689 +-5770 1058 +4309 -1310 +3320 2772 +501 2183 +2227 1866 +1067 1898 +1813 1056 +-163 -2483 +-3807 -5010 +-1948 42 +3072 1024 +2542 -839 +694 -1472 +-1420 -2141 +-2596 2624 +971 935 +-3756 -1918 +-2308 4114 +3920 2772 +-3343 -1240 +-1920 -918 +665 -1184 +-2752 -502 +533 328 +1487 3362 +1340 3232 +-1024 2048 +-2923 5038 +-1886 1660 +432 127 +4644 1390 +1294 -2767 +3058 -1318 +3143 720 +-5368 1324 +269 3520 +2053 91 +-1566 -364 +3380 1840 +3227 -103 +1315 -2610 +-1243 -1805 +-3072 3072 +649 -923 +1798 -6148 +-1643 -445 +-2596 273 +-472 599 +-524 3874 +1537 1589 +-1872 1324 +-4108 650 +4632 658 +-1495 2106 +-6536 -2394 +867 -5989 +-194 -5631 +963 -789 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-1024 2048 +3136 -553 +-1218 -815 +-4213 -924 +4424 -4150 +1514 3733 +3088 5122 +2404 -1740 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +1024 0 +3382 542 +-3943 2212 +-6230 -1606 +1408 -1358 +-1644 -877 +-1836 -1788 +1107 -3313 +-848 -2048 +2404 1740 +3088 -5122 +1514 -3733 +4424 4150 +-4213 924 +-1218 815 +3136 553 +-1024 -2048 +1815 -1033 +-606 1155 +1998 -395 +-928 -3691 +-77 197 +-1116 -998 +-3603 -1690 +4944 2048 +4318 -2958 +4457 -560 +-1801 1486 +-808 -5102 +-1133 -4492 +-7018 -344 +-973 2739 +1024 0 +-973 -2739 +-7018 344 +-1133 4492 +-808 5102 +-1801 -1486 +4457 560 +4318 2958 +4944 -2048 +-3603 1690 +-1116 998 +-77 -197 +-928 3691 +1998 395 +-606 -1155 +1815 1033 +-1024 2048 +3136 -553 +-1218 -815 +-4213 -924 +4424 -4150 +1514 3733 +3088 5122 +2404 -1740 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +3072 -3072 +-1854 1661 +2322 -102 +4963 -546 +946 138 +-4351 -228 +-50 -2407 +453 -1226 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-6144 0 +-4000 -1764 +5585 5146 +111 2775 +-392 4032 +2935 2133 +-4494 -2603 +-19 826 +-2348 300 +453 1226 +-50 2407 +-4351 228 +946 -138 +4963 546 +2322 102 +-1854 -1661 +3072 3072 +4072 3632 +4071 -2298 +-1190 -980 +-946 462 +1733 421 +-2246 2370 +1856 3601 +-3796 -1748 +-3619 -3479 +2198 4353 +-1205 998 +392 536 +2797 5712 +807 1546 +-2682 2641 +-4096 0 +-2682 -2641 +807 -1546 +2797 -5712 +392 -536 +-1205 -998 +2198 -4353 +-3619 3479 +-3796 1748 +1856 -3601 +-2246 -2370 +1733 -421 +-946 -462 +-1190 980 +4071 2298 +4072 -3632 +3072 -3072 +-1854 1661 +2322 -102 +4963 -546 +946 138 +-4351 -228 +-50 -2407 +453 -1226 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-2048 -2048 +-265 915 +1843 -1679 +352 -1945 +-3759 -230 +1646 1323 +4524 1613 +1035 724 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +6144 0 +-719 -3896 +23 -3904 +-1415 -977 +-4749 -3230 +5849 -1688 +6408 2700 +8 -2294 +-1624 -4096 +1035 -724 +4524 -1613 +1646 -1323 +-3759 230 +352 1945 +1843 1679 +-265 -915 +-2048 2048 +-1078 2058 +-799 -2417 +-3189 -229 +3160 230 +-3391 -891 +1204 2483 +5323 3726 +-4520 4096 +1203 2155 +-2247 -1396 +-970 -1256 +1252 -3230 +1118 -3151 +-2763 192 +-5506 -923 +2048 0 +-5506 923 +-2763 -192 +1118 3151 +1252 3230 +-970 1256 +-2247 1396 +1203 -2155 +-4520 -4096 +5323 -3726 +1204 -2483 +-3391 891 +3160 -230 +-3189 229 +-799 2417 +-1078 -2058 +-2048 -2048 +-265 915 +1843 -1679 +352 -1945 +-3759 -230 +1646 1323 +4524 1613 +1035 724 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +4096 5120 +1677 3802 +677 -614 +824 2014 +-1370 -1311 +-2262 2674 +-2344 821 +-1883 -4601 +-1924 -1148 +-250 873 +-2 245 +5412 -1110 +2864 -5480 +647 -1992 +-1049 4180 +-3489 -1852 +1024 0 +-3489 1852 +-1049 -4180 +647 1992 +2864 5480 +5412 1110 +-2 -245 +-250 -873 +-1924 1148 +-1883 4601 +-2344 -821 +-2262 -2674 +-1370 1311 +824 -2014 +677 614 +1677 -3802 +4096 -5120 +499 4639 +771 -3666 +-3676 -6332 +522 -986 +3993 123 +896 -2113 +216 -2652 +-6268 3196 +-2547 -4918 +-1446 -2736 +-462 4275 +2080 -912 +1317 442 +2497 -1468 +-16 -484 +-1024 0 +-16 484 +2497 1468 +1317 -442 +2080 912 +-462 -4275 +-1446 2736 +-2547 4918 +-6268 -3196 +216 2652 +896 2113 +3993 -123 +522 986 +-3676 6332 +771 3666 +499 -4639 +4096 5120 +1677 3802 +677 -614 +824 2014 +-1370 -1311 +-2262 2674 +-2344 821 +-1883 -4601 +-1924 -1148 +-250 873 +-2 245 +5412 -1110 +2864 -5480 +647 -1992 +-1049 4180 +-3489 -1852 +3072 5120 +-3542 673 +-8470 -763 +1469 -59 +1840 -4188 +3088 652 +86 -1188 +-3400 683 +-1748 -1748 +2094 -2544 +1410 2203 +-5561 -2989 +-502 3294 +-828 5064 +2332 97 +5108 434 +-2048 0 +5108 -434 +2332 -97 +-828 -5064 +-502 -3294 +-5561 2989 +1410 -2203 +2094 2544 +-1748 1748 +-3400 -683 +86 1188 +3088 -652 +1840 4188 +1469 59 +-8470 763 +-3542 -673 +3072 -5120 +-2924 -29 +3237 -1469 +5240 -6807 +1056 -3404 +-1762 1806 +-206 -2152 +3054 49 +-300 -300 +-180 -2205 +1605 -2647 +451 -2875 +-2394 1402 +-2096 -474 +4 568 +-210 -1878 +0 0 +-210 1878 +4 -568 +-2096 474 +-2394 -1402 +451 2875 +1605 2647 +-180 2205 +-300 300 +3054 -49 +-206 2152 +-1762 -1806 +1056 3404 +5240 6807 +3237 1469 +-2924 29 +3072 5120 +-3542 673 +-8470 -763 +1469 -59 +1840 -4188 +3088 652 +86 -1188 +-3400 683 +-1748 -1748 +2094 -2544 +1410 2203 +-5561 -2989 +-502 3294 +-828 5064 +2332 97 +5108 434 +-2048 1024 +-86 -2725 +2167 -267 +2744 -4848 +-5695 -1730 +1660 2209 +4590 -1841 +177 841 +4644 2772 +-1396 2649 +1864 2021 +2786 1221 +3552 1500 +90 -2469 +-5400 -2741 +-670 1093 +-1024 0 +-670 -1093 +-5400 2741 +90 2469 +3552 -1500 +2786 -1221 +1864 -2021 +-1396 -2649 +4644 -2772 +177 -841 +4590 1841 +1660 -2209 +-5695 1730 +2744 4848 +2167 267 +-86 2725 +-2048 -1024 +-64 -3029 +-15 1375 +984 296 +2198 1730 +1071 1705 +3813 -835 +1038 -2757 +-2596 1324 +-5612 3003 +-379 -4697 +275 -441 +-4151 -1500 +1974 -5218 +1551 3850 +-4973 721 +-7168 0 +-4973 -721 +1551 -3850 +1974 5218 +-4151 1500 +275 441 +-379 4697 +-5612 -3003 +-2596 -1324 +1038 2757 +3813 835 +1071 -1705 +2198 -1730 +984 -296 +-15 -1375 +-64 3029 +-2048 1024 +-86 -2725 +2167 -267 +2744 -4848 +-5695 -1730 +1660 2209 +4590 -1841 +177 841 +4644 2772 +-1396 2649 +1864 2021 +2786 1221 +3552 1500 +90 -2469 +-5400 -2741 +-670 1093 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs0_gi0_aggr0_len1537_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs0_gi0_aggr0_len1537_pre100_post200_openwifi.txt new file mode 100644 index 0000000..726957a --- /dev/null +++ b/testing_inputs/simulated/ht_mcs0_gi0_aggr0_len1537_pre100_post200_openwifi.txt @@ -0,0 +1,38940 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +1024 -1024 +629 2832 +466 1075 +-90 254 +-914 -692 +1208 -359 +4633 -2380 +2256 -981 +-3920 -2348 +-3697 -2080 +1436 5200 +831 -62 +-3026 2694 +-62 3422 +5005 -5402 +2537 4869 +-1024 0 +2537 -4869 +5005 5402 +-62 -3422 +-3026 -2694 +831 62 +1436 -5200 +-3697 2080 +-3920 2348 +2256 981 +4633 2380 +1208 359 +-914 692 +-90 -254 +466 -1075 +629 -2832 +1024 1024 +980 4452 +1582 -1427 +2931 -1399 +1762 92 +-1773 -1164 +-2585 295 +153 177 +1872 -3796 +1289 -4726 +612 3803 +-266 5458 +-1918 802 +-2779 2352 +-2957 -247 +-4146 -3585 +-5120 0 +-4146 3585 +-2957 247 +-2779 -2352 +-1918 -802 +-266 -5458 +612 -3803 +1289 4726 +1872 3796 +153 -177 +-2585 -295 +-1773 1164 +1762 -92 +2931 1399 +1582 1427 +980 -4452 +1024 -1024 +629 2832 +466 1075 +-90 254 +-914 -692 +1208 -359 +4633 -2380 +2256 -981 +-3920 -2348 +-3697 -2080 +1436 5200 +831 -62 +-3026 2694 +-62 3422 +5005 -5402 +2537 4869 +-1024 -1024 +802 2501 +-970 147 +-1580 1663 +-1448 2824 +1139 -2934 +2698 -1804 +1350 69 +2648 -300 +-2507 1334 +-4925 -1681 +-1525 1063 +-3340 2934 +-3117 -5371 +-1408 -2528 +-1228 141 +1024 -6144 +2811 -1665 +1807 -825 +2152 -5170 +1448 1042 +-435 2646 +3787 -1281 +2707 -626 +-1200 1148 +688 663 +-995 -3812 +-544 -1635 +664 3608 +-226 -375 +-1038 1285 +-2101 3467 +1024 -3072 +564 -15 +3408 2084 +5608 -3955 +-1448 -176 +-3382 2818 +-6262 -1537 +-338 -1991 +5544 -1748 +-5929 -979 +-4432 2125 +1162 2838 +444 2610 +831 3879 +-1948 3192 +1338 -1061 +-1024 -2048 +-2921 746 +1548 1489 +134 3678 +1448 4502 +798 1255 +5569 1725 +5728 980 +-6992 -3196 +-1700 -2585 +4559 472 +2787 1518 +2232 -960 +-3801 -1917 +-1399 947 +735 -980 +-1024 -1024 +802 2501 +-970 147 +-1580 1663 +-1448 2824 +1139 -2934 +2698 -1804 +1350 69 +2648 -300 +-2507 1334 +-4925 -1681 +-1525 1063 +-3340 2934 +-3117 -5371 +-1408 -2528 +-1228 141 +-4096 2048 +272 439 +-5845 -385 +-5234 -272 +632 -5677 +1841 -249 +695 5791 +3436 865 +4820 -848 +1433 43 +2026 3963 +-1285 2331 +-1970 -3770 +609 -1438 +-2455 -3509 +531 -248 +1024 6144 +1052 -2055 +2854 -1806 +-1574 -1237 +78 -5662 +-675 3914 +-3164 4363 +-1232 -1917 +-3372 600 +-1398 1459 +1008 3782 +-1246 1050 +-1416 -4894 +3428 -2311 +3837 753 +-1571 1405 +4096 0 +6076 328 +613 -619 +1838 -5283 +1416 133 +2264 2021 +-576 -2111 +-1983 5658 +3372 4944 +332 -4349 +990 -1851 +2861 1249 +-78 1122 +1658 1642 +119 -1280 +-2063 -2736 +-1024 0 +480 -930 +-518 -2983 +-693 1441 +1970 3014 +-901 -334 +148 -2250 +-533 -2389 +-4820 3496 +-56 5063 +-1127 -102 +-2859 722 +-632 -650 +-32 -3245 +1396 -1757 +-4777 -637 +-4096 2048 +272 439 +-5845 -385 +-5234 -272 +632 -5677 +1841 -249 +695 5791 +3436 865 +4820 -848 +1433 43 +2026 3963 +-1285 2331 +-1970 -3770 +609 -1438 +-2455 -3509 +531 -248 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-1024 2048 +3136 -553 +-1218 -815 +-4213 -924 +4424 -4150 +1514 3733 +3088 5122 +2404 -1740 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +1024 0 +3382 542 +-3943 2212 +-6230 -1606 +1408 -1358 +-1644 -877 +-1836 -1788 +1107 -3313 +-848 -2048 +2404 1740 +3088 -5122 +1514 -3733 +4424 4150 +-4213 924 +-1218 815 +3136 553 +-1024 -2048 +1815 -1033 +-606 1155 +1998 -395 +-928 -3691 +-77 197 +-1116 -998 +-3603 -1690 +4944 2048 +4318 -2958 +4457 -560 +-1801 1486 +-808 -5102 +-1133 -4492 +-7018 -344 +-973 2739 +1024 0 +-973 -2739 +-7018 344 +-1133 4492 +-808 5102 +-1801 -1486 +4457 560 +4318 2958 +4944 -2048 +-3603 1690 +-1116 998 +-77 -197 +-928 3691 +1998 395 +-606 -1155 +1815 1033 +-1024 2048 +3136 -553 +-1218 -815 +-4213 -924 +4424 -4150 +1514 3733 +3088 5122 +2404 -1740 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +3072 -3072 +-1854 1661 +2322 -102 +4963 -546 +946 138 +-4351 -228 +-50 -2407 +453 -1226 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-6144 0 +-4000 -1764 +5585 5146 +111 2775 +-392 4032 +2935 2133 +-4494 -2603 +-19 826 +-2348 300 +453 1226 +-50 2407 +-4351 228 +946 -138 +4963 546 +2322 102 +-1854 -1661 +3072 3072 +4072 3632 +4071 -2298 +-1190 -980 +-946 462 +1733 421 +-2246 2370 +1856 3601 +-3796 -1748 +-3619 -3479 +2198 4353 +-1205 998 +392 536 +2797 5712 +807 1546 +-2682 2641 +-4096 0 +-2682 -2641 +807 -1546 +2797 -5712 +392 -536 +-1205 -998 +2198 -4353 +-3619 3479 +-3796 1748 +1856 -3601 +-2246 -2370 +1733 -421 +-946 -462 +-1190 980 +4071 2298 +4072 -3632 +3072 -3072 +-1854 1661 +2322 -102 +4963 -546 +946 138 +-4351 -228 +-50 -2407 +453 -1226 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-2048 -2048 +-265 915 +1843 -1679 +352 -1945 +-3759 -230 +1646 1323 +4524 1613 +1035 724 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +6144 0 +-719 -3896 +23 -3904 +-1415 -977 +-4749 -3230 +5849 -1688 +6408 2700 +8 -2294 +-1624 -4096 +1035 -724 +4524 -1613 +1646 -1323 +-3759 230 +352 1945 +1843 1679 +-265 -915 +-2048 2048 +-1078 2058 +-799 -2417 +-3189 -229 +3160 230 +-3391 -891 +1204 2483 +5323 3726 +-4520 4096 +1203 2155 +-2247 -1396 +-970 -1256 +1252 -3230 +1118 -3151 +-2763 192 +-5506 -923 +2048 0 +-5506 923 +-2763 -192 +1118 3151 +1252 3230 +-970 1256 +-2247 1396 +1203 -2155 +-4520 -4096 +5323 -3726 +1204 -2483 +-3391 891 +3160 -230 +-3189 229 +-799 2417 +-1078 -2058 +-2048 -2048 +-265 915 +1843 -1679 +352 -1945 +-3759 -230 +1646 1323 +4524 1613 +1035 724 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +3072 4096 +2266 682 +-1640 1731 +1592 718 +-268 -2726 +-6289 1328 +-3648 2169 +-2224 -2762 +-1624 -424 +-171 420 +-502 101 +2504 1155 +5304 -3510 +2856 -555 +-1946 1553 +-1622 585 +1024 0 +-1622 -585 +-1946 -1553 +2856 555 +5304 3510 +2504 -1155 +-502 -101 +-171 -420 +-1624 424 +-2224 2762 +-3648 -2169 +-6289 -1328 +-268 2726 +1592 -718 +-1640 -1731 +2266 -682 +3072 -4096 +1683 3479 +-408 381 +-1324 -6329 +3516 -1618 +2004 -1023 +1600 -1165 +1681 -1778 +-4520 2472 +-1503 -7177 +-1546 -4889 +-3571 4502 +3736 -834 +2228 296 +-102 -5233 +-110 1359 +-3072 0 +-110 -1359 +-102 5233 +2228 -296 +3736 834 +-3571 -4502 +-1546 4889 +-1503 7177 +-4520 -2472 +1681 1778 +1600 1165 +2004 1023 +3516 1618 +-1324 6329 +-408 -381 +1683 -3479 +3072 4096 +2266 682 +-1640 1731 +1592 718 +-268 -2726 +-6289 1328 +-3648 2169 +-2224 -2762 +-1624 -424 +-171 420 +-502 101 +2504 1155 +5304 -3510 +2856 -555 +-1946 1553 +-1622 585 +1024 0 +2081 1149 +-335 2488 +-5006 -4962 +-5488 -2846 +677 -5415 +2770 -1318 +-2092 -819 +1024 -2472 +-2353 4021 +-6222 -357 +2492 -2764 +-1912 1722 +-1074 3128 +2132 -1785 +-1168 -1332 +1024 0 +-1168 1332 +2132 1785 +-1074 -3128 +-1912 -1722 +2492 2764 +-6222 357 +-2353 -4021 +1024 2472 +-2092 819 +2770 1318 +677 5415 +-5488 2846 +-5006 4962 +-335 -2488 +2081 -1149 +1024 0 +-1962 -1896 +2552 -1224 +2670 -4577 +-2353 1398 +4556 -271 +2582 -2622 +-923 -2848 +1024 424 +-663 2440 +870 513 +2741 3446 +5657 -3170 +-1262 -3899 +-4349 -1047 +1288 -3272 +1024 0 +1288 3272 +-4349 1047 +-1262 3899 +5657 3170 +2741 -3446 +870 -513 +-663 -2440 +1024 -424 +-923 2848 +2582 2622 +4556 271 +-2353 -1398 +2670 4577 +2552 1224 +-1962 1896 +1024 0 +2081 1149 +-335 2488 +-5006 -4962 +-5488 -2846 +677 -5415 +2770 -1318 +-2092 -819 +1024 -2472 +-2353 4021 +-6222 -357 +2492 -2764 +-1912 1722 +-1074 3128 +2132 -1785 +-1168 -1332 +1024 -1024 +246 -2903 +4104 -156 +-2478 -5202 +-1754 -2598 +889 5098 +-1852 -3204 +-1041 -3499 +-2772 1324 +-349 -1078 +-4435 2544 +3521 2530 +4816 -307 +-3810 -3208 +-347 -6071 +218 -417 +0 0 +218 417 +-347 6071 +-3810 3208 +4816 307 +3521 -2530 +-4435 -2544 +-349 1078 +-2772 -1324 +-1041 3499 +-1852 3204 +889 -5098 +-1754 2598 +-2478 5202 +4104 156 +246 2903 +1024 1024 +-1004 -924 +4809 -1920 +5274 -2021 +2354 4646 +3895 3938 +-2817 -1400 +2355 35 +-1324 2772 +-5429 2598 +415 -156 +-1624 -2054 +-1320 -1741 +126 1410 +124 2795 +-788 -825 +-2048 0 +-788 825 +124 -2795 +126 -1410 +-1320 1741 +-1624 2054 +415 156 +-5429 -2598 +-1324 -2772 +2355 -35 +-2817 1400 +3895 -3938 +2354 -4646 +5274 2021 +4809 1920 +-1004 924 +1024 -1024 +246 -2903 +4104 -156 +-2478 -5202 +-1754 -2598 +889 5098 +-1852 -3204 +-1041 -3499 +-2772 1324 +-349 -1078 +-4435 2544 +3521 2530 +4816 -307 +-3810 -3208 +-347 -6071 +218 -417 +2048 -1024 +5660 3139 +6573 -1673 +-3188 240 +-1006 3602 +5446 1402 +401 2198 +799 -2502 +1748 -2772 +-696 7 +1615 1261 +-3708 522 +-2224 2420 +-4957 5972 +-707 508 +3737 175 +-5120 0 +3737 -175 +-707 -508 +-4957 -5972 +-2224 -2420 +-3708 -522 +1615 -1261 +-696 -7 +1748 2772 +799 2502 +401 -2198 +5446 -1402 +-1006 -3602 +-3188 -240 +6573 1673 +5660 -3139 +2048 1024 +1401 1272 +-7237 -2451 +-1744 -3874 +2454 143 +-493 292 +-845 -4754 +-1833 -2432 +300 -1324 +604 723 +1725 -921 +-1037 859 +776 5421 +-1904 -1726 +-1525 -1735 +1914 1707 +-3072 0 +1914 -1707 +-1525 1735 +-1904 1726 +776 -5421 +-1037 -859 +1725 921 +604 -723 +300 1324 +-1833 2432 +-845 4754 +-493 -292 +2454 -143 +-1744 3874 +-7237 2451 +1401 -1272 +2048 -1024 +5660 3139 +6573 -1673 +-3188 240 +-1006 3602 +5446 1402 +401 2198 +799 -2502 +1748 -2772 +-696 7 +1615 1261 +-3708 522 +-2224 2420 +-4957 5972 +-707 508 +3737 175 +6144 4096 +1448 -670 +1425 -478 +1004 -1567 +-2832 1722 +-317 3140 +-2070 294 +-181 275 +2048 -2472 +-3676 2955 +-2584 -1498 +4778 -4034 +-3940 1398 +-12 -3589 +6377 -3668 +-1538 -5335 +-2048 0 +-1538 5335 +6377 3668 +-12 3589 +-3940 -1398 +4778 4034 +-2584 1498 +-3676 -2955 +2048 2472 +-181 -275 +-2070 -294 +-317 -3140 +-2832 -1722 +1004 1567 +1425 478 +1448 670 +6144 -4096 +-1448 43 +-984 -305 +-1004 -5636 +-1264 -3170 +317 -3594 +-1505 -2186 +181 -925 +2048 424 +3676 490 +-5425 -394 +-4778 -516 +-156 -2846 +12 482 +4768 2884 +1538 611 +-2048 0 +1538 -611 +4768 -2884 +12 -482 +-156 2846 +-4778 516 +-5425 394 +3676 -490 +2048 -424 +181 925 +-1505 2186 +317 3594 +-1264 3170 +-1004 5636 +-984 305 +-1448 -43 +6144 4096 +1448 -670 +1425 -478 +1004 -1567 +-2832 1722 +-317 3140 +-2070 294 +-181 275 +2048 -2472 +-3676 2955 +-2584 -1498 +4778 -4034 +-3940 1398 +-12 -3589 +6377 -3668 +-1538 -5335 +0 -2048 +-2286 2842 +451 1928 +3026 -1024 +1997 1143 +2082 4643 +3416 1007 +1747 -1652 +-4520 2296 +1979 -1559 +-3377 696 +-3481 -60 +6667 -6256 +1503 -3401 +-90 -1529 +-1998 4137 +-6144 0 +-1998 -4137 +-90 1529 +1503 3401 +6667 6256 +-3481 60 +-3377 -696 +1979 1559 +-4520 -2296 +1747 1652 +3416 -1007 +2082 -4643 +1997 -1143 +3026 1024 +451 -1928 +-2286 -2842 +0 2048 +-4180 1599 +1322 -480 +-364 -1972 +-2246 -1992 +638 -1268 +-296 442 +1979 -2020 +-1624 -6392 +1214 415 +-2639 -2144 +-5240 -4445 +1774 1312 +1837 716 +1213 80 +1545 -5359 +2048 0 +1545 5359 +1213 -80 +1837 -716 +1774 -1312 +-5240 4445 +-2639 2144 +1214 -415 +-1624 6392 +1979 2020 +-296 -442 +638 1268 +-2246 1992 +-364 1972 +1322 480 +-4180 -1599 +0 -2048 +-2286 2842 +451 1928 +3026 -1024 +1997 1143 +2082 4643 +3416 1007 +1747 -1652 +-4520 2296 +1979 -1559 +-3377 696 +-3481 -60 +6667 -6256 +1503 -3401 +-90 -1529 +-1998 4137 +-3072 -2048 +-2904 -1942 +-596 -1017 +1894 -486 +1075 3544 +-2862 -2485 +-197 3186 +-306 2114 +-2896 1448 +-494 701 +5314 -4359 +3755 -1799 +-3595 699 +-689 1401 +-1162 -5566 +-673 300 +5120 0 +-673 -300 +-1162 5566 +-689 -1401 +-3595 -699 +3755 1799 +5314 4359 +-494 -701 +-2896 -1448 +-306 -2114 +-197 -3186 +-2862 2485 +1075 -3544 +1894 486 +-596 1017 +-2904 1942 +-3072 2048 +-271 -4181 +-2745 2058 +1517 -1851 +5318 -5592 +983 -5256 +861 -3107 +-83 1830 +2896 -1448 +1770 -2182 +-3082 -154 +2588 -958 +1298 1349 +-1394 -1153 +1606 -6178 +-2833 2137 +-7168 0 +-2833 -2137 +1606 6178 +-1394 1153 +1298 -1349 +2588 958 +-3082 154 +1770 2182 +2896 1448 +-83 -1830 +861 3107 +983 5256 +5318 5592 +1517 1851 +-2745 -2058 +-271 4181 +-3072 -2048 +-2904 -1942 +-596 -1017 +1894 -486 +1075 3544 +-2862 -2485 +-197 3186 +-306 2114 +-2896 1448 +-494 701 +5314 -4359 +3755 -1799 +-3595 699 +-689 1401 +-1162 -5566 +-673 300 +-4096 7168 +-58 1875 +-455 683 +-545 -5747 +2578 -3902 +-4390 -3619 +-941 -3072 +4534 975 +-124 -1748 +253 -328 +1329 1267 +-1532 -1013 +-3444 -672 +1468 2705 +6953 1143 +3116 404 +-1024 0 +3116 -404 +6953 -1143 +1468 -2705 +-3444 672 +-1532 1013 +1329 -1267 +253 328 +-124 1748 +4534 -975 +-941 3072 +-4390 3619 +2578 3902 +-545 5747 +-455 -683 +-58 -1875 +-4096 -7168 +2244 -1579 +2724 3257 +-1774 -1926 +-881 -442 +1638 -1291 +1201 240 +626 -2365 +4220 -300 +-2516 -823 +-3286 -3 +1387 776 +-6445 -3672 +-2046 86 +667 -1299 +-2406 5924 +1024 0 +-2406 -5924 +667 1299 +-2046 -86 +-6445 3672 +1387 -776 +-3286 3 +-2516 823 +4220 300 +626 2365 +1201 -240 +1638 1291 +-881 442 +-1774 1926 +2724 -3257 +2244 1579 +-4096 7168 +-58 1875 +-455 683 +-545 -5747 +2578 -3902 +-4390 -3619 +-941 -3072 +4534 975 +-124 -1748 +253 -328 +1329 1267 +-1532 -1013 +-3444 -672 +1468 2705 +6953 1143 +3116 404 +0 1024 +7224 1211 +3972 1336 +-6005 1839 +-1826 -418 +1705 -1306 +909 -2850 +65 649 +1748 724 +4132 -3029 +2356 57 +1155 -704 +-1716 2644 +-1494 1397 +1666 -2170 +-2726 2081 +-7168 0 +-2726 -2081 +1666 2170 +-1494 -1397 +-1716 -2644 +1155 704 +2356 -57 +4132 3029 +1748 -724 +65 -649 +909 2850 +1705 1306 +-1826 418 +-6005 -1839 +3972 -1336 +7224 -1211 +0 -1024 +-758 -3978 +-4156 372 +-5094 -2932 +-3718 -4527 +1203 4952 +4480 -3322 +-2882 -1564 +300 -724 +2230 -2350 +-752 763 +2177 -2330 +-932 -3492 +562 -3378 +-282 2679 +-1493 -3519 +3072 0 +-1493 3519 +-282 -2679 +562 3378 +-932 3492 +2177 2330 +-752 -763 +2230 2350 +300 724 +-2882 1564 +4480 3322 +1203 -4952 +-3718 4527 +-5094 2932 +-4156 -372 +-758 3978 +0 1024 +7224 1211 +3972 1336 +-6005 1839 +-1826 -418 +1705 -1306 +909 -2850 +65 649 +1748 724 +4132 -3029 +2356 57 +1155 -704 +-1716 2644 +-1494 1397 +1666 -2170 +-2726 2081 +-1024 5120 +1684 1210 +-3687 5441 +-2272 -432 +-1130 -4142 +3911 -669 +1725 3272 +-4779 667 +-1748 -1148 +-2640 3482 +2191 -954 +18 -2331 +1996 -1540 +86 -1377 +-2533 3948 +4739 77 +4096 0 +4739 -77 +-2533 -3948 +86 1377 +1996 1540 +18 2331 +2191 954 +-2640 -3482 +-1748 1148 +-4779 -667 +1725 -3272 +3911 669 +-1130 4142 +-2272 432 +-3687 -5441 +1684 -1210 +-1024 -5120 +-174 -4818 +190 2623 +555 -5953 +2330 -2250 +-1573 3239 +-2325 -3547 +-3645 1896 +-300 3196 +-968 -32 +-2791 1879 +1189 438 +4996 -756 +3878 -3679 +-963 -2877 +-10 2996 +2048 0 +-10 -2996 +-963 2877 +3878 3679 +4996 756 +1189 -438 +-2791 -1879 +-968 32 +-300 -3196 +-3645 -1896 +-2325 3547 +-1573 -3239 +2330 2250 +555 5953 +190 -2623 +-174 4818 +-1024 5120 +1684 1210 +-3687 5441 +-2272 -432 +-1130 -4142 +3911 -669 +1725 3272 +-4779 667 +-1748 -1148 +-2640 3482 +2191 -954 +18 -2331 +1996 -1540 +86 -1377 +-2533 3948 +4739 77 +2048 3072 +-1217 -343 +850 -400 +1095 -42 +-3438 -3274 +1344 1447 +4735 -553 +-264 567 +1324 2348 +4462 -874 +2322 -1486 +1932 -309 +-2321 268 +-136 -4675 +2852 -1659 +-2762 -913 +-5120 0 +-2762 913 +2852 1659 +-136 4675 +-2321 -268 +1932 309 +2322 1486 +4462 874 +1324 -2348 +-264 -567 +4735 553 +1344 -1447 +-3438 3274 +1095 42 +850 400 +-1217 343 +2048 -3072 +-2601 -3351 +90 244 +3564 -739 +2238 -5166 +2142 2278 +-5363 1817 +3029 1476 +2772 3796 +-4772 2237 +2402 -1346 +-4739 -4215 +-4672 -516 +590 1439 +304 5599 +-1668 2332 +-7168 0 +-1668 -2332 +304 -5599 +590 -1439 +-4672 516 +-4739 4215 +2402 1346 +-4772 -2237 +2772 -3796 +3029 -1476 +-5363 -1817 +2142 -2278 +2238 5166 +3564 739 +90 -244 +-2601 3351 +2048 3072 +-1217 -343 +850 -400 +1095 -42 +-3438 -3274 +1344 1447 +4735 -553 +-264 567 +1324 2348 +4462 -874 +2322 -1486 +1932 -309 +-2321 268 +-136 -4675 +2852 -1659 +-2762 -913 +-1024 0 +-1780 -3118 +-3097 7158 +2116 -1607 +1519 240 +1869 361 +3118 -5804 +-2511 -1021 +-848 2048 +119 406 +-2537 -7206 +-325 -3835 +-2930 868 +-3930 -1836 +617 44 +613 2177 +-1024 0 +613 -2177 +617 -44 +-3930 1836 +-2930 -868 +-325 3835 +-2537 7206 +119 -406 +-848 -2048 +-2511 1021 +3118 5804 +1869 -361 +1519 -240 +2116 1607 +-3097 -7158 +-1780 3118 +-1024 0 +-1815 -2988 +-1182 1711 +-2500 -1864 +1978 1808 +923 -2788 +-1825 -4872 +5541 -3853 +4944 2048 +635 3856 +45 1826 +-900 2057 +3530 -2916 +2746 -986 +-3329 -2263 +-802 854 +3072 0 +-802 -854 +-3329 2263 +2746 986 +3530 2916 +-900 -2057 +45 -1826 +635 -3856 +4944 -2048 +5541 3853 +-1825 4872 +923 2788 +1978 -1808 +-2500 1864 +-1182 -1711 +-1815 2988 +-1024 0 +-1780 -3118 +-3097 7158 +2116 -1607 +1519 240 +1869 361 +3118 -5804 +-2511 -1021 +-848 2048 +119 406 +-2537 -7206 +-325 -3835 +-2930 868 +-3930 -1836 +617 44 +613 2177 +4096 -2048 +-3944 -1656 +-4966 -3082 +-1042 -5194 +978 -1408 +1094 -872 +-1444 348 +-1217 4073 +-1448 5368 +626 1014 +378 -1696 +1945 -2082 +-3810 -928 +-2821 1125 +5154 320 +1821 3303 +0 0 +1821 -3303 +5154 -320 +-2821 -1125 +-3810 928 +1945 2082 +378 1696 +626 -1014 +-1448 -5368 +-1217 -4073 +-1444 -348 +1094 872 +978 1408 +-1042 5194 +-4966 3082 +-3944 1656 +4096 2048 +2171 -1094 +2630 370 +-2078 1822 +-130 808 +4922 -4734 +-1571 2144 +94 6330 +1448 -3320 +-1749 3963 +-259 2988 +4072 1460 +-1134 4424 +-299 -1913 +78 3960 +-3593 2506 +0 0 +-3593 -2506 +78 -3960 +-299 1913 +-1134 -4424 +4072 -1460 +-259 -2988 +-1749 -3963 +1448 3320 +94 -6330 +-1571 -2144 +4922 4734 +-130 -808 +-2078 -1822 +2630 -370 +2171 1094 +4096 -2048 +-3944 -1656 +-4966 -3082 +-1042 -5194 +978 -1408 +1094 -872 +-1444 348 +-1217 4073 +-1448 5368 +626 1014 +378 -1696 +1945 -2082 +-3810 -928 +-2821 1125 +5154 320 +1821 3303 +-2048 0 +721 -845 +3843 -3803 +981 2473 +-724 3026 +-311 -1003 +-247 3349 +3308 3098 +1872 1448 +-3623 1825 +-763 -1413 +2082 1844 +724 1762 +2509 -1046 +2494 3761 +309 -3690 +0 0 +309 3690 +2494 -3761 +2509 1046 +724 -1762 +2082 -1844 +-763 1413 +-3623 -1825 +1872 -1448 +3308 -3098 +-247 -3349 +-311 1003 +-724 -3026 +981 -2473 +3843 3803 +721 845 +-2048 0 +-1250 -2408 +437 2983 +1960 3711 +-724 1918 +-2142 5600 +-1046 -1641 +-5110 1149 +-3920 -1448 +4097 -1601 +3255 1921 +-518 -351 +724 -914 +1231 -1666 +218 2411 +-4244 -9379 +-8192 0 +-4244 9379 +218 -2411 +1231 1666 +724 914 +-518 351 +3255 -1921 +4097 1601 +-3920 1448 +-5110 -1149 +-1046 1641 +-2142 -5600 +-724 -1918 +1960 -3711 +437 -2983 +-1250 2408 +-2048 0 +721 -845 +3843 -3803 +981 2473 +-724 3026 +-311 -1003 +-247 3349 +3308 3098 +1872 1448 +-3623 1825 +-763 -1413 +2082 1844 +724 1762 +2509 -1046 +2494 3761 +309 -3690 +0 2048 +-4092 1347 +5376 5238 +1009 224 +-3894 -1952 +-21 203 +2299 -2310 +-1449 1290 +-4096 -1272 +2336 -911 +-632 82 +1977 -2336 +3570 1832 +346 396 +-2068 -3252 +-2671 -4328 +2048 0 +-2671 4328 +-2068 3252 +346 -396 +3570 -1832 +1977 2336 +-632 -82 +2336 911 +-4096 1272 +-1449 -1290 +2299 2310 +-21 -203 +-3894 1952 +1009 -224 +5376 -5238 +-4092 -1347 +0 -2048 +2635 -25 +-2220 1598 +1620 2676 +998 3400 +-2353 910 +2425 2374 +-3311 6380 +-4096 7416 +4878 333 +4 -1714 +1077 -1664 +-674 -384 +2138 3184 +3008 200 +-4121 3194 +-2048 0 +-4121 -3194 +3008 -200 +2138 -3184 +-674 384 +1077 1664 +4 1714 +4878 -333 +-4096 -7416 +-3311 -6380 +2425 -2374 +-2353 -910 +998 -3400 +1620 -2676 +-2220 -1598 +2635 25 +0 2048 +-4092 1347 +5376 5238 +1009 224 +-3894 -1952 +-21 203 +2299 -2310 +-1449 1290 +-4096 -1272 +2336 -911 +-632 82 +1977 -2336 +3570 1832 +346 396 +-2068 -3252 +-2671 -4328 +-2048 0 +705 -1578 +3042 -2694 +562 -290 +-3640 894 +1346 -2322 +1961 -3991 +-1832 -1313 +-2472 -3496 +44 -1798 +2817 4064 +4226 859 +484 110 +-342 -2494 +-4344 -5230 +-4710 2978 +2048 0 +-4710 -2978 +-4344 5230 +-342 2494 +484 -110 +4226 -859 +2817 -4064 +44 1798 +-2472 3496 +-1832 1313 +1961 3991 +1346 2322 +-3640 -894 +562 290 +3042 2694 +705 1578 +-2048 0 +705 -1098 +7578 -3986 +562 -818 +144 2002 +1346 1214 +-458 2662 +-1832 -1363 +424 -600 +44 4474 +-6017 399 +4226 250 +-1084 2786 +-342 3603 +3612 4342 +-4710 -303 +-2048 0 +-4710 303 +3612 -4342 +-342 -3603 +-1084 -2786 +4226 -250 +-6017 -399 +44 -4474 +424 600 +-1832 1363 +-458 -2662 +1346 -1214 +144 -2002 +562 818 +7578 3986 +705 1098 +-2048 0 +705 -1578 +3042 -2694 +562 -290 +-3640 894 +1346 -2322 +1961 -3991 +-1832 -1313 +-2472 -3496 +44 -1798 +2817 4064 +4226 859 +484 110 +-342 -2494 +-4344 -5230 +-4710 2978 +2048 3072 +1157 -3013 +-2276 -1833 +255 -1503 +-5226 3118 +-5658 4440 +2174 -2402 +2092 -1356 +1324 -3796 +-2894 1495 +1604 2730 +2505 -1395 +-2100 2564 +-3507 468 +-1318 -1734 +1381 -4131 +-1024 0 +1381 4131 +-1318 1734 +-3507 -468 +-2100 -2564 +2505 1395 +1604 -2730 +-2894 -1495 +1324 3796 +2092 1356 +2174 2402 +-5658 -4440 +-5226 -3118 +255 1503 +-2276 1833 +1157 3013 +2048 -3072 +1523 139 +1168 -59 +1485 -986 +-1766 1226 +-2461 -1224 +-4850 3186 +-3396 -2773 +2772 -2348 +-3370 6040 +1072 -1946 +2480 -2620 +900 1780 +4902 -1996 +2426 -158 +3508 4729 +5120 0 +3508 -4729 +2426 158 +4902 1996 +900 -1780 +2480 2620 +1072 1946 +-3370 -6040 +2772 2348 +-3396 2773 +-4850 -3186 +-2461 1224 +-1766 -1226 +1485 986 +1168 59 +1523 -139 +2048 3072 +1157 -3013 +-2276 -1833 +255 -1503 +-5226 3118 +-5658 4440 +2174 -2402 +2092 -1356 +1324 -3796 +-2894 1495 +1604 2730 +2505 -1395 +-2100 2564 +-3507 468 +-1318 -1734 +1381 -4131 +0 -1024 +1930 2846 +1292 -131 +932 -6344 +-2354 5070 +-1527 -5157 +-2927 -6687 +-493 515 +1748 -3620 +-3693 -969 +-2877 -1532 +-1052 -1311 +1320 732 +476 852 +1487 -1265 +3623 -71 +1024 0 +3623 71 +1487 1265 +476 -852 +1320 -732 +-1052 1311 +-2877 1532 +-3693 969 +1748 3620 +-493 -515 +-2927 6687 +-1527 5157 +-2354 -5070 +932 6344 +1292 131 +1930 -2846 +0 1024 +491 730 +821 4617 +-3089 -2176 +1754 -2174 +3196 -142 +1923 1075 +403 1963 +300 3620 +1327 2430 +-1912 -5776 +-1296 -5629 +-4816 2165 +-3433 2973 +2193 -4138 +2204 231 +3072 0 +2204 -231 +2193 4138 +-3433 -2973 +-4816 -2165 +-1296 5629 +-1912 5776 +1327 -2430 +300 -3620 +403 -1963 +1923 -1075 +3196 142 +1754 2174 +-3089 2176 +821 -4617 +491 -730 +0 -1024 +1930 2846 +1292 -131 +932 -6344 +-2354 5070 +-1527 -5157 +-2927 -6687 +-493 515 +1748 -3620 +-3693 -969 +-2877 -1532 +-1052 -1311 +1320 732 +476 852 +1487 -1265 +3623 -71 +-5120 0 +-1666 -3900 +-4312 3168 +-201 2996 +4664 3386 +-4974 1897 +-3142 -301 +848 892 +2048 4344 +-2012 -1173 +-2452 -3318 +8262 581 +540 -2602 +-743 1833 +820 3312 +-957 2989 +5120 0 +-957 -2989 +820 -3312 +-743 -1833 +540 2602 +8262 -581 +-2452 3318 +-2012 1173 +2048 -4344 +848 -892 +-3142 301 +-4974 -1897 +4664 -3386 +-201 -2996 +-4312 -3168 +-1666 3900 +-5120 0 +-3133 -789 +372 2664 +1155 -3944 +880 710 +2146 851 +310 -3315 +-411 -4961 +2048 -4344 +895 -440 +1188 3799 +2814 1487 +2108 -1494 +-2667 2332 +-976 -1576 +643 570 +-3072 0 +643 -570 +-976 1576 +-2667 -2332 +2108 1494 +2814 -1487 +1188 -3799 +895 440 +2048 4344 +-411 4961 +310 3315 +2146 -851 +880 -710 +1155 3944 +372 -2664 +-3133 789 +-5120 0 +-1666 -3900 +-4312 3168 +-201 2996 +4664 3386 +-4974 1897 +-3142 -301 +848 892 +2048 4344 +-2012 -1173 +-2452 -3318 +8262 581 +540 -2602 +-743 1833 +820 3312 +-957 2989 +-2048 1024 +4798 1048 +-2608 4076 +-3996 4240 +4756 -5140 +-4094 -6981 +-4587 -800 +1508 -2257 +1324 1148 +-230 -1351 +409 -3791 +-3266 -756 +-262 -2214 +2651 299 +1675 2078 +2939 3242 +1024 0 +2939 -3242 +1675 -2078 +2651 -299 +-262 2214 +-3266 756 +409 3791 +-230 1351 +1324 -1148 +1508 2257 +-4587 800 +-4094 6981 +4756 5140 +-3996 -4240 +-2608 -4076 +4798 -1048 +-2048 -1024 +2331 -2108 +-1776 1940 +-690 655 +188 1644 +-3306 5018 +-476 2573 +-3455 200 +2772 -3196 +1969 3077 +-2338 2667 +-2045 -2774 +-586 2813 +3161 3028 +1509 1042 +1727 -517 +3072 0 +1727 517 +1509 -1042 +3161 -3028 +-586 -2813 +-2045 2774 +-2338 -2667 +1969 -3077 +2772 3196 +-3455 -200 +-476 -2573 +-3306 -5018 +188 -1644 +-690 -655 +-1776 -1940 +2331 2108 +-2048 1024 +4798 1048 +-2608 4076 +-3996 4240 +4756 -5140 +-4094 -6981 +-4587 -800 +1508 -2257 +1324 1148 +-230 -1351 +409 -3791 +-3266 -756 +-262 -2214 +2651 299 +1675 2078 +2939 3242 +2048 2048 +-3273 2924 +-3908 4428 +-4441 1017 +-2362 -2229 +-406 1623 +-3744 -318 +2233 1103 +6992 3320 +1279 -268 +2529 -1366 +1506 -2779 +-1578 -1436 +2255 -1268 +-2213 1842 +-2223 3592 +4096 0 +-2223 -3592 +-2213 -1842 +2255 1268 +-1578 1436 +1506 2779 +2529 1366 +1279 268 +6992 -3320 +2233 -1103 +-3744 318 +-406 -1623 +-2362 2229 +-4441 -1017 +-3908 -4428 +-3273 -2924 +2048 -2048 +2613 -3584 +-1805 1588 +-1591 969 +314 -5364 +145 -3277 +-2207 2090 +-2184 2921 +1200 -5368 +2456 2725 +2223 243 +322 -2659 +-470 6132 +2210 -531 +934 1277 +-901 -5820 +0 0 +-901 5820 +934 -1277 +2210 531 +-470 -6132 +322 2659 +2223 -243 +2456 -2725 +1200 5368 +-2184 -2921 +-2207 -2090 +145 3277 +314 5364 +-1591 -969 +-1805 -1588 +2613 3584 +2048 2048 +-3273 2924 +-3908 4428 +-4441 1017 +-2362 -2229 +-406 1623 +-3744 -318 +2233 1103 +6992 3320 +1279 -268 +2529 -1366 +1506 -2779 +-1578 -1436 +2255 -1268 +-2213 1842 +-2223 3592 +3072 1024 +66 2168 +758 -2437 +972 2417 +-1924 112 +2997 -4413 +-2175 1918 +-578 -490 +2596 -1748 +2403 623 +-2487 -2432 +-3457 443 +4202 -2335 +274 -4589 +-714 -2413 +3920 -8193 +4096 0 +3920 8193 +-714 2413 +274 4589 +4202 2335 +-3457 -443 +-2487 2432 +2403 -623 +2596 1748 +-578 490 +-2175 -1918 +2997 4413 +-1924 -112 +972 -2417 +758 2437 +66 -2168 +3072 -1024 +-3645 4984 +2766 544 +2307 -5938 +1076 -4456 +-85 -1016 +-3878 -1134 +-3698 673 +-4644 -300 +1874 -2217 +-1349 3216 +545 3057 +742 -2010 +-3553 -1589 +-1114 521 +-341 1984 +2048 0 +-341 -1984 +-1114 -521 +-3553 1589 +742 2010 +545 -3057 +-1349 -3216 +1874 2217 +-4644 300 +-3698 -673 +-3878 1134 +-85 1016 +1076 4456 +2307 5938 +2766 -544 +-3645 -4984 +3072 1024 +66 2168 +758 -2437 +972 2417 +-1924 112 +2997 -4413 +-2175 1918 +-578 -490 +2596 -1748 +2403 623 +-2487 -2432 +-3457 443 +4202 -2335 +274 -4589 +-714 -2413 +3920 -8193 +3072 -1024 +2095 2550 +2232 -1499 +1444 -2085 +-188 112 +343 121 +-4941 1298 +-601 -2907 +3196 -2348 +-2736 909 +2140 4945 +-374 2141 +586 -2335 +-975 3430 +-3420 2307 +2778 8 +2048 0 +2778 -8 +-3420 -2307 +-975 -3430 +586 2335 +-374 -2141 +2140 -4945 +-2736 -909 +3196 2348 +-601 2907 +-4941 -1298 +343 -121 +-188 -112 +1444 2085 +2232 1499 +2095 -2550 +3072 1024 +-3143 1655 +-3560 1435 +2913 -2329 +-4756 -4456 +1415 -3900 +4053 -4350 +-6929 -1489 +-1148 -3796 +2018 -530 +4540 1892 +3729 -1768 +262 -2010 +-2703 -1292 +-1044 -674 +725 -5012 +-4096 0 +725 5012 +-1044 674 +-2703 1292 +262 2010 +3729 1768 +4540 -1892 +2018 530 +-1148 3796 +-6929 1489 +4053 4350 +1415 3900 +-4756 4456 +2913 2329 +-3560 -1435 +-3143 -1655 +3072 -1024 +2095 2550 +2232 -1499 +1444 -2085 +-188 112 +343 121 +-4941 1298 +-601 -2907 +3196 -2348 +-2736 909 +2140 4945 +-374 2141 +586 -2335 +-975 3430 +-3420 2307 +2778 8 +-1024 -1024 +-801 2445 +-2068 -4499 +521 941 +2765 -2804 +287 -4163 +-5314 -1062 +1220 -966 +1748 1748 +-3652 3430 +5170 1717 +2464 -1122 +-1574 -2094 +1641 -1100 +248 3730 +24 -890 +0 0 +24 890 +248 -3730 +1641 1100 +-1574 2094 +2464 1122 +5170 -1717 +-3652 -3430 +1748 -1748 +1220 966 +-5314 1062 +287 4163 +2765 2804 +521 -941 +-2068 4499 +-801 -2445 +-1024 1024 +-540 4636 +-3645 1786 +-6614 3104 +1331 -3588 +1279 4136 +-638 3554 +-169 -663 +300 300 +355 -3730 +-418 -424 +8002 207 +5670 -202 +-1787 -1535 +-1527 550 +-2229 3507 +-2048 0 +-2229 -3507 +-1527 -550 +-1787 1535 +5670 202 +8002 -207 +-418 424 +355 3730 +300 -300 +-169 663 +-638 -3554 +1279 -4136 +1331 3588 +-6614 -3104 +-3645 -1786 +-540 -4636 +-1024 -1024 +-801 2445 +-2068 -4499 +521 941 +2765 -2804 +287 -4163 +-5314 -1062 +1220 -966 +1748 1748 +-3652 3430 +5170 1717 +2464 -1122 +-1574 -2094 +1641 -1100 +248 3730 +24 -890 +4096 1024 +735 -1194 +1234 -2031 +-52 -1237 +-632 -912 +3483 2030 +2348 4631 +-4837 -5006 +-6517 2348 +598 3376 +1658 669 +-2159 2178 +-78 -1311 +688 3132 +531 -2585 +413 2253 +-1024 0 +413 -2253 +531 2585 +688 -3132 +-78 1311 +-2159 -2178 +1658 -669 +598 -3376 +-6517 -2348 +-4837 5006 +2348 -4631 +3483 -2030 +-632 912 +-52 1237 +1234 2031 +735 1194 +4096 -1024 +2547 -3368 +-1574 -461 +-2973 -5659 +-1416 -5480 +4800 474 +1776 -351 +690 -191 +6517 3796 +-915 -455 +-2886 -3381 +556 -665 +-1970 -986 +1449 2965 +-3087 1292 +-5023 -1096 +1024 0 +-5023 1096 +-3087 -1292 +1449 -2965 +-1970 986 +556 665 +-2886 3381 +-915 455 +6517 -3796 +690 191 +1776 351 +4800 -474 +-1416 5480 +-2973 5659 +-1574 461 +2547 3368 +4096 1024 +735 -1194 +1234 -2031 +-52 -1237 +-632 -912 +3483 2030 +2348 4631 +-4837 -5006 +-6517 2348 +598 3376 +1658 669 +-2159 2178 +-78 -1311 +688 3132 +531 -2585 +413 2253 +0 3072 +21 -3493 +-342 -578 +-1865 1289 +-4046 -802 +-1043 3636 +1238 -2090 +4369 -1799 +2772 300 +-2217 1040 +-2642 1516 +-4239 873 +1756 692 +1677 -7351 +-1733 -1205 +2281 4195 +3072 0 +2281 -4195 +-1733 1205 +1677 7351 +1756 -692 +-4239 -873 +-2642 -1516 +-2217 -1040 +2772 -300 +4369 1799 +1238 2090 +-1043 -3636 +-4046 802 +-1865 -1289 +-342 578 +21 3493 +0 -3072 +1721 -1877 +-2045 -545 +-2685 -5087 +3198 -2694 +-2373 468 +838 -3926 +4131 -1341 +1324 1748 +3294 1820 +-634 -4636 +3429 -3688 +3189 -92 +-4486 -3366 +-2871 2978 +-2015 -3564 +-3072 0 +-2015 3564 +-2871 -2978 +-4486 3366 +3189 92 +3429 3688 +-634 4636 +3294 -1820 +1324 -1748 +4131 1341 +838 3926 +-2373 -468 +3198 2694 +-2685 5087 +-2045 545 +1721 1877 +0 3072 +21 -3493 +-342 -578 +-1865 1289 +-4046 -802 +-1043 3636 +1238 -2090 +4369 -1799 +2772 300 +-2217 1040 +-2642 1516 +-4239 873 +1756 692 +1677 -7351 +-1733 -1205 +2281 4195 +1024 3072 +-1324 1323 +-645 1108 +-2117 1353 +562 -1102 +121 1023 +349 960 +5077 -2128 +476 -724 +-3682 -2971 +2103 -5578 +-819 -278 +1560 2440 +3318 1996 +-3444 3944 +-1968 3292 +0 0 +-1968 -3292 +-3444 -3944 +3318 -1996 +1560 -2440 +-819 278 +2103 5578 +-3682 2971 +476 724 +5077 2128 +349 -960 +121 -1023 +562 1102 +-2117 -1353 +-645 -1108 +-1324 -1323 +1024 -3072 +-1089 2478 +-4624 5092 +3094 -958 +886 -2994 +2032 1657 +-4068 6201 +-1676 4620 +7716 724 +-2615 2567 +3313 2850 +1562 61 +-3008 1656 +-1398 1295 +-1176 560 +1485 3405 +-2048 0 +1485 -3405 +-1176 -560 +-1398 -1295 +-3008 -1656 +1562 -61 +3313 -2850 +-2615 -2567 +7716 -724 +-1676 -4620 +-4068 -6201 +2032 -1657 +886 2994 +3094 958 +-4624 -5092 +-1089 -2478 +1024 3072 +-1324 1323 +-645 1108 +-2117 1353 +562 -1102 +121 1023 +349 960 +5077 -2128 +476 -724 +-3682 -2971 +2103 -5578 +-819 -278 +1560 2440 +3318 1996 +-3444 3944 +-1968 3292 +0 4096 +-3086 6293 +2035 56 +4200 -356 +3654 -1084 +3307 -2322 +-1023 566 +439 917 +-600 -3920 +633 -708 +1007 3458 +-2078 4151 +-2702 3640 +4357 -287 +-1163 1598 +-6773 2327 +0 0 +-6773 -2327 +-1163 -1598 +4357 287 +-2702 -3640 +-2078 -4151 +1007 -3458 +633 708 +-600 3920 +439 -917 +-1023 -566 +3307 2322 +3654 1084 +4200 356 +2035 -56 +-3086 -6293 +0 -4096 +-2399 919 +4137 -3396 +5409 -525 +-2806 484 +-2673 1496 +514 -1231 +-1179 -4768 +-3496 1872 +1233 -1575 +701 -1226 +1236 -1192 +-2243 -144 +-2172 3190 +1983 -2042 +-454 6453 +0 0 +-454 -6453 +1983 2042 +-2172 -3190 +-2243 144 +1236 1192 +701 1226 +1233 1575 +-3496 -1872 +-1179 4768 +514 1231 +-2673 -1496 +-2806 -484 +5409 525 +4137 3396 +-2399 -919 +0 4096 +-3086 6293 +2035 56 +4200 -356 +3654 -1084 +3307 -2322 +-1023 566 +439 917 +-600 -3920 +633 -708 +1007 3458 +-2078 4151 +-2702 3640 +4357 -287 +-1163 1598 +-6773 2327 +2048 -1024 +-2341 -5937 +824 -5384 +-1172 -1639 +-2985 932 +77 -2315 +455 1251 +-2962 -278 +-4220 -300 +2818 2736 +173 572 +-601 -947 +5579 -1826 +2375 1795 +20 -307 +148 670 +-1024 0 +148 -670 +20 307 +2375 -1795 +5579 1826 +-601 947 +173 -572 +2818 -2736 +-4220 300 +-2962 278 +455 -1251 +77 2315 +-2985 -932 +-1172 1639 +824 5384 +-2341 5937 +2048 1024 +-2448 981 +1224 336 +4864 -5028 +-6904 1716 +869 5401 +1593 4257 +-2568 -784 +124 -1748 +-2401 -1343 +1875 839 +-2801 3354 +-3882 -3718 +2182 -3350 +2028 -646 +3962 2622 +5120 0 +3962 -2622 +2028 646 +2182 3350 +-3882 3718 +-2801 -3354 +1875 -839 +-2401 1343 +124 1748 +-2568 784 +1593 -4257 +869 -5401 +-6904 -1716 +4864 5028 +1224 -336 +-2448 -981 +2048 -1024 +-2341 -5937 +824 -5384 +-1172 -1639 +-2985 932 +77 -2315 +455 1251 +-2962 -278 +-4220 -300 +2818 2736 +173 572 +-601 -947 +5579 -1826 +2375 1795 +20 -307 +148 670 +-1024 1024 +11 -1728 +-4779 -371 +200 3847 +2740 -3972 +437 3216 +-740 -242 +-1269 -3762 +124 1324 +3601 930 +2541 3149 +-298 -2272 +4742 -2154 +1375 -296 +1333 488 +2101 3683 +-4096 0 +2101 -3683 +1333 -488 +1375 296 +4742 2154 +-298 2272 +2541 -3149 +3601 -930 +124 -1324 +-1269 3762 +-740 242 +437 -3216 +2740 3972 +200 -3847 +-4779 371 +11 1728 +-1024 -1024 +437 2180 +3854 -1077 +490 -2184 +1956 -972 +-4767 4927 +-7325 -1206 +-3864 -2879 +-4220 2772 +-2253 -1569 +-1469 -1701 +3060 3496 +2850 1306 +-498 -4960 +-1608 960 +1235 2770 +6144 0 +1235 -2770 +-1608 -960 +-498 4960 +2850 -1306 +3060 -3496 +-1469 1701 +-2253 1569 +-4220 -2772 +-3864 2879 +-7325 1206 +-4767 -4927 +1956 972 +490 2184 +3854 1077 +437 -2180 +-1024 1024 +11 -1728 +-4779 -371 +200 3847 +2740 -3972 +437 3216 +-740 -242 +-1269 -3762 +124 1324 +3601 930 +2541 3149 +-298 -2272 +4742 -2154 +1375 -296 +1333 488 +2101 3683 +0 2048 +536 -4570 +-1451 2019 +1421 3480 +-1508 -654 +-8035 1515 +49 1121 +1859 2279 +-2472 2048 +6126 -5403 +5416 -3745 +-2614 26 +-1168 758 +-2478 4392 +-3402 -2941 +-504 -4299 +0 0 +-504 4299 +-3402 2941 +-2478 -4392 +-1168 -758 +-2614 -26 +5416 3745 +6126 5403 +-2472 -2048 +1859 -2279 +49 -1121 +-8035 -1515 +-1508 654 +1421 -3480 +-1451 -2019 +536 4570 +0 -2048 +133 -169 +-321 2325 +-2519 2799 +60 -195 +-299 -4214 +-3169 3223 +-1419 -381 +424 2048 +795 -579 +600 -599 +1371 2938 +2616 -5702 +1567 -642 +2279 -1404 +4061 -128 +4096 0 +4061 128 +2279 1404 +1567 642 +2616 5702 +1371 -2938 +600 599 +795 579 +424 -2048 +-1419 381 +-3169 -3223 +-299 4214 +60 195 +-2519 -2799 +-321 -2325 +133 169 +0 2048 +536 -4570 +-1451 2019 +1421 3480 +-1508 -654 +-8035 1515 +49 1121 +1859 2279 +-2472 2048 +6126 -5403 +5416 -3745 +-2614 26 +-1168 758 +-2478 4392 +-3402 -2941 +-504 -4299 +6144 -2048 +-2077 -793 +745 1544 +373 1951 +288 6002 +5463 1240 +2006 2660 +-416 -1413 +-600 -3920 +-2493 -1939 +-373 -3465 +2604 521 +-2167 -1094 +-2324 196 +847 1711 +-140 1397 +0 0 +-140 -1397 +847 -1711 +-2324 -196 +-2167 1094 +2604 -521 +-373 3465 +-2493 1939 +-600 3920 +-416 1413 +2006 -2660 +5463 -1240 +288 -6002 +373 -1951 +745 -1544 +-2077 793 +6144 2048 +-1474 -1926 +-4269 -371 +2613 -826 +-7280 -458 +-3709 -2233 +4047 -2283 +2114 -451 +-3496 1872 +-2102 -2821 +4209 -6047 +-1461 -4411 +968 -1553 +2234 3826 +980 -2235 +794 -1219 +-4096 0 +794 1219 +980 2235 +2234 -3826 +968 1553 +-1461 4411 +4209 6047 +-2102 2821 +-3496 -1872 +2114 451 +4047 2283 +-3709 2233 +-7280 458 +2613 826 +-4269 371 +-1474 1926 +6144 -2048 +-2077 -793 +745 1544 +373 1951 +288 6002 +5463 1240 +2006 2660 +-416 -1413 +-600 -3920 +-2493 -1939 +-373 -3465 +2604 521 +-2167 -1094 +-2324 196 +847 1711 +-140 1397 +6144 2048 +1398 -2791 +-4008 -1418 +478 1019 +-1759 -204 +-1990 -2494 +-1912 -1250 +152 -906 +-2472 -5544 +-3566 -2555 +1207 -996 +6076 -1895 +3798 1533 +-2699 -2019 +-3211 -3266 +771 1799 +4096 0 +771 -1799 +-3211 3266 +-2699 2019 +3798 -1533 +6076 1895 +1207 996 +-3566 2555 +-2472 5544 +152 906 +-1912 1250 +-1990 2494 +-1759 204 +478 -1019 +-4008 1418 +1398 2791 +6144 -2048 +-2386 -11 +3343 191 +614 -225 +-3785 5148 +-2641 235 +1468 1590 +4620 3432 +424 -2648 +2578 -583 +2133 -1561 +123 -51 +-6446 -684 +40 -5068 +979 -858 +-3567 -2072 +4096 0 +-3567 2072 +979 858 +40 5068 +-6446 684 +123 51 +2133 1561 +2578 583 +424 2648 +4620 -3432 +1468 -1590 +-2641 -235 +-3785 -5148 +614 225 +3343 -191 +-2386 11 +6144 2048 +1398 -2791 +-4008 -1418 +478 1019 +-1759 -204 +-1990 -2494 +-1912 -1250 +152 -906 +-2472 -5544 +-3566 -2555 +1207 -996 +6076 -1895 +3798 1533 +-2699 -2019 +-3211 -3266 +771 1799 +5120 1024 +843 -321 +-1827 -103 +-2510 4975 +-52 92 +-647 -3492 +1913 1054 +5900 -825 +-1748 1148 +-3321 -1850 +1394 -2736 +-6542 2565 +282 802 +-850 -272 +-5102 175 +-211 3163 +-4096 0 +-211 -3163 +-5102 -175 +-850 272 +282 -802 +-6542 -2565 +1394 2736 +-3321 1850 +-1748 -1148 +5900 825 +1913 -1054 +-647 3492 +-52 -92 +-2510 -4975 +-1827 103 +843 321 +5120 -1024 +7635 1303 +-730 3443 +1158 -1702 +2948 -692 +-1763 1060 +-3141 -390 +-1061 3062 +-300 -3196 +-1727 -3793 +2730 504 +-3759 666 +-3178 2694 +3329 1016 +4762 269 +3526 -1870 +-2048 0 +3526 1870 +4762 -269 +3329 -1016 +-3178 -2694 +-3759 -666 +2730 -504 +-1727 3793 +-300 3196 +-1061 -3062 +-3141 390 +-1763 -1060 +2948 692 +1158 1702 +-730 -3443 +7635 -1303 +5120 1024 +843 -321 +-1827 -103 +-2510 4975 +-52 92 +-647 -3492 +1913 1054 +5900 -825 +-1748 1148 +-3321 -1850 +1394 -2736 +-6542 2565 +282 802 +-850 -272 +-5102 175 +-211 3163 +1024 3072 +-695 -518 +572 -3799 +-1008 1200 +-392 -572 +1866 -3083 +-5826 -909 +1402 1463 +1148 -300 +1974 -4417 +8145 -3491 +-559 -3866 +-946 -2538 +3599 4954 +110 4036 +-1457 -1997 +0 0 +-1457 1997 +110 -4036 +3599 -4954 +-946 2538 +-559 3866 +8145 3491 +1974 4417 +1148 300 +1402 -1463 +-5826 909 +1866 3083 +-392 572 +-1008 -1200 +572 3799 +-695 518 +1024 -3072 +-1197 1150 +4011 -2529 +224 -4440 +392 -2924 +-1082 -2307 +-3191 1665 +490 -1720 +-3196 -1748 +-82 2255 +-2025 -1049 +1343 1299 +946 3138 +-4383 2821 +-1797 724 +-435 -7468 +2048 0 +-435 7468 +-1797 -724 +-4383 -2821 +946 -3138 +1343 -1299 +-2025 1049 +-82 -2255 +-3196 1748 +490 1720 +-3191 -1665 +-1082 2307 +392 2924 +224 4440 +4011 2529 +-1197 -1150 +1024 3072 +-695 -518 +572 -3799 +-1008 1200 +-392 -572 +1866 -3083 +-5826 -909 +1402 1463 +1148 -300 +1974 -4417 +8145 -3491 +-559 -3866 +-946 -2538 +3599 4954 +110 4036 +-1457 -1997 +3072 -3072 +2269 -1036 +-3785 3706 +-2178 -1547 +652 -1800 +1910 -373 +-997 -1841 +-6484 228 +-1748 1148 +833 -2271 +1723 -1519 +-1804 -1054 +3215 18 +6140 -1460 +-1748 -7424 +-321 -1980 +2048 0 +-321 1980 +-1748 7424 +6140 1460 +3215 -18 +-1804 1054 +1723 1519 +833 2271 +-1748 -1148 +-6484 -228 +-997 1841 +1910 373 +652 1800 +-2178 1547 +-3785 -3706 +2269 1036 +3072 3072 +-224 342 +-2387 1683 +2707 -2693 +-2349 1200 +-3662 638 +1505 457 +-3519 4815 +-300 -3196 +273 634 +-3432 1335 +-467 -10 +6674 3478 +3145 1683 +927 5820 +1380 398 +-4096 0 +1380 -398 +927 -5820 +3145 -1683 +6674 -3478 +-467 10 +-3432 -1335 +273 -634 +-300 3196 +-3519 -4815 +1505 -457 +-3662 -638 +-2349 -1200 +2707 2693 +-2387 -1683 +-224 -342 +3072 -3072 +2269 -1036 +-3785 3706 +-2178 -1547 +652 -1800 +1910 -373 +-997 -1841 +-6484 228 +-1748 1148 +833 -2271 +1723 -1519 +-1804 -1054 +3215 18 +6140 -1460 +-1748 -7424 +-321 -1980 +1024 4096 +2462 -1720 +2205 -3037 +-4806 3104 +14 -784 +2762 -681 +2123 3927 +-948 4169 +-7841 2048 +190 2248 +847 -546 +-2588 2182 +-2218 1892 +1687 -2855 +365 964 +-3089 -2466 +1024 0 +-3089 2466 +365 -964 +1687 2855 +-2218 -1892 +-2588 -2182 +847 546 +190 -2248 +-7841 -2048 +-948 -4169 +2123 -3927 +2762 681 +14 784 +-4806 -3104 +2205 3037 +2462 1720 +1024 -4096 +1088 5106 +-941 2777 +-2226 -1418 +-2662 784 +-3122 1645 +1817 3693 +3323 771 +3745 2048 +5683 236 +-691 -1723 +-2165 -539 +-3326 -1892 +4666 -573 +2467 -2921 +-2917 -2396 +5120 0 +-2917 2396 +2467 2921 +4666 573 +-3326 1892 +-2165 539 +-691 1723 +5683 -236 +3745 -2048 +3323 -771 +1817 -3693 +-3122 -1645 +-2662 -784 +-2226 1418 +-941 -2777 +1088 -5106 +1024 4096 +2462 -1720 +2205 -3037 +-4806 3104 +14 -784 +2762 -681 +2123 3927 +-948 4169 +-7841 2048 +190 2248 +847 -546 +-2588 2182 +-2218 1892 +1687 -2855 +365 964 +-3089 -2466 +-1024 2048 +-3957 -198 +-309 -2254 +219 -527 +1374 -2532 +-2025 2019 +-1603 339 +2116 -3043 +-2224 -2472 +-1114 993 +3268 -622 +1151 -3759 +-1846 2192 +827 -87 +348 -1371 +3042 -1664 +9216 0 +3042 1664 +348 1371 +827 87 +-1846 -2192 +1151 3759 +3268 622 +-1114 -993 +-2224 2472 +2116 3043 +-1603 -339 +-2025 -2019 +1374 2532 +219 527 +-309 2254 +-3957 198 +-1024 -2048 +2444 -1387 +429 22 +1364 2639 +5618 -964 +5259 -2057 +-733 -3679 +-1732 569 +-8016 424 +-3053 -938 +1965 178 +-5953 -4160 +3046 -1592 +-842 2511 +-3364 2035 +2255 -5584 +-3072 0 +2255 5584 +-3364 -2035 +-842 -2511 +3046 1592 +-5953 4160 +1965 -178 +-3053 938 +-8016 -424 +-1732 -569 +-733 3679 +5259 2057 +5618 964 +1364 -2639 +429 -22 +2444 1387 +-1024 2048 +-3957 -198 +-309 -2254 +219 -527 +1374 -2532 +-2025 2019 +-1603 339 +2116 -3043 +-2224 -2472 +-1114 993 +3268 -622 +1151 -3759 +-1846 2192 +827 -87 +348 -1371 +3042 -1664 +-3072 3072 +3198 2474 +6098 -1204 +-3744 -3242 +-4816 -1416 +2041 1334 +-2056 1646 +3153 -75 +4220 -1324 +-1358 -884 +6713 697 +-935 2151 +-2354 1970 +-6684 -482 +-4787 -3334 +37 -3365 +-10240 0 +37 3365 +-4787 3334 +-6684 482 +-2354 -1970 +-935 -2151 +6713 -697 +-1358 884 +4220 1324 +3153 75 +-2056 -1646 +2041 -1334 +-4816 1416 +-3744 3242 +6098 1204 +3198 -2474 +-3072 -3072 +4028 -276 +637 1543 +-2488 356 +1320 -632 +195 1029 +1443 2478 +-946 429 +-124 -2772 +3376 -2545 +892 531 +-3309 1779 +1754 78 +3339 -837 +-748 777 +97 1779 +0 0 +97 -1779 +-748 -777 +3339 837 +1754 -78 +-3309 -1779 +892 -531 +3376 2545 +-124 2772 +-946 -429 +1443 -2478 +195 -1029 +1320 632 +-2488 -356 +637 -1543 +4028 276 +-3072 3072 +3198 2474 +6098 -1204 +-3744 -3242 +-4816 -1416 +2041 1334 +-2056 1646 +3153 -75 +4220 -1324 +-1358 -884 +6713 697 +-935 2151 +-2354 1970 +-6684 -482 +-4787 -3334 +37 -3365 +0 -4096 +-2141 -6782 +1462 -1512 +-900 1794 +770 -360 +3636 1413 +63 70 +-3610 -2205 +-1624 2896 +4599 1836 +-4780 -2766 +-330 1904 +4110 4364 +120 1587 +1434 2251 +-1801 2630 +-2048 0 +-1801 -2630 +1434 -2251 +120 -1587 +4110 -4364 +-330 -1904 +-4780 2766 +4599 -1836 +-1624 -2896 +-3610 2205 +63 -70 +3636 -1413 +770 360 +-900 -1794 +1462 1512 +-2141 6782 +0 4096 +-2930 1704 +770 2740 +2257 3076 +1878 1208 +1763 -1683 +-3403 -409 +-784 -9 +-4520 -2896 +3579 989 +5224 5323 +-3502 2571 +1434 580 +-3045 -164 +-770 1873 +3088 5523 +-2048 0 +3088 -5523 +-770 -1873 +-3045 164 +1434 -580 +-3502 -2571 +5224 -5323 +3579 -989 +-4520 2896 +-784 9 +-3403 409 +1763 1683 +1878 -1208 +2257 -3076 +770 -2740 +-2930 -1704 +0 -4096 +-2141 -6782 +1462 -1512 +-900 1794 +770 -360 +3636 1413 +63 70 +-3610 -2205 +-1624 2896 +4599 1836 +-4780 -2766 +-330 1904 +4110 4364 +120 1587 +1434 2251 +-1801 2630 +-3072 2048 +706 -2030 +2601 -2226 +-4797 -429 +2602 -2976 +2599 4845 +-904 2991 +1816 -5 +-2472 6568 +-574 1635 +-3334 -1265 +872 -268 +710 2856 +284 3164 +673 -3101 +-4971 1095 +-3072 0 +-4971 -1095 +673 3101 +284 -3164 +710 -2856 +872 268 +-3334 1265 +-574 -1635 +-2472 -6568 +1816 5 +-904 -2991 +2599 -4845 +2602 2976 +-4797 429 +2601 2226 +706 2030 +-3072 -2048 +-5839 2020 +-2720 2890 +3513 1912 +1494 2376 +-515 2098 +3240 -3435 +5593 -4767 +424 3672 +2302 1473 +-1898 -2075 +-3605 1547 +3386 640 +1650 847 +2343 869 +968 -1416 +-3072 0 +968 1416 +2343 -869 +1650 -847 +3386 -640 +-3605 -1547 +-1898 2075 +2302 -1473 +424 -3672 +5593 4767 +3240 3435 +-515 -2098 +1494 -2376 +3513 -1912 +-2720 -2890 +-5839 -2020 +-3072 2048 +706 -2030 +2601 -2226 +-4797 -429 +2602 -2976 +2599 4845 +-904 2991 +1816 -5 +-2472 6568 +-574 1635 +-3334 -1265 +872 -268 +710 2856 +284 3164 +673 -3101 +-4971 1095 +-1024 1024 +462 3098 +-794 3018 +-1486 -1901 +-392 -2648 +-110 -909 +4268 6488 +-1880 2522 +-5244 300 +-972 98 +-978 352 +2279 -3349 +-946 -4926 +-4148 2307 +2921 -1985 +5553 5824 +4096 0 +5553 -5824 +2921 1985 +-4148 -2307 +-946 4926 +2279 3349 +-978 -352 +-972 -98 +-5244 -300 +-1880 -2522 +4268 -6488 +-110 909 +-392 2648 +-1486 1901 +-794 -3018 +462 -3098 +-1024 -1024 +2602 -2756 +-1061 -2834 +-1919 -4752 +392 352 +-3587 -805 +-1452 -1100 +-1363 583 +-900 1748 +192 1679 +-639 -1956 +4522 2522 +946 -1466 +-1345 -2279 +5927 3369 +1198 -1019 +-6144 0 +1198 1019 +5927 -3369 +-1345 2279 +946 1466 +4522 -2522 +-639 1956 +192 -1679 +-900 -1748 +-1363 -583 +-1452 1100 +-3587 805 +392 -352 +-1919 4752 +-1061 2834 +2602 2756 +-1024 1024 +462 3098 +-794 3018 +-1486 -1901 +-392 -2648 +-110 -909 +4268 6488 +-1880 2522 +-5244 300 +-972 98 +-978 352 +2279 -3349 +-946 -4926 +-4148 2307 +2921 -1985 +5553 5824 +5120 2048 +159 350 +4548 -1595 +-2152 -104 +-1808 -170 +966 443 +-770 -4690 +-778 -575 +-1024 6817 +2834 3836 +-3413 2973 +-3000 -2507 +-2916 614 +751 2699 +1604 -4909 +-1124 2893 +5120 0 +-1124 -2893 +1604 4909 +751 -2699 +-2916 -614 +-3000 2507 +-3413 -2973 +2834 -3836 +-1024 -6817 +-778 575 +-770 4690 +966 -443 +-1808 170 +-2152 104 +4548 1595 +159 -350 +5120 -2048 +2559 -723 +-3440 -4929 +-769 -4101 +-240 -1278 +1421 -64 +3446 2097 +2988 -1563 +-1024 -4769 +3205 577 +737 4323 +-4500 -1889 +868 -2062 +1490 2305 +-2713 81 +-4049 886 +-3072 0 +-4049 -886 +-2713 -81 +1490 -2305 +868 2062 +-4500 1889 +737 -4323 +3205 -577 +-1024 4769 +2988 1563 +3446 -2097 +1421 64 +-240 1278 +-769 4101 +-3440 4929 +2559 723 +5120 2048 +159 350 +4548 -1595 +-2152 -104 +-1808 -170 +966 443 +-770 -4690 +-778 -575 +-1024 6817 +2834 3836 +-3413 2973 +-3000 -2507 +-2916 614 +751 2699 +1604 -4909 +-1124 2893 +4096 0 +980 3885 +-4847 1445 +3720 328 +724 4918 +-1281 -2189 +4230 1203 +740 2845 +-424 3496 +868 4334 +891 -2166 +-2644 796 +-724 2546 +3504 585 +-1655 -2911 +-2843 -1339 +0 0 +-2843 1339 +-1655 2911 +3504 -585 +-724 -2546 +-2644 -796 +891 2166 +868 -4334 +-424 -3496 +740 -2845 +4230 -1203 +-1281 2189 +724 -4918 +3720 -328 +-4847 -1445 +980 -3885 +4096 0 +29 -467 +-2108 -2933 +-3925 -4734 +724 26 +931 3285 +-1829 6757 +-2848 4945 +2472 600 +-88 -3225 +-4491 -2659 +2106 -1028 +-724 -1698 +3382 -528 +1618 -3170 +-2629 3868 +4096 0 +-2629 -3868 +1618 3170 +3382 528 +-724 1698 +2106 1028 +-4491 2659 +-88 3225 +2472 -600 +-2848 -4945 +-1829 -6757 +931 -3285 +724 -26 +-3925 4734 +-2108 2933 +29 467 +4096 0 +980 3885 +-4847 1445 +3720 328 +724 4918 +-1281 -2189 +4230 1203 +740 2845 +-424 3496 +868 4334 +891 -2166 +-2644 796 +-724 2546 +3504 585 +-1655 -2911 +-2843 -1339 +-2048 2048 +1396 -456 +-506 -2644 +977 1917 +384 -2858 +-804 -1557 +-5429 4726 +-4164 -1374 +4520 0 +-2476 -717 +3559 1046 +4531 4537 +-1952 5638 +3764 5190 +-416 -2393 +-1758 2271 +2048 0 +-1758 -2271 +-416 2393 +3764 -5190 +-1952 -5638 +4531 -4537 +3559 -1046 +-2476 717 +4520 0 +-4164 1374 +-5429 -4726 +-804 1557 +384 2858 +977 -1917 +-506 2644 +1396 456 +-2048 -2048 +-1657 -2647 +-639 935 +290 -1546 +-1832 -6183 +-3381 375 +-847 1446 +1295 736 +1624 0 +-1336 -1251 +1517 -1866 +983 -4831 +3400 -2390 +-568 1862 +-5431 1884 +2908 -910 +6144 0 +2908 910 +-5431 -1884 +-568 -1862 +3400 2390 +983 4831 +1517 1866 +-1336 1251 +1624 0 +1295 -736 +-847 -1446 +-3381 -375 +-1832 6183 +290 1546 +-639 -935 +-1657 2647 +-2048 2048 +1396 -456 +-506 -2644 +977 1917 +384 -2858 +-804 -1557 +-5429 4726 +-4164 -1374 +4520 0 +-2476 -717 +3559 1046 +4531 4537 +-1952 5638 +3764 5190 +-416 -2393 +-1758 2271 +1024 -1024 +-2634 1500 +1562 5844 +5639 43 +1780 2284 +2107 -1513 +-2036 869 +-3160 -305 +-724 -124 +-964 -237 +1604 -5085 +-4183 5032 +-3118 -162 +2609 -4066 +1307 4580 +-348 1758 +-2048 0 +-348 -1758 +1307 -4580 +2609 4066 +-3118 162 +-4183 -5032 +1604 5085 +-964 237 +-724 124 +-3160 305 +-2036 -869 +2107 1513 +1780 -2284 +5639 -43 +1562 -5844 +-2634 -1500 +1024 1024 +4448 -5353 +551 -2151 +-1999 -2814 +2564 -2284 +67 -905 +1031 1880 +1580 981 +724 4220 +327 2168 +-6392 -6151 +-3342 -1138 +-1226 162 +-897 -585 +2373 1513 +751 3837 +0 0 +751 -3837 +2373 -1513 +-897 585 +-1226 -162 +-3342 1138 +-6392 6151 +327 -2168 +724 -4220 +1580 -981 +1031 -1880 +67 905 +2564 2284 +-1999 2814 +551 2151 +4448 5353 +1024 -1024 +-2634 1500 +1562 5844 +5639 43 +1780 2284 +2107 -1513 +-2036 869 +-3160 -305 +-724 -124 +-964 -237 +1604 -5085 +-4183 5032 +-3118 -162 +2609 -4066 +1307 4580 +-348 1758 +-3072 2048 +2721 -1243 +2855 -941 +-110 2465 +2002 2192 +-1465 -858 +1724 -3941 +6180 862 +-1024 -424 +-716 -241 +2238 -31 +3075 -4510 +-2786 -964 +1459 2743 +2434 1583 +-4121 -2570 +-1024 0 +-4121 2570 +2434 -1583 +1459 -2743 +-2786 964 +3075 4510 +2238 31 +-716 241 +-1024 424 +6180 -862 +1724 3941 +-1465 858 +2002 -2192 +-110 -2465 +2855 941 +2721 1243 +-3072 -2048 +-2750 -571 +1750 -4448 +-831 -403 +894 -1592 +2517 -4050 +1551 5325 +-393 2084 +-1024 2472 +1169 2299 +-4314 214 +-1879 4066 +-110 -2532 +3028 -2011 +-46 21 +-7882 -5925 +-5120 0 +-7882 5925 +-46 -21 +3028 2011 +-110 2532 +-1879 -4066 +-4314 -214 +1169 -2299 +-1024 -2472 +-393 -2084 +1551 -5325 +2517 4050 +894 1592 +-831 403 +1750 4448 +-2750 571 +-3072 2048 +2721 -1243 +2855 -941 +-110 2465 +2002 2192 +-1465 -858 +1724 -3941 +6180 862 +-1024 -424 +-716 -241 +2238 -31 +3075 -4510 +-2786 -964 +1459 2743 +2434 1583 +-4121 -2570 +-1024 1024 +883 -6966 +272 -401 +603 2625 +-5910 1670 +-2245 -109 +368 -2746 +-3623 990 +3796 -1148 +-2535 1094 +-3104 3146 +508 3431 +-1896 1116 +-320 1688 +-1220 5968 +503 -6214 +2048 0 +503 6214 +-1220 -5968 +-320 -1688 +-1896 -1116 +508 -3431 +-3104 -3146 +-2535 -1094 +3796 1148 +-3623 -990 +368 2746 +-2245 109 +-5910 -1670 +603 -2625 +272 401 +883 6966 +-1024 -1024 +225 -1225 +1776 -1712 +2073 -5574 +-234 -222 +-431 79 +1680 1742 +2515 4056 +2348 3196 +1426 1736 +5152 1642 +-3184 1890 +-4248 332 +2996 715 +3268 -2287 +605 -4193 +-4096 0 +605 4193 +3268 2287 +2996 -715 +-4248 -332 +-3184 -1890 +5152 -1642 +1426 -1736 +2348 -3196 +2515 -4056 +1680 -1742 +-431 -79 +-234 222 +2073 5574 +1776 1712 +225 1225 +-1024 1024 +883 -6966 +272 -401 +603 2625 +-5910 1670 +-2245 -109 +368 -2746 +-3623 990 +3796 -1148 +-2535 1094 +-3104 3146 +508 3431 +-1896 1116 +-320 1688 +-1220 5968 +503 -6214 +-5120 -1024 +1062 -4011 +1693 -709 +-594 -100 +1984 801 +-3151 -3485 +-1007 -3118 +-1672 285 +1324 -124 +1472 1517 +-268 -732 +857 1544 +-1586 7322 +-257 -1154 +-3607 -4831 +-1970 3311 +4096 0 +-1970 -3311 +-3607 4831 +-257 1154 +-1586 -7322 +857 -1544 +-268 732 +1472 -1517 +1324 124 +-1672 -285 +-1007 3118 +-3151 3485 +1984 -801 +-594 100 +1693 709 +1062 4011 +-5120 1024 +2372 -7200 +-2357 -1367 +-3311 70 +-2584 -2849 +-524 1023 +563 -1487 +-2318 745 +2772 4220 +1630 1657 +3609 3120 +-1645 -2156 +-1910 -5274 +2832 573 +1375 1555 +5217 1607 +6144 0 +5217 -1607 +1375 -1555 +2832 -573 +-1910 5274 +-1645 2156 +3609 -3120 +1630 -1657 +2772 -4220 +-2318 -745 +563 1487 +-524 -1023 +-2584 2849 +-3311 -70 +-2357 1367 +2372 7200 +-5120 -1024 +1062 -4011 +1693 -709 +-594 -100 +1984 801 +-3151 -3485 +-1007 -3118 +-1672 285 +1324 -124 +1472 1517 +-268 -732 +857 1544 +-1586 7322 +-257 -1154 +-3607 -4831 +-1970 3311 +0 -1024 +679 -3610 +-366 693 +-3615 2982 +-452 476 +1812 -3795 +-1029 -481 +3587 1233 +1148 -1324 +-4659 1761 +907 1756 +4770 538 +-3562 2754 +-3655 1127 +-222 -700 +821 -55 +5120 0 +821 55 +-222 700 +-3655 -1127 +-3562 -2754 +4770 -538 +907 -1756 +-4659 -1761 +1148 1324 +3587 -1233 +-1029 481 +1812 3795 +-452 -476 +-3615 -2982 +-366 -693 +679 3610 +0 1024 +2975 -2500 +1031 3063 +-5369 1450 +1900 -2524 +1546 -3724 +1473 -7739 +-994 -2881 +-3196 -2772 +2716 -5937 +-4248 1112 +1007 -177 +2114 -706 +3503 2994 +2454 -840 +-5124 -392 +-1024 0 +-5124 392 +2454 840 +3503 -2994 +2114 706 +1007 177 +-4248 -1112 +2716 5937 +-3196 2772 +-994 2881 +1473 7739 +1546 3724 +1900 2524 +-5369 -1450 +1031 -3063 +2975 2500 +0 -1024 +679 -3610 +-366 693 +-3615 2982 +-452 476 +1812 -3795 +-1029 -481 +3587 1233 +1148 -1324 +-4659 1761 +907 1756 +4770 538 +-3562 2754 +-3655 1127 +-222 -700 +821 -55 +-1024 2048 +-2324 -1165 +-2155 357 +-1107 2542 +-1378 2316 +1715 2762 +-4072 -92 +-1134 1253 +3496 -1448 +-760 -2480 +-266 -4686 +-3800 -2674 +-34 3256 +3468 -3205 +273 -786 +-995 -943 +-3072 0 +-995 943 +273 786 +3468 3205 +-34 -3256 +-3800 2674 +-266 4686 +-760 2480 +3496 1448 +-1134 -1253 +-4072 92 +1715 -2762 +-1378 -2316 +-1107 -2542 +-2155 -357 +-2324 1165 +-1024 -2048 +-4668 -2306 +-796 2200 +3454 1721 +-919 -1468 +1863 268 +6252 -1136 +-2249 525 +600 1448 +-82 4907 +-8906 562 +2231 -3431 +6426 1688 +3761 -1669 +1479 446 +627 -1879 +5120 0 +627 1879 +1479 -446 +3761 1669 +6426 -1688 +2231 3431 +-8906 -562 +-82 -4907 +600 -1448 +-2249 -525 +6252 1136 +1863 -268 +-919 1468 +3454 -1721 +-796 -2200 +-4668 2306 +-1024 2048 +-2324 -1165 +-2155 357 +-1107 2542 +-1378 2316 +1715 2762 +-4072 -92 +-1134 1253 +3496 -1448 +-760 -2480 +-266 -4686 +-3800 -2674 +-34 3256 +3468 -3205 +273 -786 +-995 -943 +-1024 -1024 +3451 -112 +833 1225 +-221 1926 +-1056 248 +-619 -1496 +-3245 987 +-1497 3767 +-300 4644 +-3422 2169 +4882 5515 +1416 1787 +2394 -2030 +2811 1146 +-6326 76 +-840 6555 +4096 0 +-840 -6555 +-6326 -76 +2811 -1146 +2394 2030 +1416 -1787 +4882 -5515 +-3422 -2169 +-300 -4644 +-1497 -3767 +-3245 -987 +-619 1496 +-1056 -248 +-221 -1926 +833 -1225 +3451 112 +-1024 1024 +-3347 -3429 +4638 -1889 +6577 2044 +-1840 3248 +56 1935 +-1308 -543 +-4399 -1603 +-1748 -2596 +-2474 -317 +2567 -2175 +-1979 1180 +502 1430 +3545 -2840 +-2042 2156 +944 -2216 +2048 0 +944 2216 +-2042 -2156 +3545 2840 +502 -1430 +-1979 -1180 +2567 2175 +-2474 317 +-1748 2596 +-4399 1603 +-1308 543 +56 -1935 +-1840 -3248 +6577 -2044 +4638 1889 +-3347 3429 +-1024 -1024 +3451 -112 +833 1225 +-221 1926 +-1056 248 +-619 -1496 +-3245 987 +-1497 3767 +-300 4644 +-3422 2169 +4882 5515 +1416 1787 +2394 -2030 +2811 1146 +-6326 76 +-840 6555 +3072 1024 +-223 -873 +685 -2293 +-2863 -2361 +946 -1910 +1598 503 +-1820 295 +3258 -294 +900 1748 +-8118 1471 +-3619 1405 +-1158 310 +-392 -1984 +-761 3399 +-1873 4619 +-320 -2841 +-2048 0 +-320 2841 +-1873 -4619 +-761 -3399 +-392 1984 +-1158 -310 +-3619 -1405 +-8118 -1471 +900 -1748 +3258 294 +-1820 -295 +1598 -503 +946 1910 +-2863 2361 +685 2293 +-223 873 +3072 -1024 +5125 179 +1391 -199 +4347 -1880 +-946 -1586 +714 -238 +-2785 3985 +-314 5337 +5244 300 +-6858 -3108 +1231 -4117 +2391 -1374 +392 2584 +1524 -3176 +-1402 -5911 +1657 1259 +0 0 +1657 -1259 +-1402 5911 +1524 3176 +392 -2584 +2391 1374 +1231 4117 +-6858 3108 +5244 -300 +-314 -5337 +-2785 -3985 +714 238 +-946 1586 +4347 1880 +1391 199 +5125 -179 +3072 1024 +-223 -873 +685 -2293 +-2863 -2361 +946 -1910 +1598 503 +-1820 295 +3258 -294 +900 1748 +-8118 1471 +-3619 1405 +-1158 310 +-392 -1984 +-761 3399 +-1873 4619 +-320 -2841 +-1024 -1024 +-2513 -4007 +-2420 117 +456 -2801 +4116 -2024 +2670 -1828 +773 -168 +4558 -675 +4820 -4220 +-1593 -1417 +-2539 -63 +372 -2500 +-3238 -2106 +-5699 609 +-432 -1343 +2717 1002 +2048 0 +2717 -1002 +-432 1343 +-5699 -609 +-3238 2106 +372 2500 +-2539 63 +-1593 1417 +4820 4220 +4558 675 +773 168 +2670 1828 +4116 2024 +456 2801 +-2420 -117 +-2513 4007 +-1024 1024 +1149 -2784 +-412 822 +-4180 316 +-2668 327 +566 4882 +-929 796 +-864 -6540 +3372 124 +3011 -3343 +-1401 4787 +-1153 4874 +1789 -7782 +1175 2019 +-832 -1814 +-674 455 +0 0 +-674 -455 +-832 1814 +1175 -2019 +1789 7782 +-1153 -4874 +-1401 -4787 +3011 3343 +3372 -124 +-864 6540 +-929 -796 +566 -4882 +-2668 -327 +-4180 -316 +-412 -822 +1149 2784 +-1024 -1024 +-2513 -4007 +-2420 117 +456 -2801 +4116 -2024 +2670 -1828 +773 -168 +4558 -675 +4820 -4220 +-1593 -1417 +-2539 -63 +372 -2500 +-3238 -2106 +-5699 609 +-432 -1343 +2717 1002 +1024 -1024 +-117 -1450 +761 -2275 +-2979 -3185 +-3672 -2728 +-313 -401 +-1245 2362 +561 664 +6268 2772 +1971 2945 +-936 -1723 +-1319 1793 +3902 827 +5290 -1447 +-4068 3602 +-4752 1796 +-2048 0 +-4752 -1796 +-4068 -3602 +5290 1447 +3902 -827 +-1319 -1793 +-936 1723 +1971 -2945 +6268 -2772 +561 -664 +-1245 -2362 +-313 401 +-3672 2728 +-2979 3185 +761 2275 +-117 1450 +1024 1024 +-224 -1607 +-2245 227 +3057 4577 +-672 5624 +479 2414 +-907 -314 +955 3193 +1924 1324 +-3248 -1985 +4784 -325 +-3519 -2676 +442 2070 +5097 5735 +-4335 -1554 +-939 -1957 +0 0 +-939 1957 +-4335 1554 +5097 -5735 +442 -2070 +-3519 2676 +4784 325 +-3248 1985 +1924 -1324 +955 -3193 +-907 314 +479 -2414 +-672 -5624 +3057 -4577 +-2245 -227 +-224 1607 +1024 -1024 +-117 -1450 +761 -2275 +-2979 -3185 +-3672 -2728 +-313 -401 +-1245 2362 +561 664 +6268 2772 +1971 2945 +-936 -1723 +-1319 1793 +3902 827 +5290 -1447 +-4068 3602 +-4752 1796 +1024 -3072 +-2287 -1851 +2688 2811 +-1384 -3976 +-5964 508 +2801 3885 +-692 -860 +-1348 -3682 +-3196 300 +-2475 867 +4134 -155 +-2577 -722 +842 -4298 +709 -1467 +685 -2145 +5536 1035 +2048 0 +5536 -1035 +685 2145 +709 1467 +842 4298 +-2577 722 +4134 155 +-2475 -867 +-3196 -300 +-1348 3682 +-692 860 +2801 -3885 +-5964 -508 +-1384 3976 +2688 -2811 +-2287 1851 +1024 3072 +-671 1175 +-4137 3517 +-1173 -1399 +171 1292 +-1720 1693 +2141 104 +2564 -92 +1148 1748 +2826 3239 +-2685 4695 +-2288 637 +4951 -6190 +5633 -1380 +-2133 -2616 +-4145 -2023 +0 0 +-4145 2023 +-2133 2616 +5633 1380 +4951 6190 +-2288 -637 +-2685 -4695 +2826 -3239 +1148 -1748 +2564 92 +2141 -104 +-1720 -1693 +171 -1292 +-1173 1399 +-4137 -3517 +-671 -1175 +1024 -3072 +-2287 -1851 +2688 2811 +-1384 -3976 +-5964 508 +2801 3885 +-692 -860 +-1348 -3682 +-3196 300 +-2475 867 +4134 -155 +-2577 -722 +842 -4298 +709 -1467 +685 -2145 +5536 1035 +-1024 -2048 +416 3937 +-1011 4185 +-5845 224 +-1001 1364 +539 4155 +-1433 -731 +-1976 -3478 +-600 1448 +3376 -3368 +-1142 -2650 +2201 -855 +-1207 -2252 +-3711 768 +933 -2112 +-488 1074 +1024 0 +-488 -1074 +933 2112 +-3711 -768 +-1207 2252 +2201 855 +-1142 2650 +3376 3368 +-600 -1448 +-1976 3478 +-1433 731 +539 -4155 +-1001 -1364 +-5845 -224 +-1011 -4185 +416 -3937 +-1024 2048 +-2923 -7 +-4157 -1629 +2054 1974 +8594 3581 +1786 -725 +-1739 -497 +-4651 2159 +-3496 -1448 +3900 482 +-2679 -1474 +4610 500 +5903 3100 +-1634 -2354 +3035 1772 +2345 1289 +1024 0 +2345 -1289 +3035 -1772 +-1634 2354 +5903 -3100 +4610 -500 +-2679 1474 +3900 -482 +-3496 1448 +-4651 -2159 +-1739 497 +1786 725 +8594 -3581 +2054 -1974 +-4157 1629 +-2923 7 +-1024 -2048 +416 3937 +-1011 4185 +-5845 224 +-1001 1364 +539 4155 +-1433 -731 +-1976 -3478 +-600 1448 +3376 -3368 +-1142 -2650 +2201 -855 +-1207 -2252 +-3711 768 +933 -2112 +-488 1074 +2048 0 +-376 -2415 +2909 2250 +86 7582 +1533 -1194 +-3429 -3258 +-6419 -857 +1983 -3571 +4096 -424 +-3963 871 +-788 -1290 +-1084 -2403 +-5148 1638 +-1451 2509 +-2205 -2961 +-846 -274 +2048 0 +-846 274 +-2205 2961 +-1451 -2509 +-5148 -1638 +-1084 2403 +-788 1290 +-3963 -871 +4096 424 +1983 3571 +-6419 857 +-3429 3258 +1533 1194 +86 -7582 +2909 -2250 +-376 2415 +2048 0 +7088 -2357 +735 -2694 +4676 -1384 +-684 -2302 +-901 2166 +-1969 3089 +-5721 775 +4096 2472 +1701 117 +2185 625 +-1505 -257 +204 -1038 +3607 2122 +-2638 -379 +135 -714 +2048 0 +135 714 +-2638 379 +3607 -2122 +204 1038 +-1505 257 +2185 -625 +1701 -117 +4096 -2472 +-5721 -775 +-1969 -3089 +-901 -2166 +-684 2302 +4676 1384 +735 2694 +7088 2357 +2048 0 +-376 -2415 +2909 2250 +86 7582 +1533 -1194 +-3429 -3258 +-6419 -857 +1983 -3571 +4096 -424 +-3963 871 +-788 -1290 +-1084 -2403 +-5148 1638 +-1451 2509 +-2205 -2961 +-846 -274 +0 -2048 +447 -2528 +61 -1442 +-1530 -4282 +-4024 -2146 +1876 -2146 +3950 -3671 +-867 -4222 +1448 1024 +10 978 +-71 -854 +3233 -935 +2436 -3870 +-36 945 +-842 773 +-3126 2258 +-6144 0 +-3126 -2258 +-842 -773 +-36 -945 +2436 3870 +3233 935 +-71 854 +10 -978 +1448 -1024 +-867 4222 +3950 3671 +1876 2146 +-4024 2146 +-1530 4282 +61 1442 +447 2528 +0 2048 +5280 -1025 +759 -3778 +-4308 -1959 +1976 2746 +-1899 589 +-2242 1751 +-2498 -2783 +-1448 1024 +1788 -1065 +-438 -6362 +574 5379 +-4484 374 +2090 -1185 +7014 5096 +-1033 1108 +-2048 0 +-1033 -1108 +7014 -5096 +2090 1185 +-4484 -374 +574 -5379 +-438 6362 +1788 1065 +-1448 -1024 +-2498 2783 +-2242 -1751 +-1899 -589 +1976 -2746 +-4308 1959 +759 3778 +5280 1025 +0 -2048 +447 -2528 +61 -1442 +-1530 -4282 +-4024 -2146 +1876 -2146 +3950 -3671 +-867 -4222 +1448 1024 +10 978 +-71 -854 +3233 -935 +2436 -3870 +-36 945 +-842 773 +-3126 2258 +0 -1024 +-4029 -665 +1432 -1818 +-820 1135 +1032 2284 +3381 -3291 +-3926 -2041 +1017 -576 +-300 724 +-3988 -3923 +-2745 -4816 +3168 459 +3922 -162 +-1644 1802 +314 2881 +4619 2389 +7168 0 +4619 -2389 +314 -2881 +-1644 -1802 +3922 162 +3168 -459 +-2745 4816 +-3988 3923 +-300 -724 +1017 576 +-3926 2041 +3381 3291 +1032 -2284 +-820 -1135 +1432 1818 +-4029 665 +0 1024 +1792 -623 +2876 251 +-1027 -6872 +2465 -2284 +2717 5622 +-4019 -1743 +1700 1064 +-1748 -724 +-1863 -1381 +801 1031 +-1698 -3921 +-3322 162 +-4077 -1747 +-2926 -4448 +754 2117 +5120 0 +754 -2117 +-2926 4448 +-4077 1747 +-3322 -162 +-1698 3921 +801 -1031 +-1863 1381 +-1748 724 +1700 -1064 +-4019 1743 +2717 -5622 +2465 2284 +-1027 6872 +2876 -251 +1792 623 +0 -1024 +-4029 -665 +1432 -1818 +-820 1135 +1032 2284 +3381 -3291 +-3926 -2041 +1017 -576 +-300 724 +-3988 -3923 +-2745 -4816 +3168 459 +3922 -162 +-1644 1802 +314 2881 +4619 2389 +0 3072 +3640 1592 +2603 6347 +115 -22 +1514 -1970 +1543 4171 +-102 -632 +-3426 -1100 +300 2172 +-1526 -2062 +-1546 -1924 +-1000 3904 +-3948 632 +1021 -3246 +2407 -794 +-272 -2315 +-1024 0 +-272 2315 +2407 794 +1021 3246 +-3948 -632 +-1000 -3904 +-1546 1924 +-1526 2062 +300 -2172 +-3426 1100 +-102 632 +1543 -4171 +1514 1970 +115 22 +2603 -6347 +3640 -1592 +0 -3072 +486 -860 +4353 933 +8105 -3560 +-4162 -78 +-4825 -951 +-2298 -1536 +-3464 -2474 +1748 -2172 +-481 5168 +5146 956 +258 646 +-1596 1416 +575 -4799 +-2370 1082 +-749 3935 +-3072 0 +-749 -3935 +-2370 -1082 +575 4799 +-1596 -1416 +258 -646 +5146 -956 +-481 -5168 +1748 2172 +-3464 2474 +-2298 1536 +-4825 951 +-4162 78 +8105 3560 +4353 -933 +486 860 +0 3072 +3640 1592 +2603 6347 +115 -22 +1514 -1970 +1543 4171 +-102 -632 +-3426 -1100 +300 2172 +-1526 -2062 +-1546 -1924 +-1000 3904 +-3948 632 +1021 -3246 +2407 -794 +-272 -2315 +-1024 1024 +1252 1367 +-1073 2955 +1775 86 +-1910 -1970 +-2867 -113 +-1316 -1076 +-501 3601 +1324 -6268 +1255 -3179 +672 10927 +-1210 3100 +1984 632 +753 3220 +1101 4973 +2098 1437 +-2048 0 +2098 -1437 +1101 -4973 +753 -3220 +1984 -632 +-1210 -3100 +672 -10927 +1255 3179 +1324 6268 +-501 -3601 +-1316 1076 +-2867 113 +-1910 1970 +1775 -86 +-1073 -2955 +1252 -1367 +-1024 -1024 +-2596 434 +629 100 +-3034 529 +-1586 -78 +-1611 -5288 +-916 -3099 +2333 4063 +2772 -1924 +1377 67 +-1337 -2318 +-992 -5734 +-2584 1416 +1393 -2238 +2239 2675 +575 3573 +4096 0 +575 -3573 +2239 -2675 +1393 2238 +-2584 -1416 +-992 5734 +-1337 2318 +1377 -67 +2772 1924 +2333 -4063 +-916 3099 +-1611 5288 +-1586 78 +-3034 -529 +629 -100 +-2596 -434 +-1024 1024 +1252 1367 +-1073 2955 +1775 86 +-1910 -1970 +-2867 -113 +-1316 -1076 +-501 3601 +1324 -6268 +1255 -3179 +672 10927 +-1210 3100 +1984 632 +753 3220 +1101 4973 +2098 1437 +1024 -1024 +94 2268 +3111 1181 +-1776 3259 +-522 1670 +-1014 2048 +168 675 +6065 -5918 +1748 -1748 +1403 -226 +2174 -3147 +4205 -842 +-2080 1116 +-231 3444 +-1385 -95 +-4406 -5569 +4096 0 +-4406 5569 +-1385 95 +-231 -3444 +-2080 -1116 +4205 842 +2174 3147 +1403 226 +1748 1748 +6065 5918 +168 -675 +-1014 -2048 +-522 -1670 +-1776 -3259 +3111 -1181 +94 -2268 +1024 1024 +-1412 1801 +-435 -1026 +-158 -241 +1370 -222 +5946 1360 +-1276 -1939 +823 -2122 +300 -300 +-6755 -821 +-1066 5979 +4463 3050 +-2864 332 +-5642 774 +-1291 -3845 +-1606 2645 +-2048 0 +-1606 -2645 +-1291 3845 +-5642 -774 +-2864 -332 +4463 -3050 +-1066 -5979 +-6755 821 +300 300 +823 2122 +-1276 1939 +5946 -1360 +1370 222 +-158 241 +-435 1026 +-1412 -1801 +1024 -1024 +94 2268 +3111 1181 +-1776 3259 +-522 1670 +-1014 2048 +168 675 +6065 -5918 +1748 -1748 +1403 -226 +2174 -3147 +4205 -842 +-2080 1116 +-231 3444 +-1385 -95 +-4406 -5569 +0 -2048 +3853 -6215 +2777 119 +-1215 -812 +-3216 -1918 +2441 2584 +2450 -530 +-5807 1648 +3072 -2896 +1920 -5160 +-1451 -820 +-614 -2047 +-1988 914 +2604 1751 +1857 -1112 +-3269 -2687 +-6144 0 +-3269 2687 +1857 1112 +2604 -1751 +-1988 -914 +-614 2047 +-1451 820 +1920 5160 +3072 2896 +-5807 -1648 +2450 530 +2441 -2584 +-3216 1918 +-1215 812 +2777 -119 +3853 6215 +0 2048 +3543 271 +-64 -939 +1204 -1225 +568 -3026 +-2554 -2157 +42 2239 +285 -1722 +3072 2896 +-3079 3758 +158 1329 +2056 3362 +-3556 -1762 +1870 2893 +2422 7284 +-3239 1207 +-6144 0 +-3239 -1207 +2422 -7284 +1870 -2893 +-3556 1762 +2056 -3362 +158 -1329 +-3079 -3758 +3072 -2896 +285 1722 +42 -2239 +-2554 2157 +568 3026 +1204 1225 +-64 939 +3543 -271 +0 -2048 +3853 -6215 +2777 119 +-1215 -812 +-3216 -1918 +2441 2584 +2450 -530 +-5807 1648 +3072 -2896 +1920 -5160 +-1451 -820 +-614 -2047 +-1988 914 +2604 1751 +1857 -1112 +-3269 -2687 +-1024 -1024 +-4774 -3318 +-1624 1663 +-4356 -1439 +38 -2394 +7783 -899 +-306 -7402 +1024 1396 +2172 2172 +19 -1663 +-2131 2335 +813 -1232 +1936 -1840 +-518 -117 +1451 2344 +-396 4023 +-2048 0 +-396 -4023 +1451 -2344 +-518 117 +1936 1840 +813 1232 +-2131 -2335 +19 1663 +2172 -2172 +1024 -1396 +-306 7402 +7783 899 +38 2394 +-4356 1439 +-1624 -1663 +-4774 3318 +-1024 1024 +-3935 2905 +1688 165 +3095 -2421 +-287 -502 +523 368 +-2747 3567 +-3199 -1885 +-2172 -2172 +1476 3629 +-4705 3718 +-872 22 +6504 -1056 +-677 1370 +181 1181 +3992 3812 +4096 0 +3992 -3812 +181 -1181 +-677 -1370 +6504 1056 +-872 -22 +-4705 -3718 +1476 -3629 +-2172 2172 +-3199 1885 +-2747 -3567 +523 -368 +-287 502 +3095 2421 +1688 -165 +-3935 -2905 +-1024 -1024 +-4774 -3318 +-1624 1663 +-4356 -1439 +38 -2394 +7783 -899 +-306 -7402 +1024 1396 +2172 2172 +19 -1663 +-2131 2335 +813 -1232 +1936 -1840 +-518 -117 +1451 2344 +-396 4023 +2048 3072 +1667 -359 +-885 -504 +-3878 4425 +-2910 2850 +1650 1353 +-1881 3443 +604 -2872 +-724 300 +-4715 1901 +2070 175 +-2428 -1012 +-5356 -2740 +-2746 5399 +230 390 +4034 -2564 +3072 0 +4034 2564 +230 -390 +-2746 -5399 +-5356 2740 +-2428 1012 +2070 -175 +-4715 -1901 +-724 -300 +604 2872 +-1881 -3443 +1650 -1353 +-2910 -2850 +-3878 -4425 +-885 504 +1667 359 +2048 -3072 +1393 909 +1485 2736 +-2031 3352 +-3234 4742 +1042 -1911 +5377 -103 +2018 4166 +724 1748 +525 -4391 +1426 269 +3521 2022 +-788 -1956 +4871 3945 +370 -1054 +-5526 -670 +1024 0 +-5526 670 +370 1054 +4871 -3945 +-788 1956 +3521 -2022 +1426 -269 +525 4391 +724 -1748 +2018 -4166 +5377 103 +1042 1911 +-3234 -4742 +-2031 -3352 +1485 -2736 +1393 -909 +2048 3072 +1667 -359 +-885 -504 +-3878 4425 +-2910 2850 +1650 1353 +-1881 3443 +604 -2872 +-724 300 +-4715 1901 +2070 175 +-2428 -1012 +-5356 -2740 +-2746 5399 +230 390 +4034 -2564 +4096 1024 +-496 -907 +521 786 +4828 3399 +-78 802 +-1443 1000 +3654 1010 +3267 2249 +2172 -3196 +4146 -1367 +-2501 3768 +-1394 -2865 +-1416 -692 +314 1059 +3147 -4786 +-2396 -3982 +-3072 0 +-2396 3982 +3147 4786 +314 -1059 +-1416 692 +-1394 2865 +-2501 -3768 +4146 1367 +2172 3196 +3267 -2249 +3654 -1010 +-1443 -1000 +-78 -802 +4828 -3399 +521 -786 +-496 907 +4096 -1024 +1631 2252 +2036 818 +-3581 2820 +-1970 2694 +-1468 903 +-2427 -826 +3743 -3534 +-2172 1148 +-1341 -286 +-1623 -2385 +-4592 -6009 +-632 92 +1544 8367 +-2807 -603 +-2762 2559 +3072 0 +-2762 -2559 +-2807 603 +1544 -8367 +-632 -92 +-4592 6009 +-1623 2385 +-1341 286 +-2172 -1148 +3743 3534 +-2427 826 +-1468 -903 +-1970 -2694 +-3581 -2820 +2036 -818 +1631 -2252 +4096 1024 +-496 -907 +521 786 +4828 3399 +-78 802 +-1443 1000 +3654 1010 +3267 2249 +2172 -3196 +4146 -1367 +-2501 3768 +-1394 -2865 +-1416 -692 +314 1059 +3147 -4786 +-2396 -3982 +-1024 -5120 +-1984 -4882 +4534 -1733 +2006 6543 +-3876 -3020 +1383 -2217 +-2354 634 +-3976 -1329 +724 724 +1525 476 +929 1238 +-1456 1797 +2369 3354 +-1752 1477 +-4384 2045 +-2527 889 +-6144 0 +-2527 -889 +-4384 -2045 +-1752 -1477 +2369 -3354 +-1456 -1797 +929 -1238 +1525 -476 +724 -724 +-3976 1329 +-2354 -634 +1383 2217 +-3876 3020 +2006 -6543 +4534 1733 +-1984 4882 +-1024 5120 +789 1520 +1482 -2871 +7792 1449 +4476 -6020 +-5200 -1233 +581 2642 +1176 -1267 +-724 -724 +-54 -487 +-2052 838 +4385 177 +1127 -106 +-1367 -2045 +1264 342 +-742 732 +0 0 +-742 -732 +1264 -342 +-1367 2045 +1127 106 +4385 -177 +-2052 -838 +-54 487 +-724 724 +1176 1267 +581 -2642 +-5200 1233 +4476 6020 +7792 -1449 +1482 2871 +789 -1520 +-1024 -5120 +-1984 -4882 +4534 -1733 +2006 6543 +-3876 -3020 +1383 -2217 +-2354 634 +-3976 -1329 +724 724 +1525 476 +929 1238 +-1456 1797 +2369 3354 +-1752 1477 +-4384 2045 +-2527 889 +2048 0 +1834 -479 +952 -1244 +1753 529 +3824 -4050 +-505 689 +-1201 1992 +-2631 -1579 +1024 2648 +3380 1398 +3532 -710 +2119 -3014 +-2088 -738 +-3422 1557 +-2240 -4395 +1984 -1672 +4096 0 +1984 1672 +-2240 4395 +-3422 -1557 +-2088 738 +2119 3014 +3532 710 +3380 -1398 +1024 -2648 +-2631 1579 +-1201 -1992 +-505 -689 +3824 4050 +1753 -529 +952 1244 +1834 479 +2048 0 +3561 1605 +-3065 -3479 +-1736 -4339 +-1528 -2942 +2606 1949 +2205 -1053 +-1909 -6130 +1024 5544 +-1296 3237 +1256 -2446 +-4900 6669 +-4305 1938 +-1708 -1951 +-1440 3767 +870 1157 +-4096 0 +870 -1157 +-1440 -3767 +-1708 1951 +-4305 -1938 +-4900 -6669 +1256 2446 +-1296 -3237 +1024 -5544 +-1909 6130 +2205 1053 +2606 -1949 +-1528 2942 +-1736 4339 +-3065 3479 +3561 -1605 +2048 0 +1834 -479 +952 -1244 +1753 529 +3824 -4050 +-505 689 +-1201 1992 +-2631 -1579 +1024 2648 +3380 1398 +3532 -710 +2119 -3014 +-2088 -738 +-3422 1557 +-2240 -4395 +1984 -1672 +0 5120 +522 3674 +-2227 -4060 +-3213 -1216 +972 3967 +862 -1871 +893 -1521 +7265 -1379 +2172 300 +-245 2796 +3584 3344 +-1506 -3347 +1306 -7299 +-513 368 +-858 -1631 +438 2740 +-5120 0 +438 -2740 +-858 1631 +-513 -368 +1306 7299 +-1506 3347 +3584 -3344 +-245 -2796 +2172 -300 +7265 1379 +893 1521 +862 1871 +972 -3967 +-3213 1216 +-2227 4060 +522 -3674 +0 -5120 +2919 1047 +779 1660 +-195 -2979 +3972 -2168 +-1292 1716 +555 1485 +1889 -3301 +-2172 1748 +-2229 1084 +-2136 3611 +607 5777 +-2154 -3190 +-542 421 +-591 -1970 +-4767 -3444 +-3072 0 +-4767 3444 +-591 1970 +-542 -421 +-2154 3190 +607 -5777 +-2136 -3611 +-2229 -1084 +-2172 -1748 +1889 3301 +555 -1485 +-1292 -1716 +3972 2168 +-195 2979 +779 -1660 +2919 -1047 +0 5120 +522 3674 +-2227 -4060 +-3213 -1216 +972 3967 +862 -1871 +893 -1521 +7265 -1379 +2172 300 +-245 2796 +3584 3344 +-1506 -3347 +1306 -7299 +-513 368 +-858 -1631 +438 2740 +1024 0 +553 5525 +-2022 1920 +377 2554 +-1519 2916 +1655 505 +192 -389 +-1111 615 +2048 -848 +-2581 -3386 +2194 1098 +657 793 +2930 -240 +1977 1017 +414 -382 +2807 3715 +-3072 0 +2807 -3715 +414 382 +1977 -1017 +2930 240 +657 -793 +2194 -1098 +-2581 3386 +2048 848 +-1111 -615 +192 389 +1655 -505 +-1519 -2916 +377 -2554 +-2022 -1920 +553 -5525 +1024 0 +1429 4474 +2687 1355 +2755 -221 +-1978 -868 +-282 3647 +252 -1998 +-6608 -7062 +2048 4944 +-1732 7716 +-5535 3506 +1515 592 +-3530 -1808 +-2862 949 +1818 2458 +1450 3076 +1024 0 +1450 -3076 +1818 -2458 +-2862 -949 +-3530 1808 +1515 -592 +-5535 -3506 +-1732 -7716 +2048 -4944 +-6608 7062 +252 1998 +-282 -3647 +-1978 868 +2755 221 +2687 -1355 +1429 -4474 +1024 0 +553 5525 +-2022 1920 +377 2554 +-1519 2916 +1655 505 +192 -389 +-1111 615 +2048 -848 +-2581 -3386 +2194 1098 +657 793 +2930 -240 +1977 1017 +414 -382 +2807 3715 +-2048 -5120 +-2045 -3173 +4229 725 +-154 -2234 +-1370 3359 +-340 2373 +-1869 4608 +-219 2441 +124 5244 +-2 2557 +-3444 -5573 +-2179 1573 +2864 3432 +-1536 -37 +-512 -4683 +-137 52 +-5120 0 +-137 -52 +-512 4683 +-1536 37 +2864 -3432 +-2179 -1573 +-3444 5573 +-2 -2557 +124 -5244 +-219 -2441 +-1869 -4608 +-340 -2373 +-1370 -3359 +-154 2234 +4229 -725 +-2045 3173 +-2048 5120 +1261 -595 +-2301 2835 +2046 138 +522 3034 +-1552 -685 +6253 -2156 +1002 1082 +-4220 900 +786 4749 +260 -663 +287 -1453 +2080 -1136 +3428 -3627 +5575 -445 +-647 -36 +-7168 0 +-647 36 +5575 445 +3428 3627 +2080 1136 +287 1453 +260 663 +786 -4749 +-4220 -900 +1002 -1082 +6253 2156 +-1552 685 +522 -3034 +2046 -138 +-2301 -2835 +1261 595 +-2048 -5120 +-2045 -3173 +4229 725 +-154 -2234 +-1370 3359 +-340 2373 +-1869 4608 +-219 2441 +124 5244 +-2 2557 +-3444 -5573 +-2179 1573 +2864 3432 +-1536 -37 +-512 -4683 +-137 52 +7168 3072 +-2517 2333 +2952 -1929 +1549 2534 +765 -1814 +-3032 -2551 +-4197 291 +1821 -3616 +-724 -2772 +1096 -1251 +-669 -985 +3792 -3115 +3092 -2200 +281 1834 +-522 -15 +-438 396 +6144 0 +-438 -396 +-522 15 +281 -1834 +3092 2200 +3792 3115 +-669 985 +1096 1251 +-724 2772 +1821 3616 +-4197 -291 +-3032 2551 +765 1814 +1549 -2534 +2952 1929 +-2517 -2333 +7168 -3072 +4205 297 +-2768 -5979 +-1565 -2447 +-4262 3862 +-490 464 +-1191 -1280 +275 1797 +724 -1324 +-4760 -4353 +-936 2892 +3514 2596 +-3692 152 +-4049 -179 +-861 -4997 +318 -1549 +4096 0 +318 1549 +-861 4997 +-4049 179 +-3692 -152 +3514 -2596 +-936 -2892 +-4760 4353 +724 1324 +275 -1797 +-1191 1280 +-490 -464 +-4262 -3862 +-1565 2447 +-2768 5979 +4205 -297 +7168 3072 +-2517 2333 +2952 -1929 +1549 2534 +765 -1814 +-3032 -2551 +-4197 291 +1821 -3616 +-724 -2772 +1096 -1251 +-669 -985 +3792 -3115 +3092 -2200 +281 1834 +-522 -15 +-438 396 +-3072 -2048 +-324 1856 +1617 1219 +758 -3438 +-914 -1324 +1542 3928 +-2401 -3598 +2543 2068 +1024 424 +-4316 -3503 +4878 5347 +1993 2121 +-3026 2772 +-6182 -179 +-3425 -3582 +-308 655 +-5120 0 +-308 -655 +-3425 3582 +-6182 179 +-3026 -2772 +1993 -2121 +4878 -5347 +-4316 3503 +1024 -424 +2543 -2068 +-2401 3598 +1542 -3928 +-914 1324 +758 3438 +1617 -1219 +-324 -1856 +-3072 2048 +-1043 3134 +3107 985 +-2941 741 +1762 -1324 +6689 1464 +3341 286 +2944 -1329 +1024 -2472 +1046 -1110 +-1721 -467 +-4872 1054 +-1918 2772 +3012 -4735 +2797 -2406 +-542 -1016 +-1024 0 +-542 1016 +2797 2406 +3012 4735 +-1918 -2772 +-4872 -1054 +-1721 467 +1046 1110 +1024 2472 +2944 1329 +3341 -286 +6689 -1464 +1762 1324 +-2941 -741 +3107 -985 +-1043 -3134 +-3072 -2048 +-324 1856 +1617 1219 +758 -3438 +-914 -1324 +1542 3928 +-2401 -3598 +2543 2068 +1024 424 +-4316 -3503 +4878 5347 +1993 2121 +-3026 2772 +-6182 -179 +-3425 -3582 +-308 655 +-4096 5120 +-413 3240 +-1866 -1578 +-2603 1155 +1056 1900 +4919 148 +-501 -1853 +-3977 -4539 +3620 -1748 +-224 -2683 +5163 2703 +5685 354 +-2394 -2114 +409 3856 +1822 -2605 +1588 10 +1024 0 +1588 -10 +1822 2605 +409 -3856 +-2394 2114 +5685 -354 +5163 -2703 +-224 2683 +3620 1748 +-3977 4539 +-501 1853 +4919 -148 +1056 -1900 +-2603 -1155 +-1866 1578 +-413 -3240 +-4096 -5120 +-339 -4116 +-3875 1734 +-3445 1014 +1840 -452 +-425 4108 +1202 588 +2265 1444 +-3620 -300 +-4095 -3309 +4025 129 +286 1005 +-502 3562 +966 1210 +2222 -1335 +-597 2011 +-9216 0 +-597 -2011 +2222 1335 +966 -1210 +-502 -3562 +286 -1005 +4025 -129 +-4095 3309 +-3620 300 +2265 -1444 +1202 -588 +-425 -4108 +1840 452 +-3445 -1014 +-3875 -1734 +-339 4116 +-4096 5120 +-413 3240 +-1866 -1578 +-2603 1155 +1056 1900 +4919 148 +-501 -1853 +-3977 -4539 +3620 -1748 +-224 -2683 +5163 2703 +5685 354 +-2394 -2114 +409 3856 +1822 -2605 +1588 10 +0 -6144 +2926 3550 +-603 -857 +-2168 -263 +554 724 +-660 -7446 +-1811 1758 +1202 -417 +1448 2472 +-863 3948 +-962 564 +-1087 3966 +-1338 724 +514 79 +1746 -4152 +786 2697 +0 0 +786 -2697 +1746 4152 +514 -79 +-1338 -724 +-1087 -3966 +-962 -564 +-863 -3948 +1448 -2472 +1202 417 +-1811 -1758 +-660 7446 +554 -724 +-2168 263 +-603 857 +2926 -3550 +0 6144 +-1614 1647 +1932 752 +1726 6077 +-554 724 +771 -81 +2699 4598 +2980 2121 +-1448 -424 +-8671 -2765 +-5718 -1 +3193 3748 +1338 724 +-2289 1199 +2718 -1744 +3254 -1413 +0 0 +3254 1413 +2718 1744 +-2289 -1199 +1338 -724 +3193 -3748 +-5718 1 +-8671 2765 +-1448 424 +2980 -2121 +2699 -4598 +771 81 +-554 -724 +1726 -6077 +1932 -752 +-1614 -1647 +0 -6144 +2926 3550 +-603 -857 +-2168 -263 +554 724 +-660 -7446 +-1811 1758 +1202 -417 +1448 2472 +-863 3948 +-962 564 +-1087 3966 +-1338 724 +514 79 +1746 -4152 +786 2697 +7168 1024 +1709 -299 +-1805 -4830 +-2048 -2112 +1260 -1900 +-4818 -1862 +529 -87 +829 -3132 +-6692 300 +2352 4327 +327 -766 +4217 -1008 +-862 2114 +-4479 1689 +4619 247 +2451 -1753 +2048 0 +2451 1753 +4619 -247 +-4479 -1689 +-862 -2114 +4217 1008 +327 766 +2352 -4327 +-6692 -300 +829 3132 +529 87 +-4818 1862 +1260 1900 +-2048 2112 +-1805 4830 +1709 299 +7168 -1024 +-567 2657 +-6222 890 +-1503 -497 +-3308 452 +223 2062 +2797 2919 +-918 1339 +548 1748 +633 872 +-3652 -499 +-2518 9 +-1186 -3562 +5133 -3097 +3409 -91 +-697 -2881 +4096 0 +-697 2881 +3409 91 +5133 3097 +-1186 3562 +-2518 -9 +-3652 499 +633 -872 +548 -1748 +-918 -1339 +2797 -2919 +223 -2062 +-3308 -452 +-1503 497 +-6222 -890 +-567 -2657 +7168 1024 +1709 -299 +-1805 -4830 +-2048 -2112 +1260 -1900 +-4818 -1862 +529 -87 +829 -3132 +-6692 300 +2352 4327 +327 -766 +4217 -1008 +-862 2114 +-4479 1689 +4619 247 +2451 -1753 +-2048 1024 +3205 2038 +-1502 835 +-1233 -8050 +-3503 -1670 +-5096 1662 +-3008 -4418 +-343 1762 +2772 1748 +-2409 -2375 +-2739 2307 +-3681 3489 +4516 -1116 +3017 -1909 +-1023 3093 +2027 4261 +-3072 0 +2027 -4261 +-1023 -3093 +3017 1909 +4516 1116 +-3681 -3489 +-2739 -2307 +-2409 2375 +2772 -1748 +-343 -1762 +-3008 4418 +-5096 -1662 +-3503 1670 +-1233 8050 +-1502 -835 +3205 -2038 +-2048 -1024 +-71 -2938 +-1174 -1840 +-2699 -400 +606 222 +-1214 -2901 +4117 738 +3435 2864 +1324 300 +2894 688 +1631 -195 +-1153 4720 +-1620 -332 +474 -5286 +3699 1696 +2848 -3283 +-1024 0 +2848 3283 +3699 -1696 +474 5286 +-1620 332 +-1153 -4720 +1631 195 +2894 -688 +1324 -300 +3435 -2864 +4117 -738 +-1214 2901 +606 -222 +-2699 400 +-1174 1840 +-71 2938 +-2048 1024 +3205 2038 +-1502 835 +-1233 -8050 +-3503 -1670 +-5096 1662 +-3008 -4418 +-343 1762 +2772 1748 +-2409 -2375 +-2739 2307 +-3681 3489 +4516 -1116 +3017 -1909 +-1023 3093 +2027 4261 +0 1024 +-976 -1656 +-2372 2445 +2692 -524 +4840 4116 +-51 3943 +-6615 -2442 +-1458 778 +2772 -2596 +-2693 -568 +-4583 -1184 +1216 -1288 +-3962 3238 +-1818 1180 +628 3238 +-815 -1163 +5120 0 +-815 1163 +628 -3238 +-1818 -1180 +-3962 -3238 +1216 1288 +-4583 1184 +-2693 568 +2772 2596 +-1458 -778 +-6615 2442 +-51 -3943 +4840 -4116 +2692 524 +-2372 -2445 +-976 1656 +0 -1024 +-4112 -2233 +6117 75 +3440 -2194 +-1944 -2668 +-297 817 +-1226 4815 +3462 3244 +1324 4644 +-2208 1693 +-3258 -539 +2028 3151 +1065 -1789 +-1418 -1003 +3116 3378 +3007 170 +3072 0 +3007 -170 +3116 -3378 +-1418 1003 +1065 1789 +2028 -3151 +-3258 539 +-2208 -1693 +1324 -4644 +3462 -3244 +-1226 -4815 +-297 -817 +-1944 2668 +3440 2194 +6117 -75 +-4112 2233 +0 1024 +-976 -1656 +-2372 2445 +2692 -524 +4840 4116 +-51 3943 +-6615 -2442 +-1458 778 +2772 -2596 +-2693 -568 +-4583 -1184 +1216 -1288 +-3962 3238 +-1818 1180 +628 3238 +-815 -1163 +2048 0 +-192 -797 +732 -554 +-3609 4211 +4494 3908 +1989 1441 +-3729 -2142 +742 -377 +-3496 -3920 +-441 -2986 +-527 2497 +-3644 2020 +-74 5788 +-2104 1584 +-46 -1808 +1161 -2347 +-2048 0 +1161 2347 +-46 1808 +-2104 -1584 +-74 -5788 +-3644 -2020 +-527 -2497 +-441 2986 +-3496 3920 +742 377 +-3729 2142 +1989 -1441 +4494 -3908 +-3609 -4211 +732 554 +-192 797 +2048 0 +1076 -1938 +-4109 490 +551 3390 +-398 -3660 +-1029 -1054 +793 -910 +3546 -1104 +-600 1872 +-952 4401 +5160 4340 +-212 1263 +4170 2653 +2265 3120 +-6466 3440 +852 -3284 +6144 0 +852 3284 +-6466 -3440 +2265 -3120 +4170 -2653 +-212 -1263 +5160 -4340 +-952 -4401 +-600 -1872 +3546 1104 +793 910 +-1029 1054 +-398 3660 +551 -3390 +-4109 -490 +1076 1938 +2048 0 +-192 -797 +732 -554 +-3609 4211 +4494 3908 +1989 1441 +-3729 -2142 +742 -377 +-3496 -3920 +-441 -2986 +-527 2497 +-3644 2020 +-74 5788 +-2104 1584 +-46 -1808 +1161 -2347 +-7168 -3072 +-248 -1028 +2901 3099 +-1997 646 +-1306 1670 +-3484 671 +2141 -3523 +1907 -2034 +1748 2596 +-1092 2538 +-1297 1145 +1157 3318 +-3972 1116 +-1933 -734 +-571 1228 +580 -854 +4096 0 +580 854 +-571 -1228 +-1933 734 +-3972 -1116 +1157 -3318 +-1297 -1145 +-1092 -2538 +1748 -2596 +1907 2034 +2141 3523 +-3484 -671 +-1306 -1670 +-1997 -646 +2901 -3099 +-248 1028 +-7168 3072 +6070 -3350 +4039 -3792 +583 -2154 +2154 -222 +6130 230 +4150 -2685 +-2480 -1780 +300 -4644 +-3686 -1000 +-898 6631 +-1586 -201 +-972 332 +1130 1443 +-2274 -4319 +-1051 1827 +-2048 0 +-1051 -1827 +-2274 4319 +1130 -1443 +-972 -332 +-1586 201 +-898 -6631 +-3686 1000 +300 4644 +-2480 1780 +4150 2685 +6130 -230 +2154 222 +583 2154 +4039 3792 +6070 3350 +-7168 -3072 +-248 -1028 +2901 3099 +-1997 646 +-1306 1670 +-3484 671 +2141 -3523 +1907 -2034 +1748 2596 +-1092 2538 +-1297 1145 +1157 3318 +-3972 1116 +-1933 -734 +-571 1228 +580 -854 +-2048 -2048 +-276 -182 +-3662 -1384 +-2358 -2457 +1089 4794 +-2332 -705 +1381 1912 +3397 -2720 +-600 -5968 +-2078 -302 +578 3977 +-367 4202 +-5812 -1674 +-973 -3714 +1116 -6139 +-1594 4048 +0 0 +-1594 -4048 +1116 6139 +-973 3714 +-5812 1674 +-367 -4202 +578 -3977 +-2078 302 +-600 5968 +3397 2720 +1381 -1912 +-2332 705 +1089 -4794 +-2358 2457 +-3662 1384 +-276 182 +-2048 2048 +230 -1804 +1586 5036 +3380 1 +2656 -98 +-863 2932 +3224 -3776 +1098 -2718 +-3496 -176 +-850 -1351 +1810 -545 +-222 -3543 +-2028 -5918 +3736 -310 +2160 -1297 +72 -2249 +4096 0 +72 2249 +2160 1297 +3736 310 +-2028 5918 +-222 3543 +1810 545 +-850 1351 +-3496 176 +1098 2718 +3224 3776 +-863 -2932 +2656 98 +3380 -1 +1586 -5036 +230 1804 +-2048 -2048 +-276 -182 +-3662 -1384 +-2358 -2457 +1089 4794 +-2332 -705 +1381 1912 +3397 -2720 +-600 -5968 +-2078 -302 +578 3977 +-367 4202 +-5812 -1674 +-973 -3714 +1116 -6139 +-1594 4048 +3072 -3072 +-769 -2717 +-4138 909 +-1037 2418 +-3178 3478 +-1287 -425 +889 4036 +-1645 -2151 +-3796 -3196 +-385 -3750 +1929 -3799 +-3482 491 +-52 -1800 +5268 4823 +-339 1049 +-2222 -1119 +0 0 +-2222 1119 +-339 -1049 +5268 -4823 +-52 1800 +-3482 -491 +1929 3799 +-385 3750 +-3796 3196 +-1645 2151 +889 -4036 +-1287 425 +-3178 -3478 +-1037 -2418 +-4138 -909 +-769 2717 +3072 3072 +-1050 -6400 +1582 -1665 +518 2353 +282 18 +1374 -1374 +-2117 724 +491 236 +-2348 1148 +-2245 -694 +2195 -2529 +1827 5590 +2948 1200 +-3181 -364 +-1 3491 +7825 -2334 +6144 0 +7825 2334 +-1 -3491 +-3181 364 +2948 -1200 +1827 -5590 +2195 2529 +-2245 694 +-2348 -1148 +491 -236 +-2117 -724 +1374 1374 +282 -18 +518 -2353 +1582 1665 +-1050 6400 +3072 -3072 +-769 -2717 +-4138 909 +-1037 2418 +-3178 3478 +-1287 -425 +889 4036 +-1645 -2151 +-3796 -3196 +-385 -3750 +1929 -3799 +-3482 491 +-52 -1800 +5268 4823 +-339 1049 +-2222 -1119 +-5120 0 +1003 296 +1907 2566 +231 -2818 +2062 -3340 +-859 -5343 +1101 -593 +1056 704 +-2896 2896 +1463 3239 +-769 3331 +-6273 3415 +-170 -2232 +4842 -82 +4053 -2361 +-801 1473 +-5120 0 +-801 -1473 +4053 2361 +4842 82 +-170 2232 +-6273 -3415 +-769 -3331 +1463 -3239 +-2896 -2896 +1056 -704 +1101 593 +-859 5343 +2062 3340 +231 2818 +1907 -2566 +1003 -296 +-5120 0 +2383 -1388 +3821 590 +-5964 -4274 +-614 444 +3216 -4400 +-3842 -4131 +-1922 -1184 +2896 -2896 +2299 2311 +1813 -3959 +1020 -2694 +-1278 -664 +-2006 -2338 +108 1422 +312 -2326 +-1024 0 +312 2326 +108 -1422 +-2006 2338 +-1278 664 +1020 2694 +1813 3959 +2299 -2311 +2896 2896 +-1922 1184 +-3842 4131 +3216 4400 +-614 -444 +-5964 4274 +3821 -590 +2383 1388 +-5120 0 +1003 296 +1907 2566 +231 -2818 +2062 -3340 +-859 -5343 +1101 -593 +1056 704 +-2896 2896 +1463 3239 +-769 3331 +-6273 3415 +-170 -2232 +4842 -82 +4053 -2361 +-801 1473 +-2048 1024 +2309 -1851 +3460 -532 +3042 -70 +2768 -2948 +-2464 555 +-621 4291 +-129 300 +-1748 -3620 +4494 -2312 +-455 6612 +-1201 2001 +306 -3178 +2798 -716 +1778 -4604 +694 2524 +7168 0 +694 -2524 +1778 4604 +2798 716 +306 3178 +-1201 -2001 +-455 -6612 +4494 2312 +-1748 3620 +-129 -300 +-621 -4291 +-2464 -555 +2768 2948 +3042 70 +3460 532 +2309 1851 +-2048 -1024 +-2334 -775 +220 1471 +-3946 -3042 +-3368 52 +-4212 -416 +-4167 -3664 +-401 4499 +-300 3620 +2068 120 +-549 -1888 +-2588 -663 +-3802 282 +2778 -3595 +334 1447 +-907 1843 +9216 0 +-907 -1843 +334 -1447 +2778 3595 +-3802 -282 +-2588 663 +-549 1888 +2068 -120 +-300 -3620 +-401 -4499 +-4167 3664 +-4212 416 +-3368 -52 +-3946 3042 +220 -1471 +-2334 775 +-2048 1024 +2309 -1851 +3460 -532 +3042 -70 +2768 -2948 +-2464 555 +-621 4291 +-129 300 +-1748 -3620 +4494 -2312 +-455 6612 +-1201 2001 +306 -3178 +2798 -716 +1778 -4604 +694 2524 +-3072 -1024 +273 1391 +124 -5972 +-4522 1443 +1390 -1142 +1877 -3800 +-955 1873 +-1088 -26 +-300 -1748 +-296 4446 +-1658 2443 +2952 -3150 +273 1919 +364 193 +5358 -478 +4223 119 +4096 0 +4223 -119 +5358 478 +364 -193 +273 -1919 +2952 3150 +-1658 -2443 +-296 -4446 +-300 1748 +-1088 26 +-955 -1873 +1877 3800 +1390 1142 +-4522 -1443 +124 5972 +273 -1391 +-3072 1024 +-1809 -132 +697 -4529 +366 1188 +-4286 -5251 +725 1127 +2663 1966 +159 -2177 +-1748 -300 +-3240 32 +1150 5989 +1127 1806 +2624 -4216 +2903 -2025 +814 2762 +-4017 2027 +-10240 0 +-4017 -2027 +814 -2762 +2903 2025 +2624 4216 +1127 -1806 +1150 -5989 +-3240 -32 +-1748 300 +159 2177 +2663 -1966 +725 -1127 +-4286 5251 +366 -1188 +697 4529 +-1809 132 +-3072 -1024 +273 1391 +124 -5972 +-4522 1443 +1390 -1142 +1877 -3800 +-955 1873 +-1088 -26 +-300 -1748 +-296 4446 +-1658 2443 +2952 -3150 +273 1919 +364 193 +5358 -478 +4223 119 +1024 -1024 +-2128 -1090 +824 -16 +-776 -2105 +-2524 -3792 +-1081 1150 +455 -1086 +5315 970 +-900 900 +-5469 3959 +173 2615 +1465 -117 +706 1330 +-2841 -2020 +20 4301 +3080 -2753 +0 0 +3080 2753 +20 -4301 +-2841 2020 +706 -1330 +1465 117 +173 -2615 +-5469 -3959 +-900 -900 +5315 -970 +455 1086 +-1081 -1150 +-2524 3792 +-776 2105 +824 16 +-2128 1090 +1024 1024 +1928 381 +1224 1687 +3631 5918 +476 2344 +-3880 3229 +1593 -1486 +2765 -69 +-5244 5244 +964 -842 +1875 606 +-7648 -856 +-2754 -2778 +-454 482 +2028 3163 +5129 4261 +2048 0 +5129 -4261 +2028 -3163 +-454 -482 +-2754 2778 +-7648 856 +1875 -606 +964 842 +-5244 -5244 +2765 69 +1593 1486 +-3880 -3229 +476 -2344 +3631 -5918 +1224 -1687 +1928 -381 +1024 -1024 +-2128 -1090 +824 -16 +-776 -2105 +-2524 -3792 +-1081 1150 +455 -1086 +5315 970 +-900 900 +-5469 3959 +173 2615 +1465 -117 +706 1330 +-2841 -2020 +20 4301 +3080 -2753 +3072 2048 +-1458 1881 +-3908 -1295 +-468 -2067 +-1988 -738 +4353 1013 +1041 -397 +-972 245 +2648 4944 +1173 4499 +5074 3021 +-3759 2558 +568 -2942 +-497 -3337 +-4670 2217 +158 2213 +-5120 0 +158 -2213 +-4670 -2217 +-497 3337 +568 2942 +-3759 -2558 +5074 -3021 +1173 -4499 +2648 -4944 +-972 -245 +1041 397 +4353 -1013 +-1988 738 +-468 2067 +-3908 1295 +-1458 -1881 +3072 -2048 +1425 732 +2904 -1601 +2304 -1591 +-3556 1938 +1799 -1373 +2639 -2499 +-3211 548 +5544 -848 +3010 390 +-2961 -125 +-2394 -7014 +-3216 -4050 +-1338 3775 +-119 679 +-125 -3696 +-1024 0 +-125 3696 +-119 -679 +-1338 -3775 +-3216 4050 +-2394 7014 +-2961 125 +3010 -390 +5544 848 +-3211 -548 +2639 2499 +1799 1373 +-3556 -1938 +2304 1591 +2904 1601 +1425 -732 +3072 2048 +-1458 1881 +-3908 -1295 +-468 -2067 +-1988 -738 +4353 1013 +1041 -397 +-972 245 +2648 4944 +1173 4499 +5074 3021 +-3759 2558 +568 -2942 +-497 -3337 +-4670 2217 +158 2213 +2048 1024 +-1061 -924 +-2967 1419 +-1232 -1056 +-18 1102 +-229 -1066 +-787 3913 +-989 2070 +-1148 2772 +1963 951 +2002 -5220 +-581 -1749 +1200 -2440 +1947 330 +3287 -1403 +4305 -782 +3072 0 +4305 782 +3287 1403 +1947 -330 +1200 2440 +-581 1749 +2002 5220 +1963 -951 +-1148 -2772 +-989 -2070 +-787 -3913 +-229 1066 +-18 -1102 +-1232 1056 +-2967 -1419 +-1061 924 +2048 -1024 +-2251 -2223 +-2761 6370 +-1209 750 +-3478 2994 +2803 -471 +3528 -5260 +-281 32 +3196 1324 +-693 1152 +-3046 -1919 +-1993 211 +-1800 -1656 +494 -636 +-7448 3400 +-993 -2365 +13312 0 +-993 2365 +-7448 -3400 +494 636 +-1800 1656 +-1993 -211 +-3046 1919 +-693 -1152 +3196 -1324 +-281 -32 +3528 5260 +2803 471 +-3478 -2994 +-1209 -750 +-2761 -6370 +-2251 2223 +2048 1024 +-1061 -924 +-2967 1419 +-1232 -1056 +-18 1102 +-229 -1066 +-787 3913 +-989 2070 +-1148 2772 +1963 951 +2002 -5220 +-581 -1749 +1200 -2440 +1947 330 +3287 -1403 +4305 -782 +-2048 -1024 +3336 -1627 +1023 -3806 +-5061 -330 +2126 -138 +1723 -2829 +-1969 2418 +3027 3895 +2172 -900 +-316 4401 +-2732 4071 +-536 -2061 +3464 4032 +-2610 2073 +-1341 -831 +-119 1191 +-5120 0 +-119 -1191 +-1341 831 +-2610 -2073 +3464 -4032 +-536 2061 +-2732 -4071 +-316 -4401 +2172 900 +3027 -3895 +-1969 -2418 +1723 2829 +2126 138 +-5061 330 +1023 3806 +3336 1627 +-2048 1024 +-943 -4550 +2161 -3539 +6009 -1200 +4018 -462 +-396 1675 +40 -3303 +-1403 -1982 +-2172 -5244 +-2876 1297 +-2332 3733 +2993 -660 +2680 -536 +-2123 -5172 +-3043 2175 +-707 -3583 +1024 0 +-707 3583 +-3043 -2175 +-2123 5172 +2680 536 +2993 660 +-2332 -3733 +-2876 -1297 +-2172 5244 +-1403 1982 +40 3303 +-396 -1675 +4018 462 +6009 1200 +2161 3539 +-943 4550 +-2048 -1024 +3336 -1627 +1023 -3806 +-5061 -330 +2126 -138 +1723 -2829 +-1969 2418 +3027 3895 +2172 -900 +-316 4401 +-2732 4071 +-536 -2061 +3464 4032 +-2610 2073 +-1341 -831 +-119 1191 +-1024 2048 +2763 772 +-2576 -1379 +776 -330 +6980 -1762 +4892 -5026 +3978 -135 +-3114 2641 +-2048 -2048 +-1799 184 +5 -952 +649 -315 +-2716 -1918 +629 -3416 +5639 3604 +2780 -2841 +-3072 0 +2780 2841 +5639 -3604 +629 3416 +-2716 1918 +649 315 +5 952 +-1799 -184 +-2048 2048 +-3114 -2641 +3978 135 +4892 5026 +6980 1762 +776 330 +-2576 1379 +2763 -772 +-1024 -2048 +3032 -1888 +-2331 -2117 +-4807 3608 +-588 914 +-617 -2095 +471 735 +-1505 -1758 +-2048 -2048 +-5615 -4286 +-1557 352 +-1378 1755 +419 -3026 +5650 1269 +-3627 -108 +-2335 -860 +5120 0 +-2335 860 +-3627 108 +5650 -1269 +419 3026 +-1378 -1755 +-1557 -352 +-5615 4286 +-2048 2048 +-1505 1758 +471 -735 +-617 2095 +-588 -914 +-4807 -3608 +-2331 2117 +3032 1888 +-1024 2048 +2763 772 +-2576 -1379 +776 -330 +6980 -1762 +4892 -5026 +3978 -135 +-3114 2641 +-2048 -2048 +-1799 184 +5 -952 +649 -315 +-2716 -1918 +629 -3416 +5639 3604 +2780 -2841 +3072 1024 +151 4264 +-2752 -484 +1818 -409 +-3576 -2960 +2091 2582 +552 574 +-3217 -3397 +2596 300 +1149 -312 +-869 -2533 +-1012 1525 +4118 737 +1543 -5264 +-1548 1991 +-688 1251 +-4096 0 +-688 -1251 +-1548 -1991 +1543 5264 +4118 -737 +-1012 -1525 +-869 2533 +1149 312 +2596 -300 +-3217 3397 +552 -574 +2091 -2582 +-3576 2960 +1818 409 +-2752 484 +151 -4264 +3072 -1024 +-5224 3259 +-744 -3796 +3931 -5079 +4776 -7528 +-926 -6650 +-1151 -1867 +1987 3874 +-4644 1748 +-1249 -99 +269 41 +-1041 -1129 +2875 1062 +-611 -1553 +-1948 721 +1297 -408 +2048 0 +1297 408 +-1948 -721 +-611 1553 +2875 -1062 +-1041 1129 +269 -41 +-1249 99 +-4644 -1748 +1987 -3874 +-1151 1867 +-926 6650 +4776 7528 +3931 5079 +-744 3796 +-5224 -3259 +3072 1024 +151 4264 +-2752 -484 +1818 -409 +-3576 -2960 +2091 2582 +552 574 +-3217 -3397 +2596 300 +1149 -312 +-869 -2533 +-1012 1525 +4118 737 +1543 -5264 +-1548 1991 +-688 1251 +3072 1024 +746 -3055 +2713 -2317 +6562 4615 +3248 -5200 +-746 -1986 +-823 1304 +3231 2231 +-1924 -724 +-4562 -339 +1117 -500 +-127 -2194 +-1430 403 +-1621 -5290 +355 897 +-184 2325 +-4096 0 +-184 -2325 +355 -897 +-1621 5290 +-1430 -403 +-127 2194 +1117 500 +-4562 339 +-1924 724 +3231 -2231 +-823 -1304 +-746 1986 +3248 5200 +6562 -4615 +2713 2317 +746 3055 +3072 -1024 +-2499 6644 +-2269 -1179 +448 1572 +248 3152 +3160 -3636 +3054 -704 +121 1428 +-6268 724 +-5471 1231 +-453 -100 +-958 -219 +2030 1645 +-925 701 +-3695 2600 +2824 896 +6144 0 +2824 -896 +-3695 -2600 +-925 -701 +2030 -1645 +-958 219 +-453 100 +-5471 -1231 +-6268 -724 +121 -1428 +3054 704 +3160 3636 +248 -3152 +448 -1572 +-2269 1179 +-2499 -6644 +3072 1024 +746 -3055 +2713 -2317 +6562 4615 +3248 -5200 +-746 -1986 +-823 1304 +3231 2231 +-1924 -724 +-4562 -339 +1117 -500 +-127 -2194 +-1430 403 +-1621 -5290 +355 897 +-184 2325 +1024 -3072 +3554 -1113 +2266 1592 +741 -960 +2804 632 +-3298 -15 +417 -3222 +1128 2491 +-2172 2172 +2857 229 +-2093 -2123 +-2601 -1170 +-2094 -78 +-4343 -767 +-524 5787 +-1620 -4582 +-4096 0 +-1620 4582 +-524 -5787 +-4343 767 +-2094 78 +-2601 1170 +-2093 2123 +2857 -229 +-2172 -2172 +1128 -2491 +417 3222 +-3298 15 +2804 -632 +741 960 +2266 -1592 +3554 1113 +1024 3072 +402 -4961 +-974 -2152 +1258 3850 +3588 1416 +2365 1240 +-3129 -2690 +-289 -343 +2172 -2172 +-1688 351 +-2187 4900 +-3826 -1388 +-202 -1970 +-1880 -127 +-1968 2342 +7240 -3060 +10240 0 +7240 3060 +-1968 -2342 +-1880 127 +-202 1970 +-3826 1388 +-2187 -4900 +-1688 -351 +2172 2172 +-289 343 +-3129 2690 +2365 -1240 +3588 -1416 +1258 -3850 +-974 2152 +402 4961 +1024 -3072 +3554 -1113 +2266 1592 +741 -960 +2804 632 +-3298 -15 +417 -3222 +1128 2491 +-2172 2172 +2857 229 +-2093 -2123 +-2601 -1170 +-2094 -78 +-4343 -767 +-524 5787 +-1620 -4582 +2048 -1024 +-3680 -2630 +-1483 -936 +-1887 -1514 +-4227 -966 +-11 -628 +88 -5936 +-2392 -1860 +-3196 2172 +-947 4561 +3452 290 +-775 -3281 +5240 2745 +2086 758 +-1441 -3917 +3258 -5254 +-1024 0 +3258 5254 +-1441 3917 +2086 -758 +5240 -2745 +-775 3281 +3452 -290 +-947 -4561 +-3196 -2172 +-2392 1860 +88 5936 +-11 628 +-4227 966 +-1887 1514 +-1483 936 +-3680 2630 +2048 1024 +3563 3895 +-3186 -1768 +968 -2426 +-118 4710 +-1910 784 +-312 -1825 +100 -972 +1148 -2172 +-545 -1729 +5461 3037 +1129 3125 +-895 5096 +401 3182 +-2579 -4083 +643 3990 +1024 0 +643 -3990 +-2579 4083 +401 -3182 +-895 -5096 +1129 -3125 +5461 -3037 +-545 1729 +1148 2172 +100 972 +-312 1825 +-1910 -784 +-118 -4710 +968 2426 +-3186 1768 +3563 -3895 +2048 -1024 +-3680 -2630 +-1483 -936 +-1887 -1514 +-4227 -966 +-11 -628 +88 -5936 +-2392 -1860 +-3196 2172 +-947 4561 +3452 290 +-775 -3281 +5240 2745 +2086 758 +-1441 -3917 +3258 -5254 +2048 2048 +-1074 -2272 +-669 -971 +668 953 +-314 -3900 +146 -5769 +-2183 -960 +2401 -2587 +-1448 -6568 +-4779 -206 +-1096 2041 +-1313 825 +470 1785 +4006 -645 +1556 -1987 +-324 -2346 +2048 0 +-324 2346 +1556 1987 +4006 645 +470 -1785 +-1313 -825 +-1096 -2041 +-4779 206 +-1448 6568 +2401 2587 +-2183 960 +146 5769 +-314 3900 +668 -953 +-669 971 +-1074 2272 +2048 -2048 +-818 -33 +69 1636 +-1452 2319 +2362 2101 +638 -2998 +-1313 516 +-508 -2303 +1448 -3672 +6671 2676 +-2400 -5382 +2097 -15 +1578 8704 +-4789 1909 +-2156 -245 +-1568 -4183 +2048 0 +-1568 4183 +-2156 245 +-4789 -1909 +1578 -8704 +2097 15 +-2400 5382 +6671 -2676 +1448 3672 +-508 2303 +-1313 -516 +638 2998 +2362 -2101 +-1452 -2319 +69 -1636 +-818 33 +2048 2048 +-1074 -2272 +-669 -971 +668 953 +-314 -3900 +146 -5769 +-2183 -960 +2401 -2587 +-1448 -6568 +-4779 -206 +-1096 2041 +-1313 825 +470 1785 +4006 -645 +1556 -1987 +-324 -2346 +5120 0 +3192 2639 +2810 970 +659 3257 +-5812 1842 +-2030 797 +348 1742 +-1310 -1003 +1024 2472 +2523 -1365 +-1691 -2947 +1430 -4204 +2656 -3835 +2118 449 +-5823 -5116 +-3792 -438 +9216 0 +-3792 438 +-5823 5116 +2118 -449 +2656 3835 +1430 4204 +-1691 2947 +2523 1365 +1024 -2472 +-1310 1003 +348 -1742 +-2030 -797 +-5812 -1842 +659 -3257 +2810 -970 +3192 -2639 +5120 0 +-3027 -928 +-1074 1143 +-1959 -1677 +-2028 -6186 +-687 1017 +-829 -738 +4551 -1171 +1024 -424 +-413 -6160 +-1925 -1842 +-929 3801 +1089 -510 +1399 -1086 +-9 1436 +-1724 -3202 +1024 0 +-1724 3202 +-9 -1436 +1399 1086 +1089 510 +-929 -3801 +-1925 1842 +-413 6160 +1024 424 +4551 1171 +-829 738 +-687 -1017 +-2028 6186 +-1959 1677 +-1074 -1143 +-3027 928 +5120 0 +3192 2639 +2810 970 +659 3257 +-5812 1842 +-2030 797 +348 1742 +-1310 -1003 +1024 2472 +2523 -1365 +-1691 -2947 +1430 -4204 +2656 -3835 +2118 449 +-5823 -5116 +-3792 -438 +-3072 -2048 +-5066 -869 +-1054 -1776 +-358 1124 +2832 -5982 +3667 -2775 +-523 5915 +1226 -663 +4520 3072 +-776 1625 +-565 -588 +-1049 98 +3940 -2042 +4202 2703 +-111 -1635 +1153 -3336 +-1024 0 +1153 3336 +-111 1635 +4202 -2703 +3940 2042 +-1049 -98 +-565 588 +-776 -1625 +4520 -3072 +1226 663 +-523 -5915 +3667 2775 +2832 5982 +-358 -1124 +-1054 1776 +-5066 869 +-3072 2048 +3901 -475 +-3330 -936 +-251 135 +1264 -3306 +-2438 -1703 +-4540 -3423 +-6975 -1170 +1624 3072 +-156 1007 +-1364 1881 +1149 2105 +156 -3151 +871 -556 +3295 5915 +900 662 +-5120 0 +900 -662 +3295 -5915 +871 556 +156 3151 +1149 -2105 +-1364 -1881 +-156 -1007 +1624 -3072 +-6975 1170 +-4540 3423 +-2438 1703 +1264 3306 +-251 -135 +-3330 936 +3901 475 +-3072 -2048 +-5066 -869 +-1054 -1776 +-358 1124 +2832 -5982 +3667 -2775 +-523 5915 +1226 -663 +4520 3072 +-776 1625 +-565 -588 +-1049 98 +3940 -2042 +4202 2703 +-111 -1635 +1153 -3336 +1024 -3072 +-3757 -607 +-1623 -1566 +1427 -4783 +1430 3078 +1201 114 +1952 -380 +4208 -3266 +1324 -2172 +-1156 1885 +394 -3288 +2352 -1180 +-248 2044 +136 2783 +1995 1941 +267 4360 +0 0 +267 -4360 +1995 -1941 +136 -2783 +-248 -2044 +2352 1180 +394 3288 +-1156 -1885 +1324 2172 +4208 3266 +1952 380 +1201 -114 +1430 -3078 +1427 4783 +-1623 1566 +-3757 607 +1024 3072 +-4865 -1752 +-1717 -601 +2916 -1878 +-2030 -1030 +5952 2492 +-1288 92 +-6903 -4310 +2772 2172 +4739 874 +1838 -3993 +-5041 -2557 +-3248 -4092 +-3150 -1803 +-1551 -2908 +1675 1217 +-2048 0 +1675 -1217 +-1551 2908 +-3150 1803 +-3248 4092 +-5041 2557 +1838 3993 +4739 -874 +2772 -2172 +-6903 4310 +-1288 -92 +5952 -2492 +-2030 1030 +2916 1878 +-1717 601 +-4865 1752 +1024 -3072 +-3757 -607 +-1623 -1566 +1427 -4783 +1430 3078 +1201 114 +1952 -380 +4208 -3266 +1324 -2172 +-1156 1885 +394 -3288 +2352 -1180 +-248 2044 +136 2783 +1995 1941 +267 4360 +-1024 -1024 +-1111 2741 +192 -6484 +2578 -7820 +-2284 3692 +-2590 1063 +-293 235 +1086 -815 +1748 900 +-727 1759 +-1272 950 +-167 1857 +-162 765 +-6116 4161 +-686 -469 +601 -35 +-8192 0 +601 35 +-686 469 +-6116 -4161 +-162 -765 +-167 -1857 +-1272 -950 +-727 -1759 +1748 -900 +1086 815 +-293 -235 +-2590 -1063 +-2284 -3692 +2578 7820 +192 6484 +-1111 -2741 +-1024 1024 +2557 1641 +5041 468 +3276 -499 +2284 -3092 +-3562 -2445 +412 -2008 +1596 -1308 +300 5244 +5405 -435 +-1743 173 +-3258 1801 +162 -4262 +-1746 752 +-1650 -2651 +2178 -329 +6144 0 +2178 329 +-1650 2651 +-1746 -752 +162 4262 +-3258 -1801 +-1743 -173 +5405 435 +300 -5244 +1596 1308 +412 2008 +-3562 2445 +2284 3092 +3276 499 +5041 -468 +2557 -1641 +-1024 -1024 +-1111 2741 +192 -6484 +2578 -7820 +-2284 3692 +-2590 1063 +-293 235 +1086 -815 +1748 900 +-727 1759 +-1272 950 +-167 1857 +-162 765 +-6116 4161 +-686 -469 +601 -35 +-2048 -3072 +42 -2383 +-6453 -710 +-392 -3527 +3732 2500 +1067 969 +1571 -1612 +-2119 -5966 +5668 -3796 +5706 -2086 +-1955 895 +3953 -1100 +-1286 -5610 +-6042 4003 +-1209 311 +-921 794 +-1024 0 +-921 -794 +-1209 -311 +-6042 -4003 +-1286 5610 +3953 1100 +-1955 -895 +5706 2086 +5668 3796 +-2119 5966 +1571 1612 +1067 -969 +3732 -2500 +-392 3527 +-6453 710 +42 2383 +-2048 3072 +-1324 -2412 +3777 2602 +196 48 +-836 148 +504 426 +-463 829 +-3325 827 +-1572 -2348 +1954 601 +847 -1679 +-172 -5177 +-1610 66 +886 4623 +3885 1581 +-14 1459 +-3072 0 +-14 -1459 +3885 -1581 +886 -4623 +-1610 -66 +-172 5177 +847 1679 +1954 -601 +-1572 2348 +-3325 -827 +-463 -829 +504 -426 +-836 -148 +196 -48 +3777 -2602 +-1324 2412 +-2048 -3072 +42 -2383 +-6453 -710 +-392 -3527 +3732 2500 +1067 969 +1571 -1612 +-2119 -5966 +5668 -3796 +5706 -2086 +-1955 895 +3953 -1100 +-1286 -5610 +-6042 4003 +-1209 311 +-921 794 +5120 1024 +4927 911 +461 -672 +-3236 -1867 +-2719 -2250 +-1596 1532 +-3831 -1073 +-3618 -3070 +-2348 1748 +7482 -1568 +2223 -2239 +-1075 -143 +6408 -756 +-1940 -899 +38 -1316 +4356 2325 +0 0 +4356 -2325 +38 1316 +-1940 899 +6408 756 +-1075 143 +2223 2239 +7482 1568 +-2348 -1748 +-3618 3070 +-3831 1073 +-1596 -1532 +-2719 2250 +-3236 1867 +461 672 +4927 -911 +5120 -1024 +117 -2410 +987 1337 +-2219 5970 +-177 -4142 +1590 -6108 +2383 629 +-1127 652 +-3796 300 +1489 -1162 +-3671 -1101 +-927 -1905 +-3512 -1540 +-2182 -662 +1410 -916 +-2040 4056 +2048 0 +-2040 -4056 +1410 916 +-2182 662 +-3512 1540 +-927 1905 +-3671 1101 +1489 1162 +-3796 -300 +-1127 -652 +2383 -629 +1590 6108 +-177 4142 +-2219 -5970 +987 -1337 +117 2410 +5120 1024 +4927 911 +461 -672 +-3236 -1867 +-2719 -2250 +-1596 1532 +-3831 -1073 +-3618 -3070 +-2348 1748 +7482 -1568 +2223 -2239 +-1075 -143 +6408 -756 +-1940 -899 +38 -1316 +4356 2325 +6144 -4096 +2158 -4153 +-3221 -1063 +1072 -2592 +1892 -1278 +4103 4376 +2500 3692 +-569 419 +-1448 2472 +-3364 -472 +2798 -242 +-2417 -3095 +-784 -2062 +2538 5471 +-2155 1036 +2216 1984 +4096 0 +2216 -1984 +-2155 -1036 +2538 -5471 +-784 2062 +-2417 3095 +2798 242 +-3364 472 +-1448 -2472 +-569 -419 +2500 -3692 +4103 -4376 +1892 1278 +1072 2592 +-3221 1063 +2158 4153 +6144 4096 +-81 -3015 +-615 907 +2437 4150 +-1892 -170 +1332 -3195 +1024 -2428 +-285 690 +1448 -424 +-6246 -1315 +-4625 -2590 +-3257 1380 +784 614 +-16 -1017 +-3897 2904 +379 -6256 +0 0 +379 6256 +-3897 -2904 +-16 1017 +784 -614 +-3257 -1380 +-4625 2590 +-6246 1315 +1448 424 +-285 -690 +1024 2428 +1332 3195 +-1892 170 +2437 -4150 +-615 -907 +-81 3015 +6144 -4096 +2158 -4153 +-3221 -1063 +1072 -2592 +1892 -1278 +4103 4376 +2500 3692 +-569 419 +-1448 2472 +-3364 -472 +2798 -242 +-2417 -3095 +-784 -2062 +2538 5471 +-2155 1036 +2216 1984 +2048 2048 +1346 3062 +-1970 4032 +1343 -1058 +1629 -5462 +-3116 -2187 +-1416 -1313 +1375 2027 +-1024 2048 +-3298 1095 +-632 1296 +3405 2715 +-4932 214 +1086 -2906 +-78 2428 +-5249 213 +4096 0 +-5249 -213 +-78 -2428 +1086 2906 +-4932 -214 +3405 -2715 +-632 -1296 +-3298 -1095 +-1024 -2048 +1375 -2027 +-1416 1313 +-3116 2187 +1629 5462 +1343 1058 +-1970 -4032 +1346 -3062 +2048 -2048 +-2910 1019 +-1970 -5361 +-2393 -3792 +4764 2566 +5376 -57 +-1416 2200 +-589 3531 +-1024 2048 +-1064 2246 +-632 5385 +5479 393 +2636 -3111 +405 3408 +-78 2036 +-1197 1652 +4096 0 +-1197 -1652 +-78 -2036 +405 -3408 +2636 3111 +5479 -393 +-632 -5385 +-1064 -2246 +-1024 -2048 +-589 -3531 +-1416 -2200 +5376 57 +4764 -2566 +-2393 3792 +-1970 5361 +-2910 -1019 +2048 2048 +1346 3062 +-1970 4032 +1343 -1058 +1629 -5462 +-3116 -2187 +-1416 -1313 +1375 2027 +-1024 2048 +-3298 1095 +-632 1296 +3405 2715 +-4932 214 +1086 -2906 +-78 2428 +-5249 213 +4096 -1024 +435 -445 +-3764 6899 +-412 1806 +-932 -3308 +-1028 -813 +5178 2009 +734 4600 +300 724 +-2223 438 +-4492 4346 +-629 3293 +-1826 1186 +-1499 1908 +2743 3819 +3310 2124 +1024 0 +3310 -2124 +2743 -3819 +-1499 -1908 +-1826 -1186 +-629 -3293 +-4492 -4346 +-2223 -438 +300 -724 +734 -4600 +5178 -2009 +-1028 813 +-932 3308 +-412 -1806 +-3764 -6899 +435 445 +4096 1024 +893 -522 +-1144 -99 +3633 -1149 +-1716 1260 +1259 -18 +-730 1656 +-3858 5 +1748 -724 +-3789 3856 +2939 -3578 +1047 -1597 +-3718 862 +-2371 -6914 +-731 85 +4498 4790 +3072 0 +4498 -4790 +-731 -85 +-2371 6914 +-3718 -862 +1047 1597 +2939 3578 +-3789 -3856 +1748 724 +-3858 -5 +-730 -1656 +1259 18 +-1716 -1260 +3633 1149 +-1144 99 +893 522 +4096 -1024 +435 -445 +-3764 6899 +-412 1806 +-932 -3308 +-1028 -813 +5178 2009 +734 4600 +300 724 +-2223 438 +-4492 4346 +-629 3293 +-1826 1186 +-1499 1908 +2743 3819 +3310 2124 +-2048 -2048 +-922 901 +130 -1769 +944 -3180 +0 834 +189 -3119 +3810 -3536 +-1830 470 +-2896 1024 +-3339 -31 +1134 -3698 +3178 2185 +0 2726 +4279 -4320 +-978 228 +-5107 1487 +-2048 0 +-5107 -1487 +-978 -228 +4279 4320 +0 -2726 +3178 -2185 +1134 3698 +-3339 31 +-2896 -1024 +-1830 -470 +3810 3536 +189 3119 +0 -834 +944 3180 +130 1769 +-922 -901 +-2048 2048 +1052 -26 +130 -3739 +3982 1011 +0 3510 +-988 -1137 +3810 2584 +4051 2626 +2896 1024 +1356 6023 +1134 -1351 +-7052 -3545 +0 1618 +1259 -746 +-978 -1640 +-1055 -3508 +-10240 0 +-1055 3508 +-978 1640 +1259 746 +0 -1618 +-7052 3545 +1134 1351 +1356 -6023 +2896 -1024 +4051 -2626 +3810 -2584 +-988 1137 +0 -3510 +3982 -1011 +130 3739 +1052 26 +-2048 -2048 +-922 901 +130 -1769 +944 -3180 +0 834 +189 -3119 +3810 -3536 +-1830 470 +-2896 1024 +-3339 -31 +1134 -3698 +3178 2185 +0 2726 +4279 -4320 +-978 228 +-5107 1487 +-1024 1024 +7 4894 +-4233 -1651 +-3634 -42 +3368 -1260 +2638 -801 +-2435 -1125 +-6048 723 +-1324 -3620 +5205 -2019 +619 -121 +1109 -4057 +3802 -862 +-2226 -2423 +536 990 +2303 -1287 +-2048 0 +2303 1287 +536 -990 +-2226 2423 +3802 862 +1109 4057 +619 121 +5205 2019 +-1324 3620 +-6048 -723 +-2435 1125 +2638 801 +3368 1260 +-3634 42 +-4233 1651 +7 -4894 +-1024 -1024 +1581 2006 +2460 -5629 +-2076 1955 +-2768 3308 +-2334 5689 +-685 3292 +-545 1571 +-2772 3620 +2717 -1406 +5397 1089 +-524 -4049 +-306 -1186 +1255 5327 +-1660 -1278 +573 68 +4096 0 +573 -68 +-1660 1278 +1255 -5327 +-306 1186 +-524 4049 +5397 -1089 +2717 1406 +-2772 -3620 +-545 -1571 +-685 -3292 +-2334 -5689 +-2768 -3308 +-2076 -1955 +2460 5629 +1581 -2006 +-1024 1024 +7 4894 +-4233 -1651 +-3634 -42 +3368 -1260 +2638 -801 +-2435 -1125 +-6048 723 +-1324 -3620 +5205 -2019 +619 -121 +1109 -4057 +3802 -862 +-2226 -2423 +536 990 +2303 -1287 +0 2048 +3231 5024 +-48 3644 +56 -2465 +14 1024 +-6066 517 +225 2998 +31 1176 +-1872 1448 +-489 608 +-4148 -2484 +-472 2510 +-2218 -1024 +-365 -365 +-1490 1308 +-534 -537 +8192 0 +-534 537 +-1490 -1308 +-365 365 +-2218 1024 +-472 -2510 +-4148 2484 +-489 -608 +-1872 -1448 +31 -1176 +225 -2998 +-6066 -517 +14 -1024 +56 2465 +-48 -3644 +3231 -5024 +0 -2048 +-3050 759 +492 6052 +2308 677 +-2662 1024 +3468 5996 +2007 3563 +-4097 -2563 +3920 -1448 +6122 -1684 +4812 356 +-715 1475 +-3326 -1024 +1786 4241 +-1850 -301 +-1214 -1560 +4096 0 +-1214 1560 +-1850 301 +1786 -4241 +-3326 1024 +-715 -1475 +4812 -356 +6122 1684 +3920 1448 +-4097 2563 +2007 -3563 +3468 -5996 +-2662 -1024 +2308 -677 +492 -6052 +-3050 -759 +0 2048 +3231 5024 +-48 3644 +56 -2465 +14 1024 +-6066 517 +225 2998 +31 1176 +-1872 1448 +-489 608 +-4148 -2484 +-472 2510 +-2218 -1024 +-365 -365 +-1490 1308 +-534 -537 +-2048 2048 +-2087 3665 +-1552 -5281 +3503 1382 +540 -978 +-5138 -4482 +-2261 3256 +1365 795 +3072 -2896 +-1832 -4251 +696 1602 +1316 -1584 +880 -3810 +530 582 +-1473 -5845 +-3546 647 +-8192 0 +-3546 -647 +-1473 5845 +530 -582 +880 3810 +1316 1584 +696 -1602 +-1832 4251 +3072 2896 +1365 -795 +-2261 -3256 +-5138 4482 +540 978 +3503 -1382 +-1552 5281 +-2087 -3665 +-2048 -2048 +194 978 +-2728 -4675 +105 -1455 +2108 130 +1688 -1461 +3553 -2197 +3691 -1222 +3072 2896 +-4553 2937 +-3188 -1743 +1246 104 +4664 -1134 +2543 -1984 +-1239 2881 +976 -2684 +0 0 +976 2684 +-1239 -2881 +2543 1984 +4664 1134 +1246 -104 +-3188 1743 +-4553 -2937 +3072 -2896 +3691 1222 +3553 2197 +1688 1461 +2108 -130 +105 1455 +-2728 4675 +194 -978 +-2048 2048 +-2087 3665 +-1552 -5281 +3503 1382 +540 -978 +-5138 -4482 +-2261 3256 +1365 795 +3072 -2896 +-1832 -4251 +696 1602 +1316 -1584 +880 -3810 +530 582 +-1473 -5845 +-3546 647 +-2048 -1024 +-1278 -1762 +1401 12 +1994 -5106 +-2360 -992 +-2669 7046 +2808 -2217 +-86 -5010 +-548 -1324 +1475 -1988 +-101 1145 +206 1556 +2759 4442 +-1794 3349 +-252 -4311 +3107 -1393 +-1024 0 +3107 1393 +-252 4311 +-1794 -3349 +2759 -4442 +206 -1556 +-101 -1145 +1475 1988 +-548 1324 +-86 5010 +2808 2217 +-2669 -7046 +-2360 992 +1994 5106 +1401 -12 +-1278 1762 +-2048 1024 +-2123 1797 +-2405 4933 +-2737 3219 +-6928 -208 +1692 -1142 +872 3065 +570 1569 +6692 -2772 +937 -252 +2214 -1994 +-2125 -2644 +2434 2550 +-359 1757 +-4536 -633 +3190 228 +1024 0 +3190 -228 +-4536 633 +-359 -1757 +2434 -2550 +-2125 2644 +2214 1994 +937 252 +6692 2772 +570 -1569 +872 -3065 +1692 1142 +-6928 208 +-2737 -3219 +-2405 -4933 +-2123 -1797 +-2048 -1024 +-1278 -1762 +1401 12 +1994 -5106 +-2360 -992 +-2669 7046 +2808 -2217 +-86 -5010 +-548 -1324 +1475 -1988 +-101 1145 +206 1556 +2759 4442 +-1794 3349 +-252 -4311 +3107 -1393 +0 2048 +-1753 3186 +-4275 -6 +-1011 -3214 +-1652 -2302 +6166 391 +938 987 +-6064 412 +1448 1024 +-1297 1425 +493 -284 +-1916 -1963 +-84 -1038 +-1432 787 +309 811 +2662 -64 +-6144 0 +2662 64 +309 -811 +-1432 -787 +-84 1038 +-1916 1963 +493 284 +-1297 -1425 +1448 -1024 +-6064 -412 +938 -987 +6166 -391 +-1652 2302 +-1011 3214 +-4275 6 +-1753 -3186 +0 -2048 +1217 1009 +-737 1298 +344 -666 +3700 -1194 +-4810 313 +-2845 1725 +3117 2018 +-1448 1024 +-1996 -1579 +-1482 -3996 +-1687 -2758 +2132 1638 +-1446 3892 +7599 1681 +9906 -725 +-2048 0 +9906 725 +7599 -1681 +-1446 -3892 +2132 -1638 +-1687 2758 +-1482 3996 +-1996 1579 +-1448 -1024 +3117 -2018 +-2845 -1725 +-4810 -313 +3700 1194 +344 666 +-737 -1298 +1217 -1009 +0 2048 +-1753 3186 +-4275 -6 +-1011 -3214 +-1652 -2302 +6166 391 +938 987 +-6064 412 +1448 1024 +-1297 1425 +493 -284 +-1916 -1963 +-84 -1038 +-1432 787 +309 811 +2662 -64 +0 -3072 +1242 -2260 +-2369 -5539 +417 1907 +-776 632 +-564 -2895 +-919 2930 +1551 -859 +4644 -724 +-1413 2140 +3693 -672 +-859 501 +1006 -78 +4585 -3392 +-1116 -3480 +251 -1444 +-1024 0 +251 1444 +-1116 3480 +4585 3392 +1006 78 +-859 -501 +3693 672 +-1413 -2140 +4644 724 +1551 859 +-919 -2930 +-564 2895 +-776 -632 +417 -1907 +-2369 5539 +1242 2260 +0 3072 +1050 -1179 +-972 -6245 +-771 760 +2224 1416 +1483 3742 +1583 1965 +-5452 -2501 +-2596 724 +1530 -3596 +-1462 -5521 +-1627 -2478 +-2454 -1970 +-2663 -4956 +1560 -3008 +1242 8101 +-3072 0 +1242 -8101 +1560 3008 +-2663 4956 +-2454 1970 +-1627 2478 +-1462 5521 +1530 3596 +-2596 -724 +-5452 2501 +1583 -1965 +1483 -3742 +2224 -1416 +-771 -760 +-972 6245 +1050 1179 +0 -3072 +1242 -2260 +-2369 -5539 +417 1907 +-776 632 +-564 -2895 +-919 2930 +1551 -859 +4644 -724 +-1413 2140 +3693 -672 +-859 501 +1006 -78 +4585 -3392 +-1116 -3480 +251 -1444 +-1024 0 +-1077 5843 +-3939 -406 +-5716 -2557 +-1533 1553 +-592 607 +255 3515 +-2007 -977 +-2472 -424 +5110 -1902 +-34 5686 +-1287 5794 +5148 -6002 +-4401 2600 +-4113 -700 +797 -834 +-3072 0 +797 834 +-4113 700 +-4401 -2600 +5148 6002 +-1287 -5794 +-34 -5686 +5110 1902 +-2472 424 +-2007 977 +255 -3515 +-592 -607 +-1533 -1553 +-5716 2557 +-3939 406 +-1077 -5843 +-1024 0 +1244 2307 +3718 2129 +4072 724 +684 1094 +715 557 +1533 -995 +-1989 -1505 +424 2472 +3998 4196 +4038 930 +3620 -478 +-204 458 +-2203 2120 +-1459 -1672 +-284 -225 +1024 0 +-284 225 +-1459 1672 +-2203 -2120 +-204 -458 +3620 478 +4038 -930 +3998 -4196 +424 -2472 +-1989 1505 +1533 995 +715 -557 +684 -1094 +4072 -724 +3718 -2129 +1244 -2307 +-1024 0 +-1077 5843 +-3939 -406 +-5716 -2557 +-1533 1553 +-592 607 +255 3515 +-2007 -977 +-2472 -424 +5110 -1902 +-34 5686 +-1287 5794 +5148 -6002 +-4401 2600 +-4113 -700 +797 -834 +-6144 3072 +-6480 -1195 +853 -2705 +-416 3183 +3078 3322 +3924 1024 +2654 877 +3506 -1595 +1324 -1748 +-2252 5889 +-2805 465 +677 -2281 +-2044 1032 +1360 -174 +3552 4836 +-1202 -6182 +-1024 0 +-1202 6182 +3552 -4836 +1360 174 +-2044 -1032 +677 2281 +-2805 -465 +-2252 -5889 +1324 1748 +3506 1595 +2654 -877 +3924 -1024 +3078 -3322 +-416 -3183 +853 2705 +-6480 1195 +-6144 -3072 +-571 -3086 +-2081 1101 +-222 -1293 +-1030 -3922 +-822 2810 +-2994 -1060 +-2323 -891 +2772 -300 +1958 -2951 +248 -1849 +684 1131 +4092 2465 +607 -520 +572 552 +1573 -6658 +-3072 0 +1573 6658 +572 -552 +607 520 +4092 -2465 +684 -1131 +248 1849 +1958 2951 +2772 300 +-2323 891 +-2994 1060 +-822 -2810 +-1030 3922 +-222 1293 +-2081 -1101 +-571 3086 +-6144 3072 +-6480 -1195 +853 -2705 +-416 3183 +3078 3322 +3924 1024 +2654 877 +3506 -1595 +1324 -1748 +-2252 5889 +-2805 465 +677 -2281 +-2044 1032 +1360 -174 +3552 4836 +-1202 -6182 +2048 2048 +-4288 2027 +-1217 -2509 +-292 -2149 +-3241 -1038 +-1998 -3949 +673 -211 +115 -2026 +-2896 3072 +-3 -1056 +2878 -594 +1939 3953 +4328 -1194 +3828 2788 +1306 -500 +1742 5315 +2048 0 +1742 -5315 +1306 500 +3828 -2788 +4328 1194 +1939 -3953 +2878 594 +-3 1056 +-2896 -3072 +115 2026 +673 211 +-1998 3949 +-3241 1038 +-292 2149 +-1217 2509 +-4288 -2027 +2048 -2048 +3288 -4134 +-2448 -1771 +-1617 453 +1193 1638 +510 -122 +-4576 -1082 +-2623 1098 +2896 3072 +1182 55 +3922 -1898 +-1339 -824 +-6376 -2302 +4761 318 +-537 3212 +-5206 6490 +2048 0 +-5206 -6490 +-537 -3212 +4761 -318 +-6376 2302 +-1339 824 +3922 1898 +1182 -55 +2896 -3072 +-2623 -1098 +-4576 1082 +510 122 +1193 -1638 +-1617 -453 +-2448 1771 +3288 4134 +2048 2048 +-4288 2027 +-1217 -2509 +-292 -2149 +-3241 -1038 +-1998 -3949 +673 -211 +115 -2026 +-2896 3072 +-3 -1056 +2878 -594 +1939 3953 +4328 -1194 +3828 2788 +1306 -500 +1742 5315 +-1024 -4096 +774 1880 +1725 2533 +2179 2888 +2486 1652 +1272 5545 +1681 6128 +-821 -1031 +1448 -2048 +1484 -175 +-1298 -1134 +1057 -1426 +-2642 -84 +-2665 -3231 +284 1319 +-2163 6383 +-3072 0 +-2163 -6383 +284 -1319 +-2665 3231 +-2642 84 +1057 1426 +-1298 1134 +1484 175 +1448 2048 +-821 1031 +1681 -6128 +1272 -5545 +2486 -1652 +2179 -2888 +1725 -2533 +774 -1880 +-1024 4096 +1754 1452 +987 1591 +2154 -112 +-190 -3700 +-5738 -561 +811 -3571 +2875 3776 +-1448 -2048 +-1970 -2223 +6 795 +-375 -8518 +-3750 2132 +2116 2664 +3996 -92 +-1933 3390 +-3072 0 +-1933 -3390 +3996 92 +2116 -2664 +-3750 -2132 +-375 8518 +6 -795 +-1970 2223 +-1448 2048 +2875 -3776 +811 3571 +-5738 561 +-190 3700 +2154 112 +987 -1591 +1754 -1452 +-1024 -4096 +774 1880 +1725 2533 +2179 2888 +2486 1652 +1272 5545 +1681 6128 +-821 -1031 +1448 -2048 +1484 -175 +-1298 -1134 +1057 -1426 +-2642 -84 +-2665 -3231 +284 1319 +-2163 6383 +0 3072 +-1186 2461 +-6961 -1802 +3883 -1574 +2584 -3444 +-1137 -1091 +2678 1718 +-5078 -1513 +-3196 2772 +-679 3727 +1804 4171 +3415 -777 +1910 881 +1336 7494 +2522 -1292 +501 1220 +-5120 0 +501 -1220 +2522 1292 +1336 -7494 +1910 -881 +3415 777 +1804 -4171 +-679 -3727 +-3196 -2772 +-5078 1513 +2678 -1718 +-1137 1091 +2584 3444 +3883 1574 +-6961 1802 +-1186 -2461 +0 -3072 +-1900 -1059 +-503 2742 +-591 -2496 +-1984 -6445 +75 -1235 +543 -1090 +-1590 3558 +1148 1324 +2233 -2698 +768 553 +-4808 -3190 +1586 -2578 +3620 780 +-851 -1865 +1906 -3234 +1024 0 +1906 3234 +-851 1865 +3620 -780 +1586 2578 +-4808 3190 +768 -553 +2233 2698 +1148 -1324 +-1590 -3558 +543 1090 +75 1235 +-1984 6445 +-591 2496 +-503 -2742 +-1900 1059 +0 3072 +-1186 2461 +-6961 -1802 +3883 -1574 +2584 -3444 +-1137 -1091 +2678 1718 +-5078 -1513 +-3196 2772 +-679 3727 +1804 4171 +3415 -777 +1910 881 +1336 7494 +2522 -1292 +501 1220 +1024 -2048 +-2407 2192 +-2297 3417 +1013 235 +230 6980 +3087 2616 +571 -1465 +-2624 -3171 +-3920 7416 +-3561 2911 +-45 -6148 +1466 3523 +3230 2716 +1235 2234 +327 -1195 +835 -1165 +-1024 0 +835 1165 +327 1195 +1235 -2234 +3230 -2716 +1466 -3523 +-45 6148 +-3561 -2911 +-3920 -7416 +-2624 3171 +571 1465 +3087 -2616 +230 -6980 +1013 -235 +-2297 -3417 +-2407 -2192 +1024 2048 +-3112 -5042 +849 144 +790 -1161 +-230 -588 +5719 5936 +877 3917 +1220 -1036 +1872 -1272 +-2395 762 +1493 -89 +-695 -635 +-3230 -419 +-1030 -631 +-1775 -3934 +458 -1997 +3072 0 +458 1997 +-1775 3934 +-1030 631 +-3230 419 +-695 635 +1493 89 +-2395 -762 +1872 1272 +1220 1036 +877 -3917 +5719 -5936 +-230 588 +790 1161 +849 -144 +-3112 5042 +1024 -2048 +-2407 2192 +-2297 3417 +1013 235 +230 6980 +3087 2616 +571 -1465 +-2624 -3171 +-3920 7416 +-3561 2911 +-45 -6148 +1466 3523 +3230 2716 +1235 2234 +327 -1195 +835 -1165 +1024 -3072 +51 1436 +-2244 5566 +-1681 6783 +2624 -1200 +1608 -4814 +599 -2399 +2182 1232 +-300 300 +-3535 -799 +3157 -1976 +2149 -4392 +1390 -3478 +417 -3628 +807 -2457 +2518 -1228 +-2048 0 +2518 1228 +807 2457 +417 3628 +1390 3478 +2149 4392 +3157 1976 +-3535 799 +-300 -300 +2182 -1232 +599 2399 +1608 4814 +2624 1200 +-1681 -6783 +-2244 -5566 +51 -1436 +1024 3072 +2579 1766 +-3209 -3794 +1594 5035 +273 1800 +-3695 -2289 +1070 -721 +-362 -5173 +-1748 1748 +-2069 -3014 +3863 -4040 +-1629 3394 +-4286 -18 +1238 1774 +-4043 1334 +-1364 1167 +4096 0 +-1364 -1167 +-4043 -1334 +1238 -1774 +-4286 18 +-1629 -3394 +3863 4040 +-2069 3014 +-1748 -1748 +-362 5173 +1070 721 +-3695 2289 +273 -1800 +1594 -5035 +-3209 3794 +2579 -1766 +1024 -3072 +51 1436 +-2244 5566 +-1681 6783 +2624 -1200 +1608 -4814 +599 -2399 +2182 1232 +-300 300 +-3535 -799 +3157 -1976 +2149 -4392 +1390 -3478 +417 -3628 +807 -2457 +2518 -1228 +2048 1024 +-425 -3268 +3258 -3580 +-1538 4299 +-1441 1860 +8835 -1532 +-513 126 +-1995 -1899 +1148 -724 +3743 -1396 +1190 -3343 +194 -456 +4346 -2634 +-4920 -1057 +-1570 3062 +3841 -1683 +-1024 0 +3841 1683 +-1570 -3062 +-4920 1057 +4346 2634 +194 456 +1190 3343 +3743 1396 +1148 724 +-1995 1899 +-513 -126 +8835 1532 +-1441 -1860 +-1538 -4299 +3258 3580 +-425 3268 +2048 -1024 +-3079 466 +-1026 -1744 +-889 -3506 +-8 -2708 +348 -726 +-2827 4309 +-3966 4425 +-3196 724 +2866 -1742 +-746 -3310 +-241 -1490 +-2898 -2310 +-1789 -6029 +2235 -3090 +-986 1410 +1024 0 +-986 -1410 +2235 3090 +-1789 6029 +-2898 2310 +-241 1490 +-746 3310 +2866 1742 +-3196 -724 +-3966 -4425 +-2827 -4309 +348 726 +-8 2708 +-889 3506 +-1026 1744 +-3079 -466 +2048 1024 +-425 -3268 +3258 -3580 +-1538 4299 +-1441 1860 +8835 -1532 +-513 126 +-1995 -1899 +1148 -724 +3743 -1396 +1190 -3343 +194 -456 +4346 -2634 +-4920 -1057 +-1570 3062 +3841 -1683 +3072 7168 +3768 -3555 +-5107 -946 +-1409 -728 +862 -2114 +2092 1369 +2522 3524 +-1470 582 +1148 300 +1087 -700 +-131 -305 +1937 -1058 +3308 -452 +1266 5074 +-387 -307 +324 1755 +0 0 +324 -1755 +-387 307 +1266 -5074 +3308 452 +1937 1058 +-131 305 +1087 700 +1148 -300 +-1470 -582 +2522 -3524 +2092 -1369 +862 2114 +-1409 728 +-5107 946 +3768 3555 +3072 -7168 +-3768 -4526 +-2972 1729 +1409 -1409 +1186 3562 +-2092 -2830 +5895 -1631 +1470 3554 +-3196 1748 +-1087 2179 +-6589 2197 +-1937 1374 +-1260 1900 +-1266 6150 +-1423 1090 +-324 -909 +6144 0 +-324 909 +-1423 -1090 +-1266 -6150 +-1260 -1900 +-1937 -1374 +-6589 -2197 +-1087 -2179 +-3196 -1748 +1470 -3554 +5895 1631 +-2092 2830 +1186 -3562 +1409 1409 +-2972 -1729 +-3768 4526 +3072 7168 +3768 -3555 +-5107 -946 +-1409 -728 +862 -2114 +2092 1369 +2522 3524 +-1470 582 +1148 300 +1087 -700 +-131 -305 +1937 -1058 +3308 -452 +1266 5074 +-387 -307 +324 1755 +1024 -1024 +-563 -1821 +-1983 -535 +-4421 -2365 +-1056 -3212 +3411 -1588 +-272 3106 +-463 -639 +300 -1148 +2590 4906 +716 -440 +-339 -1362 +2394 971 +-5583 4155 +-214 6829 +3820 3735 +-4096 0 +3820 -3735 +-214 -6829 +-5583 -4155 +2394 -971 +-339 1362 +716 440 +2590 -4906 +300 1148 +-463 639 +-272 -3106 +3411 1588 +-1056 3212 +-4421 2365 +-1983 535 +-563 1821 +1024 1024 +-695 3649 +3431 -441 +2662 863 +-1840 6708 +3496 729 +-1176 -134 +-4904 -3425 +1748 3196 +1209 2045 +-2164 -1883 +-2784 2408 +502 -1571 +3559 4440 +1662 3283 +-994 917 +-2048 0 +-994 -917 +1662 -3283 +3559 -4440 +502 1571 +-2784 -2408 +-2164 1883 +1209 -2045 +1748 -3196 +-4904 3425 +-1176 134 +3496 -729 +-1840 -6708 +2662 -863 +3431 441 +-695 -3649 +1024 -1024 +-563 -1821 +-1983 -535 +-4421 -2365 +-1056 -3212 +3411 -1588 +-272 3106 +-463 -639 +300 -1148 +2590 4906 +716 -440 +-339 -1362 +2394 971 +-5583 4155 +-214 6829 +3820 3735 +3072 3072 +2884 -2125 +-4258 329 +-658 -608 +-2708 -112 +-541 2228 +1398 1694 +-2513 4765 +300 -300 +241 -2007 +4488 5686 +908 5495 +2310 2335 +-291 3368 +-4026 2487 +571 -942 +-2048 0 +571 942 +-4026 -2487 +-291 -3368 +2310 -2335 +908 -5495 +4488 -5686 +241 2007 +300 300 +-2513 -4765 +1398 -1694 +-541 -2228 +-2708 112 +-658 608 +-4258 -329 +2884 2125 +3072 -3072 +1156 793 +-687 1654 +-1117 -3339 +1860 4456 +2647 -1592 +-550 -6795 +-7021 -1082 +1748 -1748 +3941 3472 +-3640 3198 +-797 492 +2634 2010 +-151 -1962 +-918 -2903 +741 -2608 +0 0 +741 2608 +-918 2903 +-151 1962 +2634 -2010 +-797 -492 +-3640 -3198 +3941 -3472 +1748 1748 +-7021 1082 +-550 6795 +2647 1592 +1860 -4456 +-1117 3339 +-687 -1654 +1156 -793 +3072 3072 +2884 -2125 +-4258 329 +-658 -608 +-2708 -112 +-541 2228 +1398 1694 +-2513 4765 +300 -300 +241 -2007 +4488 5686 +908 5495 +2310 2335 +-291 3368 +-4026 2487 +571 -942 +1024 3072 +-2382 3775 +-4843 -359 +-1997 -5172 +-2694 522 +-4280 -6453 +-1122 -248 +863 4606 +724 -2772 +179 3866 +-1874 1444 +-1860 -432 +92 -2080 +79 -411 +131 5644 +1436 -1788 +2048 0 +1436 1788 +131 -5644 +79 411 +92 2080 +-1860 432 +-1874 -1444 +179 -3866 +724 2772 +863 -4606 +-1122 248 +-4280 6453 +-2694 -522 +-1997 5172 +-4843 359 +-2382 -3775 +1024 -3072 +-236 611 +-65 2215 +-638 -1605 +-802 -1370 +5196 76 +5571 3064 +-1163 -4937 +-724 -1324 +1450 2146 +322 173 +1833 1992 +-692 -2864 +-4125 3969 +1880 3203 +5645 -1468 +4096 0 +5645 1468 +1880 -3203 +-4125 -3969 +-692 2864 +1833 -1992 +322 -173 +1450 -2146 +-724 1324 +-1163 4937 +5571 -3064 +5196 -76 +-802 1370 +-638 1605 +-65 -2215 +-236 -611 +1024 3072 +-2382 3775 +-4843 -359 +-1997 -5172 +-2694 522 +-4280 -6453 +-1122 -248 +863 4606 +724 -2772 +179 3866 +-1874 1444 +-1860 -432 +92 -2080 +79 -411 +131 5644 +1436 -1788 +4096 -1024 +-2351 -152 +-4701 399 +1393 -2534 +703 1306 +2026 3367 +-2790 497 +-1975 -2484 +2596 124 +-704 2412 +-3142 -4326 +-579 -3368 +-1404 -3972 +-1046 -7263 +-865 -1038 +-1501 -1279 +1024 0 +-1501 1279 +-865 1038 +-1046 7263 +-1404 3972 +-579 3368 +-3142 4326 +-704 -2412 +2596 -124 +-1975 2484 +-2790 -497 +2026 -3367 +703 -1306 +1393 2534 +-4701 -399 +-2351 152 +4096 1024 +-1388 -2067 +642 -998 +409 584 +1945 -2154 +-1497 -2720 +2294 2999 +4427 -967 +-4644 -4220 +-861 3035 +-3354 829 +4514 -8 +6948 -972 +573 -4503 +3725 1638 +-1439 2165 +-5120 0 +-1439 -2165 +3725 -1638 +573 4503 +6948 972 +4514 8 +-3354 -829 +-861 -3035 +-4644 4220 +4427 967 +2294 -2999 +-1497 2720 +1945 2154 +409 -584 +642 998 +-1388 2067 +4096 -1024 +-2351 -152 +-4701 399 +1393 -2534 +703 1306 +2026 3367 +-2790 497 +-1975 -2484 +2596 124 +-704 2412 +-3142 -4326 +-579 -3368 +-1404 -3972 +-1046 -7263 +-865 -1038 +-1501 -1279 +4096 -1024 +3232 -868 +-313 1423 +-181 3106 +52 -332 +239 472 +-2958 2053 +569 549 +4220 2596 +130 -3926 +4246 338 +-549 5496 +-282 -1670 +857 737 +-2273 42 +475 -2043 +-1024 0 +475 2043 +-2273 -42 +857 -737 +-282 1670 +-549 -5496 +4246 -338 +130 3926 +4220 -2596 +569 -549 +-2958 -2053 +239 -472 +52 332 +-181 -3106 +-313 -1423 +3232 868 +4096 1024 +-3393 -3098 +-9745 -2688 +-4108 -754 +-2948 -1116 +-1122 959 +1351 1887 +-1529 -3873 +-124 -4644 +3726 3498 +4850 -494 +-1464 -1169 +3178 222 +535 -1282 +-3350 2789 +2582 -4819 +1024 0 +2582 4819 +-3350 -2789 +535 1282 +3178 -222 +-1464 1169 +4850 494 +3726 -3498 +-124 4644 +-1529 3873 +1351 -1887 +-1122 -959 +-2948 1116 +-4108 754 +-9745 2688 +-3393 3098 +4096 -1024 +3232 -868 +-313 1423 +-181 3106 +52 -332 +239 472 +-2958 2053 +569 549 +4220 2596 +130 -3926 +4246 338 +-549 5496 +-282 -1670 +857 737 +-2273 42 +475 -2043 +-1024 3072 +2273 -2721 +1758 2500 +-2209 -105 +1150 886 +-381 -847 +-719 -3535 +-1290 -130 +-2348 300 +-1221 1183 +-2887 788 +-59 2307 +1141 3008 +-957 -1879 +1005 -6096 +230 -1474 +-2048 0 +230 1474 +1005 6096 +-957 1879 +1141 -3008 +-59 -2307 +-2887 -788 +-1221 -1183 +-2348 -300 +-1290 130 +-719 3535 +-381 847 +1150 -886 +-2209 105 +1758 -2500 +2273 2721 +-1024 -3072 +4475 -1963 +290 3709 +-6556 699 +-6094 562 +-1164 -1399 +2767 443 +-2664 -2146 +-3796 1748 +-177 1892 +4935 -1480 +3821 -2337 +-292 -1560 +7506 4690 +1043 -1680 +-1627 2142 +8192 0 +-1627 -2142 +1043 1680 +7506 -4690 +-292 1560 +3821 2337 +4935 1480 +-177 -1892 +-3796 -1748 +-2664 2146 +2767 -443 +-1164 1399 +-6094 -562 +-6556 -699 +290 -3709 +4475 1963 +-1024 3072 +2273 -2721 +1758 2500 +-2209 -105 +1150 886 +-381 -847 +-719 -3535 +-1290 -130 +-2348 300 +-1221 1183 +-2887 788 +-59 2307 +1141 3008 +-957 -1879 +1005 -6096 +230 -1474 +1024 -1024 +27 2962 +-422 -2524 +-3616 -6321 +-4696 32 +-506 819 +-1685 1578 +-2762 -2122 +4220 -3021 +3879 -2097 +-1184 -5564 +2953 972 +2878 3418 +-2445 67 +666 -936 +1317 586 +-2048 0 +1317 -586 +666 936 +-2445 -67 +2878 -3418 +2953 -972 +-1184 5564 +3879 2097 +4220 3021 +-2762 2122 +-1685 -1578 +-506 -819 +-4696 -32 +-3616 6321 +-422 2524 +27 -2962 +1024 1024 +761 294 +-22 -3428 +182 2762 +-1696 816 +-2596 -2379 +-547 -298 +186 -3891 +-124 7117 +26 2428 +519 -149 +1037 5404 +-582 1526 +-801 6710 +2674 -3816 +2358 -4972 +0 0 +2358 4972 +2674 3816 +-801 -6710 +-582 -1526 +1037 -5404 +519 149 +26 -2428 +-124 -7117 +186 3891 +-547 298 +-2596 2379 +-1696 -816 +182 -2762 +-22 3428 +761 -294 +1024 -1024 +27 2962 +-422 -2524 +-3616 -6321 +-4696 32 +-506 819 +-1685 1578 +-2762 -2122 +4220 -3021 +3879 -2097 +-1184 -5564 +2953 972 +2878 3418 +-2445 67 +666 -936 +1317 586 +-4096 -2048 +-3949 -914 +-4870 -4684 +230 -3156 +240 3870 +898 1413 +5253 -232 +1095 949 +-2048 -3072 +2518 -1024 +505 1394 +-747 -3930 +-868 -2746 +1844 1001 +2345 -971 +878 -1128 +4096 0 +878 1128 +2345 971 +1844 -1001 +-868 2746 +-747 3930 +505 -1394 +2518 1024 +-2048 3072 +1095 -949 +5253 232 +898 -1413 +240 -3870 +230 3156 +-4870 4684 +-3949 914 +-4096 2048 +829 -778 +5639 -3380 +-1354 -694 +1808 -374 +-2671 1164 +1547 507 +4921 -5450 +-2048 -3072 +3498 1507 +-4408 -2318 +-1026 -2053 +2916 2146 +-2967 574 +-6010 -100 +-3998 2020 +4096 0 +-3998 -2020 +-6010 100 +-2967 -574 +2916 -2146 +-1026 2053 +-4408 2318 +3498 -1507 +-2048 3072 +4921 5450 +1547 -507 +-2671 -1164 +1808 374 +-1354 694 +5639 3380 +829 778 +-4096 -2048 +-3949 -914 +-4870 -4684 +230 -3156 +240 3870 +898 1413 +5253 -232 +1095 949 +-2048 -3072 +2518 -1024 +505 1394 +-747 -3930 +-868 -2746 +1844 1001 +2345 -971 +878 -1128 +1024 0 +-3300 933 +712 -1902 +1199 -2627 +2832 374 +3857 -1947 +-2895 -1807 +1249 17 +-2472 -2472 +1566 -199 +3033 295 +-2250 -4587 +3940 -2146 +-2443 719 +-3337 -50 +-503 1835 +-5120 0 +-503 -1835 +-3337 50 +-2443 -719 +3940 2146 +-2250 4587 +3033 -295 +1566 199 +-2472 2472 +1249 -17 +-2895 1807 +3857 1947 +2832 -374 +1199 2627 +712 1902 +-3300 -933 +1024 0 +1315 -1700 +1144 -1698 +-3133 -1349 +1264 -3870 +2605 2909 +78 8763 +1025 1980 +424 424 +5057 3084 +-1416 -331 +-188 1084 +156 2746 +-5438 -3366 +-5511 -2351 +-617 4079 +7168 0 +-617 -4079 +-5511 2351 +-5438 3366 +156 -2746 +-188 -1084 +-1416 331 +5057 -3084 +424 -424 +1025 -1980 +78 -8763 +2605 -2909 +1264 3870 +-3133 1349 +1144 1698 +1315 1700 +1024 0 +-3300 933 +712 -1902 +1199 -2627 +2832 374 +3857 -1947 +-2895 -1807 +1249 17 +-2472 -2472 +1566 -199 +3033 295 +-2250 -4587 +3940 -2146 +-2443 719 +-3337 -50 +-503 1835 +4096 2048 +2545 5114 +-2993 2578 +-621 1418 +-1618 -240 +1554 -917 +-2579 2627 +-7728 -4572 +1872 -3496 +1031 2811 +1403 3455 +2598 1551 +834 -868 +-2699 -670 +623 -2887 +4678 -472 +0 0 +4678 472 +623 2887 +-2699 670 +834 868 +2598 -1551 +1403 -3455 +1031 -2811 +1872 3496 +-7728 4572 +-2579 -2627 +1554 917 +-1618 240 +-621 -1418 +-2993 -2578 +2545 -5114 +4096 -2048 +-723 -5773 +-1456 -2238 +-535 1518 +-2726 -1808 +-1064 1724 +568 1497 +-951 -3002 +-3920 -600 +-1488 3287 +3505 -2227 +-2439 -616 +3510 2916 +3207 342 +929 331 +2637 -6291 +-4096 0 +2637 6291 +929 -331 +3207 -342 +3510 -2916 +-2439 616 +3505 2227 +-1488 -3287 +-3920 600 +-951 3002 +568 -1497 +-1064 -1724 +-2726 1808 +-535 -1518 +-1456 2238 +-723 5773 +4096 2048 +2545 5114 +-2993 2578 +-621 1418 +-1618 -240 +1554 -917 +-2579 2627 +-7728 -4572 +1872 -3496 +1031 2811 +1403 3455 +2598 1551 +834 -868 +-2699 -670 +623 -2887 +4678 -472 +0 -1024 +-2023 1659 +-168 1275 +-5668 -1242 +-4213 -607 +1525 1948 +1385 -1207 +1080 544 +4820 -4396 +895 -2876 +-3111 -2318 +5040 -5689 +3022 6394 +708 4321 +1066 -2247 +-998 -2546 +1024 0 +-998 2546 +1066 2247 +708 -4321 +3022 -6394 +5040 5689 +-3111 2318 +895 2876 +4820 4396 +1080 -544 +1385 1207 +1525 -1948 +-4213 607 +-5668 1242 +-168 -1275 +-2023 -1659 +0 1024 +1653 2360 +1276 617 +2121 1266 +-2780 -2040 +-153 -3641 +1291 424 +3740 -388 +3372 -5844 +-3498 1777 +435 1534 +-1061 -2317 +-4222 -850 +-2513 -2417 +-2174 4139 +-849 -2883 +-1024 0 +-849 2883 +-2174 -4139 +-2513 2417 +-4222 850 +-1061 2317 +435 -1534 +-3498 -1777 +3372 5844 +3740 388 +1291 -424 +-153 3641 +-2780 2040 +2121 -1266 +1276 -617 +1653 -2360 +0 -1024 +-2023 1659 +-168 1275 +-5668 -1242 +-4213 -607 +1525 1948 +1385 -1207 +1080 544 +4820 -4396 +895 -2876 +-3111 -2318 +5040 -5689 +3022 6394 +708 4321 +1066 -2247 +-998 -2546 +-2048 -4096 +1319 -3481 +5075 -400 +-1920 -1928 +-724 2806 +-932 -1478 +-3181 1663 +734 1233 +-3920 -1448 +-6001 4942 +14 3044 +3321 -2448 +724 -2243 +2076 -206 +5029 -3774 +-84 301 +-4096 0 +-84 -301 +5029 3774 +2076 206 +724 2243 +3321 2448 +14 -3044 +-6001 -4942 +-3920 1448 +734 -1233 +-3181 -1663 +-932 1478 +-724 -2806 +-1920 1928 +5075 400 +1319 3481 +-2048 4096 +-302 511 +2101 4355 +534 -2283 +-724 -3654 +4404 2338 +-1007 4198 +470 3143 +1872 1448 +-1883 -1895 +-418 4016 +-5464 4195 +724 -2702 +3773 2675 +579 737 +-45 1192 +-4096 0 +-45 -1192 +579 -737 +3773 -2675 +724 2702 +-5464 -4195 +-418 -4016 +-1883 1895 +1872 -1448 +470 -3143 +-1007 -4198 +4404 -2338 +-724 3654 +534 2283 +2101 -4355 +-302 -511 +-2048 -4096 +1319 -3481 +5075 -400 +-1920 -1928 +-724 2806 +-932 -1478 +-3181 1663 +734 1233 +-3920 -1448 +-6001 4942 +14 3044 +3321 -2448 +724 -2243 +2076 -206 +5029 -3774 +-84 301 +1024 4096 +-2163 -6557 +-1441 -3718 +-825 952 +-2376 -1578 +-1069 861 +1274 633 +2568 -6373 +0 -8440 +1563 -2741 +-321 760 +488 -1044 +640 -314 +-2731 643 +409 -610 +554 265 +-1024 0 +554 -265 +409 610 +-2731 -643 +640 314 +488 1044 +-321 -760 +1563 2741 +0 8440 +2568 6373 +1274 -633 +-1069 -861 +-2376 1578 +-825 -952 +-1441 3718 +-2163 6557 +1024 -4096 +436 -3880 +1166 2269 +2788 -1999 +2976 -470 +-2258 1278 +-202 -2081 +-1508 252 +0 248 +1161 -37 +-7744 688 +4407 -1960 +2856 2362 +-800 4752 +-1333 2058 +-2612 4226 +7168 0 +-2612 -4226 +-1333 -2058 +-800 -4752 +2856 -2362 +4407 1960 +-7744 -688 +1161 37 +0 -248 +-1508 -252 +-202 2081 +-2258 -1278 +2976 470 +2788 1999 +1166 -2269 +436 3880 +1024 4096 +-2163 -6557 +-1441 -3718 +-825 952 +-2376 -1578 +-1069 861 +1274 633 +2568 -6373 +0 -8440 +1563 -2741 +-321 760 +488 -1044 +640 -314 +-2731 643 +409 -610 +554 265 +-1024 2048 +1780 -695 +-4029 -796 +-2313 3163 +-1349 2506 +-4474 3079 +1924 2401 +3734 3927 +1024 -1872 +-1392 328 +-2191 -1566 +517 -6264 +3544 -495 +6822 -309 +-1692 3138 +-4064 -5321 +3072 0 +-4064 5321 +-1692 -3138 +6822 309 +3544 495 +517 6264 +-2191 1566 +-1392 -328 +1024 1872 +3734 -3927 +1924 -2401 +-4474 -3079 +-1349 -2506 +-2313 -3163 +-4029 796 +1780 695 +-1024 -2048 +-2826 -2293 +1353 -3144 +1426 -1478 +-699 -3954 +-209 1527 +-815 431 +-1746 -457 +1024 3920 +-357 1206 +1082 302 +-506 -3691 +-5592 -954 +4530 1418 +4367 -2982 +-921 -2002 +3072 0 +-921 2002 +4367 2982 +4530 -1418 +-5592 954 +-506 3691 +1082 -302 +-357 -1206 +1024 -3920 +-1746 457 +-815 -431 +-209 -1527 +-699 3954 +1426 1478 +1353 3144 +-2826 2293 +-1024 2048 +1780 -695 +-4029 -796 +-2313 3163 +-1349 2506 +-4474 3079 +1924 2401 +3734 3927 +1024 -1872 +-1392 328 +-2191 -1566 +517 -6264 +3544 -495 +6822 -309 +-1692 3138 +-4064 -5321 +-5120 -2048 +-1354 -1084 +7145 -2594 +-3183 -627 +-3170 1108 +-333 1480 +-372 -1139 +-731 -1426 +-2896 -1448 +5029 -3864 +139 -534 +3735 466 +2846 2676 +-4166 522 +423 -4736 +-2609 2998 +-5120 0 +-2609 -2998 +423 4736 +-4166 -522 +2846 -2676 +3735 -466 +139 534 +5029 3864 +-2896 1448 +-731 1426 +-372 1139 +-333 -1480 +-3170 -1108 +-3183 627 +7145 2594 +-1354 1084 +-5120 2048 +-1304 2477 +5043 858 +6376 1588 +1722 -1108 +2079 -1641 +-1909 658 +626 2309 +2896 1448 +-252 1850 +445 4150 +551 -3524 +-1398 -2676 +735 3334 +-2723 -1096 +-5198 1291 +-1024 0 +-5198 -1291 +-2723 1096 +735 -3334 +-1398 2676 +551 3524 +445 -4150 +-252 -1850 +2896 -1448 +626 -2309 +-1909 -658 +2079 1641 +1722 1108 +6376 -1588 +5043 -858 +-1304 -2477 +-5120 -2048 +-1354 -1084 +7145 -2594 +-3183 -627 +-3170 1108 +-333 1480 +-372 -1139 +-731 -1426 +-2896 -1448 +5029 -3864 +139 -534 +3735 466 +2846 2676 +-4166 522 +423 -4736 +-2609 2998 +-1024 -4096 +-3684 -3243 +-1434 987 +1037 -787 +-594 5408 +-4511 4624 +-795 272 +784 54 +-2048 0 +1204 -2030 +922 -1686 +-949 1638 +1858 -2953 +-233 -3849 +-1674 -1560 +1638 -77 +3072 0 +1638 77 +-1674 1560 +-233 3849 +1858 2953 +-949 -1638 +922 1686 +1204 2030 +-2048 0 +784 -54 +-795 -272 +-4511 -4624 +-594 -5408 +1037 787 +-1434 -987 +-3684 3243 +-1024 4096 +6052 -4812 +4553 -4262 +-993 2242 +-1702 -2160 +-838 -1726 +1919 2115 +311 -4437 +-2048 0 +2166 -5562 +851 -2918 +-382 892 +4534 -6088 +1077 2537 +-4342 -516 +-2677 2798 +-1024 0 +-2677 -2798 +-4342 516 +1077 -2537 +4534 6088 +-382 -892 +851 2918 +2166 5562 +-2048 0 +311 4437 +1919 -2115 +-838 1726 +-1702 2160 +-993 -2242 +4553 4262 +6052 4812 +-1024 -4096 +-3684 -3243 +-1434 987 +1037 -787 +-594 5408 +-4511 4624 +-795 272 +784 54 +-2048 0 +1204 -2030 +922 -1686 +-949 1638 +1858 -2953 +-233 -3849 +-1674 -1560 +1638 -77 +4096 -3072 +1098 228 +2421 -3106 +2767 486 +2154 765 +-1015 244 +-3353 -1859 +724 1046 +-724 3796 +289 -3486 +6179 -150 +2121 -666 +-972 -3092 +512 -2104 +-1672 380 +-1189 6699 +1024 0 +-1189 -6699 +-1672 -380 +512 2104 +-972 3092 +2121 666 +6179 150 +289 3486 +-724 -3796 +724 -1046 +-3353 1859 +-1015 -244 +2154 -765 +2767 -486 +2421 3106 +1098 -228 +4096 3072 +1120 -4784 +-2302 -4070 +1006 5008 +-1306 -4262 +-1603 -2807 +1017 -2330 +1585 393 +724 2348 +-3927 1716 +-946 555 +-390 -2265 +-3972 3692 +2396 4831 +-1344 5229 +-5492 -479 +-1024 0 +-5492 479 +-1344 -5229 +2396 -4831 +-3972 -3692 +-390 2265 +-946 -555 +-3927 -1716 +724 -2348 +1585 -393 +1017 2330 +-1603 2807 +-1306 4262 +1006 -5008 +-2302 4070 +1120 4784 +4096 -3072 +1098 228 +2421 -3106 +2767 486 +2154 765 +-1015 244 +-3353 -1859 +724 1046 +-724 3796 +289 -3486 +6179 -150 +2121 -666 +-972 -3092 +512 -2104 +-1672 380 +-1189 6699 +6144 1024 +1205 1612 +-486 5131 +-202 -489 +1176 932 +252 -989 +-3113 3533 +-2220 3668 +724 -3796 +1064 2650 +-3485 2069 +-1746 578 +2838 -1826 +-1917 -3851 +-553 548 +1931 -514 +-1024 0 +1931 514 +-553 -548 +-1917 3851 +2838 1826 +-1746 -578 +-3485 -2069 +1064 -2650 +724 3796 +-2220 -3668 +-3113 -3533 +252 989 +1176 -932 +-202 489 +-486 -5131 +1205 -1612 +6144 -1024 +1679 -1302 +-1782 -823 +4074 -71 +-1176 1716 +-3370 -859 +2853 4412 +-475 -3413 +-724 -2348 +4528 501 +5442 -4012 +1967 471 +-2838 -3718 +-4852 394 +-7067 2063 +-1918 -2072 +5120 0 +-1918 2072 +-7067 -2063 +-4852 -394 +-2838 3718 +1967 -471 +5442 4012 +4528 -501 +-724 2348 +-475 3413 +2853 -4412 +-3370 859 +-1176 -1716 +4074 71 +-1782 823 +1679 1302 +6144 1024 +1205 1612 +-486 5131 +-202 -489 +1176 932 +252 -989 +-3113 3533 +-2220 3668 +724 -3796 +1064 2650 +-3485 2069 +-1746 578 +2838 -1826 +-1917 -3851 +-553 548 +1931 -514 +-2048 3072 +2728 -614 +1408 5479 +-3847 2013 +-1610 -66 +-1808 1653 +-232 -3015 +1000 382 +1324 5244 +4161 6127 +4183 -3636 +-1695 -6349 +3732 -2500 +974 -103 +-2523 4408 +1862 -5388 +-1024 0 +1862 5388 +-2523 -4408 +974 103 +3732 2500 +-1695 6349 +4183 3636 +4161 -6127 +1324 -5244 +1000 -382 +-232 3015 +-1808 -1653 +-1610 66 +-3847 -2013 +1408 -5479 +2728 614 +-2048 -3072 +4946 -1193 +1268 197 +-1695 -553 +-1286 5610 +-285 3236 +-876 664 +-3926 573 +2772 900 +-794 1140 +-3075 1285 +213 1790 +-836 -148 +-3441 307 +-153 1269 +1607 1701 +-3072 0 +1607 -1701 +-153 -1269 +-3441 -307 +-836 148 +213 -1790 +-3075 -1285 +-794 -1140 +2772 -900 +-3926 -573 +-876 -664 +-285 -3236 +-1286 -5610 +-1695 553 +1268 -197 +4946 1193 +-2048 3072 +2728 -614 +1408 5479 +-3847 2013 +-1610 -66 +-1808 1653 +-232 -3015 +1000 382 +1324 5244 +4161 6127 +4183 -3636 +-1695 -6349 +3732 -2500 +974 -103 +-2523 4408 +1862 -5388 +5120 -2048 +-611 3378 +-6565 1268 +2543 190 +-1482 -2472 +-7310 -129 +-1174 -2116 +-598 2951 +1448 8440 +467 -988 +-5520 681 +-2560 265 +529 -424 +668 445 +1426 -2211 +56 2050 +-1024 0 +56 -2050 +1426 2211 +668 -445 +529 424 +-2560 -265 +-5520 -681 +467 988 +1448 -8440 +-598 -2951 +-1174 2116 +-7310 129 +-1482 2472 +2543 -190 +-6565 -1268 +-611 -3378 +5120 2048 +-202 -492 +1398 271 +4034 3239 +4978 -2472 +2342 1715 +-1998 -752 +-1429 979 +-1448 -248 +911 3350 +1699 7539 +-1609 -2463 +70 -424 +1892 -800 +2542 -1545 +1406 -731 +-1024 0 +1406 731 +2542 1545 +1892 800 +70 424 +-1609 2463 +1699 -7539 +911 -3350 +-1448 248 +-1429 -979 +-1998 752 +2342 -1715 +4978 2472 +4034 -3239 +1398 -271 +-202 492 +5120 -2048 +-611 3378 +-6565 1268 +2543 190 +-1482 -2472 +-7310 -129 +-1174 -2116 +-598 2951 +1448 8440 +467 -988 +-5520 681 +-2560 265 +529 -424 +668 445 +1426 -2211 +56 2050 +-3072 4096 +2579 8511 +4048 3055 +3339 3285 +-554 300 +-1039 -994 +1880 2040 +-505 1394 +-1024 -1024 +-3272 -2757 +529 -3192 +945 943 +1338 -1748 +1197 -2183 +-1223 5890 +-1857 1077 +-5120 0 +-1857 -1077 +-1223 -5890 +1197 2183 +1338 1748 +945 -943 +529 3192 +-3272 2757 +-1024 1024 +-505 -1394 +1880 -2040 +-1039 994 +-554 -300 +3339 -3285 +4048 -3055 +2579 -8511 +-3072 -4096 +-526 1177 +336 -2871 +1734 5007 +554 300 +1139 -4486 +3183 3349 +-427 995 +-1024 -1024 +-2477 -1093 +1400 1588 +284 -4176 +-1338 -1748 +-1806 6929 +-1961 -4506 +692 -3420 +-1024 0 +692 3420 +-1961 4506 +-1806 -6929 +-1338 1748 +284 4176 +1400 -1588 +-2477 1093 +-1024 1024 +-427 -995 +3183 -3349 +1139 4486 +554 -300 +1734 -5007 +336 2871 +-526 -1177 +-3072 4096 +2579 8511 +4048 3055 +3339 3285 +-554 300 +-1039 -994 +1880 2040 +-505 1394 +-1024 -1024 +-3272 -2757 +529 -3192 +945 943 +1338 -1748 +1197 -2183 +-1223 5890 +-1857 1077 +0 -3072 +2489 -697 +-923 51 +-1201 -1481 +-986 -3778 +1059 3749 +2004 3327 +2831 493 +300 2772 +523 -266 +4498 204 +164 848 +912 3548 +2428 4039 +-4737 1654 +-550 823 +7168 0 +-550 -823 +-4737 -1654 +2428 -4039 +912 -3548 +164 -848 +4498 -204 +523 266 +300 -2772 +2831 -493 +2004 -3327 +1059 -3749 +-986 3778 +-1201 1481 +-923 -51 +2489 697 +0 3072 +-4592 -262 +546 -1159 +-1308 -1177 +-1311 -318 +641 1559 +-1481 -651 +4388 8791 +1748 1324 +-2391 -1594 +-3325 2472 +-4080 -3549 +5480 548 +2298 -3122 +-4776 -2763 +-2698 -1340 +-3072 0 +-2698 1340 +-4776 2763 +2298 3122 +5480 -548 +-4080 3549 +-3325 -2472 +-2391 1594 +1748 -1324 +4388 -8791 +-1481 651 +641 -1559 +-1311 318 +-1308 1177 +546 1159 +-4592 262 +0 -3072 +2489 -697 +-923 51 +-1201 -1481 +-986 -3778 +1059 3749 +2004 3327 +2831 493 +300 2772 +523 -266 +4498 204 +164 848 +912 3548 +2428 4039 +-4737 1654 +-550 823 +4096 1024 +4050 438 +-3786 3042 +-3314 -1852 +-816 -3876 +1137 -1755 +3539 642 +1496 -1290 +-3372 1148 +1165 7331 +5050 1142 +-142 -3074 +1526 -2369 +3130 -399 +536 -910 +816 -2346 +1024 0 +816 2346 +536 910 +3130 399 +1526 2369 +-142 3074 +5050 -1142 +1165 -7331 +-3372 -1148 +1496 1290 +3539 -642 +1137 1755 +-816 3876 +-3314 1852 +-3786 -3042 +4050 -438 +4096 -1024 +-2884 831 +-1446 -2546 +-1800 -546 +-32 4476 +-396 -3083 +-3658 2218 +-1508 250 +-4820 -3196 +-2041 190 +-2034 2917 +-5063 820 +3418 -1127 +655 2985 +1800 -5586 +4699 -1810 +-1024 0 +4699 1810 +1800 5586 +655 -2985 +3418 1127 +-5063 -820 +-2034 -2917 +-2041 -190 +-4820 3196 +-1508 -250 +-3658 -2218 +-396 3083 +-32 -4476 +-1800 546 +-1446 2546 +-2884 -831 +4096 1024 +4050 438 +-3786 3042 +-3314 -1852 +-816 -3876 +1137 -1755 +3539 642 +1496 -1290 +-3372 1148 +1165 7331 +5050 1142 +-142 -3074 +1526 -2369 +3130 -399 +536 -910 +816 -2346 +1024 2048 +5361 248 +-5082 2977 +127 3530 +2942 -928 +-1892 -1145 +2770 113 +-3124 -321 +1624 -5368 +1576 -1949 +-3476 -1296 +1588 -3277 +1938 808 +178 -495 +2657 -2222 +-2772 -4406 +-11264 0 +-2772 4406 +2657 2222 +178 495 +1938 -808 +1588 3277 +-3476 1296 +1576 1949 +1624 5368 +-3124 321 +2770 -113 +-1892 1145 +2942 928 +127 -3530 +-5082 -2977 +5361 -248 +1024 -2048 +1690 3121 +239 2412 +512 1819 +4050 4424 +-1210 1988 +-1374 -1496 +1941 -2046 +4520 3320 +-1282 910 +-4912 1112 +-2949 3233 +-738 -1408 +-2146 -837 +987 618 +2401 3312 +-3072 0 +2401 -3312 +987 -618 +-2146 837 +-738 1408 +-2949 -3233 +-4912 -1112 +-1282 -910 +4520 -3320 +1941 2046 +-1374 1496 +-1210 -1988 +4050 -4424 +512 -1819 +239 -2412 +1690 -3121 +1024 2048 +5361 248 +-5082 2977 +127 3530 +2942 -928 +-1892 -1145 +2770 113 +-3124 -321 +1624 -5368 +1576 -1949 +-3476 -1296 +1588 -3277 +1938 808 +178 -495 +2657 -2222 +-2772 -4406 +1024 5120 +-7620 -2111 +288 -2323 +-4684 4497 +-4977 -1116 +826 -2690 +3060 934 +4583 -2870 +-2596 300 +1265 1532 +-3210 -3057 +-1018 1487 +4267 222 +-3315 -4872 +-4066 314 +282 2939 +4096 0 +282 -2939 +-4066 -314 +-3315 4872 +4267 -222 +-1018 -1487 +-3210 3057 +1265 -1532 +-2596 -300 +4583 2870 +3060 -934 +826 2690 +-4977 1116 +-4684 -4497 +288 2323 +-7620 2111 +1024 -5120 +1572 -3411 +820 -1617 +365 -1442 +-5760 -332 +165 362 +-384 1898 +1388 1389 +4644 1748 +3229 3355 +534 1793 +266 43 +2374 -1670 +1603 -2201 +2958 -158 +1093 307 +-2048 0 +1093 -307 +2958 158 +1603 2201 +2374 1670 +266 -43 +534 -1793 +3229 -3355 +4644 -1748 +1388 -1389 +-384 -1898 +165 -362 +-5760 332 +365 1442 +820 1617 +1572 3411 +1024 5120 +-7620 -2111 +288 -2323 +-4684 4497 +-4977 -1116 +826 -2690 +3060 934 +4583 -2870 +-2596 300 +1265 1532 +-3210 -3057 +-1018 1487 +4267 222 +-3315 -4872 +-4066 314 +282 2939 +-3072 -1024 +1322 -7188 +3371 402 +-208 3515 +2224 2284 +-1314 -16 +745 -3686 +3943 392 +4820 724 +2904 -2915 +-628 -2623 +-4366 -861 +-2454 -162 +1475 686 +-1428 1329 +-3649 -1060 +-4096 0 +-3649 1060 +-1428 -1329 +1475 -686 +-2454 162 +-4366 861 +-628 2623 +2904 2915 +4820 -724 +3943 -392 +745 3686 +-1314 16 +2224 -2284 +-208 -3515 +3371 -402 +1322 7188 +-3072 1024 +3583 1122 +-1479 7166 +-985 1939 +-776 -2284 +-445 -4307 +39 551 +-647 2455 +3372 -724 +-407 1666 +-156 -512 +332 633 +1006 162 +-6074 672 +-464 6239 +4536 -909 +-6144 0 +4536 909 +-464 -6239 +-6074 -672 +1006 -162 +332 -633 +-156 512 +-407 -1666 +3372 724 +-647 -2455 +39 -551 +-445 4307 +-776 2284 +-985 -1939 +-1479 -7166 +3583 -1122 +-3072 -1024 +1322 -7188 +3371 402 +-208 3515 +2224 2284 +-1314 -16 +745 -3686 +3943 392 +4820 724 +2904 -2915 +-628 -2623 +-4366 -861 +-2454 -162 +1475 686 +-1428 1329 +-3649 -1060 +-2048 3072 +-1261 -2220 +-321 4272 +5733 -665 +3962 -2454 +-1755 -3417 +-291 -3522 +2382 -782 +1324 -3796 +-77 -413 +-1690 1178 +-3175 998 +1944 776 +3835 -1097 +-4018 -4229 +1335 -5168 +11264 0 +1335 5168 +-4018 4229 +3835 1097 +1944 -776 +-3175 -998 +-1690 -1178 +-77 413 +1324 3796 +2382 782 +-291 3522 +-1755 3417 +3962 2454 +5733 665 +-321 -4272 +-1261 2220 +-2048 -3072 +-3857 -1445 +949 -332 +1037 -3115 +-1065 1006 +-276 -5056 +-2865 690 +884 -2139 +2772 -2348 +-2950 3523 +751 87 +533 994 +-4840 -2224 +-140 1990 +-706 4073 +-2248 1741 +1024 0 +-2248 -1741 +-706 -4073 +-140 -1990 +-4840 2224 +533 -994 +751 -87 +-2950 -3523 +2772 2348 +884 2139 +-2865 -690 +-276 5056 +-1065 -1006 +1037 3115 +949 332 +-3857 1445 +-2048 3072 +-1261 -2220 +-321 4272 +5733 -665 +3962 -2454 +-1755 -3417 +-291 -3522 +2382 -782 +1324 -3796 +-77 -413 +-1690 1178 +-3175 998 +1944 776 +3835 -1097 +-4018 -4229 +1335 -5168 +-3072 1024 +-5816 1861 +-3181 -3971 +-2124 -940 +4756 5904 +4041 676 +-1113 -3077 +1808 -537 +2596 300 +-689 2732 +-2300 -1763 +4504 1429 +-262 3458 +273 -2170 +2997 2127 +-1087 -1524 +2048 0 +-1087 1524 +2997 -2127 +273 2170 +-262 -3458 +4504 -1429 +-2300 1763 +-689 -2732 +2596 -300 +1808 537 +-1113 3077 +4041 -676 +4756 -5904 +-2124 940 +-3181 3971 +-5816 -1861 +-3072 -1024 +3259 -641 +4509 -5541 +896 370 +188 1336 +83 518 +2001 1904 +-2148 -3626 +-4644 1748 +349 5449 +-4380 2287 +-380 782 +-586 3783 +-1501 5016 +1467 -1750 +-1470 1103 +0 0 +-1470 -1103 +1467 1750 +-1501 -5016 +-586 -3783 +-380 -782 +-4380 -2287 +349 -5449 +-4644 -1748 +-2148 3626 +2001 -1904 +83 -518 +188 -1336 +896 -370 +4509 5541 +3259 641 +-3072 1024 +-5816 1861 +-3181 -3971 +-2124 -940 +4756 5904 +4041 676 +-1113 -3077 +1808 -537 +2596 300 +-689 2732 +-2300 -1763 +4504 1429 +-262 3458 +273 -2170 +2997 2127 +-1087 -1524 +1024 5120 +-3697 -2455 +-4587 -579 +3759 -3505 +-1260 2224 +-1271 1967 +4798 -1190 +-22 36 +-1148 300 +-1617 2208 +-3009 -3282 +-983 334 +862 2454 +4266 -2131 +469 -2055 +-1583 -3113 +2048 0 +-1583 3113 +469 2055 +4266 2131 +862 -2454 +-983 -334 +-3009 3282 +-1617 -2208 +-1148 -300 +-22 -36 +4798 1190 +-1271 -1967 +-1260 -2224 +3759 3505 +-4587 579 +-3697 2455 +1024 -5120 +-1876 -2517 +-357 1124 +246 -5125 +3308 -776 +3058 -3127 +-3950 -1590 +-199 193 +3196 1748 +1396 -4195 +3857 -5291 +2771 3857 +1186 -1006 +-261 -1147 +-5413 -3193 +-3989 -4076 +0 0 +-3989 4076 +-5413 3193 +-261 1147 +1186 1006 +2771 -3857 +3857 5291 +1396 4195 +3196 -1748 +-199 -193 +-3950 1590 +3058 3127 +3308 776 +246 5125 +-357 -1124 +-1876 2517 +1024 5120 +-3697 -2455 +-4587 -579 +3759 -3505 +-1260 2224 +-1271 1967 +4798 -1190 +-22 36 +-1148 300 +-1617 2208 +-3009 -3282 +-983 334 +862 2454 +4266 -2131 +469 -2055 +-1583 -3113 +2048 3072 +-3621 2629 +1940 -392 +502 -1821 +-1456 1860 +1177 1255 +-1215 -394 +-3895 4286 +-3796 724 +3660 -6149 +395 -5283 +-1909 -4896 +-1450 -2634 +3373 -132 +352 -1857 +-5941 -1150 +-1024 0 +-5941 1150 +352 1857 +3373 132 +-1450 2634 +-1909 4896 +395 5283 +3660 6149 +-3796 -724 +-3895 -4286 +-1215 394 +1177 -1255 +-1456 -1860 +502 1821 +1940 392 +-3621 -2629 +2048 -3072 +-58 1794 +2340 4997 +-104 -41 +-2889 -2708 +4061 -3194 +-77 -2882 +-1382 859 +-2348 -724 +2504 -738 +2097 3207 +1135 -588 +5794 -2310 +-2442 517 +2361 -531 +2940 -666 +-7168 0 +2940 666 +2361 531 +-2442 -517 +5794 2310 +1135 588 +2097 -3207 +2504 738 +-2348 724 +-1382 -859 +-77 2882 +4061 3194 +-2889 2708 +-104 41 +2340 -4997 +-58 -1794 +2048 3072 +-3621 2629 +1940 -392 +502 -1821 +-1456 1860 +1177 1255 +-1215 -394 +-3895 4286 +-3796 724 +3660 -6149 +395 -5283 +-1909 -4896 +-1450 -2634 +3373 -132 +352 -1857 +-5941 -1150 +-5120 -1024 +-2409 1040 +4357 2703 +3232 2340 +-1970 222 +-1206 223 +3052 1578 +1544 1552 +3796 1148 +-4904 -531 +-5954 1335 +-439 -3888 +-632 -332 +1796 6771 +2236 -1853 +2348 1301 +2048 0 +2348 -1301 +2236 1853 +1796 -6771 +-632 332 +-439 3888 +-5954 -1335 +-4904 531 +3796 -1148 +1544 -1552 +3052 -1578 +-1206 -223 +-1970 -222 +3232 -2340 +4357 -2703 +-2409 -1040 +-5120 1024 +5233 957 +-3028 129 +-3678 -3334 +-78 -1670 +-4650 -1882 +-2164 -1734 +-2270 524 +2348 -3196 +959 3806 +-727 2605 +264 -4763 +-1416 -1116 +-1112 -773 +2228 588 +5293 -504 +4096 0 +5293 504 +2228 -588 +-1112 773 +-1416 1116 +264 4763 +-727 -2605 +959 -3806 +2348 3196 +-2270 -524 +-2164 1734 +-4650 1882 +-78 1670 +-3678 3334 +-3028 -129 +5233 -957 +-5120 -1024 +-2409 1040 +4357 2703 +3232 2340 +-1970 222 +-1206 223 +3052 1578 +1544 1552 +3796 1148 +-4904 -531 +-5954 1335 +-439 -3888 +-632 -332 +1796 6771 +2236 -1853 +2348 1301 +3072 -4096 +970 -1679 +-2638 -990 +-2106 -9 +1168 398 +-339 2909 +691 -518 +380 5490 +-2048 3496 +2089 -1602 +2316 969 +-1113 2355 +-60 4170 +520 -342 +2299 97 +2793 -4676 +1024 0 +2793 4676 +2299 -97 +520 342 +-60 -4170 +-1113 -2355 +2316 -969 +2089 1602 +-2048 -3496 +380 -5490 +691 518 +-339 -2909 +1168 -398 +-2106 9 +-2638 990 +970 1679 +3072 4096 +5536 439 +3421 -119 +-790 5513 +-2616 -4494 +-3836 2271 +-2583 3194 +-3747 -2736 +-2048 600 +4854 -5092 +-424 1707 +-5856 4704 +1508 -74 +1935 -467 +-3083 -1206 +-1290 2180 +1024 0 +-1290 -2180 +-3083 1206 +1935 467 +1508 74 +-5856 -4704 +-424 -1707 +4854 5092 +-2048 -600 +-3747 2736 +-2583 -3194 +-3836 -2271 +-2616 4494 +-790 -5513 +3421 119 +5536 -439 +3072 -4096 +970 -1679 +-2638 -990 +-2106 -9 +1168 398 +-339 2909 +691 -518 +380 5490 +-2048 3496 +2089 -1602 +2316 969 +-1113 2355 +-60 4170 +520 -342 +2299 97 +2793 -4676 +0 1024 +-2076 3773 +-2842 -1351 +91 1009 +946 -1826 +1301 5903 +1392 965 +-432 -6108 +-2172 3796 +-1080 3421 +3706 1680 +3658 -1339 +-392 1716 +342 776 +1028 -3519 +1504 5344 +3072 0 +1504 -5344 +1028 3519 +342 -776 +-392 -1716 +3658 1339 +3706 -1680 +-1080 -3421 +-2172 -3796 +-432 6108 +1392 -965 +1301 -5903 +946 1826 +91 -1009 +-2842 1351 +-2076 -3773 +0 -1024 +912 4424 +1514 2134 +-2279 -241 +-946 -3718 +2662 1190 +-2280 927 +-791 3596 +2172 2348 +-1273 380 +2975 212 +3524 -1016 +392 932 +2287 -1264 +-5492 4303 +-8349 974 +-3072 0 +-8349 -974 +-5492 -4303 +2287 1264 +392 -932 +3524 1016 +2975 -212 +-1273 -380 +2172 -2348 +-791 -3596 +-2280 -927 +2662 -1190 +-946 3718 +-2279 241 +1514 -2134 +912 -4424 +0 1024 +-2076 3773 +-2842 -1351 +91 1009 +946 -1826 +1301 5903 +1392 965 +-432 -6108 +-2172 3796 +-1080 3421 +3706 1680 +3658 -1339 +-392 1716 +342 776 +1028 -3519 +1504 5344 +2048 -1024 +103 -4378 +-511 -4616 +3327 848 +-188 4372 +1739 5248 +-1098 881 +3029 -3144 +124 -300 +-5219 230 +404 -1190 +-189 -322 +586 -1690 +3241 104 +-3694 4318 +-2437 2740 +5120 0 +-2437 -2740 +-3694 -4318 +3241 -104 +586 1690 +-189 322 +404 1190 +-5219 -230 +124 300 +3029 3144 +-1098 -881 +1739 -5248 +-188 -4372 +3327 -848 +-511 4616 +103 4378 +2048 1024 +-2900 2920 +7311 1903 +-1595 -813 +-4756 2021 +225 3143 +-2567 1611 +-853 -180 +-4220 -1748 +1714 1430 +365 2482 +-2663 153 +262 3986 +1708 5356 +-209 -38 +770 -1614 +7168 0 +770 1614 +-209 38 +1708 -5356 +262 -3986 +-2663 -153 +365 -2482 +1714 -1430 +-4220 1748 +-853 180 +-2567 -1611 +225 -3143 +-4756 -2021 +-1595 813 +7311 -1903 +-2900 -2920 +2048 -1024 +103 -4378 +-511 -4616 +3327 848 +-188 4372 +1739 5248 +-1098 881 +3029 -3144 +124 -300 +-5219 230 +404 -1190 +-189 -322 +586 -1690 +3241 104 +-3694 4318 +-2437 2740 +1024 0 +-450 1951 +1460 -6612 +-581 -2150 +-2422 3072 +3432 651 +2375 3445 +-2571 -1337 +-2896 2048 +-1707 1051 +3745 -3275 +-3839 1842 +-4194 -3072 +-308 -622 +61 6589 +-895 2286 +-7168 0 +-895 -2286 +61 -6589 +-308 622 +-4194 3072 +-3839 -1842 +3745 3275 +-1707 -1051 +-2896 -2048 +-2571 1337 +2375 -3445 +3432 -651 +-2422 -3072 +-581 2150 +1460 6612 +-450 -1951 +1024 0 +5323 -296 +-77 -1608 +-1688 331 +1822 3072 +1432 1980 +-771 -1905 +3613 3424 +2896 2048 +-3119 -532 +1643 -481 +-2593 -2996 +698 -3072 +4145 -4982 +-245 -3721 +-194 -2199 +-3072 0 +-194 2199 +-245 3721 +4145 4982 +698 3072 +-2593 2996 +1643 481 +-3119 532 +2896 -2048 +3613 -3424 +-771 1905 +1432 -1980 +1822 -3072 +-1688 -331 +-77 1608 +5323 296 +1024 0 +-450 1951 +1460 -6612 +-581 -2150 +-2422 3072 +3432 651 +2375 3445 +-2571 -1337 +-2896 2048 +-1707 1051 +3745 -3275 +-3839 1842 +-4194 -3072 +-308 -622 +61 6589 +-895 2286 +-4096 -2048 +-5582 925 +-1707 1454 +1074 -1621 +1638 -156 +-15 1100 +-1441 3041 +-151 3 +1624 -3496 +-3787 -323 +-4276 2476 +-1518 4213 +2302 2832 +2331 2082 +-31 -155 +4155 -6576 +6144 0 +4155 6576 +-31 155 +2331 -2082 +2302 -2832 +-1518 -4213 +-4276 -2476 +-3787 323 +1624 3496 +-151 -3 +-1441 -3041 +-15 -1100 +1638 156 +1074 1621 +-1707 -1454 +-5582 -925 +-4096 2048 +686 -3528 +442 -2563 +-1061 249 +-1038 -3940 +5596 -2905 +-2499 -365 +166 367 +4520 -600 +-7373 -1524 +4120 200 +3946 -667 +1194 1264 +1232 1897 +-2800 -954 +301 1756 +-2048 0 +301 -1756 +-2800 954 +1232 -1897 +1194 -1264 +3946 667 +4120 -200 +-7373 1524 +4520 600 +166 -367 +-2499 365 +5596 2905 +-1038 3940 +-1061 -249 +442 2563 +686 3528 +-4096 -2048 +-5582 925 +-1707 1454 +1074 -1621 +1638 -156 +-15 1100 +-1441 3041 +-151 3 +1624 -3496 +-3787 -323 +-4276 2476 +-1518 4213 +2302 2832 +2331 2082 +-31 -155 +4155 -6576 +1024 2048 +2355 -4029 +3811 -609 +-1290 3826 +-280 -2278 +696 2361 +4230 3352 +2647 1842 +-2648 -2896 +-1004 2050 +-644 1520 +1039 -3456 +1388 5278 +-1079 2564 +1384 -48 +2435 -4799 +1024 0 +2435 4799 +1384 48 +-1079 -2564 +1388 -5278 +1039 3456 +-644 -1520 +-1004 -2050 +-2648 2896 +2647 -1842 +4230 -3352 +696 -2361 +-280 2278 +-1290 -3826 +3811 609 +2355 4029 +1024 -2048 +4776 -136 +-1438 129 +-928 243 +-4064 -1818 +-6756 2328 +2386 2481 +-4141 906 +-5544 2896 +44 19 +-1876 216 +4342 -103 +2956 -1182 +-1816 -951 +340 3663 +-1317 5746 +-3072 0 +-1317 -5746 +340 -3663 +-1816 951 +2956 1182 +4342 103 +-1876 -216 +44 -19 +-5544 -2896 +-4141 -906 +2386 -2481 +-6756 -2328 +-4064 1818 +-928 -243 +-1438 -129 +4776 136 +1024 2048 +2355 -4029 +3811 -609 +-1290 3826 +-280 -2278 +696 2361 +4230 3352 +2647 1842 +-2648 -2896 +-1004 2050 +-644 1520 +1039 -3456 +1388 5278 +-1079 2564 +1384 -48 +2435 -4799 +6144 -2048 +-94 2079 +2052 3131 +1658 4067 +-2532 2630 +3829 -3184 +3174 -4770 +-3746 -915 +-5968 0 +-2735 -534 +-727 -364 +-2065 -679 +-2192 3726 +-4003 -1391 +1133 -5691 +1837 -956 +-4096 0 +1837 956 +1133 5691 +-4003 1391 +-2192 -3726 +-2065 679 +-727 364 +-2735 534 +-5968 0 +-3746 915 +3174 4770 +3829 3184 +-2532 -2630 +1658 -4067 +2052 -3131 +-94 -2079 +6144 2048 +2676 -4058 +-788 2073 +474 2320 +-964 -3830 +-1277 5122 +766 -2001 +4616 -1497 +-176 0 +-3487 339 +882 1785 +1730 -2735 +1592 3267 +-345 2427 +1698 2704 +933 1193 +-4096 0 +933 -1193 +1698 -2704 +-345 -2427 +1592 -3267 +1730 2735 +882 -1785 +-3487 -339 +-176 0 +4616 1497 +766 2001 +-1277 -5122 +-964 3830 +474 -2320 +-788 -2073 +2676 4058 +6144 -2048 +-94 2079 +2052 3131 +1658 4067 +-2532 2630 +3829 -3184 +3174 -4770 +-3746 -915 +-5968 0 +-2735 -534 +-727 -364 +-2065 -679 +-2192 3726 +-4003 -1391 +1133 -5691 +1837 -956 +0 0 +-1611 3173 +2043 1253 +-4107 400 +-614 -1180 +3920 6687 +-6304 205 +-3541 -1839 +3072 -848 +685 -1074 +2859 1128 +1876 821 +-1278 3856 +1097 -2510 +1590 -2659 +145 -1363 +0 0 +145 1363 +1590 2659 +1097 2510 +-1278 -3856 +1876 -821 +2859 -1128 +685 1074 +3072 848 +-3541 1839 +-6304 -205 +3920 -6687 +-614 1180 +-4107 -400 +2043 -1253 +-1611 -3173 +0 0 +1040 3314 +-4076 -3329 +423 570 +2062 -4964 +-2023 -7381 +-4436 -4810 +-2237 180 +3072 4944 +629 -1473 +889 1260 +2908 2948 +-170 2288 +1700 2152 +-757 -617 +-903 1170 +4096 0 +-903 -1170 +-757 617 +1700 -2152 +-170 -2288 +2908 -2948 +889 -1260 +629 1473 +3072 -4944 +-2237 -180 +-4436 4810 +-2023 7381 +2062 4964 +423 -570 +-4076 3329 +1040 -3314 +0 0 +-1611 3173 +2043 1253 +-4107 400 +-614 -1180 +3920 6687 +-6304 205 +-3541 -1839 +3072 -848 +685 -1074 +2859 1128 +1876 821 +-1278 3856 +1097 -2510 +1590 -2659 +145 -1363 +-4096 -3072 +-231 1626 +1226 -609 +3672 -2958 +-2330 3118 +-3980 709 +2844 136 +-330 2978 +724 -4045 +-2974 1592 +-2739 4969 +-3433 1800 +-4996 2564 +1383 630 +234 3734 +443 1524 +3072 0 +443 -1524 +234 -3734 +1383 -630 +-4996 -2564 +-3433 -1800 +-2739 -4969 +-2974 -1592 +724 4045 +-330 -2978 +2844 -136 +-3980 -709 +-2330 -3118 +3672 2958 +1226 609 +-231 -1626 +-4096 3072 +-537 1037 +1931 -3331 +6274 1345 +1130 1226 +-2026 1571 +1880 2696 +-3029 1217 +-724 6093 +-997 -293 +2111 -6234 +1632 -2416 +-1996 1780 +2270 652 +705 -3578 +1863 4035 +5120 0 +1863 -4035 +705 3578 +2270 -652 +-1996 -1780 +1632 2416 +2111 6234 +-997 293 +-724 -6093 +-3029 -1217 +1880 -2696 +-2026 -1571 +1130 -1226 +6274 -1345 +1931 3331 +-537 -1037 +-4096 -3072 +-231 1626 +1226 -609 +3672 -2958 +-2330 3118 +-3980 709 +2844 136 +-330 2978 +724 -4045 +-2974 1592 +-2739 4969 +-3433 1800 +-4996 2564 +1383 630 +234 3734 +443 1524 +-2048 0 +-755 406 +3480 335 +2241 3096 +4488 -4170 +4319 -3687 +1254 -251 +3377 -2052 +424 3496 +1968 3428 +0 -4917 +-3948 2642 +-5428 4494 +20 -4824 +3002 1389 +43 4175 +2048 0 +43 -4175 +3002 -1389 +20 4824 +-5428 -4494 +-3948 -2642 +0 4917 +1968 -3428 +424 -3496 +3377 2052 +1254 251 +4319 3687 +4488 4170 +2241 -3096 +3480 -335 +-755 -406 +-2048 0 +-1216 2961 +2248 -3010 +-168 -1003 +704 74 +-578 1219 +-3995 -857 +-1172 -5622 +-2472 600 +1179 42 +1044 3809 +-2010 2900 +-3861 -398 +124 3342 +1159 -4065 +-3424 -1249 +-2048 0 +-3424 1249 +1159 4065 +124 -3342 +-3861 398 +-2010 -2900 +1044 -3809 +1179 -42 +-2472 -600 +-1172 5622 +-3995 857 +-578 -1219 +704 -74 +-168 1003 +2248 3010 +-1216 -2961 +-2048 0 +-755 406 +3480 335 +2241 3096 +4488 -4170 +4319 -3687 +1254 -251 +3377 -2052 +424 3496 +1968 3428 +0 -4917 +-3948 2642 +-5428 4494 +20 -4824 +3002 1389 +43 4175 +2048 -5120 +2271 -5004 +-2492 -2652 +-1383 -1529 +-148 -4312 +-125 -648 +-1269 198 +976 -1111 +7292 2772 +-724 -2701 +-3137 2145 +-3241 2117 +66 -926 +4746 3726 +-410 -1886 +-3642 3310 +-5120 0 +-3642 -3310 +-410 1886 +4746 -3726 +66 926 +-3241 -2117 +-3137 -2145 +-724 2701 +7292 -2772 +976 1111 +-1269 -198 +-125 648 +-148 4312 +-1383 1529 +-2492 2652 +2271 5004 +2048 5120 +3167 -1539 +3156 95 +723 2988 +-2500 -3528 +2581 -2421 +1712 1030 +-2774 1637 +2948 1324 +-1262 698 +-203 1979 +-782 2694 +-5610 -2818 +-2519 -2579 +2642 2225 +1988 -4190 +-3072 0 +1988 4190 +2642 -2225 +-2519 2579 +-5610 2818 +-782 -2694 +-203 -1979 +-1262 -698 +2948 -1324 +-2774 -1637 +1712 -1030 +2581 2421 +-2500 3528 +723 -2988 +3156 -95 +3167 1539 +2048 -5120 +2271 -5004 +-2492 -2652 +-1383 -1529 +-148 -4312 +-125 -648 +-1269 198 +976 -1111 +7292 2772 +-724 -2701 +-3137 2145 +-3241 2117 +66 -926 +4746 3726 +-410 -1886 +-3642 3310 +2048 0 +-2469 -157 +-1938 -705 +-1640 -2051 +-1773 5883 +1183 1781 +1979 -2087 +-2245 -1853 +-1448 -2472 +2918 2242 +-2412 -357 +-260 3621 +6016 4138 +-2004 3574 +-1036 7468 +3211 1020 +0 0 +3211 -1020 +-1036 -7468 +-2004 -3574 +6016 -4138 +-260 -3621 +-2412 357 +2918 -2242 +-1448 2472 +-2245 1853 +1979 2087 +1183 -1781 +-1773 -5883 +-1640 2051 +-1938 705 +-2469 157 +2048 0 +860 2647 +-3414 -3287 +-1201 -5484 +-1124 2558 +-618 -2379 +238 -172 +-163 -2162 +1448 424 +-510 974 +195 -4302 +-305 -747 +-3120 -3890 +4844 555 +6387 2525 +-1602 508 +-4096 0 +-1602 -508 +6387 -2525 +4844 -555 +-3120 3890 +-305 747 +195 4302 +-510 -974 +1448 -424 +-163 2162 +238 172 +-618 2379 +-1124 -2558 +-1201 5484 +-3414 3287 +860 -2647 +2048 0 +-2469 -157 +-1938 -705 +-1640 -2051 +-1773 5883 +1183 1781 +1979 -2087 +-2245 -1853 +-1448 -2472 +2918 2242 +-2412 -357 +-260 3621 +6016 4138 +-2004 3574 +-1036 7468 +3211 1020 +4096 -2048 +2210 -4730 +-2750 -408 +-2162 -650 +-1124 170 +3957 -2314 +-126 -1684 +-5138 3256 +2048 -3072 +-5939 1601 +-3366 1207 +35 -4551 +-3120 -614 +2835 2455 +796 4524 +-1281 -3386 +0 0 +-1281 3386 +796 -4524 +2835 -2455 +-3120 614 +35 4551 +-3366 -1207 +-5939 -1601 +2048 3072 +-5138 -3256 +-126 1684 +3957 2314 +-1124 -170 +-2162 650 +-2750 408 +2210 4730 +4096 2048 +4534 -570 +702 -2424 +-1014 -139 +-1773 1278 +-1902 -2624 +-1922 1840 +-1097 -176 +2048 -3072 +-1426 -1418 +1318 -5147 +5239 -3283 +6016 2062 +-1196 -349 +-2844 -3260 +2344 983 +0 0 +2344 -983 +-2844 3260 +-1196 349 +6016 -2062 +5239 3283 +1318 5147 +-1426 1418 +2048 3072 +-1097 176 +-1922 -1840 +-1902 2624 +-1773 -1278 +-1014 139 +702 2424 +4534 570 +4096 -2048 +2210 -4730 +-2750 -408 +-2162 -650 +-1124 170 +3957 -2314 +-126 -1684 +-5138 3256 +2048 -3072 +-5939 1601 +-3366 1207 +35 -4551 +-3120 -614 +2835 2455 +796 4524 +-1281 -3386 +-1024 2048 +258 450 +3312 -2917 +-1728 -2180 +-1448 3086 +-3736 -180 +-6665 -6732 +1817 61 +1024 -1024 +-1518 -3700 +5752 -502 +-168 469 +1448 -854 +-3017 -1041 +-6791 1377 +686 -3303 +-1024 0 +686 3303 +-6791 -1377 +-3017 1041 +1448 854 +-168 -469 +5752 502 +-1518 3700 +1024 1024 +1817 -61 +-6665 6732 +-3736 180 +-1448 -3086 +-1728 2180 +3312 2917 +258 -450 +-1024 -2048 +5269 721 +-517 -4887 +-1256 -5001 +-1448 410 +-1248 1779 +3221 -613 +2899 -6439 +1024 -1024 +-1190 2986 +589 1846 +-2209 819 +1448 254 +1776 1741 +1100 -492 +3364 1945 +-1024 0 +3364 -1945 +1100 492 +1776 -1741 +1448 -254 +-2209 -819 +589 -1846 +-1190 -2986 +1024 1024 +2899 6439 +3221 613 +-1248 -1779 +-1448 -410 +-1256 5001 +-517 4887 +5269 -721 +-1024 2048 +258 450 +3312 -2917 +-1728 -2180 +-1448 3086 +-3736 -180 +-6665 -6732 +1817 61 +1024 -1024 +-1518 -3700 +5752 -502 +-168 469 +1448 -854 +-3017 -1041 +-6791 1377 +686 -3303 +-1024 3072 +1572 -2884 +-561 -3553 +-258 -1172 +248 -4600 +-475 -3823 +-124 2975 +-5233 3398 +124 -2172 +-1296 -1901 +-2257 339 +2213 4436 +2030 -3094 +-40 -5261 +-1526 3771 +66 -6668 +0 0 +66 6668 +-1526 -3771 +-40 5261 +2030 3094 +2213 -4436 +-2257 -339 +-1296 1901 +124 2172 +-5233 -3398 +-124 -2975 +-475 3823 +248 4600 +-258 1172 +-561 3553 +1572 2884 +-1024 -3072 +4860 -2376 +2114 -1051 +3823 2780 +3248 3752 +-326 2024 +5031 300 +487 -1080 +-4220 2172 +-5990 -764 +245 1737 +2133 2323 +-1430 -1851 +-1278 1444 +-2923 -1384 +-258 -1176 +2048 0 +-258 1176 +-2923 1384 +-1278 -1444 +-1430 1851 +2133 -2323 +245 -1737 +-5990 764 +-4220 -2172 +487 1080 +5031 -300 +-326 -2024 +3248 -3752 +3823 -2780 +2114 1051 +4860 2376 +-1024 3072 +1572 -2884 +-561 -3553 +-258 -1172 +248 -4600 +-475 -3823 +-124 2975 +-5233 3398 +124 -2172 +-1296 -1901 +-2257 339 +2213 4436 +2030 -3094 +-40 -5261 +-1526 3771 +66 -6668 +-7168 -3072 +-3322 2325 +-2130 1984 +-1612 -4406 +-1885 273 +2317 -2809 +1766 734 +145 -1776 +-724 -1572 +-4109 938 +-573 -7855 +2887 1014 +3682 4286 +-316 962 +1365 2124 +2772 -1556 +-2048 0 +2772 1556 +1365 -2124 +-316 -962 +3682 -4286 +2887 -1014 +-573 7855 +-4109 -938 +-724 1572 +145 1776 +1766 -734 +2317 2809 +-1885 -273 +-1612 4406 +-2130 -1984 +-3322 -2325 +-7168 3072 +-1808 796 +2286 1081 +-2928 1569 +3333 2624 +3078 -3723 +-502 -1142 +1957 4277 +724 5668 +1328 2243 +3405 -2441 +-33 702 +-5130 -1390 +2400 -1343 +2575 -756 +-2755 -436 +0 0 +-2755 436 +2575 756 +2400 1343 +-5130 1390 +-33 -702 +3405 2441 +1328 -2243 +724 -5668 +1957 -4277 +-502 1142 +3078 3723 +3333 -2624 +-2928 -1569 +2286 -1081 +-1808 -796 +-7168 -3072 +-3322 2325 +-2130 1984 +-1612 -4406 +-1885 273 +2317 -2809 +1766 734 +145 -1776 +-724 -1572 +-4109 938 +-573 -7855 +2887 1014 +3682 4286 +-316 962 +1365 2124 +2772 -1556 +-3072 -4096 +243 247 +3001 -2771 +-3178 -2015 +-1508 -1374 +-119 -192 +-4192 1463 +3065 -61 +4344 1448 +1578 2641 +48 1735 +-5368 -551 +-1168 -1846 +-1321 -1119 +-4671 1047 +1414 -2209 +5120 0 +1414 2209 +-4671 -1047 +-1321 1119 +-1168 1846 +-5368 551 +48 -1735 +1578 -2641 +4344 -1448 +3065 61 +-4192 -1463 +-119 192 +-1508 1374 +-3178 2015 +3001 2771 +243 -247 +-3072 4096 +-658 -1112 +-650 -1234 +-2160 -2177 +60 -5618 +-203 4545 +-1484 -1683 +-1439 -4468 +-4344 -1448 +2589 4494 +5628 3837 +-102 -2327 +2616 3046 +866 -2112 +2320 741 +4794 4816 +1024 0 +4794 -4816 +2320 -741 +866 2112 +2616 -3046 +-102 2327 +5628 -3837 +2589 -4494 +-4344 1448 +-1439 4468 +-1484 1683 +-203 -4545 +60 5618 +-2160 2177 +-650 1234 +-658 1112 +-3072 -4096 +243 247 +3001 -2771 +-3178 -2015 +-1508 -1374 +-119 -192 +-4192 1463 +3065 -61 +4344 1448 +1578 2641 +48 1735 +-5368 -551 +-1168 -1846 +-1321 -1119 +-4671 1047 +1414 -2209 +1024 1024 +871 1310 +-3247 -1959 +2215 -7911 +-1546 -174 +15 -7 +-2350 402 +-5471 1441 +2348 -2348 +-2065 1101 +492 -2301 +-1443 -2327 +-3104 1631 +-1786 -157 +544 544 +3105 -2781 +-2048 0 +3105 2781 +544 -544 +-1786 157 +-3104 -1631 +-1443 2327 +492 2301 +-2065 -1101 +2348 2348 +-5471 -1441 +-2350 -402 +15 7 +-1546 174 +2215 7911 +-3247 1959 +871 -1310 +1024 -1024 +-2558 -3591 +-877 5299 +1848 2527 +346 -7418 +2113 -3078 +4907 262 +-698 -4179 +3796 -3796 +4450 1824 +-152 69 +-2253 -1069 +-3888 3064 +-709 2654 +684 -100 +2366 -2030 +4096 0 +2366 2030 +684 100 +-709 -2654 +-3888 -3064 +-2253 1069 +-152 -69 +4450 -1824 +3796 3796 +-698 4179 +4907 -262 +2113 3078 +346 7418 +1848 -2527 +-877 -5299 +-2558 3591 +1024 1024 +871 1310 +-3247 -1959 +2215 -7911 +-1546 -174 +15 -7 +-2350 402 +-5471 1441 +2348 -2348 +-2065 1101 +492 -2301 +-1443 -2327 +-3104 1631 +-1786 -157 +544 544 +3105 -2781 +-1024 -2048 +2545 339 +1345 -704 +-1120 1041 +1748 7490 +-3889 -3313 +-5157 -3334 +-519 -1070 +600 -2896 +-38 -2422 +-2200 2409 +-1214 2551 +300 874 +875 3586 +1423 -2321 +2033 6408 +3072 0 +2033 -6408 +1423 2321 +875 -3586 +300 -874 +-1214 -2551 +-2200 -2409 +-38 2422 +600 2896 +-519 1070 +-5157 3334 +-3889 3313 +1748 -7490 +-1120 -1041 +1345 704 +2545 -339 +-1024 2048 +1050 415 +168 3572 +1504 213 +1748 3247 +1097 3524 +657 -422 +-2510 592 +3496 2896 +-717 3512 +-6085 -870 +2439 1443 +300 5767 +309 1453 +1657 -5899 +-1844 -4087 +-1024 0 +-1844 4087 +1657 5899 +309 -1453 +300 -5767 +2439 -1443 +-6085 870 +-717 -3512 +3496 -2896 +-2510 -592 +657 422 +1097 -3524 +1748 -3247 +1504 -213 +168 -3572 +1050 -415 +-1024 -2048 +2545 339 +1345 -704 +-1120 1041 +1748 7490 +-3889 -3313 +-5157 -3334 +-519 -1070 +600 -2896 +-38 -2422 +-2200 2409 +-1214 2551 +300 874 +875 3586 +1423 -2321 +2033 6408 +-2048 -2048 +2554 5704 +1154 775 +-2508 2489 +484 398 +5351 -2980 +1354 19 +-2739 3798 +424 -848 +1619 1091 +1000 4975 +284 2613 +144 4170 +-3018 539 +-1209 1141 +923 245 +-2048 0 +923 -245 +-1209 -1141 +-3018 -539 +144 -4170 +284 -2613 +1000 -4975 +1619 -1091 +424 848 +-2739 -3798 +1354 -19 +5351 2980 +484 -398 +-2508 -2489 +1154 -775 +2554 -5704 +-2048 2048 +-52 3644 +110 -4780 +-167 -1232 +-1084 -4494 +-3954 -4198 +2586 -240 +-2417 3077 +-2472 4944 +3536 4008 +-844 597 +-1681 -863 +-3640 -74 +5694 -1940 +4040 647 +-3425 -4258 +2048 0 +-3425 4258 +4040 -647 +5694 1940 +-3640 74 +-1681 863 +-844 -597 +3536 -4008 +-2472 -4944 +-2417 -3077 +2586 240 +-3954 4198 +-1084 4494 +-167 1232 +110 4780 +-52 -3644 +-2048 -2048 +2554 5704 +1154 775 +-2508 2489 +484 398 +5351 -2980 +1354 19 +-2739 3798 +424 -848 +1619 1091 +1000 4975 +284 2613 +144 4170 +-3018 539 +-1209 1141 +923 245 +0 -2048 +3196 5315 +2381 -568 +292 -5651 +1618 -2408 +-3211 -296 +132 -1988 +-945 -2583 +-1024 -6392 +1960 804 +-3482 3556 +-1073 302 +-834 6412 +1540 -2365 +-301 -3216 +-2044 4445 +4096 0 +-2044 -4445 +-301 3216 +1540 2365 +-834 -6412 +-1073 -302 +-3482 -3556 +1960 -804 +-1024 6392 +-945 2583 +132 1988 +-3211 296 +1618 2408 +292 5651 +2381 568 +3196 -5315 +0 2048 +-1971 2642 +-3505 -568 +3303 -587 +2726 -840 +2457 3836 +5885 -1988 +2129 1628 +-1024 2296 +-1576 -1447 +362 3556 +-1957 709 +-3510 2628 +-1351 1791 +-1472 -3216 +-748 -4368 +0 0 +-748 4368 +-1472 3216 +-1351 -1791 +-3510 -2628 +-1957 -709 +362 -3556 +-1576 1447 +-1024 -2296 +2129 -1628 +5885 1988 +2457 -3836 +2726 840 +3303 587 +-3505 568 +-1971 -2642 +0 -2048 +3196 5315 +2381 -568 +292 -5651 +1618 -2408 +-3211 -296 +132 -1988 +-945 -2583 +-1024 -6392 +1960 804 +-3482 3556 +-1073 302 +-834 6412 +1540 -2365 +-301 -3216 +-2044 4445 +4096 1024 +-5609 286 +-2259 -4389 +-1072 201 +-148 3972 +990 -696 +411 781 +4518 -1517 +3196 -2772 +2864 3177 +-3508 -112 +-1293 -1007 +66 2154 +1458 -1351 +5327 -1215 +1147 1195 +1024 0 +1147 -1195 +5327 1215 +1458 1351 +66 -2154 +-1293 1007 +-3508 112 +2864 -3177 +3196 2772 +4518 1517 +411 -781 +990 696 +-148 -3972 +-1072 -201 +-2259 4389 +-5609 -286 +4096 -1024 +978 -131 +927 -843 +-1724 -1466 +-2500 972 +3781 3528 +-7106 -662 +-3426 -2423 +-1148 -1324 +-5524 763 +1515 3128 +305 -1825 +-5610 -1306 +-2446 2615 +4694 -1121 +5051 -1350 +3072 0 +5051 1350 +4694 1121 +-2446 -2615 +-5610 1306 +305 1825 +1515 -3128 +-5524 -763 +-1148 1324 +-3426 2423 +-7106 662 +3781 -3528 +-2500 -972 +-1724 1466 +927 843 +978 131 +4096 1024 +-5609 286 +-2259 -4389 +-1072 201 +-148 3972 +990 -696 +411 781 +4518 -1517 +3196 -2772 +2864 3177 +-3508 -112 +-1293 -1007 +66 2154 +1458 -1351 +5327 -1215 +1147 1195 +0 -2048 +-4200 1614 +363 -887 +628 242 +-1892 614 +475 -5283 +-7931 1421 +-3505 4038 +1448 -1024 +2985 201 +1378 -51 +-3570 62 +784 -1278 +168 -813 +-1468 2287 +1217 -1477 +2048 0 +1217 1477 +-1468 -2287 +168 813 +784 1278 +-3570 -62 +1378 51 +2985 -201 +1448 1024 +-3505 -4038 +-7931 -1421 +475 5283 +-1892 -614 +628 -242 +363 887 +-4200 -1614 +0 2048 +-1193 -1886 +-1012 -2425 +-5036 1941 +1892 -2062 +1658 530 +-1205 4567 +4881 2193 +-1448 -1024 +3208 -5634 +7757 -2153 +4573 2416 +-784 -170 +1105 2035 +2117 2593 +-3392 -2268 +-2048 0 +-3392 2268 +2117 -2593 +1105 -2035 +-784 170 +4573 -2416 +7757 2153 +3208 5634 +-1448 1024 +4881 -2193 +-1205 -4567 +1658 -530 +1892 2062 +-5036 -1941 +-1012 2425 +-1193 1886 +0 -2048 +-4200 1614 +363 -887 +628 242 +-1892 614 +475 -5283 +-7931 1421 +-3505 4038 +1448 -1024 +2985 201 +1378 -51 +-3570 62 +784 -1278 +168 -813 +-1468 2287 +1217 -1477 +-3072 -2048 +1542 -1578 +4892 -3774 +235 1643 +-1988 -110 +3121 -2022 +3597 -2414 +-3157 -3233 +1448 -2896 +2594 -3251 +-6055 -478 +-1884 -2519 +568 -2002 +-1484 1828 +2893 3395 +1146 1673 +-3072 0 +1146 -1673 +2893 -3395 +-1484 -1828 +568 2002 +-1884 2519 +-6055 478 +2594 3251 +1448 2896 +-3157 3233 +3597 2414 +3121 2022 +-1988 110 +235 -1643 +4892 3774 +1542 1578 +-3072 2048 +-1452 325 +1486 -62 +2113 -1153 +-3556 -2786 +-964 2434 +2798 -1110 +-2669 2702 +-1448 2896 +-5015 2040 +-2037 -1349 +4840 -5317 +-3216 -894 +-185 -3794 +618 2657 +1219 2187 +9216 0 +1219 -2187 +618 -2657 +-185 3794 +-3216 894 +4840 5317 +-2037 1349 +-5015 -2040 +-1448 -2896 +-2669 -2702 +2798 1110 +-964 -2434 +-3556 2786 +2113 1153 +1486 62 +-1452 -325 +-3072 -2048 +1542 -1578 +4892 -3774 +235 1643 +-1988 -110 +3121 -2022 +3597 -2414 +-3157 -3233 +1448 -2896 +2594 -3251 +-6055 -478 +-1884 -2519 +568 -2002 +-1484 1828 +2893 3395 +1146 1673 +3072 5120 +-1096 -1335 +488 -2631 +1706 -2763 +-7118 4078 +-1991 4227 +1701 -2581 +2678 -3820 +6692 -300 +1278 246 +-242 -2476 +1573 -1260 +-1316 -5296 +-1501 -3983 +1077 -700 +2197 -3193 +2048 0 +2197 3193 +1077 700 +-1501 3983 +-1316 5296 +1573 1260 +-242 2476 +1278 -246 +6692 300 +2678 3820 +1701 2581 +-1991 -4227 +-7118 -4078 +1706 2763 +488 2631 +-1096 1335 +3072 -5120 +-1760 -1749 +960 -489 +1086 -2047 +126 618 +2087 -1489 +-3149 3704 +-9 -1564 +-548 -1748 +-5514 2251 +-1206 703 +2116 -1666 +117 -2296 +-5075 1702 +371 -5316 +2226 -203 +-4096 0 +2226 203 +371 5316 +-5075 -1702 +117 2296 +2116 1666 +-1206 -703 +-5514 -2251 +-548 1748 +-9 1564 +-3149 -3704 +2087 1489 +126 -618 +1086 2047 +960 489 +-1760 1749 +3072 5120 +-1096 -1335 +488 -2631 +1706 -2763 +-7118 4078 +-1991 4227 +1701 -2581 +2678 -3820 +6692 -300 +1278 246 +-242 -2476 +1573 -1260 +-1316 -5296 +-1501 -3983 +1077 -700 +2197 -3193 +4096 4096 +-15 1968 +859 707 +-2487 693 +-2642 325 +-2448 -1438 +-848 3277 +65 745 +-2472 4096 +2953 3306 +-944 -3676 +-3287 4654 +-190 4568 +-4185 -2594 +2330 -1844 +6244 5707 +2048 0 +6244 -5707 +2330 1844 +-4185 2594 +-190 -4568 +-3287 -4654 +-944 3676 +2953 -3306 +-2472 -4096 +65 -745 +-848 -3277 +-2448 1438 +-2642 -325 +-2487 -693 +859 -707 +-15 -1968 +4096 -4096 +899 -2270 +1033 142 +3476 -479 +-3750 -325 +2015 -2734 +1632 1668 +151 1629 +424 4096 +-5624 3219 +161 -1268 +3041 383 +2486 -4568 +-1918 -1968 +-4222 996 +1121 542 +2048 0 +1121 -542 +-4222 -996 +-1918 1968 +2486 4568 +3041 -383 +161 1268 +-5624 -3219 +424 -4096 +151 -1629 +1632 -1668 +2015 2734 +-3750 325 +3476 479 +1033 -142 +899 2270 +4096 4096 +-15 1968 +859 707 +-2487 693 +-2642 325 +-2448 -1438 +-848 3277 +65 745 +-2472 4096 +2953 3306 +-944 -3676 +-3287 4654 +-190 4568 +-4185 -2594 +2330 -1844 +6244 5707 +-5120 -4096 +4667 -639 +456 1997 +-5307 18 +-2616 2786 +-2695 -189 +-3028 2319 +1648 7647 +0 600 +-3123 -1451 +2880 -1703 +-2930 -1624 +1508 894 +-238 -517 +-3186 627 +1943 856 +-3072 0 +1943 -856 +-3186 -627 +-238 517 +1508 -894 +-2930 1624 +2880 1703 +-3123 1451 +0 -600 +1648 -7647 +-3028 -2319 +-2695 189 +-2616 -2786 +-5307 -18 +456 -1997 +4667 639 +-5120 4096 +1334 2000 +3484 -1149 +-129 -4940 +1168 110 +1451 4787 +5860 2625 +2539 1492 +0 3496 +-3519 2342 +-1616 -3241 +3494 1109 +-60 2002 +561 -3726 +3341 -1475 +305 -1951 +-3072 0 +305 1951 +3341 1475 +561 3726 +-60 -2002 +3494 -1109 +-1616 3241 +-3519 -2342 +0 -3496 +2539 -1492 +5860 -2625 +1451 -4787 +1168 -110 +-129 4940 +3484 1149 +1334 -2000 +-5120 -4096 +4667 -639 +456 1997 +-5307 18 +-2616 2786 +-2695 -189 +-3028 2319 +1648 7647 +0 600 +-3123 -1451 +2880 -1703 +-2930 -1624 +1508 894 +-238 -517 +-3186 627 +1943 856 +2048 2048 +754 1124 +-5686 -700 +1115 6570 +2232 -458 +-228 -3290 +-1239 2632 +1893 1243 +848 3072 +306 714 +3013 -464 +2564 2265 +444 -1553 +1871 -4597 +98 -4628 +-1277 -2425 +2048 0 +-1277 2425 +98 4628 +1871 4597 +444 1553 +2564 -2265 +3013 464 +306 -714 +848 -3072 +1893 -1243 +-1239 -2632 +-228 3290 +2232 458 +1115 -6570 +-5686 700 +754 -1124 +2048 -2048 +-4967 -1290 +4578 -4976 +3140 4290 +664 6002 +-2983 -422 +-1437 -281 +1089 346 +-4944 3072 +2064 4789 +-337 2815 +-1570 -1440 +-3340 -1094 +-3910 217 +1010 -1049 +139 2779 +2048 0 +139 -2779 +1010 1049 +-3910 -217 +-3340 1094 +-1570 1440 +-337 -2815 +2064 -4789 +-4944 -3072 +1089 -346 +-1437 281 +-2983 422 +664 -6002 +3140 -4290 +4578 4976 +-4967 1290 +2048 2048 +754 1124 +-5686 -700 +1115 6570 +2232 -458 +-228 -3290 +-1239 2632 +1893 1243 +848 3072 +306 714 +3013 -464 +2564 2265 +444 -1553 +1871 -4597 +98 -4628 +-1277 -2425 +-3072 -3072 +-93 -2681 +-2013 -2348 +-1510 1270 +-3308 268 +-170 -1122 +-74 51 +-229 4004 +3796 5244 +1973 928 +3160 4112 +-5085 597 +-1186 -5166 +-414 2112 +-4928 4582 +-25 2092 +-2048 0 +-25 -2092 +-4928 -4582 +-414 -2112 +-1186 5166 +-5085 -597 +3160 -4112 +1973 -928 +3796 -5244 +-229 -4004 +-74 -51 +-170 1122 +-3308 -268 +-1510 -1270 +-2013 2348 +-93 2681 +-3072 3072 +-617 -721 +1792 -2921 +2087 2570 +1260 -516 +315 -921 +1862 3669 +2492 3038 +2348 900 +-7133 -878 +845 1304 +7837 -1441 +-862 -3274 +2733 529 +-644 38 +-2161 1498 +4096 0 +-2161 -1498 +-644 -38 +2733 -529 +-862 3274 +7837 1441 +845 -1304 +-7133 878 +2348 -900 +2492 -3038 +1862 -3669 +315 921 +1260 516 +2087 -2570 +1792 2921 +-617 721 +-3072 -3072 +-93 -2681 +-2013 -2348 +-1510 1270 +-3308 268 +-170 -1122 +-74 51 +-229 4004 +3796 5244 +1973 928 +3160 4112 +-5085 597 +-1186 -5166 +-414 2112 +-4928 4582 +-25 2092 +2048 -3072 +6178 141 +-232 84 +2691 534 +2310 582 +1881 -1018 +344 -1834 +-4793 927 +1324 -1148 +-1747 -1847 +-4645 297 +-1401 -1714 +1860 -4696 +1301 -1965 +1053 1199 +37 -4237 +-5120 0 +37 4237 +1053 -1199 +1301 1965 +1860 4696 +-1401 1714 +-4645 -297 +-1747 1847 +1324 1148 +-4793 -927 +344 1834 +1881 1018 +2310 -582 +2691 -534 +-232 -84 +6178 -141 +2048 3072 +-6506 2583 +-1935 -748 +6638 2793 +2634 -2878 +2005 -2513 +-56 2277 +-3278 799 +2772 3196 +-1976 -210 +-2636 3044 +-3612 -249 +-2708 -1696 +2081 6860 +-85 1033 +499 3177 +1024 0 +499 -3177 +-85 -1033 +2081 -6860 +-2708 1696 +-3612 249 +-2636 -3044 +-1976 210 +2772 -3196 +-3278 -799 +-56 -2277 +2005 2513 +2634 2878 +6638 -2793 +-1935 748 +-6506 -2583 +2048 -3072 +6178 141 +-232 84 +2691 534 +2310 582 +1881 -1018 +344 -1834 +-4793 927 +1324 -1148 +-1747 -1847 +-4645 297 +-1401 -1714 +1860 -4696 +1301 -1965 +1053 1199 +37 -4237 +-1024 5120 +-4051 -1179 +3154 4254 +1810 4551 +-4286 2250 +1025 2987 +1838 1758 +761 487 +-900 -2348 +305 -722 +-2042 1219 +-1516 752 +2624 756 +800 -1220 +1763 503 +-444 2892 +-4096 0 +-444 -2892 +1763 -503 +800 1220 +2624 -756 +-1516 -752 +-2042 -1219 +305 722 +-900 2348 +761 -487 +1838 -1758 +1025 -2987 +-4286 -2250 +1810 -4551 +3154 -4254 +-4051 1179 +-1024 -5120 +2070 -6582 +1451 -1394 +-896 -98 +1390 4142 +-3792 4494 +1438 4739 +2885 -769 +-5244 -3796 +2729 3545 +-34 -1715 +2954 -3086 +273 1540 +-6179 1650 +625 3556 +1537 -1756 +2048 0 +1537 1756 +625 -3556 +-6179 -1650 +273 -1540 +2954 3086 +-34 1715 +2729 -3545 +-5244 3796 +2885 769 +1438 -4739 +-3792 -4494 +1390 -4142 +-896 98 +1451 1394 +2070 6582 +-1024 5120 +-4051 -1179 +3154 4254 +1810 4551 +-4286 2250 +1025 2987 +1838 1758 +761 487 +-900 -2348 +305 -722 +-2042 1219 +-1516 752 +2624 756 +800 -1220 +1763 503 +-444 2892 +-1024 2048 +2326 -2375 +1221 5554 +-3932 2473 +-784 150 +7 -4030 +2270 -6749 +4390 -2487 +-4520 -1872 +-4240 1711 +4373 1724 +972 2565 +-1892 2523 +1172 -657 +-316 -707 +-757 959 +1024 0 +-757 -959 +-316 707 +1172 657 +-1892 -2523 +972 -2565 +4373 -1724 +-4240 -1711 +-4520 1872 +4390 2487 +2270 6749 +7 4030 +-784 -150 +-3932 -2473 +1221 -5554 +2326 2375 +-1024 -2048 +2181 763 +-622 942 +-3426 -2888 +784 5043 +1236 1284 +1226 2690 +-319 -412 +-1624 3920 +1498 5205 +-876 -4583 +-1327 3586 +1892 6766 +-495 3347 +916 211 +713 1452 +-3072 0 +713 -1452 +916 -211 +-495 -3347 +1892 -6766 +-1327 -3586 +-876 4583 +1498 -5205 +-1624 -3920 +-319 412 +1226 -2690 +1236 -1284 +784 -5043 +-3426 2888 +-622 -942 +2181 -763 +-1024 2048 +2326 -2375 +1221 5554 +-3932 2473 +-784 150 +7 -4030 +2270 -6749 +4390 -2487 +-4520 -1872 +-4240 1711 +4373 1724 +972 2565 +-1892 2523 +1172 -657 +-316 -707 +-757 959 +-2048 0 +2677 -1929 +821 599 +-1187 -5270 +614 -4364 +-539 1496 +1049 -4993 +3450 -4072 +-1024 0 +-1751 82 +-83 -1836 +-4799 -1265 +1278 -1208 +-2073 -3153 +2097 -268 +5472 -2913 +-6144 0 +5472 2913 +2097 268 +-2073 3153 +1278 1208 +-4799 1265 +-83 1836 +-1751 -82 +-1024 0 +3450 4072 +1049 4993 +-539 -1496 +614 4364 +-1187 5270 +821 -599 +2677 1929 +-2048 0 +-1039 -2116 +562 -2675 +922 -958 +-2062 -580 +315 921 +555 388 +-2756 -5324 +-1024 0 +170 2553 +5471 4223 +559 7228 +170 360 +1009 -5322 +-2281 -3007 +-429 5107 +-2048 0 +-429 -5107 +-2281 3007 +1009 5322 +170 -360 +559 -7228 +5471 -4223 +170 -2553 +-1024 0 +-2756 5324 +555 -388 +315 -921 +-2062 580 +922 958 +562 2675 +-1039 2116 +-2048 0 +2677 -1929 +821 599 +-1187 -5270 +614 -4364 +-539 1496 +1049 -4993 +3450 -4072 +-1024 0 +-1751 82 +-83 -1836 +-4799 -1265 +1278 -1208 +-2073 -3153 +2097 -268 +5472 -2913 +-3072 -3072 +343 1102 +2295 699 +2926 -2297 +-1306 -222 +-1700 -2702 +106 2532 +308 3676 +2348 1748 +-1565 -706 +2542 -1752 +-1146 3792 +-3972 332 +667 2266 +-2671 5544 +-2929 -3087 +-2048 0 +-2929 3087 +-2671 -5544 +667 -2266 +-3972 -332 +-1146 -3792 +2542 1752 +-1565 706 +2348 -1748 +308 -3676 +106 -2532 +-1700 2702 +-1306 222 +2926 2297 +2295 -699 +343 -1102 +-3072 3072 +-2046 -2525 +-2295 -1075 +1865 -251 +2154 1670 +-525 -1279 +-106 -3056 +3994 5778 +3796 300 +-7850 951 +-2542 2925 +916 -1221 +-972 1116 +2790 -661 +2671 3968 +3954 6440 +4096 0 +3954 -6440 +2671 -3968 +2790 661 +-972 -1116 +916 1221 +-2542 -2925 +-7850 -951 +3796 -300 +3994 -5778 +-106 3056 +-525 1279 +2154 -1670 +1865 251 +-2295 1075 +-2046 2525 +-3072 -3072 +343 1102 +2295 699 +2926 -2297 +-1306 -222 +-1700 -2702 +106 2532 +308 3676 +2348 1748 +-1565 -706 +2542 -1752 +-1146 3792 +-3972 332 +667 2266 +-2671 5544 +-2929 -3087 +1024 3072 +-6141 1991 +3141 98 +600 -1277 +-366 -2898 +-137 -1344 +-4732 -2508 +1872 471 +1748 -1748 +593 -1548 +-62 1871 +2392 -1628 +751 1441 +-2096 572 +1365 -3194 +516 645 +0 0 +516 -645 +1365 3194 +-2096 -572 +751 -1441 +2392 1628 +-62 -1871 +593 1548 +1748 1748 +1872 -471 +-4732 2508 +-137 1344 +-366 2898 +600 1277 +3141 -98 +-6141 -1991 +1024 -3072 +2995 1987 +-4289 2106 +-294 5694 +5310 4346 +-1401 134 +-7352 -805 +-3974 -2739 +300 -300 +1509 -720 +-3536 3009 +-855 419 +-1600 8 +1790 3845 +7272 -2794 +2631 3333 +2048 0 +2631 -3333 +7272 2794 +1790 -3845 +-1600 -8 +-855 -419 +-3536 -3009 +1509 720 +300 300 +-3974 2739 +-7352 805 +-1401 -134 +5310 -4346 +-294 -5694 +-4289 -2106 +2995 -1987 +1024 3072 +-6141 1991 +3141 98 +600 -1277 +-366 -2898 +-137 -1344 +-4732 -2508 +1872 471 +1748 -1748 +593 -1548 +-62 1871 +2392 -1628 +751 1441 +-2096 572 +1365 -3194 +516 645 +1024 3072 +2453 -1131 +1488 1842 +2669 1138 +2100 -5260 +-1831 1455 +-4492 674 +-365 -1682 +300 1748 +-3476 539 +713 -3532 +-3881 804 +1766 3019 +5222 -3037 +-1283 -2219 +510 -2153 +0 0 +510 2153 +-1283 2219 +5222 3037 +1766 -3019 +-3881 -804 +713 3532 +-3476 -539 +300 -1748 +-365 1682 +-4492 -674 +-1831 -1455 +2100 5260 +2669 -1138 +1488 -1842 +2453 1131 +1024 -3072 +2174 1087 +-521 -1658 +-4054 2231 +-900 4660 +4025 -416 +-2789 4714 +-4696 350 +1748 300 +-361 4809 +-425 1928 +-2335 1564 +5226 477 +5978 1943 +-884 3603 +-2032 2997 +-6144 0 +-2032 -2997 +-884 -3603 +5978 -1943 +5226 -477 +-2335 -1564 +-425 -1928 +-361 -4809 +1748 -300 +-4696 -350 +-2789 -4714 +4025 416 +-900 -4660 +-4054 -2231 +-521 1658 +2174 -1087 +1024 3072 +2453 -1131 +1488 1842 +2669 1138 +2100 -5260 +-1831 1455 +-4492 674 +-365 -1682 +300 1748 +-3476 539 +713 -3532 +-3881 804 +1766 3019 +5222 -3037 +-1283 -2219 +510 -2153 +-3072 3072 +1719 720 +3202 -3622 +-810 3285 +-1042 1610 +-1028 -524 +-2254 1663 +-2682 -5564 +3372 -3620 +-201 3173 +179 2739 +4548 3026 +176 3732 +-338 2768 +792 1616 +2508 1743 +4096 0 +2508 -1743 +792 -1616 +-338 -2768 +176 -3732 +4548 -3026 +179 -2739 +-201 -3173 +3372 3620 +-2682 5564 +-2254 -1663 +-1028 524 +-1042 -1610 +-810 -3285 +3202 3622 +1719 -720 +-3072 -3072 +-181 -1066 +1366 -382 +1710 3209 +-4502 1286 +1737 -2139 +1929 -1883 +-1697 4676 +4820 3620 +-772 -2804 +146 2833 +-3040 624 +-2824 -836 +-2779 1847 +-5360 172 +1305 7359 +2048 0 +1305 -7359 +-5360 -172 +-2779 -1847 +-2824 836 +-3040 -624 +146 -2833 +-772 2804 +4820 -3620 +-1697 -4676 +1929 1883 +1737 2139 +-4502 -1286 +1710 -3209 +1366 382 +-181 1066 +-3072 3072 +1719 720 +3202 -3622 +-810 3285 +-1042 1610 +-1028 -524 +-2254 1663 +-2682 -5564 +3372 -3620 +-201 3173 +179 2739 +4548 3026 +176 3732 +-338 2768 +792 1616 +2508 1743 +-1024 2048 +-276 -5761 +-1285 1368 +598 3963 +-1567 1298 +1308 -3016 +979 -6291 +-2702 409 +-1872 -1872 +-6931 903 +-1862 3181 +-1289 -3802 +-3784 -1075 +-2900 228 +1124 -1463 +5731 -1817 +3072 0 +5731 1817 +1124 1463 +-2900 -228 +-3784 1075 +-1289 3802 +-1862 -3181 +-6931 -903 +-1872 1872 +-2702 -409 +979 6291 +1308 3016 +-1567 -1298 +598 -3963 +-1285 -1368 +-276 5761 +-1024 -2048 +1438 -2596 +2733 4280 +-124 1137 +1567 -3595 +3034 -2227 +-2427 -2712 +409 -1463 +3920 3920 +88 -2269 +414 -1096 +-2403 1088 +3784 -5318 +1776 -792 +325 1816 +2243 1340 +-5120 0 +2243 -1340 +325 -1816 +1776 792 +3784 5318 +-2403 -1088 +414 1096 +88 2269 +3920 -3920 +409 1463 +-2427 2712 +3034 2227 +1567 3595 +-124 -1137 +2733 -4280 +1438 2596 +-1024 2048 +-276 -5761 +-1285 1368 +598 3963 +-1567 1298 +1308 -3016 +979 -6291 +-2702 409 +-1872 -1872 +-6931 903 +-1862 3181 +-1289 -3802 +-3784 -1075 +-2900 228 +1124 -1463 +5731 -1817 +-1024 6144 +-1189 -3599 +450 -1284 +-2925 -552 +-868 -3954 +1106 -691 +942 1601 +2664 3309 +1872 -1024 +6488 2584 +2604 1778 +2743 -2681 +1808 -954 +-2571 -2505 +994 980 +202 34 +-1024 0 +202 -34 +994 -980 +-2571 2505 +1808 954 +2743 2681 +2604 -1778 +6488 -2584 +1872 1024 +2664 -3309 +942 -1601 +1106 691 +-868 3954 +-2925 552 +450 1284 +-1189 3599 +-1024 -6144 +-2756 -463 +-4234 20 +-2931 -2892 +2916 2506 +-422 -199 +-2510 2339 +160 -1612 +-3920 -1024 +1153 7881 +-1036 -1934 +-3189 -8337 +240 -495 +2396 2918 +2790 1851 +-929 2272 +-1024 0 +-929 -2272 +2790 -1851 +2396 -2918 +240 495 +-3189 8337 +-1036 1934 +1153 -7881 +-3920 1024 +160 1612 +-2510 -2339 +-422 199 +2916 -2506 +-2931 2892 +-4234 -20 +-2756 463 +-1024 6144 +-1189 -3599 +450 -1284 +-2925 -552 +-868 -3954 +1106 -691 +942 1601 +2664 3309 +1872 -1024 +6488 2584 +2604 1778 +2743 -2681 +1808 -954 +-2571 -2505 +994 980 +202 34 +-1024 1024 +-367 2652 +-7394 -258 +3019 -3642 +836 -1200 +1023 -2119 +809 -4706 +-3550 -1419 +1748 -2596 +459 -1868 +994 3683 +-3243 -165 +1610 -3478 +608 129 +-846 1961 +4586 2359 +0 0 +4586 -2359 +-846 -1961 +608 -129 +1610 3478 +-3243 165 +994 -3683 +459 1868 +1748 2596 +-3550 1419 +809 4706 +1023 2119 +836 1200 +3019 3642 +-7394 258 +-367 -2652 +-1024 -1024 +-4293 1436 +3270 -2195 +-1130 -6201 +-3732 1800 +673 5438 +1748 -422 +1832 1822 +300 4644 +-2524 2142 +-654 -122 +-21 -2620 +1286 -18 +-929 3701 +2074 4276 +3859 4993 +-2048 0 +3859 -4993 +2074 -4276 +-929 -3701 +1286 18 +-21 2620 +-654 122 +-2524 -2142 +300 -4644 +1832 -1822 +1748 422 +673 -5438 +-3732 -1800 +-1130 6201 +3270 2195 +-4293 -1436 +-1024 1024 +-367 2652 +-7394 -258 +3019 -3642 +836 -1200 +1023 -2119 +809 -4706 +-3550 -1419 +1748 -2596 +459 -1868 +994 3683 +-3243 -165 +1610 -3478 +608 129 +-846 1961 +4586 2359 +-1024 0 +-2595 5098 +1850 511 +-2865 -456 +-4764 2362 +1907 -1254 +3282 -1026 +-136 -704 +600 600 +-375 1106 +919 606 +-500 5371 +-2636 -1578 +-3728 -6513 +-2215 2308 +595 1808 +-1024 0 +595 -1808 +-2215 -2308 +-3728 6513 +-2636 1578 +-500 -5371 +919 -606 +-375 -1106 +600 -600 +-136 704 +3282 1026 +1907 1254 +-4764 -2362 +-2865 456 +1850 -511 +-2595 -5098 +-1024 0 +1676 -815 +-2034 -3068 +1373 -141 +-1629 -314 +1994 2720 +2106 2253 +490 -1172 +3496 3496 +-1547 6595 +685 3518 +384 320 +4932 -470 +1436 -1443 +3599 -1968 +1891 467 +-13312 0 +1891 -467 +3599 1968 +1436 1443 +4932 470 +384 -320 +685 -3518 +-1547 -6595 +3496 -3496 +490 1172 +2106 -2253 +1994 -2720 +-1629 314 +1373 141 +-2034 3068 +1676 815 +-1024 0 +-2595 5098 +1850 511 +-2865 -456 +-4764 2362 +1907 -1254 +3282 -1026 +-136 -704 +600 600 +-375 1106 +919 606 +-500 5371 +-2636 -1578 +-3728 -6513 +-2215 2308 +595 1808 +-4096 1024 +1140 4984 +3518 2153 +1683 -2865 +1006 -4202 +2015 2700 +3544 4048 +-2173 187 +-4644 1572 +-1788 805 +-453 -2627 +-826 -2411 +2224 1076 +73 1295 +-360 -948 +1880 366 +-1024 0 +1880 -366 +-360 948 +73 -1295 +2224 -1076 +-826 2411 +-453 2627 +-1788 -805 +-4644 -1572 +-2173 -187 +3544 -4048 +2015 -2700 +1006 4202 +1683 2865 +3518 -2153 +1140 -4984 +-4096 -1024 +-3702 2263 +-1026 144 +210 4164 +-2454 -742 +4369 -6838 +736 2345 +-900 -984 +2596 -5668 +-1819 -273 +3165 -3765 +-4230 -2615 +-776 -1924 +2497 -6676 +-933 -1348 +1570 2417 +-3072 0 +1570 -2417 +-933 1348 +2497 6676 +-776 1924 +-4230 2615 +3165 3765 +-1819 273 +2596 5668 +-900 984 +736 -2345 +4369 6838 +-2454 742 +210 -4164 +-1026 -144 +-3702 -2263 +-4096 1024 +1140 4984 +3518 2153 +1683 -2865 +1006 -4202 +2015 2700 +3544 4048 +-2173 187 +-4644 1572 +-1788 805 +-453 -2627 +-826 -2411 +2224 1076 +73 1295 +-360 -948 +1880 366 +4096 3072 +-3858 34 +-2021 -431 +926 -1262 +392 -2224 +2240 -1969 +962 2877 +-1977 2939 +-1324 1748 +4277 8916 +-663 -847 +-25 -4899 +946 -2454 +283 319 +3735 8058 +1565 -6012 +1024 0 +1565 6012 +3735 -8058 +283 -319 +946 2454 +-25 4899 +-663 847 +4277 -8916 +-1324 -1748 +-1977 -2939 +962 -2877 +2240 1969 +392 2224 +926 1262 +-2021 431 +-3858 -34 +4096 -3072 +-664 -168 +-3551 -205 +-1623 -1563 +-392 776 +631 1774 +3043 4219 +2050 1965 +-2772 300 +3219 84 +2451 -249 +290 608 +-946 1006 +-2722 952 +-3955 -503 +-4610 1782 +-1024 0 +-4610 -1782 +-3955 503 +-2722 -952 +-946 -1006 +290 -608 +2451 249 +3219 -84 +-2772 -300 +2050 -1965 +3043 -4219 +631 -1774 +-392 -776 +-1623 1563 +-3551 205 +-664 168 +4096 3072 +-3858 34 +-2021 -431 +926 -1262 +392 -2224 +2240 -1969 +962 2877 +-1977 2939 +-1324 1748 +4277 8916 +-663 -847 +-25 -4899 +946 -2454 +283 319 +3735 8058 +1565 -6012 +-3072 -1024 +-5438 -586 +-3331 1804 +3239 1769 +-1500 92 +-3174 -526 +2824 532 +-967 -80 +1748 900 +5687 -4298 +4644 -2992 +314 5179 +1730 802 +1036 -3617 +590 -3638 +1936 -2550 +-2048 0 +1936 2550 +590 3638 +1036 3617 +1730 -802 +314 -5179 +4644 2992 +5687 4298 +1748 -900 +-967 80 +2824 -532 +-3174 526 +-1500 -92 +3239 -1769 +-3331 -1804 +-5438 586 +-3072 1024 +1508 -652 +-2122 -31 +-1041 -2025 +1500 -692 +-256 -3992 +-1155 -3651 +-352 3391 +300 5244 +-2801 5522 +2376 -3025 +-669 1758 +-1730 2694 +550 -4960 +-3826 2515 +427 -4169 +4096 0 +427 4169 +-3826 -2515 +550 4960 +-1730 -2694 +-669 -1758 +2376 3025 +-2801 -5522 +300 -5244 +-352 -3391 +-1155 3651 +-256 3992 +1500 692 +-1041 2025 +-2122 31 +1508 652 +-3072 -1024 +-5438 -586 +-3331 1804 +3239 1769 +-1500 92 +-3174 -526 +2824 532 +-967 -80 +1748 900 +5687 -4298 +4644 -2992 +314 5179 +1730 802 +1036 -3617 +590 -3638 +1936 -2550 +-1024 1024 +-55 744 +-1823 -1852 +-204 -4418 +5226 -5067 +4316 -577 +-5228 -3706 +-1045 -2026 +3196 1748 +-1922 -1362 +-2313 -4456 +-1505 37 +2100 -2612 +-2214 -5699 +-903 5422 +3723 -2273 +0 0 +3723 2273 +-903 -5422 +-2214 5699 +2100 2612 +-1505 -37 +-2313 4456 +-1922 1362 +3196 -1748 +-1045 2026 +-5228 3706 +4316 577 +5226 5067 +-204 4418 +-1823 1852 +-55 -744 +-1024 -1024 +-1347 227 +114 -1320 +631 -2175 +1766 -2525 +328 -1010 +-944 -262 +-4898 2329 +-1148 300 +3402 778 +1492 -712 +3542 2840 +-900 7308 +899 -2223 +1411 -1601 +-3650 -3437 +-2048 0 +-3650 3437 +1411 1601 +899 2223 +-900 -7308 +3542 -2840 +1492 712 +3402 -778 +-1148 -300 +-4898 -2329 +-944 262 +328 1010 +1766 2525 +631 2175 +114 1320 +-1347 -227 +-1024 1024 +-55 744 +-1823 -1852 +-204 -4418 +5226 -5067 +4316 -577 +-5228 -3706 +-1045 -2026 +3196 1748 +-1922 -1362 +-2313 -4456 +-1505 37 +2100 -2612 +-2214 -5699 +-903 5422 +3723 -2273 +-6144 6144 +-426 1664 +1564 300 +-3332 2951 +664 339 +148 2056 +298 -1135 +4620 -3343 +0 -1872 +-2550 -2793 +5785 -5463 +134 -1635 +-3340 6786 +-2667 2167 +-403 -3173 +2352 -345 +-2048 0 +2352 345 +-403 3173 +-2667 -2167 +-3340 -6786 +134 1635 +5785 5463 +-2550 2793 +0 1872 +4620 3343 +298 1135 +148 -2056 +664 -339 +-3332 -2951 +1564 -300 +-426 -1664 +-6144 -6144 +1874 -1236 +-845 -1803 +1884 -1520 +2232 -2986 +-1596 -1511 +863 403 +-3171 -623 +0 3920 +3998 -4070 +2944 -5157 +-1582 -716 +444 -1242 +1219 2161 +-2012 -27 +-904 3670 +-2048 0 +-904 -3670 +-2012 27 +1219 -2161 +444 1242 +-1582 716 +2944 5157 +3998 4070 +0 -3920 +-3171 623 +863 -403 +-1596 1511 +2232 2986 +1884 1520 +-845 1803 +1874 1236 +-6144 6144 +-426 1664 +1564 300 +-3332 2951 +664 339 +148 2056 +298 -1135 +4620 -3343 +0 -1872 +-2550 -2793 +5785 -5463 +134 -1635 +-3340 6786 +-2667 2167 +-403 -3173 +2352 -345 +1024 1024 +-1772 -2412 +-2803 -425 +1569 1273 +-2813 678 +49 -2566 +3664 -3346 +-2450 890 +-1324 2772 +-938 -454 +331 643 +1946 -50 +-5140 -4912 +-1893 898 +4085 329 +-1803 -3345 +-6144 0 +-1803 3345 +4085 -329 +-1893 -898 +-5140 4912 +1946 50 +331 -643 +-938 454 +-1324 -2772 +-2450 -890 +3664 3346 +49 2566 +-2813 -678 +1569 -1273 +-2803 425 +-1772 2412 +1024 -1024 +-1305 1331 +-56 306 +-1036 117 +2214 2570 +5445 1349 +2832 1010 +3619 -3448 +-2772 1324 +-7591 -5888 +165 -5876 +2137 401 +1644 -4128 +3369 2060 +-26 -3344 +655 -1521 +4096 0 +655 1521 +-26 3344 +3369 -2060 +1644 4128 +2137 -401 +165 5876 +-7591 5888 +-2772 -1324 +3619 3448 +2832 -1010 +5445 -1349 +2214 -2570 +-1036 -117 +-56 -306 +-1305 -1331 +1024 1024 +-1772 -2412 +-2803 -425 +1569 1273 +-2813 678 +49 -2566 +3664 -3346 +-2450 890 +-1324 2772 +-938 -454 +331 643 +1946 -50 +-5140 -4912 +-1893 898 +4085 329 +-1803 -3345 +5120 -3072 +-2459 2536 +-547 -2258 +-1496 644 +-4807 1630 +5074 1378 +2674 3372 +-3081 -1671 +-1324 724 +2384 1517 +-22 -2682 +102 -462 +4880 596 +2542 1928 +-1184 1555 +1825 -2268 +6144 0 +1825 2268 +-1184 -1555 +2542 -1928 +4880 -596 +102 462 +-22 2682 +2384 -1517 +-1324 -724 +-3081 1671 +2674 -3372 +5074 -1378 +-4807 -1630 +-1496 -644 +-547 2258 +-2459 -2536 +5120 3072 +2109 4890 +-1685 550 +-3976 954 +-4482 -2479 +-7182 2651 +666 2800 +2875 -3144 +-2772 -724 +4502 -907 +-422 1862 +678 -493 +312 -5540 +-1533 -2914 +519 -2063 +-2363 1135 +0 0 +-2363 -1135 +519 2063 +-1533 2914 +312 5540 +678 493 +-422 -1862 +4502 907 +-2772 724 +2875 3144 +666 -2800 +-7182 -2651 +-4482 2479 +-3976 -954 +-1685 -550 +2109 -4890 +5120 -3072 +-2459 2536 +-547 -2258 +-1496 644 +-4807 1630 +5074 1378 +2674 3372 +-3081 -1671 +-1324 724 +2384 1517 +-22 -2682 +102 -462 +4880 596 +2542 1928 +-1184 1555 +1825 -2268 +2048 1024 +4777 1814 +4149 -2360 +2173 3285 +862 -1586 +-1908 -2253 +-2135 -2333 +-406 161 +-3620 900 +337 -3510 +980 -2917 +-4873 -3061 +3308 2584 +-343 1951 +-3023 -3912 +2029 -5056 +-1024 0 +2029 5056 +-3023 3912 +-343 -1951 +3308 -2584 +-4873 3061 +980 2917 +337 3510 +-3620 -900 +-406 -161 +-2135 2333 +-1908 2253 +862 1586 +2173 -3285 +4149 2360 +4777 -1814 +2048 -1024 +5261 3586 +603 128 +-6128 1151 +1186 -1910 +-540 -169 +-3578 -1007 +366 4241 +3620 5244 +1711 -1223 +-2260 2473 +-39 -10 +-1260 -1984 +73 1835 +-2929 4577 +-2489 1320 +5120 0 +-2489 -1320 +-2929 -4577 +73 -1835 +-1260 1984 +-39 10 +-2260 -2473 +1711 1223 +3620 -5244 +366 -4241 +-3578 1007 +-540 169 +1186 1910 +-6128 -1151 +603 -128 +5261 -3586 +2048 1024 +4777 1814 +4149 -2360 +2173 3285 +862 -1586 +-1908 -2253 +-2135 -2333 +-406 161 +-3620 900 +337 -3510 +980 -2917 +-4873 -3061 +3308 2584 +-343 1951 +-3023 -3912 +2029 -5056 +1024 -2048 +-3420 3493 +-1212 394 +-2954 -4656 +-2146 -3920 +-1495 -2307 +-598 2274 +-1173 2497 +-7841 600 +83 -1298 +637 -28 +2810 4824 +3870 -1872 +664 -1596 +1573 4290 +-2352 -4240 +-3072 0 +-2352 4240 +1573 -4290 +664 1596 +3870 1872 +2810 -4824 +637 28 +83 1298 +-7841 -600 +-1173 -2497 +-598 -2274 +-1495 2307 +-2146 3920 +-2954 4656 +-1212 -394 +-3420 -3493 +1024 2048 +-4031 -222 +-341 -1215 +3453 981 +2746 -3920 +2895 -3911 +-4310 -566 +4364 2407 +3745 3496 +-2386 -999 +1375 537 +254 2870 +-374 -1872 +166 -2152 +2876 1882 +3123 2710 +1024 0 +3123 -2710 +2876 -1882 +166 2152 +-374 1872 +254 -2870 +1375 -537 +-2386 999 +3745 -3496 +4364 -2407 +-4310 566 +2895 3911 +2746 3920 +3453 -981 +-341 1215 +-4031 222 +1024 -2048 +-3420 3493 +-1212 394 +-2954 -4656 +-2146 -3920 +-1495 -2307 +-598 2274 +-1173 2497 +-7841 600 +83 -1298 +637 -28 +2810 4824 +3870 -1872 +664 -1596 +1573 4290 +-2352 -4240 +-2048 -3072 +3436 -4616 +-1361 -4626 +3063 1190 +188 2584 +-3200 -2578 +760 6220 +2274 7713 +2172 300 +3542 -1568 +4118 3129 +-2362 1322 +-586 -1910 +2247 2114 +-586 -1468 +475 4501 +1024 0 +475 -4501 +-586 1468 +2247 -2114 +-586 1910 +-2362 -1322 +4118 -3129 +3542 1568 +2172 -300 +2274 -7713 +760 -6220 +-3200 2578 +188 -2584 +3063 -1190 +-1361 4626 +3436 4616 +-2048 3072 +-6185 430 +-1196 -83 +-640 758 +4756 -1984 +55 -1357 +-1987 3412 +-1077 -1454 +-2172 1748 +5077 2402 +6 -489 +-3390 -274 +-262 -1586 +-1566 2418 +246 -2041 +-1749 -127 +-5120 0 +-1749 127 +246 2041 +-1566 -2418 +-262 1586 +-3390 274 +6 489 +5077 -2402 +-2172 -1748 +-1077 1454 +-1987 -3412 +55 1357 +4756 1984 +-640 -758 +-1196 83 +-6185 -430 +-2048 -3072 +3436 -4616 +-1361 -4626 +3063 1190 +188 2584 +-3200 -2578 +760 6220 +2274 7713 +2172 300 +3542 -1568 +4118 3129 +-2362 1322 +-586 -1910 +2247 2114 +-586 -1468 +475 4501 +6144 -1024 +2868 1111 +282 1420 +-736 2422 +-1950 406 +1604 3679 +-1236 368 +-2587 708 +2772 300 +2665 -3538 +-4419 2872 +-3143 -195 +2504 1272 +-221 3588 +5227 -2303 +3042 -518 +-7168 0 +3042 518 +5227 2303 +-221 -3588 +2504 -1272 +-3143 195 +-4419 -2872 +2665 3538 +2772 -300 +-2587 -708 +-1236 -368 +1604 -3679 +-1950 -406 +-736 -2422 +282 -1420 +2868 -1111 +6144 1024 +-353 2126 +-3218 -156 +-4662 -4464 +-3842 -3054 +-1379 15 +-5276 -4308 +-1049 3017 +1324 1748 +-1484 -985 +1042 -2716 +2239 -1224 +3288 4272 +506 -4951 +-595 -529 +2691 1299 +3072 0 +2691 -1299 +-595 529 +506 4951 +3288 -4272 +2239 1224 +1042 2716 +-1484 985 +1324 -1748 +-1049 -3017 +-5276 4308 +-1379 -15 +-3842 3054 +-4662 4464 +-3218 156 +-353 -2126 +6144 -1024 +2868 1111 +282 1420 +-736 2422 +-1950 406 +1604 3679 +-1236 368 +-2587 708 +2772 300 +2665 -3538 +-4419 2872 +-3143 -195 +2504 1272 +-221 3588 +5227 -2303 +3042 -518 +2048 -3072 +1180 -1046 +-2010 1048 +84 3331 +4392 -216 +2065 -262 +1628 2983 +308 1724 +-3796 -724 +1430 3568 +-2793 1359 +1439 -5593 +4826 -5022 +-781 -1835 +2654 -133 +-2620 -3308 +-9216 0 +-2620 3308 +2654 133 +-781 1835 +4826 5022 +1439 5593 +-2793 -1359 +1430 -3568 +-3796 724 +308 -1724 +1628 -2983 +2065 262 +4392 216 +84 -3331 +-2010 -1048 +1180 1046 +2048 3072 +-2673 1470 +-1 -6281 +-2006 -2922 +-1744 568 +421 1362 +-75 -2864 +-425 -4597 +-2348 724 +2471 -3913 +-1655 1657 +-2358 -1188 +718 -6914 +1136 2556 +2254 -2203 +328 -1932 +1024 0 +328 1932 +2254 2203 +1136 -2556 +718 6914 +-2358 1188 +-1655 -1657 +2471 3913 +-2348 -724 +-425 4597 +-75 2864 +421 -1362 +-1744 -568 +-2006 2922 +-1 6281 +-2673 -1470 +2048 -3072 +1180 -1046 +-2010 1048 +84 3331 +4392 -216 +2065 -262 +1628 2983 +308 1724 +-3796 -724 +1430 3568 +-2793 1359 +1439 -5593 +4826 -5022 +-781 -1835 +2654 -133 +-2620 -3308 +1024 -3072 +2012 -2503 +-3953 -3931 +-3530 1964 +-3104 2465 +4257 -1290 +1792 4019 +-703 2878 +7292 300 +421 3645 +1242 1855 +-805 -672 +346 3322 +3145 762 +962 -3330 +538 2518 +-4096 0 +538 -2518 +962 3330 +3145 -762 +346 -3322 +-805 672 +1242 -1855 +421 -3645 +7292 -300 +-703 -2878 +1792 -4019 +4257 1290 +-3104 -2465 +-3530 -1964 +-3953 3931 +2012 2503 +1024 3072 +1612 -2214 +2505 -518 +-770 7819 +-3888 1032 +-3974 -2156 +-344 -2007 +485 -480 +2948 1748 +-1770 -1558 +206 3053 +4306 -246 +-1546 -3922 +-2629 3357 +-2410 1778 +-2595 645 +-2048 0 +-2595 -645 +-2410 -1778 +-2629 -3357 +-1546 3922 +4306 246 +206 -3053 +-1770 1558 +2948 -1748 +485 480 +-344 2007 +-3974 2156 +-3888 -1032 +-770 -7819 +2505 518 +1612 2214 +1024 -3072 +2012 -2503 +-3953 -3931 +-3530 1964 +-3104 2465 +4257 -1290 +1792 4019 +-703 2878 +7292 300 +421 3645 +1242 1855 +-805 -672 +346 3322 +3145 762 +962 -3330 +538 2518 +-2048 -4096 +-2765 -190 +-347 59 +1792 -3123 +710 2772 +454 2447 +2021 -54 +-1906 -6322 +-3496 -3072 +-2763 3918 +2196 1249 +887 1170 +1494 -1324 +586 -381 +-890 797 +2977 -4618 +2048 0 +2977 4618 +-890 -797 +586 381 +1494 1324 +887 -1170 +2196 -1249 +-2763 -3918 +-3496 3072 +-1906 6322 +2021 54 +454 -2447 +710 -2772 +1792 3123 +-347 -59 +-2765 190 +-2048 4096 +1465 -267 +-6334 4509 +318 1249 +3386 2772 +-5971 7432 +-693 379 +2407 491 +-600 -3072 +46 -3437 +2268 -925 +-723 -739 +2602 -1324 +2655 -2749 +1778 3771 +539 2281 +-6144 0 +539 -2281 +1778 -3771 +2655 2749 +2602 1324 +-723 739 +2268 925 +46 3437 +-600 3072 +2407 -491 +-693 -379 +-5971 -7432 +3386 -2772 +318 -1249 +-6334 -4509 +1465 267 +-2048 -4096 +-2765 -190 +-347 59 +1792 -3123 +710 2772 +454 2447 +2021 -54 +-1906 -6322 +-3496 -3072 +-2763 3918 +2196 1249 +887 1170 +1494 -1324 +586 -381 +-890 797 +2977 -4618 +-3072 1024 +491 3431 +-2710 940 +2661 -853 +3972 3164 +-3238 -2080 +-506 414 +2355 3156 +3796 -900 +-76 361 +-2092 3320 +-6219 850 +-2154 -2270 +1852 -169 +-4253 823 +-1483 1263 +2048 0 +-1483 -1263 +-4253 -823 +1852 169 +-2154 2270 +-6219 -850 +-2092 -3320 +-76 -361 +3796 900 +2355 -3156 +-506 -414 +-3238 2080 +3972 -3164 +2661 853 +-2710 -940 +491 -3431 +-3072 -1024 +2949 -3197 +662 1411 +-4491 691 +972 2380 +74 6500 +-1542 5263 +2274 2094 +2348 -5244 +-976 -463 +44 2356 +-1762 1354 +1306 -378 +-464 -2210 +2205 1528 +6052 -6380 +0 0 +6052 6380 +2205 -1528 +-464 2210 +1306 378 +-1762 -1354 +44 -2356 +-976 463 +2348 5244 +2274 -2094 +-1542 -5263 +74 -6500 +972 -2380 +-4491 -691 +662 -1411 +2949 3197 +-3072 1024 +491 3431 +-2710 940 +2661 -853 +3972 3164 +-3238 -2080 +-506 414 +2355 3156 +3796 -900 +-76 361 +-2092 3320 +-6219 850 +-2154 -2270 +1852 -169 +-4253 823 +-1483 1263 +1024 -6144 +-277 -1378 +2542 4430 +-1708 -2693 +-424 1618 +812 2451 +-837 3014 +596 -2187 +1272 1024 +4704 2999 +1975 -1879 +-1354 1410 +2472 834 +-540 2705 +-533 -652 +1438 -567 +-1024 0 +1438 567 +-533 652 +-540 -2705 +2472 -834 +-1354 -1410 +1975 1879 +4704 -2999 +1272 -1024 +596 2187 +-837 -3014 +812 -2451 +-424 -1618 +-1708 2693 +2542 -4430 +-277 1378 +1024 6144 +4228 -3445 +134 -1690 +1632 -1079 +-424 2726 +-2257 102 +-272 1146 +-8376 3022 +-7416 1024 +621 4387 +-866 -3849 +2120 -3633 +2472 3510 +-4496 2731 +-2142 1696 +2859 -103 +3072 0 +2859 103 +-2142 -1696 +-4496 -2731 +2472 -3510 +2120 3633 +-866 3849 +621 -4387 +-7416 -1024 +-8376 -3022 +-272 -1146 +-2257 -102 +-424 -2726 +1632 1079 +134 1690 +4228 3445 +1024 -6144 +-277 -1378 +2542 4430 +-1708 -2693 +-424 1618 +812 2451 +-837 3014 +596 -2187 +1272 1024 +4704 2999 +1975 -1879 +-1354 1410 +2472 834 +-540 2705 +-533 -652 +1438 -567 +0 -2048 +1438 3354 +-1072 2253 +-3131 2581 +-954 3920 +-406 1934 +-799 5532 +-1773 1292 +5968 -5544 +1207 -590 +-5172 -844 +-3933 1505 +-2506 1872 +2167 -5192 +-2514 -2562 +-1982 -473 +4096 0 +-1982 473 +-2514 2562 +2167 5192 +-2506 -1872 +-3933 -1505 +-5172 844 +1207 590 +5968 5544 +-1773 -1292 +-799 -5532 +-406 -1934 +-954 -3920 +-3131 -2581 +-1072 -2253 +1438 -3354 +0 2048 +2302 5071 +-532 -1285 +1329 -1373 +-495 3920 +-123 -217 +983 1749 +-680 3437 +176 -2648 +358 -921 +3788 1132 +-2 2459 +3954 1872 +-1694 2854 +-2874 4729 +4922 -3134 +0 0 +4922 3134 +-2874 -4729 +-1694 -2854 +3954 -1872 +-2 -2459 +3788 -1132 +358 921 +176 2648 +-680 -3437 +983 -1749 +-123 217 +-495 -3920 +1329 1373 +-532 1285 +2302 -5071 +0 -2048 +1438 3354 +-1072 2253 +-3131 2581 +-954 3920 +-406 1934 +-799 5532 +-1773 1292 +5968 -5544 +1207 -590 +-5172 -844 +-3933 1505 +-2506 1872 +2167 -5192 +-2514 -2562 +-1982 -473 +6144 -6144 +933 -3739 +-126 -3797 +3822 1193 +1482 -1892 +1316 716 +-849 3738 +-3225 577 +-3920 -5544 +-416 -5068 +1936 5325 +-2418 1317 +-529 -784 +99 1983 +-2099 277 +568 1597 +2048 0 +568 -1597 +-2099 -277 +99 -1983 +-529 784 +-2418 -1317 +1936 -5325 +-416 5068 +-3920 5544 +-3225 -577 +-849 -3738 +1316 -716 +1482 1892 +3822 -1193 +-126 3797 +933 3739 +6144 6144 +2304 2918 +3586 -3364 +-1780 515 +-4978 1892 +2378 -208 +-2152 765 +-499 5595 +1872 -2648 +-4107 -1104 +1065 875 +3836 -1826 +-70 784 +-1462 -3691 +-1361 2451 +-1349 -777 +-2048 0 +-1349 777 +-1361 -2451 +-1462 3691 +-70 -784 +3836 1826 +1065 -875 +-4107 1104 +1872 2648 +-499 -5595 +-2152 -765 +2378 208 +-4978 -1892 +-1780 -515 +3586 3364 +2304 -2918 +6144 -6144 +933 -3739 +-126 -3797 +3822 1193 +1482 -1892 +1316 716 +-849 3738 +-3225 577 +-3920 -5544 +-416 -5068 +1936 5325 +-2418 1317 +-529 -784 +99 1983 +-2099 277 +568 1597 +6144 -2048 +960 444 +-4894 -879 +-1461 -3138 +-744 398 +-84 2858 +-1828 679 +-5068 -2350 +-424 -848 +2193 1630 +-470 951 +-1216 4728 +-2412 4170 +-2662 -1283 +-356 2939 +1461 -559 +2048 0 +1461 559 +-356 -2939 +-2662 1283 +-2412 -4170 +-1216 -4728 +-470 -951 +2193 -1630 +-424 848 +-5068 2350 +-1828 -679 +-84 -2858 +-744 -398 +-1461 3138 +-4894 879 +960 -444 +6144 2048 +-2098 -4023 +-3051 658 +3470 -141 +3040 -4494 +-316 54 +-784 -2467 +3365 6626 +2472 4944 +-490 2647 +4778 3054 +1616 -1815 +-3980 -74 +654 -1996 +-1588 2633 +-324 -3020 +6144 0 +-324 3020 +-1588 -2633 +654 1996 +-3980 74 +1616 1815 +4778 -3054 +-490 -2647 +2472 -4944 +3365 -6626 +-784 2467 +-316 -54 +3040 4494 +3470 141 +-3051 -658 +-2098 4023 +6144 -2048 +960 444 +-4894 -879 +-1461 -3138 +-744 398 +-84 2858 +-1828 679 +-5068 -2350 +-424 -848 +2193 1630 +-470 951 +-1216 4728 +-2412 4170 +-2662 -1283 +-356 2939 +1461 -559 +-1024 -5120 +1232 -89 +-1969 1376 +-4763 -4705 +886 3658 +1854 8342 +1239 3022 +-984 -59 +-724 2172 +-383 -1059 +-2616 -463 +-979 1110 +-3008 1684 +1422 2819 +-228 -4427 +-3341 3611 +2048 0 +-3341 -3611 +-228 4427 +1422 -2819 +-3008 -1684 +-979 -1110 +-2616 463 +-383 1059 +-724 -2172 +-984 59 +1239 -3022 +1854 -8342 +886 -3658 +-4763 4705 +-1969 -1376 +1232 89 +-1024 5120 +-95 446 +2753 412 +2755 2678 +562 3334 +-1454 4956 +-3131 2550 +2687 -6211 +724 -2172 +-1320 661 +4509 242 +580 -835 +1560 -2884 +587 1907 +-556 422 +2204 6011 +0 0 +2204 -6011 +-556 -422 +587 -1907 +1560 2884 +580 835 +4509 -242 +-1320 -661 +724 2172 +2687 6211 +-3131 -2550 +-1454 -4956 +562 -3334 +2755 -2678 +2753 -412 +-95 -446 +-1024 -5120 +1232 -89 +-1969 1376 +-4763 -4705 +886 3658 +1854 8342 +1239 3022 +-984 -59 +-724 2172 +-383 -1059 +-2616 -463 +-979 1110 +-3008 1684 +1422 2819 +-228 -4427 +-3341 3611 +4096 0 +1110 -217 +552 -1686 +1145 -1882 +-868 -410 +3356 -1371 +-813 -1070 +787 2656 +0 2472 +-3220 733 +-1522 3269 +876 2855 +1808 -254 +-87 2487 +2090 4694 +-2057 1462 +-8192 0 +-2057 -1462 +2090 -4694 +-87 -2487 +1808 254 +876 -2855 +-1522 -3269 +-3220 -733 +0 -2472 +787 -2656 +-813 1070 +3356 1371 +-868 410 +1145 1882 +552 1686 +1110 217 +4096 0 +-1248 -1105 +1117 -3702 +-1275 -5515 +2916 -3086 +-874 2576 +-2423 2454 +4091 -2337 +0 -424 +5023 2354 +-3931 -3085 +-4687 -4859 +240 854 +-4248 892 +4930 -3090 +1307 -2416 +-8192 0 +1307 2416 +4930 3090 +-4248 -892 +240 -854 +-4687 4859 +-3931 3085 +5023 -2354 +0 424 +4091 2337 +-2423 -2454 +-874 -2576 +2916 3086 +-1275 5515 +1117 3702 +-1248 1105 +4096 0 +1110 -217 +552 -1686 +1145 -1882 +-868 -410 +3356 -1371 +-813 -1070 +787 2656 +0 2472 +-3220 733 +-1522 3269 +876 2855 +1808 -254 +-87 2487 +2090 4694 +-2057 1462 +4096 0 +3350 709 +-1084 -68 +1079 5397 +360 -854 +-1959 -585 +144 3509 +-42 963 +-1448 -424 +-1384 -3242 +-3640 1486 +-2185 1411 +4364 410 +4539 4123 +484 2151 +2779 -1444 +6144 0 +2779 1444 +484 -2151 +4539 -4123 +4364 -410 +-2185 -1411 +-3640 -1486 +-1384 3242 +-1448 424 +-42 -963 +144 -3509 +-1959 585 +360 854 +1079 -5397 +-1084 68 +3350 -709 +4096 0 +-3026 -1267 +-1084 3500 +3489 5056 +-1208 254 +-2609 2703 +144 -7161 +-283 -777 +1448 2472 +1059 1861 +-3640 5950 +-2383 -3077 +580 3086 +29 2545 +484 -4015 +-2454 -682 +-6144 0 +-2454 682 +484 4015 +29 -2545 +580 -3086 +-2383 3077 +-3640 -5950 +1059 -1861 +1448 -2472 +-283 777 +144 7161 +-2609 -2703 +-1208 -254 +3489 -5056 +-1084 -3500 +-3026 1267 +4096 0 +3350 709 +-1084 -68 +1079 5397 +360 -854 +-1959 -585 +144 3509 +-42 963 +-1448 -424 +-1384 -3242 +-3640 1486 +-2185 1411 +4364 410 +4539 4123 +484 2151 +2779 -1444 +3072 -2048 +-393 -3323 +5313 746 +682 1379 +-3146 -1508 +3533 2405 +-3803 -1253 +-2721 -4670 +-1024 1624 +-2428 -2660 +-746 -4526 +-2575 694 +-3470 1168 +-1260 -2308 +1418 -5446 +1237 -1280 +1024 0 +1237 1280 +1418 5446 +-1260 2308 +-3470 -1168 +-2575 -694 +-746 4526 +-2428 2660 +-1024 -1624 +-2721 4670 +-3803 1253 +3533 -2405 +-3146 1508 +682 -1379 +5313 -746 +-393 3323 +3072 2048 +-1055 -4866 +-2416 -4834 +766 1747 +1098 60 +-2084 -2020 +906 -4904 +1272 -2799 +-1024 4520 +980 1561 +-2151 2465 +4023 3549 +1422 -2616 +2708 -4692 +1479 -2738 +-2685 1859 +5120 0 +-2685 -1859 +1479 2738 +2708 4692 +1422 2616 +4023 -3549 +-2151 -2465 +980 -1561 +-1024 -4520 +1272 2799 +906 4904 +-2084 2020 +1098 -60 +766 -1747 +-2416 4834 +-1055 4866 +3072 -2048 +-393 -3323 +5313 746 +682 1379 +-3146 -1508 +3533 2405 +-3803 -1253 +-2721 -4670 +-1024 1624 +-2428 -2660 +-746 -4526 +-2575 694 +-3470 1168 +-1260 -2308 +1418 -5446 +1237 -1280 +-5120 0 +2395 -1387 +5747 -2797 +-3316 -2904 +-4848 -110 +-486 -3041 +6410 -1386 +2635 -3264 +-1448 1448 +1537 -2258 +-4451 -1947 +-3815 5071 +1064 -2002 +-1803 1236 +-230 338 +-1126 237 +-5120 0 +-1126 -237 +-230 -338 +-1803 -1236 +1064 2002 +-3815 -5071 +-4451 1947 +1537 2258 +-1448 -1448 +2635 3264 +6410 1386 +-486 3041 +-4848 110 +-3316 2904 +5747 2797 +2395 1387 +-5120 0 +756 -3166 +631 -1927 +1468 -5475 +504 -2786 +-2971 -1398 +-15 2326 +-2325 2839 +1448 -1448 +1049 2072 +-3641 -1209 +4376 -4837 +3281 -894 +754 850 +3741 -966 +872 1241 +-1024 0 +872 -1241 +3741 966 +754 -850 +3281 894 +4376 4837 +-3641 1209 +1049 -2072 +1448 1448 +-2325 -2839 +-15 -2326 +-2971 1398 +504 2786 +1468 5475 +631 1927 +756 3166 +-5120 0 +2395 -1387 +5747 -2797 +-3316 -2904 +-4848 -110 +-486 -3041 +6410 -1386 +2635 -3264 +-1448 1448 +1537 -2258 +-4451 -1947 +-3815 5071 +1064 -2002 +-1803 1236 +-230 338 +-1126 237 +-2048 1024 +-860 2164 +-1283 5762 +2015 -643 +-247 -646 +-2813 1745 +3139 3412 +694 -1892 +-2772 -2348 +1452 -684 +-580 -2479 +440 -2044 +5984 -2140 +-3652 1809 +-3688 -3876 +2337 -6068 +-1024 0 +2337 6068 +-3688 3876 +-3652 -1809 +5984 2140 +440 2044 +-580 2479 +1452 684 +-2772 2348 +694 1892 +3139 -3412 +-2813 -1745 +-247 646 +2015 643 +-1283 -5762 +-860 -2164 +-2048 -1024 +1555 -4671 +-624 -1262 +-2209 992 +2295 1246 +1154 -1193 +4770 -332 +277 -6243 +-1324 -3796 +-1093 3325 +-4432 966 +2107 -171 +-3936 -1356 +-2835 -1828 +2699 -4408 +1432 353 +5120 0 +1432 -353 +2699 4408 +-2835 1828 +-3936 1356 +2107 171 +-4432 -966 +-1093 -3325 +-1324 3796 +277 6243 +4770 332 +1154 1193 +2295 -1246 +-2209 -992 +-624 1262 +1555 4671 +-2048 1024 +-860 2164 +-1283 5762 +2015 -643 +-247 -646 +-2813 1745 +3139 3412 +694 -1892 +-2772 -2348 +1452 -684 +-580 -2479 +440 -2044 +5984 -2140 +-3652 1809 +-3688 -3876 +2337 -6068 +-3072 2048 +-2893 -2166 +-512 -971 +591 -4258 +2716 -6642 +-1306 -565 +1085 -5798 +2596 -766 +-600 0 +282 171 +1274 927 +3478 -483 +588 4070 +-3642 -5714 +-896 -4635 +-866 41 +-3072 0 +-866 -41 +-896 4635 +-3642 5714 +588 -4070 +3478 483 +1274 -927 +282 -171 +-600 0 +2596 766 +1085 5798 +-1306 565 +2716 6642 +591 4258 +-512 971 +-2893 2166 +-3072 -2048 +4581 -1264 +1896 943 +-607 1895 +-419 -2398 +-2216 -754 +520 -855 +-500 -1431 +-3496 0 +-3945 1415 +4114 3509 +3829 -2403 +-6980 -822 +-127 1783 +713 -689 +746 314 +9216 0 +746 -314 +713 689 +-127 -1783 +-6980 822 +3829 2403 +4114 -3509 +-3945 -1415 +-3496 0 +-500 1431 +520 855 +-2216 754 +-419 2398 +-607 -1895 +1896 -943 +4581 1264 +-3072 2048 +-2893 -2166 +-512 -971 +591 -4258 +2716 -6642 +-1306 -565 +1085 -5798 +2596 -766 +-600 0 +282 171 +1274 927 +3478 -483 +588 4070 +-3642 -5714 +-896 -4635 +-866 41 +-1024 -3072 +1073 433 +2017 -1080 +-1047 -1337 +-4286 -462 +563 2012 +1814 2058 +-454 118 +548 -300 +-2937 -2840 +1277 -2786 +7663 1632 +2624 -536 +1563 -2771 +1140 3510 +-5 -799 +2048 0 +-5 799 +1140 -3510 +1563 2771 +2624 536 +7663 -1632 +1277 2786 +-2937 2840 +548 300 +-454 -118 +1814 -2058 +563 -2012 +-4286 462 +-1047 1337 +2017 1080 +1073 -433 +-1024 3072 +-2842 -1636 +-2526 -3420 +777 -807 +1390 -138 +-3775 -626 +-994 -5138 +702 -247 +-6692 -1748 +-1775 1823 +4895 4299 +2230 4218 +273 4032 +-2180 -701 +568 4775 +445 -7085 +-4096 0 +445 7085 +568 -4775 +-2180 701 +273 -4032 +2230 -4218 +4895 -4299 +-1775 -1823 +-6692 1748 +702 247 +-994 5138 +-3775 626 +1390 138 +777 807 +-2526 3420 +-2842 1636 +-1024 -3072 +1073 433 +2017 -1080 +-1047 -1337 +-4286 -462 +563 2012 +1814 2058 +-454 118 +548 -300 +-2937 -2840 +1277 -2786 +7663 1632 +2624 -536 +1563 -2771 +1140 3510 +-5 -799 +1024 5120 +1606 457 +-1289 4014 +3538 3016 +1056 -2584 +1679 -6175 +-799 487 +-5008 -1777 +-1748 -1748 +-1415 -1583 +2435 -4607 +1492 6559 +-2394 1910 +-3735 -3408 +-4204 3554 +-1260 3630 +0 0 +-1260 -3630 +-4204 -3554 +-3735 3408 +-2394 -1910 +1492 -6559 +2435 4607 +-1415 1583 +-1748 1748 +-5008 1777 +-799 -487 +1679 6175 +1056 2584 +3538 -3016 +-1289 -4014 +1606 -457 +1024 -5120 +49 -753 +2516 3110 +-1719 -4877 +1840 1984 +951 2514 +1138 -1390 +2921 -4468 +-300 -300 +1935 3954 +121 807 +-338 5670 +-502 1586 +-1869 -2341 +80 674 +1173 1296 +2048 0 +1173 -1296 +80 -674 +-1869 2341 +-502 -1586 +-338 -5670 +121 -807 +1935 -3954 +-300 300 +2921 4468 +1138 1390 +951 -2514 +1840 -1984 +-1719 4877 +2516 -3110 +49 753 +1024 5120 +1606 457 +-1289 4014 +3538 3016 +1056 -2584 +1679 -6175 +-799 487 +-5008 -1777 +-1748 -1748 +-1415 -1583 +2435 -4607 +1492 6559 +-2394 1910 +-3735 -3408 +-4204 3554 +-1260 3630 +0 -1024 +3873 7168 +2302 482 +-2005 -4190 +3498 4830 +1725 -2564 +-2577 -2428 +2789 836 +1748 124 +86 2439 +-3427 -1240 +3286 -1704 +4937 -136 +-2380 -848 +-1881 -107 +-2668 894 +-3072 0 +-2668 -894 +-1881 107 +-2380 848 +4937 136 +3286 1704 +-3427 1240 +86 -2439 +1748 -124 +2789 -836 +-2577 2428 +1725 2564 +3498 -4830 +-2005 4190 +2302 -482 +3873 -7168 +0 1024 +-2984 -1653 +-1010 1447 +-4173 405 +-3746 -3981 +-3025 -2641 +-136 -1956 +4233 41 +300 -4220 +1789 -2451 +-853 -1945 +2037 962 +3504 5081 +-1258 -4266 +-611 -4956 +-1325 -2060 +-1024 0 +-1325 2060 +-611 4956 +-1258 4266 +3504 -5081 +2037 -962 +-853 1945 +1789 2451 +300 4220 +4233 -41 +-136 1956 +-3025 2641 +-3746 3981 +-4173 -405 +-1010 -1447 +-2984 1653 +0 -1024 +3873 7168 +2302 482 +-2005 -4190 +3498 4830 +1725 -2564 +-2577 -2428 +2789 836 +1748 124 +86 2439 +-3427 -1240 +3286 -1704 +4937 -136 +-2380 -848 +-1881 -107 +-2668 894 +3072 -7168 +2207 -471 +-1780 -841 +-4488 987 +1984 -476 +-527 1950 +-1602 -556 +5731 -682 +-124 -2772 +-3298 -2445 +437 787 +-597 -4931 +-1586 -2754 +2270 899 +2424 2067 +-1395 -401 +-2048 0 +-1395 401 +2424 -2067 +2270 -899 +-1586 2754 +-597 4931 +437 -787 +-3298 2445 +-124 2772 +5731 682 +-1602 556 +-527 -1950 +1984 476 +-4488 -987 +-1780 841 +2207 471 +3072 7168 +-970 8165 +228 -1547 +1314 2284 +-2584 2524 +-6 347 +-3305 -1520 +1045 -3066 +4220 -1324 +987 -1230 +1575 -4062 +-5551 27 +-1910 706 +1792 -2429 +2025 2538 +1487 -5817 +-4096 0 +1487 5817 +2025 -2538 +1792 2429 +-1910 -706 +-5551 -27 +1575 4062 +987 1230 +4220 1324 +1045 3066 +-3305 1520 +-6 -347 +-2584 -2524 +1314 -2284 +228 1547 +-970 -8165 +3072 -7168 +2207 -471 +-1780 -841 +-4488 987 +1984 -476 +-527 1950 +-1602 -556 +5731 -682 +-124 -2772 +-3298 -2445 +437 787 +-597 -4931 +-1586 -2754 +2270 899 +2424 2067 +-1395 -401 +3072 -3072 +720 -3053 +751 2009 +426 5082 +-2160 2624 +-1835 -1709 +-3195 -952 +-609 -562 +124 -724 +3370 -3117 +2629 -846 +98 -792 +-4383 -1390 +-3367 1586 +3388 550 +1663 5101 +0 0 +1663 -5101 +3388 -550 +-3367 -1586 +-4383 1390 +98 792 +2629 846 +3370 3117 +124 724 +-609 562 +-3195 952 +-1835 1709 +-2160 -2624 +426 -5082 +751 -2009 +720 3053 +3072 3072 +-974 -642 +2760 2715 +-1474 -1817 +2408 273 +2395 6827 +-4897 12 +3195 -1469 +-4220 724 +-3060 5759 +3767 4003 +-3555 -121 +-4058 4286 +1519 1441 +2989 78 +1488 1669 +2048 0 +1488 -1669 +2989 -78 +1519 -1441 +-4058 -4286 +-3555 121 +3767 -4003 +-3060 -5759 +-4220 -724 +3195 1469 +-4897 -12 +2395 -6827 +2408 -273 +-1474 1817 +2760 -2715 +-974 642 +3072 -3072 +720 -3053 +751 2009 +426 5082 +-2160 2624 +-1835 -1709 +-3195 -952 +-609 -562 +124 -724 +3370 -3117 +2629 -846 +98 -792 +-4383 -1390 +-3367 1586 +3388 550 +1663 5101 +-2048 1024 +1109 -3083 +-3103 -1970 +-1133 2331 +1102 5610 +-825 1704 +357 -1716 +-800 -2521 +2772 -2348 +2993 -835 +-5791 65 +-3545 -1058 +2440 -148 +684 4297 +560 -561 +1525 -2105 +1024 0 +1525 2105 +560 561 +684 -4297 +2440 148 +-3545 1058 +-5791 -65 +2993 835 +2772 2348 +-800 2521 +357 1716 +-825 -1704 +1102 -5610 +-1133 -2331 +-3103 1970 +1109 3083 +-2048 -1024 +-769 -3182 +1055 -4646 +-2991 1398 +2994 -66 +2052 -4544 +-2405 3439 +3356 -3322 +1324 -3796 +-437 -2553 +3743 -2437 +4773 -2461 +1656 -2500 +-4808 4545 +-2608 -1958 +-1185 4087 +-5120 0 +-1185 -4087 +-2608 1958 +-4808 -4545 +1656 2500 +4773 2461 +3743 2437 +-437 2553 +1324 3796 +3356 3322 +-2405 -3439 +2052 4544 +2994 66 +-2991 -1398 +1055 4646 +-769 3182 +-2048 1024 +1109 -3083 +-3103 -1970 +-1133 2331 +1102 5610 +-825 1704 +357 -1716 +-800 -2521 +2772 -2348 +2993 -835 +-5791 65 +-3545 -1058 +2440 -148 +684 4297 +560 -561 +1525 -2105 +1024 -2048 +-1409 -1728 +2476 -2504 +-3471 4891 +-2178 724 +3203 -1469 +2812 4010 +2452 -1977 +2472 -2472 +4230 1917 +-1319 464 +1086 11 +-3182 724 +-3325 344 +-1647 -1061 +-2313 -728 +5120 0 +-2313 728 +-1647 1061 +-3325 -344 +-3182 -724 +1086 -11 +-1319 -464 +4230 -1917 +2472 2472 +2452 1977 +2812 -4010 +3203 1469 +-2178 -724 +-3471 -4891 +2476 2504 +-1409 1728 +1024 2048 +-1546 4097 +-1536 2180 +-1009 2366 +-1070 724 +3452 824 +-3440 558 +-3947 2117 +-424 424 +1698 -1777 +6043 4104 +2963 -655 +-5858 724 +-2898 6914 +4804 736 +835 3097 +-3072 0 +835 -3097 +4804 -736 +-2898 -6914 +-5858 -724 +2963 655 +6043 -4104 +1698 1777 +-424 -424 +-3947 -2117 +-3440 -558 +3452 -824 +-1070 -724 +-1009 -2366 +-1536 -2180 +-1546 -4097 +1024 -2048 +-1409 -1728 +2476 -2504 +-3471 4891 +-2178 724 +3203 -1469 +2812 4010 +2452 -1977 +2472 -2472 +4230 1917 +-1319 464 +1086 11 +-3182 724 +-3325 344 +-1647 -1061 +-2313 -728 +1024 1024 +-856 4942 +2189 7419 +-937 -950 +-6988 332 +4602 1404 +3512 -1431 +-3279 33 +-300 -1748 +950 1377 +1477 3248 +4113 1890 +-182 1670 +-1191 -2351 +1691 -3308 +-1054 -1775 +-2048 0 +-1054 1775 +1691 3308 +-1191 2351 +-182 -1670 +4113 -1890 +1477 -3248 +950 -1377 +-300 1748 +-3279 -33 +3512 1431 +4602 -1404 +-6988 -332 +-937 950 +2189 -7419 +-856 -4942 +1024 -1024 +-558 613 +79 1465 +-3841 -3356 +-853 1116 +-5073 1581 +-3252 -552 +2850 3546 +-1748 -300 +1695 -3149 +-3433 -2832 +1709 -1123 +3927 -222 +618 -4172 +5929 -1792 +252 1979 +-4096 0 +252 -1979 +5929 1792 +618 4172 +3927 222 +1709 1123 +-3433 2832 +1695 3149 +-1748 300 +2850 -3546 +-3252 552 +-5073 -1581 +-853 -1116 +-3841 3356 +79 -1465 +-558 -613 +1024 1024 +-856 4942 +2189 7419 +-937 -950 +-6988 332 +4602 1404 +3512 -1431 +-3279 33 +-300 -1748 +950 1377 +1477 3248 +4113 1890 +-182 1670 +-1191 -2351 +1691 -3308 +-1054 -1775 +-2048 -1024 +609 -2455 +454 3883 +3470 -4923 +-1586 -2838 +-239 -3289 +772 -3323 +78 1736 +2596 2172 +2455 -1610 +4977 -3849 +-2135 814 +-2584 -1176 +3985 -3930 +-2723 -4008 +-4601 -602 +-1024 0 +-4601 602 +-2723 4008 +3985 3930 +-2584 1176 +-2135 -814 +4977 3849 +2455 1610 +2596 -2172 +78 -1736 +772 3323 +-239 3289 +-1586 2838 +3470 4923 +454 -3883 +609 2455 +-2048 1024 +-2320 -1686 +2157 5326 +-1890 404 +-1910 2838 +-1575 -619 +1172 -3229 +-2251 -1672 +-4644 -2172 +5071 -542 +2968 -303 +1732 631 +1984 1176 +-3348 4762 +-1585 -768 +960 -5756 +1024 0 +960 5756 +-1585 768 +-3348 -4762 +1984 -1176 +1732 -631 +2968 303 +5071 542 +-4644 2172 +-2251 1672 +1172 3229 +-1575 619 +-1910 -2838 +-1890 -404 +2157 -5326 +-2320 1686 +-2048 -1024 +609 -2455 +454 3883 +3470 -4923 +-1586 -2838 +-239 -3289 +772 -3323 +78 1736 +2596 2172 +2455 -1610 +4977 -3849 +-2135 814 +-2584 -1176 +3985 -3930 +-2723 -4008 +-4601 -602 +-4096 -1024 +-540 1476 +2953 -131 +4224 416 +660 692 +-1977 -4945 +-3114 -1598 +-1372 -5173 +2772 -5244 +-2464 -266 +1023 -2092 +-1640 381 +-4358 -2694 +1987 -3153 +1913 1906 +1561 -569 +1024 0 +1561 569 +1913 -1906 +1987 3153 +-4358 2694 +-1640 -381 +1023 2092 +-2464 266 +2772 5244 +-1372 5173 +-3114 1598 +-1977 4945 +660 -692 +4224 -416 +2953 131 +-540 -1476 +-4096 1024 +2237 888 +3219 575 +-1502 -6049 +-3908 -92 +2229 3196 +2606 -634 +-2500 6360 +1324 -900 +335 -2331 +685 2757 +-5531 -562 +-4682 -802 +2211 -913 +-1093 1434 +2743 -852 +7168 0 +2743 852 +-1093 -1434 +2211 913 +-4682 802 +-5531 562 +685 -2757 +335 2331 +1324 900 +-2500 -6360 +2606 634 +2229 -3196 +-3908 92 +-1502 6049 +3219 -575 +2237 -888 +-4096 -1024 +-540 1476 +2953 -131 +4224 416 +660 692 +-1977 -4945 +-3114 -1598 +-1372 -5173 +2772 -5244 +-2464 -266 +1023 -2092 +-1640 381 +-4358 -2694 +1987 -3153 +1913 1906 +1561 -569 +-1024 0 +-1551 3783 +-4085 -1856 +-82 3460 +190 1024 +-1436 -902 +4887 2877 +708 -2349 +3496 -2048 +1729 3225 +-6182 4248 +-2388 497 +3750 -1024 +4371 1013 +-1275 315 +-1678 1439 +1024 0 +-1678 -1439 +-1275 -315 +4371 -1013 +3750 1024 +-2388 -497 +-6182 -4248 +1729 -3225 +3496 2048 +708 2349 +4887 -2877 +-1436 902 +190 -1024 +-82 -3460 +-4085 1856 +-1551 -3783 +-1024 0 +2972 4316 +-195 -3597 +-3984 258 +-2486 1024 +1616 -3958 +-3595 -4546 +-3305 -3998 +600 -2048 +-2915 -2212 +3690 2772 +640 4220 +2642 -1024 +1262 697 +-1437 2921 +4042 2436 +1024 0 +4042 -2436 +-1437 -2921 +1262 -697 +2642 1024 +640 -4220 +3690 -2772 +-2915 2212 +600 2048 +-3305 3998 +-3595 4546 +1616 3958 +-2486 -1024 +-3984 -258 +-195 3597 +2972 -4316 +-1024 0 +-1551 3783 +-4085 -1856 +-82 3460 +190 1024 +-1436 -902 +4887 2877 +708 -2349 +3496 -2048 +1729 3225 +-6182 4248 +-2388 497 +3750 -1024 +4371 1013 +-1275 315 +-1678 1439 +-1024 -2048 +3125 -4692 +804 65 +-2515 3869 +-1304 470 +-34 -1507 +-198 -1041 +-816 -1561 +-848 2048 +101 -6928 +-1649 1534 +-3527 6171 +364 -2362 +2571 7224 +-494 -13 +1446 211 +5120 0 +1446 -211 +-494 13 +2571 -7224 +364 2362 +-3527 -6171 +-1649 -1534 +101 6928 +-848 -2048 +-816 1561 +-198 1041 +-34 1507 +-1304 -470 +-2515 -3869 +804 -65 +3125 4692 +-1024 2048 +-1322 2493 +3645 3211 +950 -3081 +-5088 1578 +-2907 850 +2210 -1347 +4751 2847 +4944 2048 +428 572 +-3258 3071 +-213 3508 +1932 314 +-118 1500 +-1059 2089 +-1919 3933 +-3072 0 +-1919 -3933 +-1059 -2089 +-118 -1500 +1932 -314 +-213 -3508 +-3258 -3071 +428 -572 +4944 -2048 +4751 -2847 +2210 1347 +-2907 -850 +-5088 -1578 +950 3081 +3645 -3211 +-1322 -2493 +-1024 -2048 +3125 -4692 +804 65 +-2515 3869 +-1304 470 +-34 -1507 +-198 -1041 +-816 -1561 +-848 2048 +101 -6928 +-1649 1534 +-3527 6171 +364 -2362 +2571 7224 +-494 -13 +1446 211 +0 3072 +-2214 -7025 +-20 -1817 +2479 1210 +4057 -4116 +2299 -2827 +-1875 -665 +2042 -722 +1324 -300 +-4496 -171 +-455 1638 +-3164 -2019 +-5854 -3238 +2416 2804 +-1224 207 +-2867 2160 +3072 0 +-2867 -2160 +-1224 -207 +2416 -2804 +-5854 3238 +-3164 2019 +-455 -1638 +-4496 171 +1324 300 +2042 722 +-1875 665 +2299 2827 +4057 4116 +2479 -1210 +-20 1817 +-2214 7025 +0 -3072 +201 -4757 +-2028 -4798 +-2640 -998 +-1160 2668 +1557 488 +-173 2388 +-649 -7231 +2772 -1748 +207 24 +-1593 -4011 +2204 1218 +2957 1789 +641 4738 +-824 -2727 +1984 -342 +5120 0 +1984 342 +-824 2727 +641 -4738 +2957 -1789 +2204 -1218 +-1593 4011 +207 -24 +2772 1748 +-649 7231 +-173 -2388 +1557 -488 +-1160 -2668 +-2640 998 +-2028 4798 +201 4757 +0 3072 +-2214 -7025 +-20 -1817 +2479 1210 +4057 -4116 +2299 -2827 +-1875 -665 +2042 -722 +1324 -300 +-4496 -171 +-455 1638 +-3164 -2019 +-5854 -3238 +2416 2804 +-1224 207 +-2867 2160 +2048 0 +4473 5267 +679 -447 +2454 -2126 +3046 -2447 +-2449 -1880 +2939 -290 +-3364 -2248 +-4944 1024 +3371 129 +879 -3037 +-562 2710 +1374 5892 +-3089 699 +-3054 -1279 +-3314 2755 +-8192 0 +-3314 -2755 +-3054 1279 +-3089 -699 +1374 -5892 +-562 -2710 +879 3037 +3371 -129 +-4944 -1024 +-3364 2248 +2939 290 +-2449 1880 +3046 2447 +2454 2126 +679 447 +4473 -5267 +2048 0 +347 3044 +-2467 -1445 +1525 -3994 +-1846 -3097 +-1098 3927 +2633 1074 +1517 -1194 +848 1024 +-3741 -1691 +-658 3821 +-1243 593 +5618 -3244 +4461 2628 +-951 -613 +710 -756 +-4096 0 +710 756 +-951 613 +4461 -2628 +5618 3244 +-1243 -593 +-658 -3821 +-3741 1691 +848 -1024 +1517 1194 +2633 -1074 +-1098 -3927 +-1846 3097 +1525 3994 +-2467 1445 +347 -3044 +2048 0 +4473 5267 +679 -447 +2454 -2126 +3046 -2447 +-2449 -1880 +2939 -290 +-3364 -2248 +-4944 1024 +3371 129 +879 -3037 +-562 2710 +1374 5892 +-3089 699 +-3054 -1279 +-3314 2755 +1024 -1024 +-1840 -2342 +2142 378 +493 -3164 +3862 -5102 +2271 957 +-4629 -6902 +2026 -2240 +1748 300 +1993 -4872 +2256 -3812 +-3927 3373 +-152 6320 +-2725 -3775 +-2392 610 +2357 112 +0 0 +2357 -112 +-2392 -610 +-2725 3775 +-152 -6320 +-3927 -3373 +2256 3812 +1993 4872 +1748 -300 +2026 2240 +-4629 6902 +2271 -957 +3862 5102 +493 3164 +2142 -378 +-1840 2342 +1024 1024 +1331 -1463 +-4190 -2729 +-2590 1228 +-1814 -1642 +-1150 1357 +2581 1226 +3145 -2044 +300 1748 +-1373 588 +-4304 -1864 +-2987 -1058 +2200 3320 +-971 1838 +344 -2961 +3945 -3917 +2048 0 +3945 3917 +344 2961 +-971 -1838 +2200 -3320 +-2987 1058 +-4304 1864 +-1373 -588 +300 -1748 +3145 2044 +2581 -1226 +-1150 -1357 +-1814 1642 +-2590 -1228 +-4190 2729 +1331 1463 +1024 -1024 +-1840 -2342 +2142 378 +493 -3164 +3862 -5102 +2271 957 +-4629 -6902 +2026 -2240 +1748 300 +1993 -4872 +2256 -3812 +-3927 3373 +-152 6320 +-2725 -3775 +-2392 610 +2357 112 +3072 4096 +-4814 -876 +-4821 718 +1052 4436 +928 2546 +-2165 114 +1381 -3 +2702 -1643 +-848 -3496 +-58 -2952 +4573 -1722 +2988 -674 +808 26 +-4176 -161 +-2364 246 +1124 1004 +-3072 0 +1124 -1004 +-2364 -246 +-4176 161 +808 -26 +2988 674 +4573 1722 +-58 2952 +-848 3496 +2702 1643 +1381 3 +-2165 -114 +928 -2546 +1052 -4436 +-4821 -718 +-4814 876 +3072 -4096 +909 -4590 +-1415 1211 +2050 2018 +-4424 -1698 +5411 -3259 +2180 -4381 +-3490 -4099 +4944 -600 +-3618 -206 +555 -1463 +446 2953 +-1408 4918 +186 -1944 +-88 -5309 +1452 -1485 +-3072 0 +1452 1485 +-88 5309 +186 1944 +-1408 -4918 +446 -2953 +555 1463 +-3618 206 +4944 600 +-3490 4099 +2180 4381 +5411 3259 +-4424 1698 +2050 -2018 +-1415 -1211 +909 4590 +3072 4096 +-4814 -876 +-4821 718 +1052 4436 +928 2546 +-2165 114 +1381 -3 +2702 -1643 +-848 -3496 +-58 -2952 +4573 -1722 +2988 -674 +808 26 +-4176 -161 +-2364 246 +1124 1004 +3072 2048 +-6656 -127 +-2670 -637 +-3860 47 +119 1638 +3999 3090 +-822 517 +-485 -2494 +-1024 -3920 +4198 -4248 +-2924 -943 +-1424 345 +5232 -2302 +739 -1377 +-1132 -1603 +-92 -4465 +3072 0 +-92 4465 +-1132 1603 +739 1377 +5232 2302 +-1424 -345 +-2924 943 +4198 4248 +-1024 3920 +-485 2494 +-822 -517 +3999 -3090 +119 -1638 +-3860 -47 +-2670 637 +-6656 127 +3072 -2048 +-1699 962 +-827 4917 +2483 791 +-3016 -1038 +2815 2509 +222 776 +796 -846 +-1024 1872 +-3622 2237 +2325 3435 +-926 4367 +-2336 -1194 +1967 -4465 +-2364 -1110 +1767 836 +11264 0 +1767 -836 +-2364 1110 +1967 4465 +-2336 1194 +-926 -4367 +2325 -3435 +-3622 -2237 +-1024 -1872 +796 846 +222 -776 +2815 -2509 +-3016 1038 +2483 -791 +-827 -4917 +-1699 -962 +3072 2048 +-6656 -127 +-2670 -637 +-3860 47 +119 1638 +3999 3090 +-822 517 +-485 -2494 +-1024 -3920 +4198 -4248 +-2924 -943 +-1424 345 +5232 -2302 +739 -1377 +-1132 -1603 +-92 -4465 +0 0 +2985 1261 +170 1007 +-1923 247 +-2726 1688 +-2421 -1331 +60 -3393 +-1554 2961 +-2472 -4096 +1481 1834 +4045 -290 +5447 -6591 +3510 2316 +-429 -3040 +1139 -371 +792 -3037 +-2048 0 +792 3037 +1139 371 +-429 3040 +3510 -2316 +5447 6591 +4045 290 +1481 -1834 +-2472 4096 +-1554 -2961 +60 3393 +-2421 1331 +-2726 -1688 +-1923 -247 +170 -1007 +2985 -1261 +0 0 +-498 2710 +2950 -1035 +-369 -2441 +-1618 3256 +2304 4277 +1063 -3260 +-368 -1855 +424 -4096 +-1127 -3552 +-2273 4725 +-1546 -560 +834 -1468 +-1063 -1059 +-7155 -4953 +-1711 -4008 +6144 0 +-1711 4008 +-7155 4953 +-1063 1059 +834 1468 +-1546 560 +-2273 -4725 +-1127 3552 +424 4096 +-368 1855 +1063 3260 +2304 -4277 +-1618 -3256 +-369 2441 +2950 1035 +-498 -2710 +0 0 +2985 1261 +170 1007 +-1923 247 +-2726 1688 +-2421 -1331 +60 -3393 +-1554 2961 +-2472 -4096 +1481 1834 +4045 -290 +5447 -6591 +3510 2316 +-429 -3040 +1139 -371 +792 -3037 +2048 -1024 +-1161 3511 +-5289 644 +-1908 1203 +-162 4346 +1312 4246 +3935 679 +-6360 2473 +-7716 -1748 +942 755 +1814 357 +2340 -1576 +2284 8 +4178 -4322 +3021 3590 +-1048 -1585 +-1024 0 +-1048 1585 +3021 -3590 +4178 4322 +2284 -8 +2340 1576 +1814 -357 +942 -755 +-7716 1748 +-6360 -2473 +3935 -679 +1312 -4246 +-162 -4346 +-1908 -1203 +-5289 -644 +-1161 -3511 +2048 1024 +-593 643 +-3586 -800 +1254 6038 +162 -2898 +-3010 -1510 +4334 585 +3375 -4057 +-476 -300 +-853 -2577 +-195 -3189 +2255 -361 +-2284 1441 +-627 1099 +4159 350 +-95 -293 +-3072 0 +-95 293 +4159 -350 +-627 -1099 +-2284 -1441 +2255 361 +-195 3189 +-853 2577 +-476 300 +3375 4057 +4334 -585 +-3010 1510 +162 2898 +1254 -6038 +-3586 800 +-593 -643 +2048 -1024 +-1161 3511 +-5289 644 +-1908 1203 +-162 4346 +1312 4246 +3935 679 +-6360 2473 +-7716 -1748 +942 755 +1814 357 +2340 -1576 +2284 8 +4178 -4322 +3021 3590 +-1048 -1585 +-1024 -1024 +986 2017 +-1814 1989 +-4048 252 +-3588 -1232 +-1757 83 +419 2558 +-1422 -4119 +-124 -476 +2475 -660 +2356 -7330 +-3422 1664 +202 3574 +-163 245 +-1670 2744 +1330 1554 +-2048 0 +1330 -1554 +-1670 -2744 +-163 -245 +202 -3574 +-3422 -1664 +2356 7330 +2475 660 +-124 476 +-1422 4119 +419 -2558 +-1757 -83 +-3588 1232 +-4048 -252 +-1814 -1989 +986 -2017 +-1024 1024 +-393 1001 +-417 87 +2551 -4846 +-2804 -2016 +-310 1771 +2921 2046 +-3133 -1698 +4220 -7716 +1192 3402 +-2800 4942 +1025 2775 +2094 5466 +330 144 +1005 531 +4757 -3961 +4096 0 +4757 3961 +1005 -531 +330 -144 +2094 -5466 +1025 -2775 +-2800 -4942 +1192 -3402 +4220 7716 +-3133 1698 +2921 -2046 +-310 -1771 +-2804 2016 +2551 4846 +-417 -87 +-393 -1001 +-1024 -1024 +986 2017 +-1814 1989 +-4048 252 +-3588 -1232 +-1757 83 +419 2558 +-1422 -4119 +-124 -476 +2475 -660 +2356 -7330 +-3422 1664 +202 3574 +-163 245 +-1670 2744 +1330 1554 +0 -2048 +-1984 1876 +-2866 1358 +-2350 -2204 +-3626 808 +-437 -3359 +302 -9323 +-435 868 +2648 424 +1983 1538 +986 -4808 +280 -4542 +-1734 4424 +1644 -4907 +2999 1740 +-1632 1076 +-4096 0 +-1632 -1076 +2999 -1740 +1644 4907 +-1734 -4424 +280 4542 +986 4808 +1983 -1538 +2648 -424 +-435 -868 +302 9323 +-437 3359 +-3626 -808 +-2350 2204 +-2866 -1358 +-1984 -1876 +0 2048 +-647 2220 +3686 1303 +2437 1655 +-2518 -1408 +2524 2677 +1407 1740 +-1384 -1354 +5544 -2472 +3621 -3592 +-1494 121 +-799 76 +-4410 -928 +-3299 574 +3173 3817 +479 1452 +-4096 0 +479 -1452 +3173 -3817 +-3299 -574 +-4410 928 +-799 -76 +-1494 -121 +3621 3592 +5544 2472 +-1384 1354 +1407 -1740 +2524 -2677 +-2518 1408 +2437 -1655 +3686 -1303 +-647 -2220 +0 -2048 +-1984 1876 +-2866 1358 +-2350 -2204 +-3626 808 +-437 -3359 +302 -9323 +-435 868 +2648 424 +1983 1538 +986 -4808 +280 -4542 +-1734 4424 +1644 -4907 +2999 1740 +-1632 1076 +-4096 -3072 +1920 -235 +-520 879 +-4247 -1732 +2284 2224 +-1166 -2428 +2602 -28 +451 -2431 +-724 -3796 +3488 741 +-4305 572 +-238 3698 +162 2454 +-1728 3498 +2651 3303 +224 2235 +-1024 0 +224 -2235 +2651 -3303 +-1728 -3498 +162 -2454 +-238 -3698 +-4305 -572 +3488 -741 +-724 3796 +451 2431 +2602 28 +-1166 2428 +2284 -2224 +-4247 1732 +-520 -879 +1920 235 +-4096 3072 +2369 -4357 +3897 -3711 +391 1176 +-2284 -776 +-1891 -5495 +334 184 +1562 537 +724 -2348 +-2605 -2396 +-327 -4512 +399 -6950 +-162 -1006 +2688 6411 +3861 -2039 +-1617 -795 +-7168 0 +-1617 795 +3861 2039 +2688 -6411 +-162 1006 +399 6950 +-327 4512 +-2605 2396 +724 2348 +1562 -537 +334 -184 +-1891 5495 +-2284 776 +391 -1176 +3897 3711 +2369 4357 +-4096 -3072 +1920 -235 +-520 879 +-4247 -1732 +2284 2224 +-1166 -2428 +2602 -28 +451 -2431 +-724 -3796 +3488 741 +-4305 572 +-238 3698 +162 2454 +-1728 3498 +2651 3303 +224 2235 +-2048 -1024 +3399 1819 +1313 5116 +-913 -2053 +802 5395 +-1375 1495 +-1159 -2373 +-3332 3971 +-2596 1324 +1664 347 +2340 647 +1428 4337 +692 5300 +2261 -295 +-2828 -4218 +-1952 -2198 +5120 0 +-1952 2198 +-2828 4218 +2261 295 +692 -5300 +1428 -4337 +2340 -647 +1664 -347 +-2596 -1324 +-3332 -3971 +-1159 2373 +-1375 -1495 +802 -5395 +-913 2053 +1313 -5116 +3399 -1819 +-2048 1024 +-2269 -2320 +-2798 1876 +85 2218 +2694 -2498 +-2048 -3606 +-993 1173 +1724 -455 +4644 2772 +5297 953 +1508 553 +-222 -1096 +-92 -2403 +784 5812 +-5575 -2774 +-4529 -520 +3072 0 +-4529 520 +-5575 2774 +784 -5812 +-92 2403 +-222 1096 +1508 -553 +5297 -953 +4644 -2772 +1724 455 +-993 -1173 +-2048 3606 +2694 2498 +85 -2218 +-2798 -1876 +-2269 2320 +-2048 -1024 +3399 1819 +1313 5116 +-913 -2053 +802 5395 +-1375 1495 +-1159 -2373 +-3332 3971 +-2596 1324 +1664 347 +2340 647 +1428 4337 +692 5300 +2261 -295 +-2828 -4218 +-1952 -2198 +-2048 -1024 +-1429 2975 +-207 4980 +-2667 1192 +-2898 -4371 +80 339 +-1753 -190 +-4085 -3555 +-300 124 +-958 -2775 +3190 3313 +-308 -726 +-1441 -6324 +414 -1280 +-4255 -3377 +-363 6712 +3072 0 +-363 -6712 +-4255 3377 +414 1280 +-1441 6324 +-308 726 +3190 -3313 +-958 2775 +-300 -124 +-4085 3555 +-1753 190 +80 -339 +-2898 4371 +-2667 -1192 +-207 -4980 +-1429 -2975 +-2048 1024 +3721 -354 +-678 564 +2313 3925 +4346 3522 +839 -261 +3097 -2458 +185 573 +-1748 -4220 +1074 -5871 +4155 -665 +-2179 1116 +-8 1379 +1507 -1484 +-3549 -2167 +1855 -1563 +5120 0 +1855 1563 +-3549 2167 +1507 1484 +-8 -1379 +-2179 -1116 +4155 665 +1074 5871 +-1748 4220 +185 -573 +3097 2458 +839 261 +4346 -3522 +2313 -3925 +-678 -564 +3721 354 +-2048 -1024 +-1429 2975 +-207 4980 +-2667 1192 +-2898 -4371 +80 339 +-1753 -190 +-4085 -3555 +-300 124 +-958 -2775 +3190 3313 +-308 -726 +-1441 -6324 +414 -1280 +-4255 -3377 +-363 6712 +0 3072 +-4047 -2697 +-1 -3215 +897 8767 +-776 3152 +-554 3773 +-75 -827 +1450 -2752 +1748 724 +1048 -1799 +-1655 -5906 +-438 -4040 +1006 1645 +-2429 -550 +2254 587 +1599 -5018 +-5120 0 +1599 5018 +2254 -587 +-2429 550 +1006 -1645 +-438 4040 +-1655 5906 +1048 1799 +1748 -724 +1450 2752 +-75 827 +-554 -3773 +-776 -3152 +897 -8767 +-1 3215 +-4047 2697 +0 -3072 +23 1447 +-2010 -4785 +4541 -4073 +2224 -5200 +-1432 2410 +1628 4155 +777 -4070 +300 -724 +-1707 -279 +-2793 -1855 +-1360 -3008 +-2454 403 +775 204 +2654 -3290 +857 322 +1024 0 +857 -322 +2654 3290 +775 -204 +-2454 -403 +-1360 3008 +-2793 1855 +-1707 279 +300 724 +777 4070 +1628 -4155 +-1432 -2410 +2224 5200 +4541 4073 +-2010 4785 +23 -1447 +0 3072 +-4047 -2697 +-1 -3215 +897 8767 +-776 3152 +-554 3773 +-75 -827 +1450 -2752 +1748 724 +1048 -1799 +-1655 -5906 +-438 -4040 +1006 1645 +-2429 -550 +2254 587 +1599 -5018 +-1024 1024 +-3749 4795 +2053 -1858 +1716 1094 +-2080 332 +687 313 +-3437 4190 +-6191 -1788 +-2596 3196 +224 -930 +-2582 -7945 +2415 -2182 +1370 1670 +-2017 3996 +-760 -275 +1221 -759 +6144 0 +1221 759 +-760 275 +-2017 -3996 +1370 -1670 +2415 2182 +-2582 7945 +224 930 +-2596 -3196 +-6191 1788 +-3437 -4190 +687 -313 +-2080 -332 +1716 -1094 +2053 1858 +-3749 -4795 +-1024 -1024 +-3491 2765 +843 1922 +509 -1168 +-2864 1116 +-203 6228 +541 -1138 +4055 59 +4644 -1148 +1233 -4951 +-314 1109 +5348 -486 +-522 -222 +-2663 706 +3657 -1357 +906 1767 +0 0 +906 -1767 +3657 1357 +-2663 -706 +-522 222 +5348 486 +-314 -1109 +1233 4951 +4644 1148 +4055 -59 +541 1138 +-203 -6228 +-2864 -1116 +509 1168 +843 -1922 +-3491 -2765 +-1024 1024 +-3749 4795 +2053 -1858 +1716 1094 +-2080 332 +687 313 +-3437 4190 +-6191 -1788 +-2596 3196 +224 -930 +-2582 -7945 +2415 -2182 +1370 1670 +-2017 3996 +-760 -275 +1221 -759 +2048 1024 +3938 -743 +-1384 726 +3716 2592 +2694 -1167 +-250 468 +-522 1401 +-5456 111 +300 724 +2131 -9727 +3407 -3221 +603 1657 +-92 -4397 +3445 1763 +268 -3068 +311 -5521 +1024 0 +311 5521 +268 3068 +3445 -1763 +-92 4397 +603 -1657 +3407 3221 +2131 9727 +300 -724 +-5456 -111 +-522 -1401 +-250 -468 +2694 1167 +3716 -2592 +-1384 -726 +3938 743 +2048 -1024 +-1865 -2451 +-3885 2170 +-569 -2205 +802 -4626 +-3132 3104 +-3197 1495 +-502 1012 +1748 -724 +1610 -295 +2010 325 +-2574 -6094 +692 -1396 +-1241 2199 +-4887 172 +-167 2768 +-1024 0 +-167 -2768 +-4887 -172 +-1241 -2199 +692 1396 +-2574 6094 +2010 -325 +1610 295 +1748 724 +-502 -1012 +-3197 -1495 +-3132 -3104 +802 4626 +-569 2205 +-3885 -2170 +-1865 2451 +2048 1024 +3938 -743 +-1384 726 +3716 2592 +2694 -1167 +-250 468 +-522 1401 +-5456 111 +300 724 +2131 -9727 +3407 -3221 +603 1657 +-92 -4397 +3445 1763 +268 -3068 +311 -5521 +-4096 -2048 +-4492 -1710 +-1188 1005 +-2786 -1969 +2172 -6302 +3151 3128 +3228 2780 +-222 3143 +-424 848 +1067 -20 +1460 2686 +6137 -2239 +-2172 2842 +-960 -1071 +3286 -3939 +806 3711 +4096 0 +806 -3711 +3286 3939 +-960 1071 +-2172 -2842 +6137 2239 +1460 -2686 +1067 20 +-424 -848 +-222 -3143 +3228 -2780 +3151 -3128 +2172 6302 +-2786 1969 +-1188 -1005 +-4492 1710 +-4096 2048 +-946 1261 +-4828 -665 +3446 1709 +2172 158 +-5608 -1258 +-1456 1344 +2020 3323 +2472 -4944 +919 -4970 +-337 -1458 +-2113 -1372 +-2172 3302 +-1267 -1276 +-166 1382 +848 4161 +0 0 +848 -4161 +-166 -1382 +-1267 1276 +-2172 -3302 +-2113 1372 +-337 1458 +919 4970 +2472 4944 +2020 -3323 +-1456 -1344 +-5608 1258 +2172 -158 +3446 -1709 +-4828 665 +-946 -1261 +-4096 -2048 +-4492 -1710 +-1188 1005 +-2786 -1969 +2172 -6302 +3151 3128 +3228 2780 +-222 3143 +-424 848 +1067 -20 +1460 2686 +6137 -2239 +-2172 2842 +-960 -1071 +3286 -3939 +806 3711 +-1024 -3072 +-1047 4209 +1473 -2971 +-2336 -3863 +-1390 -4142 +1239 -1745 +-1026 1675 +3086 1706 +5244 -1148 +-158 -4314 +-128 2443 +786 530 +-273 -1540 +999 3092 +-347 3604 +861 2696 +4096 0 +861 -2696 +-347 -3604 +999 -3092 +-273 1540 +786 -530 +-128 -2443 +-158 4314 +5244 1148 +3086 -1706 +-1026 -1675 +1239 1745 +-1390 4142 +-2336 3863 +1473 2971 +-1047 -4209 +-1024 3072 +1147 2693 +-2073 -109 +1171 -504 +4286 -2250 +-2171 1373 +-2470 -9960 +1986 -1738 +900 3196 +-451 -2399 +-3368 2058 +-6535 -2230 +-2624 -756 +1055 -2995 +-253 -2091 +368 3319 +2048 0 +368 -3319 +-253 2091 +1055 2995 +-2624 756 +-6535 2230 +-3368 -2058 +-451 2399 +900 -3196 +1986 1738 +-2470 9960 +-2171 -1373 +4286 2250 +1171 504 +-2073 109 +1147 -2693 +-1024 -3072 +-1047 4209 +1473 -2971 +-2336 -3863 +-1390 -4142 +1239 -1745 +-1026 1675 +3086 1706 +5244 -1148 +-158 -4314 +-128 2443 +786 530 +-273 -1540 +999 3092 +-347 3604 +861 2696 +-1024 -3072 +-523 -1371 +-1221 -4370 +-3079 -1053 +-2694 -1667 +843 -2640 +1945 -2868 +-1910 -262 +-724 -1324 +-2955 -1168 +-1984 3312 +3621 -6168 +92 -2996 +2976 4774 +3391 -1684 +-2206 -3000 +-2048 0 +-2206 3000 +3391 1684 +2976 -4774 +92 2996 +3621 6168 +-1984 -3312 +-2955 1168 +-724 1324 +-1910 262 +1945 2868 +843 2640 +-2694 1667 +-3079 1053 +-1221 4370 +-523 1371 +-1024 3072 +2954 549 +3934 246 +1276 2937 +-802 -4477 +327 -4130 +547 311 +-2343 2082 +724 -2772 +-152 -796 +691 -2973 +4785 964 +-692 9140 +836 -1323 +889 457 +-4450 -1606 +-4096 0 +-4450 1606 +889 -457 +836 1323 +-692 -9140 +4785 -964 +691 2973 +-152 796 +724 2772 +-2343 -2082 +547 -311 +327 4130 +-802 4477 +1276 -2937 +3934 -246 +2954 -549 +-1024 -3072 +-523 -1371 +-1221 -4370 +-3079 -1053 +-2694 -1667 +843 -2640 +1945 -2868 +-1910 -262 +-724 -1324 +-2955 -1168 +-1984 3312 +3621 -6168 +92 -2996 +2976 4774 +3391 -1684 +-2206 -3000 +4096 8192 +-1177 4019 +189 56 +-1511 -1299 +-4050 -1796 +-393 1812 +1742 904 +394 361 +-600 -1872 +3702 -678 +-1048 -2282 +-181 -744 +738 -999 +-2558 -4052 +-1636 4113 +382 1661 +4096 0 +382 -1661 +-1636 -4113 +-2558 4052 +738 999 +-181 744 +-1048 2282 +3702 678 +-600 1872 +394 -361 +1742 -904 +-393 -1812 +-4050 1796 +-1511 1299 +189 -56 +-1177 -4019 +4096 -8192 +2082 5086 +1859 2464 +-1004 -21 +-2942 7340 +-787 -581 +306 1469 +-678 4917 +-3496 3920 +1695 3500 +3096 559 +3817 2654 +-1938 -1649 +-3175 -2381 +3684 2503 +-607 -803 +-4096 0 +-607 803 +3684 -2503 +-3175 2381 +-1938 1649 +3817 -2654 +3096 -559 +1695 -3500 +-3496 -3920 +-678 -4917 +306 -1469 +-787 581 +-2942 -7340 +-1004 21 +1859 -2464 +2082 -5086 +4096 8192 +-1177 4019 +189 56 +-1511 -1299 +-4050 -1796 +-393 1812 +1742 904 +394 361 +-600 -1872 +3702 -678 +-1048 -2282 +-181 -744 +738 -999 +-2558 -4052 +-1636 4113 +382 1661 +0 -3072 +862 -3911 +-2194 767 +-5912 1088 +-248 -2765 +1716 2225 +1625 1452 +3667 1790 +-1148 -4820 +-134 -2917 +2691 2696 +871 -7438 +-2030 -1574 +-1977 -95 +502 -2701 +1985 4188 +3072 0 +1985 -4188 +502 2701 +-1977 95 +-2030 1574 +871 7438 +2691 -2696 +-134 2917 +-1148 4820 +3667 -1790 +1625 -1452 +1716 -2225 +-248 2765 +-5912 -1088 +-2194 -767 +862 3911 +0 3072 +-5937 -694 +-2594 -936 +885 2187 +-3248 -1331 +2391 -149 +487 1852 +765 598 +3196 -3372 +814 529 +989 4704 +-2523 5362 +1430 5670 +-1243 -3181 +-1506 -1563 +3769 416 +1024 0 +3769 -416 +-1506 1563 +-1243 3181 +1430 -5670 +-2523 -5362 +989 -4704 +814 -529 +3196 3372 +765 -598 +487 -1852 +2391 149 +-3248 1331 +885 -2187 +-2594 936 +-5937 694 +0 -3072 +862 -3911 +-2194 767 +-5912 1088 +-248 -2765 +1716 2225 +1625 1452 +3667 1790 +-1148 -4820 +-134 -2917 +2691 2696 +871 -7438 +-2030 -1574 +-1977 -95 +502 -2701 +1985 4188 +3072 -1024 +6616 -308 +2979 951 +1295 -4436 +-632 -2344 +-2937 -922 +1691 -5733 +2237 -2349 +-1148 3796 +3120 2002 +-1574 -778 +-6597 -176 +-78 2778 +-1035 3771 +-1036 -1214 +1630 2518 +0 0 +1630 -2518 +-1036 1214 +-1035 -3771 +-78 -2778 +-6597 176 +-1574 778 +3120 -2002 +-1148 -3796 +2237 2349 +1691 5733 +-2937 922 +-632 2344 +1295 4436 +2979 -951 +6616 308 +3072 1024 +-5865 3319 +-1870 1422 +4753 1572 +-1416 3792 +-1558 -576 +985 -883 +-524 -2086 +3196 2348 +1199 -2103 +-1102 -1742 +626 -745 +-1970 -1330 +-340 7926 +-72 -509 +-2620 2429 +-2048 0 +-2620 -2429 +-72 509 +-340 -7926 +-1970 1330 +626 745 +-1102 1742 +1199 2103 +3196 -2348 +-524 2086 +985 883 +-1558 576 +-1416 -3792 +4753 -1572 +-1870 -1422 +-5865 -3319 +3072 -1024 +6616 -308 +2979 951 +1295 -4436 +-632 -2344 +-2937 -922 +1691 -5733 +2237 -2349 +-1148 3796 +3120 2002 +-1574 -778 +-6597 -176 +-78 2778 +-1035 3771 +-1036 -1214 +1630 2518 +0 0 +-454 -1042 +1038 -2671 +6657 -1455 +-3880 130 +-2452 -3768 +-2589 2073 +-3054 -865 +3920 -5544 +2538 -242 +1219 1690 +-2051 -108 +1352 -1134 +1701 2056 +-3661 -662 +-4557 1325 +-6144 0 +-4557 -1325 +-3661 662 +1701 -2056 +1352 1134 +-2051 108 +1219 -1690 +2538 242 +3920 5544 +-3054 865 +-2589 -2073 +-2452 3768 +-3880 -130 +6657 1455 +1038 2671 +-454 1042 +0 0 +-2916 1222 +4810 -1933 +-1308 -5299 +-1664 -978 +1609 -3063 +-2487 1202 +687 1767 +-1872 -2648 +-1499 -2402 +5057 386 +2006 -484 +-4000 -3810 +-369 3222 +4806 3050 +3463 1102 +2048 0 +3463 -1102 +4806 -3050 +-369 -3222 +-4000 3810 +2006 484 +5057 -386 +-1499 2402 +-1872 2648 +687 -1767 +-2487 -1202 +1609 3063 +-1664 978 +-1308 5299 +4810 1933 +-2916 -1222 +0 0 +-454 -1042 +1038 -2671 +6657 -1455 +-3880 130 +-2452 -3768 +-2589 2073 +-3054 -865 +3920 -5544 +2538 -242 +1219 1690 +-2051 -108 +1352 -1134 +1701 2056 +-3661 -662 +-4557 1325 +1024 1024 +399 -1065 +481 6743 +-252 -2484 +2214 -1970 +1019 6258 +-383 2836 +-249 272 +-724 2772 +2483 -629 +-999 1395 +-945 2788 +1644 632 +-1806 3888 +-1324 -2463 +264 -646 +0 0 +264 646 +-1324 2463 +-1806 -3888 +1644 -632 +-945 -2788 +-999 -1395 +2483 629 +-724 -2772 +-249 -272 +-383 -2836 +1019 -6258 +2214 1970 +-252 2484 +481 -6743 +399 1065 +1024 -1024 +-1602 -4439 +1751 2326 +2395 1239 +-2813 -78 +367 -3218 +-2957 568 +377 14 +724 1324 +-3500 3682 +1443 -2583 +-4905 -2956 +-5140 1416 +-1666 5644 +1988 -1254 +7620 -4491 +6144 0 +7620 4491 +1988 1254 +-1666 -5644 +-5140 -1416 +-4905 2956 +1443 2583 +-3500 -3682 +724 -1324 +377 -14 +-2957 -568 +367 3218 +-2813 78 +2395 -1239 +1751 -2326 +-1602 4439 +1024 1024 +399 -1065 +481 6743 +-252 -2484 +2214 -1970 +1019 6258 +-383 2836 +-249 272 +-724 2772 +2483 -629 +-999 1395 +-945 2788 +1644 632 +-1806 3888 +-1324 -2463 +264 -646 +2048 -1024 +-77 -2157 +-670 887 +-901 1548 +-4600 -3536 +1566 -2993 +-1645 838 +-5922 3318 +-1924 -300 +-1633 -1046 +4459 4628 +558 -776 +3094 -3597 +-64 2997 +937 609 +3466 -2968 +-7168 0 +3466 2968 +937 -609 +-64 -2997 +3094 3597 +558 776 +4459 -4628 +-1633 1046 +-1924 300 +-5922 -3318 +-1645 -838 +1566 2993 +-4600 3536 +-901 -1548 +-670 -887 +-77 2157 +2048 1024 +3907 737 +162 -2659 +1447 109 +3752 7033 +62 4783 +2466 2282 +-2357 -155 +-6268 -1748 +776 425 +1713 1388 +-1537 4134 +1851 2997 +-1131 228 +771 515 +1840 -2236 +-5120 0 +1840 2236 +771 -515 +-1131 -228 +1851 -2997 +-1537 -4134 +1713 -1388 +776 -425 +-6268 1748 +-2357 155 +2466 -2282 +62 -4783 +3752 -7033 +1447 -109 +162 2659 +3907 -737 +2048 -1024 +-77 -2157 +-670 887 +-901 1548 +-4600 -3536 +1566 -2993 +-1645 838 +-5922 3318 +-1924 -300 +-1633 -1046 +4459 4628 +558 -776 +3094 -3597 +-64 2997 +937 609 +3466 -2968 +4096 1024 +588 -2309 +2393 -555 +-3081 119 +32 -92 +-2494 653 +-1132 851 +3750 -485 +-5069 1148 +-1544 1048 +-3495 -1259 +-4433 3972 +-3418 -802 +-239 -4166 +2756 556 +-825 -6728 +1024 0 +-825 6728 +2756 -556 +-239 4166 +-3418 802 +-4433 -3972 +-3495 1259 +-1544 -1048 +-5069 -1148 +3750 485 +-1132 -851 +-2494 -653 +32 92 +-3081 -119 +2393 555 +588 2309 +4096 -1024 +1920 -1248 +384 1627 +2481 1888 +816 692 +4403 -4462 +571 74 +-286 3942 +5069 -3196 +2544 -2575 +-4633 984 +-4156 779 +-1526 -2694 +1727 748 +3156 7508 +-354 587 +-1024 0 +-354 -587 +3156 -7508 +1727 -748 +-1526 2694 +-4156 -779 +-4633 -984 +2544 2575 +5069 3196 +-286 -3942 +571 -74 +4403 4462 +816 -692 +2481 -1888 +384 -1627 +1920 1248 +4096 1024 +588 -2309 +2393 -555 +-3081 119 +32 -92 +-2494 653 +-1132 851 +3750 -485 +-5069 1148 +-1544 1048 +-3495 -1259 +-4433 3972 +-3418 -802 +-239 -4166 +2756 556 +-825 -6728 +-4096 3072 +22 1878 +2277 852 +2828 -5587 +5010 -862 +2165 4391 +1033 4860 +-1501 -304 +-1748 2172 +268 2517 +748 416 +-354 -1479 +-3348 3308 +51 7205 +-297 -4068 +-873 6135 +3072 0 +-873 -6135 +-297 4068 +51 -7205 +-3348 -3308 +-354 1479 +748 -416 +268 -2517 +-1748 -2172 +-1501 304 +1033 -4860 +2165 -4391 +5010 862 +2828 5587 +2277 -852 +22 -1878 +-4096 -3072 +1161 -3777 +-1834 2163 +-5858 -2923 +-666 -1186 +1429 394 +1199 372 +-1292 -698 +-300 -2172 +957 1703 +-84 1920 +543 2376 +-997 -1260 +-805 175 +-3044 4187 +1257 581 +9216 0 +1257 -581 +-3044 -4187 +-805 -175 +-997 1260 +543 -2376 +-84 -1920 +957 -1703 +-300 2172 +-1292 698 +1199 -372 +1429 -394 +-666 1186 +-5858 2923 +-1834 -2163 +1161 3777 +-4096 3072 +22 1878 +2277 852 +2828 -5587 +5010 -862 +2165 4391 +1033 4860 +-1501 -304 +-1748 2172 +268 2517 +748 416 +-354 -1479 +-3348 3308 +51 7205 +-297 -4068 +-873 6135 +0 -3072 +-1434 -3163 +-1195 4266 +-1689 4150 +332 -816 +1713 76 +-5467 -4729 +-678 1951 +3796 -724 +-3114 1037 +-1152 5526 +-432 -3686 +-1670 -1526 +946 2079 +-1008 4208 +-97 -2740 +3072 0 +-97 2740 +-1008 -4208 +946 -2079 +-1670 1526 +-432 3686 +-1152 -5526 +-3114 -1037 +3796 724 +-678 -1951 +-5467 4729 +1713 -76 +332 816 +-1689 -4150 +-1195 -4266 +-1434 3163 +0 3072 +-211 1084 +-2405 -990 +-5701 1072 +1116 -32 +3713 2793 +-1489 2341 +2913 710 +2348 724 +2208 -623 +1116 -921 +-4106 -5476 +222 -3418 +-236 -3097 +3409 -2132 +6206 4207 +1024 0 +6206 -4207 +3409 2132 +-236 3097 +222 3418 +-4106 5476 +1116 921 +2208 623 +2348 -724 +2913 -710 +-1489 -2341 +3713 -2793 +1116 32 +-5701 -1072 +-2405 990 +-211 -1084 +0 -3072 +-1434 -3163 +-1195 4266 +-1689 4150 +332 -816 +1713 76 +-5467 -4729 +-678 1951 +3796 -724 +-3114 1037 +-1152 5526 +-432 -3686 +-1670 -1526 +946 2079 +-1008 4208 +-97 -2740 +2048 -1024 +-488 869 +-2221 3469 +-2939 -2770 +1610 -4346 +-770 -2189 +1128 3359 +-5323 -507 +-4820 5244 +4122 8702 +-284 -612 +1512 1736 +-3732 -8 +-4213 -1090 +4551 128 +-478 55 +-5120 0 +-478 -55 +4551 -128 +-4213 1090 +-3732 8 +1512 -1736 +-284 612 +4122 -8702 +-4820 -5244 +-5323 507 +1128 -3359 +-770 2189 +1610 4346 +-2939 2770 +-2221 -3469 +-488 -869 +2048 1024 +5177 -1132 +-1083 2259 +1991 2638 +1286 2898 +-1978 -1073 +3137 -619 +1255 1232 +-3372 900 +-2509 271 +115 1656 +557 115 +836 -1441 +47 279 +2848 -4289 +4037 2137 +1024 0 +4037 -2137 +2848 4289 +47 -279 +836 1441 +557 -115 +115 -1656 +-2509 -271 +-3372 -900 +1255 -1232 +3137 619 +-1978 1073 +1286 -2898 +1991 -2638 +-1083 -2259 +5177 1132 +2048 -1024 +-488 869 +-2221 3469 +-2939 -2770 +1610 -4346 +-770 -2189 +1128 3359 +-5323 -507 +-4820 5244 +4122 8702 +-284 -612 +1512 1736 +-3732 -8 +-4213 -1090 +4551 128 +-478 55 +0 -3072 +-1794 2766 +-3068 -3592 +-5435 -4448 +-2284 3008 +2345 2894 +-325 -2144 +-2650 -5814 +-724 300 +-4779 -1434 +1401 -3293 +2037 -2542 +-162 562 +792 6929 +-2170 1430 +-1076 1212 +-1024 0 +-1076 -1212 +-2170 -1430 +792 -6929 +-162 -562 +2037 2542 +1401 3293 +-4779 1434 +-724 -300 +-2650 5814 +-325 2144 +2345 -2894 +-2284 -3008 +-5435 4448 +-3068 3592 +-1794 -2766 +0 3072 +6745 -630 +172 -1655 +310 -293 +2284 -1560 +-438 -1530 +3221 -6428 +3379 2356 +724 1748 +-1303 -5937 +1495 513 +-1728 -630 +162 886 +2117 3570 +-726 -885 +1476 404 +1024 0 +1476 -404 +-726 885 +2117 -3570 +162 -886 +-1728 630 +1495 -513 +-1303 5937 +724 -1748 +3379 -2356 +3221 6428 +-438 1530 +2284 1560 +310 293 +172 1655 +6745 630 +0 -3072 +-1794 2766 +-3068 -3592 +-5435 -4448 +-2284 3008 +2345 2894 +-325 -2144 +-2650 -5814 +-724 300 +-4779 -1434 +1401 -3293 +2037 -2542 +-162 562 +792 6929 +-2170 1430 +-1076 1212 +1024 3072 +-746 4358 +-802 173 +-2327 458 +-5421 1560 +2790 -1372 +3103 2656 +205 -3131 +1148 -1148 +-2477 1346 +-1117 870 +697 5215 +3602 -886 +84 724 +-4397 799 +-1035 -1414 +0 0 +-1035 1414 +-4397 -799 +84 -724 +3602 886 +697 -5215 +-1117 -870 +-2477 -1346 +1148 1148 +205 3131 +3103 -2656 +2790 1372 +-5421 -1560 +-2327 -458 +-802 -173 +-746 -4358 +1024 -3072 +3349 -3000 +4846 831 +955 3339 +-2420 -3008 +-985 -2233 +6084 1024 +166 -4144 +-3196 3196 +4324 2523 +1818 -2982 +2850 -811 +143 -562 +-4063 -504 +-1344 -5588 +-3785 2331 +-6144 0 +-3785 -2331 +-1344 5588 +-4063 504 +143 562 +2850 811 +1818 2982 +4324 -2523 +-3196 -3196 +166 4144 +6084 -1024 +-985 2233 +-2420 3008 +955 -3339 +4846 -831 +3349 3000 +1024 3072 +-746 4358 +-802 173 +-2327 458 +-5421 1560 +2790 -1372 +3103 2656 +205 -3131 +1148 -1148 +-2477 1346 +-1117 870 +697 5215 +3602 -886 +84 724 +-4397 799 +-1035 -1414 +-3072 4096 +-73 -5798 +579 -3568 +-1009 1027 +1278 -4944 +-157 1870 +4669 -397 +-487 -297 +-4096 0 +2140 -168 +985 7460 +1288 2874 +-2062 -848 +2584 -831 +4437 859 +1225 590 +5120 0 +1225 -590 +4437 -859 +2584 831 +-2062 848 +1288 -2874 +985 -7460 +2140 168 +-4096 0 +-487 297 +4669 397 +-157 -1870 +1278 4944 +-1009 -1027 +579 3568 +-73 5798 +-3072 -4096 +-2819 1509 +-3320 4287 +2363 -4884 +170 -4944 +-1940 1187 +-508 -763 +-4201 2310 +-4096 0 +-348 -715 +4743 1269 +3706 -2713 +614 -848 +-1043 -129 +-3393 1557 +-1230 -1982 +1024 0 +-1230 1982 +-3393 -1557 +-1043 129 +614 848 +3706 2713 +4743 -1269 +-348 715 +-4096 0 +-4201 -2310 +-508 763 +-1940 -1187 +170 4944 +2363 4884 +-3320 -4287 +-2819 -1509 +-3072 4096 +-73 -5798 +579 -3568 +-1009 1027 +1278 -4944 +-157 1870 +4669 -397 +-487 -297 +-4096 0 +2140 -168 +985 7460 +1288 2874 +-2062 -848 +2584 -831 +4437 859 +1225 590 +0 0 +-3139 3656 +5563 2298 +2091 3889 +-640 2158 +1435 -147 +-2216 3235 +261 -97 +2472 -3496 +2862 -206 +-1458 931 +97 919 +-2976 -46 +493 -1425 +4285 -1990 +669 -3103 +4096 0 +669 3103 +4285 1990 +493 1425 +-2976 46 +97 -919 +-1458 -931 +2862 206 +2472 3496 +261 97 +-2216 -3235 +1435 147 +-640 -2158 +2091 -3889 +5563 -2298 +-3139 -3656 +0 0 +-1328 -348 +793 -2778 +1115 5965 +-2856 4834 +-4085 -3285 +-3681 2598 +5307 5216 +-424 -600 +-182 -1227 +1562 805 +-2559 425 +2376 -1154 +-4378 2069 +-4848 5606 +1343 2258 +0 0 +1343 -2258 +-4848 -5606 +-4378 -2069 +2376 1154 +-2559 -425 +1562 -805 +-182 1227 +-424 600 +5307 -5216 +-3681 -2598 +-4085 3285 +-2856 -4834 +1115 -5965 +793 2778 +-1328 348 +0 0 +-3139 3656 +5563 2298 +2091 3889 +-640 2158 +1435 -147 +-2216 3235 +261 -97 +2472 -3496 +2862 -206 +-1458 931 +97 919 +-2976 -46 +493 -1425 +4285 -1990 +669 -3103 +0 6144 +3800 4719 +-1203 2520 +-112 -2638 +314 -1748 +980 -926 +4315 -406 +2431 -1548 +-2048 -2472 +-2203 3065 +-3028 3436 +1847 -809 +-470 300 +-4280 2409 +1337 272 +400 -2796 +-2048 0 +400 2796 +1337 -272 +-4280 -2409 +-470 -300 +1847 809 +-3028 -3436 +-2203 -3065 +-2048 2472 +2431 1548 +4315 406 +980 926 +314 1748 +-112 2638 +-1203 -2520 +3800 -4719 +0 -6144 +-2515 -7512 +5349 911 +-1615 2449 +-2362 -1748 +3023 -2104 +5420 -3246 +301 1164 +-2048 424 +119 -1881 +-5508 4001 +3286 1564 +-1578 300 +-3129 1186 +1510 -2136 +-2334 1571 +2048 0 +-2334 -1571 +1510 2136 +-3129 -1186 +-1578 -300 +3286 -1564 +-5508 -4001 +119 1881 +-2048 -424 +301 -1164 +5420 3246 +3023 2104 +-2362 1748 +-1615 -2449 +5349 -911 +-2515 7512 +0 6144 +3800 4719 +-1203 2520 +-112 -2638 +314 -1748 +980 -926 +4315 -406 +2431 -1548 +-2048 -2472 +-2203 3065 +-3028 3436 +1847 -809 +-470 300 +-4280 2409 +1337 272 +400 -2796 +2048 2048 +2549 -1304 +1604 -3549 +217 2850 +3186 868 +-179 -1879 +-1100 2556 +-2065 -2190 +-3072 -1448 +4784 -2313 +-3843 -1685 +-4663 3909 +2950 1808 +4067 2053 +2634 -163 +-27 -2547 +2048 0 +-27 2547 +2634 163 +4067 -2053 +2950 -1808 +-4663 -3909 +-3843 1685 +4784 2313 +-3072 1448 +-2065 2190 +-1100 -2556 +-179 1879 +3186 -868 +217 -2850 +1604 3549 +2549 1304 +2048 -2048 +-525 -2258 +168 901 +1102 -2385 +1159 -2916 +2831 3065 +4220 2988 +-769 3855 +-3072 1448 +-383 -3902 +-2173 -3859 +-1773 2940 +-7294 240 +-1602 -4116 +-1510 2811 +-3564 -703 +6144 0 +-3564 703 +-1510 -2811 +-1602 4116 +-7294 -240 +-1773 -2940 +-2173 3859 +-383 3902 +-3072 -1448 +-769 -3855 +4220 -2988 +2831 -3065 +1159 2916 +1102 2385 +168 -901 +-525 2258 +2048 2048 +2549 -1304 +1604 -3549 +217 2850 +3186 868 +-179 -1879 +-1100 2556 +-2065 -2190 +-3072 -1448 +4784 -2313 +-3843 -1685 +-4663 3909 +2950 1808 +4067 2053 +2634 -163 +-27 -2547 +2048 -2048 +2913 -1455 +-2269 948 +3863 -3176 +1398 868 +-3629 1371 +3127 -2560 +-3022 4836 +-1024 6392 +1682 5232 +-1462 221 +-159 -1981 +3170 1808 +3281 367 +2307 -14 +1229 -3894 +-2048 0 +1229 3894 +2307 14 +3281 -367 +3170 -1808 +-159 1981 +-1462 -221 +1682 -5232 +-1024 -6392 +-3022 -4836 +3127 2560 +-3629 -1371 +1398 -868 +3863 3176 +-2269 -948 +2913 1455 +2048 2048 +1790 640 +-1531 3488 +-803 2879 +-2846 -2916 +-1839 -1079 +3998 2588 +-1117 -482 +-1024 -2296 +-3545 -566 +-2766 5103 +-1292 -256 +-1722 240 +578 5000 +-1404 -6638 +69 -4802 +2048 0 +69 4802 +-1404 6638 +578 -5000 +-1722 -240 +-1292 256 +-2766 -5103 +-3545 566 +-1024 2296 +-1117 482 +3998 -2588 +-1839 1079 +-2846 2916 +-803 -2879 +-1531 -3488 +1790 -640 +2048 -2048 +2913 -1455 +-2269 948 +3863 -3176 +1398 868 +-3629 1371 +3127 -2560 +-3022 4836 +-1024 6392 +1682 5232 +-1462 221 +-159 -1981 +3170 1808 +3281 367 +2307 -14 +1229 -3894 +1024 4096 +-2334 4505 +502 2379 +520 -1523 +1952 110 +-307 4248 +4120 -1819 +5078 -1098 +-1448 -1448 +2357 1029 +2322 1717 +2186 -2293 +1832 2002 +-1922 4571 +-1955 6212 +-438 -2140 +1024 0 +-438 2140 +-1955 -6212 +-1922 -4571 +1832 -2002 +2186 2293 +2322 -1717 +2357 -1029 +-1448 1448 +5078 1098 +4120 1819 +-307 -4248 +1952 -110 +520 1523 +502 -2379 +-2334 -4505 +1024 -4096 +-711 2321 +-4182 5281 +1088 2121 +-3400 2786 +-822 -1397 +668 -5632 +-1655 4872 +1448 1448 +-3563 1490 +-1318 4817 +4295 -1169 +-384 894 +-5038 -2094 +-158 -952 +1267 -482 +-3072 0 +1267 482 +-158 952 +-5038 2094 +-384 -894 +4295 1169 +-1318 -4817 +-3563 -1490 +1448 -1448 +-1655 -4872 +668 5632 +-822 1397 +-3400 -2786 +1088 -2121 +-4182 -5281 +-711 -2321 +1024 4096 +-2334 4505 +502 2379 +520 -1523 +1952 110 +-307 4248 +4120 -1819 +5078 -1098 +-1448 -1448 +2357 1029 +2322 1717 +2186 -2293 +1832 2002 +-1922 4571 +-1955 6212 +-438 -2140 +3072 2048 +-1564 1674 +-1646 -1541 +-2105 -500 +1748 2362 +1840 -4313 +-3278 -1331 +-304 3233 +0 0 +982 8406 +-3059 2491 +-4588 -2358 +300 -1578 +4922 -4347 +-1363 2187 +-5124 -4877 +1024 0 +-5124 4877 +-1363 -2187 +4922 4347 +300 1578 +-4588 2358 +-3059 -2491 +982 -8406 +0 0 +-304 -3233 +-3278 1331 +1840 4313 +1748 -2362 +-2105 500 +-1646 1541 +-1564 -1674 +3072 -2048 +72 -40 +-846 -1236 +183 1127 +1748 -314 +647 -4726 +-1002 771 +188 1177 +0 0 +2919 3364 +347 5638 +3669 2895 +300 -470 +-4568 2965 +2655 3725 +2833 2285 +1024 0 +2833 -2285 +2655 -3725 +-4568 -2965 +300 470 +3669 -2895 +347 -5638 +2919 -3364 +0 0 +188 -1177 +-1002 -771 +647 4726 +1748 314 +183 -1127 +-846 1236 +72 40 +3072 2048 +-1564 1674 +-1646 -1541 +-2105 -500 +1748 2362 +1840 -4313 +-3278 -1331 +-304 3233 +0 0 +982 8406 +-3059 2491 +-4588 -2358 +300 -1578 +4922 -4347 +-1363 2187 +-5124 -4877 +-2048 -2048 +4323 -6665 +-1312 295 +629 330 +84 -3086 +2035 576 +1408 2942 +-3171 3414 +4944 1024 +602 -1722 +3981 611 +3111 2919 +-3700 854 +-2296 -5105 +-4264 -4382 +-815 3005 +2048 0 +-815 -3005 +-4264 4382 +-2296 5105 +-3700 -854 +3111 -2919 +3981 -611 +602 1722 +4944 -1024 +-3171 -3414 +1408 -2942 +2035 -576 +84 3086 +629 -330 +-1312 -295 +4323 6665 +-2048 2048 +11 -859 +-1924 2876 +-5506 -2319 +-2132 -410 +-1897 6067 +5613 1027 +688 -773 +-848 1024 +3209 1154 +-2312 4557 +-2362 3355 +1652 -254 +493 349 +-1189 561 +945 248 +2048 0 +945 -248 +-1189 -561 +493 -349 +1652 254 +-2362 -3355 +-2312 -4557 +3209 -1154 +-848 -1024 +688 773 +5613 -1027 +-1897 -6067 +-2132 410 +-5506 2319 +-1924 -2876 +11 859 +-2048 -2048 +4323 -6665 +-1312 295 +629 330 +84 -3086 +2035 576 +1408 2942 +-3171 3414 +4944 1024 +602 -1722 +3981 611 +3111 2919 +-3700 854 +-2296 -5105 +-4264 -4382 +-815 3005 +-7168 -2048 +-1048 -4039 +-128 1905 +-7295 258 +3496 -854 +4716 -996 +-1659 1353 +1473 3319 +1624 -1024 +-4536 1993 +-950 1031 +2117 -2965 +600 410 +-589 15 +-1666 -1069 +1473 -372 +3072 0 +1473 372 +-1666 1069 +-589 -15 +600 -410 +2117 2965 +-950 -1031 +-4536 -1993 +1624 1024 +1473 -3319 +-1659 -1353 +4716 996 +3496 854 +-7295 -258 +-128 -1905 +-1048 4039 +-7168 2048 +-1719 1736 +-693 5051 +8388 -1658 +3496 254 +-1070 1826 +-50 1047 +-558 4132 +4520 -1024 +-842 -1222 +1458 2569 +918 2466 +600 3086 +-1392 3049 +-4506 1033 +-35 -2819 +-1024 0 +-35 2819 +-4506 -1033 +-1392 -3049 +600 -3086 +918 -2466 +1458 -2569 +-842 1222 +4520 1024 +-558 -4132 +-50 -1047 +-1070 -1826 +3496 -254 +8388 1658 +-693 -5051 +-1719 -1736 +-7168 -2048 +-1048 -4039 +-128 1905 +-7295 258 +3496 -854 +4716 -996 +-1659 1353 +1473 3319 +1624 -1024 +-4536 1993 +-950 1031 +2117 -2965 +600 410 +-589 15 +-1666 -1069 +1473 -372 +-2048 -2048 +7070 -4048 +264 597 +-3333 4916 +2786 -724 +-1500 -2180 +2484 941 +4720 5917 +2048 -424 +3740 446 +556 -1194 +-1248 -5731 +-894 -724 +552 -575 +1113 3970 +-2343 -4104 +-4096 0 +-2343 4104 +1113 -3970 +552 575 +-894 724 +-1248 5731 +556 1194 +3740 -446 +2048 424 +4720 -5917 +2484 -941 +-1500 2180 +2786 724 +-3333 -4916 +264 -597 +7070 4048 +-2048 2048 +1813 -1588 +3741 -2489 +-2277 2313 +110 -724 +-1468 1241 +-2704 -158 +844 200 +2048 2472 +-3511 -1560 +-6128 1978 +-1577 1319 +-2002 -724 +-734 -3860 +675 -5862 +-748 -570 +0 0 +-748 570 +675 5862 +-734 3860 +-2002 724 +-1577 -1319 +-6128 -1978 +-3511 1560 +2048 -2472 +844 -200 +-2704 158 +-1468 -1241 +110 724 +-2277 -2313 +3741 2489 +1813 1588 +-2048 -2048 +7070 -4048 +264 597 +-3333 4916 +2786 -724 +-1500 -2180 +2484 941 +4720 5917 +2048 -424 +3740 446 +556 -1194 +-1248 -5731 +-894 -724 +552 -575 +1113 3970 +-2343 -4104 +3072 -1024 +-5131 -4525 +3593 3859 +2314 835 +-3778 1910 +823 6842 +-536 -1710 +-1765 -2282 +-2348 -3796 +-1063 -3659 +238 -2099 +808 178 +-3548 1984 +-1422 -1406 +-1917 2172 +-3726 699 +2048 0 +-3726 -699 +-1917 -2172 +-1422 1406 +-3548 -1984 +808 -178 +238 2099 +-1063 3659 +-2348 3796 +-1765 2282 +-536 1710 +823 -6842 +-3778 -1910 +2314 -835 +3593 -3859 +-5131 4525 +3072 1024 +5307 -213 +3687 -2787 +1591 -4001 +-318 1586 +1574 545 +2704 2635 +-508 -837 +-3796 -2348 +2008 1428 +-1206 1824 +-4093 2745 +-548 -2584 +4197 -432 +1629 5892 +-914 1244 +4096 0 +-914 -1244 +1629 -5892 +4197 432 +-548 2584 +-4093 -2745 +-1206 -1824 +2008 -1428 +-3796 2348 +-508 837 +2704 -2635 +1574 -545 +-318 -1586 +1591 4001 +3687 2787 +5307 213 +3072 -1024 +-5131 -4525 +3593 3859 +2314 835 +-3778 1910 +823 6842 +-536 -1710 +-1765 -2282 +-2348 -3796 +-1063 -3659 +238 -2099 +808 178 +-3548 1984 +-1422 -1406 +-1917 2172 +-3726 699 +2048 -3072 +1888 3241 +-474 711 +-279 -4782 +-2948 1900 +-265 1889 +760 -5544 +493 -1050 +2772 1148 +-2508 -7049 +-3264 -2903 +-2057 -2813 +3178 -2114 +-1773 1819 +-3249 -5259 +4661 307 +3072 0 +4661 -307 +-3249 5259 +-1773 -1819 +3178 2114 +-2057 2813 +-3264 2903 +-2508 7049 +2772 -1148 +493 1050 +760 5544 +-265 -1889 +-2948 -1900 +-279 4782 +-474 -711 +1888 -3241 +2048 3072 +-1034 1842 +1102 1661 +5953 935 +52 -452 +-3244 -2174 +-3916 -1072 +-3088 2172 +1324 -3196 +431 -2294 +2324 383 +-465 2767 +-282 3562 +-3663 364 +-1475 3536 +4949 104 +1024 0 +4949 -104 +-1475 -3536 +-3663 -364 +-282 -3562 +-465 -2767 +2324 -383 +431 2294 +1324 3196 +-3088 -2172 +-3916 1072 +-3244 2174 +52 452 +5953 -935 +1102 -1661 +-1034 -1842 +2048 -3072 +1888 3241 +-474 711 +-279 -4782 +-2948 1900 +-265 1889 +760 -5544 +493 -1050 +2772 1148 +-2508 -7049 +-3264 -2903 +-2057 -2813 +3178 -2114 +-1773 1819 +-3249 -5259 +4661 307 +1024 6144 +2175 -2785 +-121 -1173 +-2482 4008 +1618 -1892 +679 1068 +-475 491 +1257 250 +0 3496 +-2041 2706 +-4991 -686 +2839 -954 +-834 -784 +-4005 958 +1585 4587 +6367 -1402 +13312 0 +6367 1402 +1585 -4587 +-4005 -958 +-834 784 +2839 954 +-4991 686 +-2041 -2706 +0 -3496 +1257 -250 +-475 -491 +679 -1068 +1618 1892 +-2482 -4008 +-121 1173 +2175 2785 +1024 -6144 +-1096 2929 +185 1801 +1534 -1822 +2726 1892 +-2149 1196 +-2577 2665 +938 -827 +0 600 +-393 -387 +-1845 -254 +3302 6113 +-3510 784 +-5511 -1668 +47 137 +-1414 -1350 +1024 0 +-1414 1350 +47 -137 +-5511 1668 +-3510 -784 +3302 -6113 +-1845 254 +-393 387 +0 -600 +938 827 +-2577 -2665 +-2149 -1196 +2726 -1892 +1534 1822 +185 -1801 +-1096 -2929 +1024 6144 +2175 -2785 +-121 -1173 +-2482 4008 +1618 -1892 +679 1068 +-475 491 +1257 250 +0 3496 +-2041 2706 +-4991 -686 +2839 -954 +-834 -784 +-4005 958 +1585 4587 +6367 -1402 +-4096 1024 +-394 -1265 +597 -1114 +-3084 -1141 +1794 -1670 +-2809 -1629 +-1648 -993 +6441 2179 +3372 -3196 +-260 -8383 +-444 -2719 +5458 -1286 +-5336 -1116 +-2686 -1770 +879 -2012 +-3187 4444 +5120 0 +-3187 -4444 +879 2012 +-2686 1770 +-5336 1116 +5458 1286 +-444 2719 +-260 8383 +3372 3196 +6441 -2179 +-1648 993 +-2809 1629 +1794 1670 +-3084 1141 +597 1114 +-394 1265 +-4096 -1024 +-4189 -1183 +2300 330 +3792 2051 +-98 222 +971 -2122 +-1248 -899 +1361 -2140 +4820 1148 +27 4326 +-2452 827 +-485 1631 +-4552 -332 +-1157 -1416 +2017 1228 +202 -2796 +3072 0 +202 2796 +2017 -1228 +-1157 1416 +-4552 332 +-485 -1631 +-2452 -827 +27 -4326 +4820 -1148 +1361 2140 +-1248 899 +971 2122 +-98 -222 +3792 -2051 +2300 -330 +-4189 1183 +-4096 1024 +-394 -1265 +597 -1114 +-3084 -1141 +1794 -1670 +-2809 -1629 +-1648 -993 +6441 2179 +3372 -3196 +-260 -8383 +-444 -2719 +5458 -1286 +-5336 -1116 +-2686 -1770 +879 -2012 +-3187 4444 +4096 0 +5886 -1397 +143 -9942 +1684 -1999 +1988 1918 +-2746 -2307 +1539 -177 +2177 -64 +-2472 1448 +3808 -2386 +1084 492 +107 1362 +-568 -914 +-2993 1446 +-2611 -3992 +-2933 47 +0 0 +-2933 -47 +-2611 3992 +-2993 -1446 +-568 914 +107 -1362 +1084 -492 +3808 2386 +-2472 -1448 +2177 64 +1539 177 +-2746 2307 +1988 -1918 +1684 1999 +143 9942 +5886 1397 +4096 0 +-3018 -1706 +41 -2911 +-1475 2370 +3556 3026 +-493 1125 +-6928 -1660 +2434 -575 +424 -1448 +-1738 418 +-2688 2263 +1802 -1656 +3216 1762 +-1680 5606 +1227 3924 +-823 1315 +-4096 0 +-823 -1315 +1227 -3924 +-1680 -5606 +3216 -1762 +1802 1656 +-2688 -2263 +-1738 -418 +424 1448 +2434 575 +-6928 1660 +-493 -1125 +3556 -3026 +-1475 -2370 +41 2911 +-3018 1706 +4096 0 +5886 -1397 +143 -9942 +1684 -1999 +1988 1918 +-2746 -2307 +1539 -177 +2177 -64 +-2472 1448 +3808 -2386 +1084 492 +107 1362 +-568 -914 +-2993 1446 +-2611 -3992 +-2933 47 +4096 3072 +1423 480 +767 2265 +509 1737 +-5056 -1924 +-1534 4693 +-1706 -2527 +-261 -5302 +-300 5668 +-1117 809 +-291 -213 +-1029 -1399 +-1486 -4202 +-2284 4318 +-4235 3729 +398 -369 +7168 0 +398 369 +-4235 -3729 +-2284 -4318 +-1486 4202 +-1029 1399 +-291 213 +-1117 -809 +-300 -5668 +-261 5302 +-1706 2527 +-1534 -4693 +-5056 1924 +509 -1737 +767 -2265 +1423 -480 +4096 -3072 +-2041 -2498 +4140 -2146 +4130 1449 +-488 1076 +-4469 1112 +-2742 4863 +213 929 +-1748 -1572 +2732 2699 +1844 5446 +3248 1540 +-1162 -742 +1429 1396 +2223 -713 +-1347 -1961 +5120 0 +-1347 1961 +2223 713 +1429 -1396 +-1162 742 +3248 -1540 +1844 -5446 +2732 -2699 +-1748 1572 +213 -929 +-2742 -4863 +-4469 -1112 +-488 -1076 +4130 -1449 +4140 2146 +-2041 2498 +4096 3072 +1423 480 +767 2265 +509 1737 +-5056 -1924 +-1534 4693 +-1706 -2527 +-261 -5302 +-300 5668 +-1117 809 +-291 -213 +-1029 -1399 +-1486 -4202 +-2284 4318 +-4235 3729 +398 -369 +-3072 3072 +-306 1703 +-3374 -2199 +490 2597 +-236 -1356 +-5497 -558 +-480 114 +3117 2243 +3196 -1148 +-469 -2993 +-4271 -1745 +-806 -5944 +1886 -646 +-3189 -2623 +-1176 -4290 +307 417 +-4096 0 +307 -417 +-1176 4290 +-3189 2623 +1886 646 +-806 5944 +-4271 1745 +-469 2993 +3196 1148 +3117 -2243 +-480 -114 +-5497 558 +-236 1356 +490 -2597 +-3374 2199 +-306 -1703 +-3072 -3072 +3841 4185 +3883 -1860 +-3092 -5095 +4332 -2140 +2230 25 +-340 381 +-1582 4235 +-1148 3196 +262 -344 +-1901 -4751 +4961 -3487 +2210 1246 +-890 -2979 +-532 1430 +621 1449 +6144 0 +621 -1449 +-532 -1430 +-890 2979 +2210 -1246 +4961 3487 +-1901 4751 +262 344 +-1148 -3196 +-1582 -4235 +-340 -381 +2230 -25 +4332 2140 +-3092 5095 +3883 1860 +3841 -4185 +-3072 3072 +-306 1703 +-3374 -2199 +490 2597 +-236 -1356 +-5497 -558 +-480 114 +3117 2243 +3196 -1148 +-469 -2993 +-4271 -1745 +-806 -5944 +1886 -646 +-3189 -2623 +-1176 -4290 +307 417 +-1024 1024 +-167 -2105 +3828 -4110 +-4251 586 +502 1586 +6528 -2007 +-3393 -359 +-2651 -626 +1148 1748 +124 3960 +-3459 4717 +-1497 3132 +-1056 -2584 +1178 -2008 +1537 -1252 +8 -1513 +4096 0 +8 1513 +1537 1252 +1178 2008 +-1056 2584 +-1497 -3132 +-3459 -4717 +124 -3960 +1148 -1748 +-2651 626 +-3393 359 +6528 2007 +502 -1586 +-4251 -586 +3828 4110 +-167 2105 +-1024 -1024 +1184 2026 +516 7230 +-1526 4671 +2394 1910 +1178 -2859 +-952 -764 +691 2735 +-3196 300 +269 3629 +-885 -2944 +-2425 323 +-1840 1984 +815 -4192 +2807 7268 +542 3521 +2048 0 +542 -3521 +2807 -7268 +815 4192 +-1840 -1984 +-2425 -323 +-885 2944 +269 -3629 +-3196 -300 +691 -2735 +-952 764 +1178 2859 +2394 -1910 +-1526 -4671 +516 -7230 +1184 -2026 +-1024 1024 +-167 -2105 +3828 -4110 +-4251 586 +502 1586 +6528 -2007 +-3393 -359 +-2651 -626 +1148 1748 +124 3960 +-3459 4717 +-1497 3132 +-1056 -2584 +1178 -2008 +1537 -1252 +8 -1513 +-3072 2048 +3098 3522 +-779 -2140 +-1395 -1733 +2446 -484 +2319 951 +3632 -646 +-740 -3565 +-2472 -2472 +755 865 +2545 -1246 +-3926 -1702 +-2122 144 +-1042 -74 +-3004 1356 +-862 -1928 +-3072 0 +-862 1928 +-3004 -1356 +-1042 74 +-2122 -144 +-3926 1702 +2545 1246 +755 -865 +-2472 2472 +-740 3565 +3632 646 +2319 -951 +2446 484 +-1395 1733 +-779 2140 +3098 -3522 +-3072 -2048 +-67 2204 +-1517 -2140 +-4221 3180 +-2446 1084 +1530 -5208 +2761 -646 +-2613 3766 +424 424 +3927 -7344 +3848 -1246 +964 -3884 +2122 -3640 +-22 5985 +708 1356 +2295 6765 +-3072 0 +2295 -6765 +708 -1356 +-22 -5985 +2122 3640 +964 3884 +3848 1246 +3927 7344 +424 -424 +-2613 -3766 +2761 646 +1530 5208 +-2446 -1084 +-4221 -3180 +-1517 2140 +-67 -2204 +-3072 2048 +3098 3522 +-779 -2140 +-1395 -1733 +2446 -484 +2319 951 +3632 -646 +-740 -3565 +-2472 -2472 +755 865 +2545 -1246 +-3926 -1702 +-2122 144 +-1042 -74 +-3004 1356 +-862 -1928 +-2048 -2048 +-1333 -3216 +3094 2893 +649 -762 +-275 614 +-461 1401 +608 1732 +-646 3196 +600 -3072 +2468 -4272 +2978 -2996 +-3734 -2725 +-8064 -1278 +-1972 433 +2100 558 +-470 -4234 +-4096 0 +-470 4234 +2100 -558 +-1972 -433 +-8064 1278 +-3734 2725 +2978 2996 +2468 4272 +600 3072 +-646 -3196 +608 -1732 +-461 -1401 +-275 -614 +649 762 +3094 -2893 +-1333 3216 +-2048 2048 +254 -3451 +-2155 3631 +4346 2155 +-924 -2062 +536 1891 +-1235 861 +-5623 -5603 +3496 -3072 +-1313 1185 +1746 -4299 +1203 -2231 +1072 -170 +5225 -1495 +1056 4270 +869 2680 +0 0 +869 -2680 +1056 -4270 +5225 1495 +1072 170 +1203 2231 +1746 4299 +-1313 -1185 +3496 3072 +-5623 5603 +-1235 -861 +536 -1891 +-924 2062 +4346 -2155 +-2155 -3631 +254 3451 +-2048 -2048 +-1333 -3216 +3094 2893 +649 -762 +-275 614 +-461 1401 +608 1732 +-646 3196 +600 -3072 +2468 -4272 +2978 -2996 +-3734 -2725 +-8064 -1278 +-1972 433 +2100 558 +-470 -4234 +0 -1024 +2417 -3884 +669 727 +-93 4133 +-5421 3404 +-3188 1209 +-83 3981 +1012 352 +4220 -1148 +610 4117 +-2527 419 +3199 194 +3602 -1402 +-3814 -3212 +68 -964 +5115 -427 +3072 0 +5115 427 +68 964 +-3814 3212 +3602 1402 +3199 -194 +-2527 -419 +610 -4117 +4220 1148 +1012 -352 +-83 -3981 +-3188 -1209 +-5421 -3404 +-93 -4133 +669 -727 +2417 3884 +0 1024 +-2460 1792 +6130 -543 +770 1285 +-2420 4188 +2833 -2218 +-3582 1407 +-3754 364 +-124 3196 +3460 -816 +3295 -2023 +-1956 4222 +143 -3294 +-3544 70 +-3971 2348 +-607 3319 +-3072 0 +-607 -3319 +-3971 -2348 +-3544 -70 +143 3294 +-1956 -4222 +3295 2023 +3460 816 +-124 -3196 +-3754 -364 +-3582 -1407 +2833 2218 +-2420 -4188 +770 -1285 +6130 543 +-2460 -1792 +0 -1024 +2417 -3884 +669 727 +-93 4133 +-5421 3404 +-3188 1209 +-83 3981 +1012 352 +4220 -1148 +610 4117 +-2527 419 +3199 194 +3602 -1402 +-3814 -3212 +68 -964 +5115 -427 +-4096 -1024 +-2546 -1875 +1752 -312 +2669 220 +-476 536 +-2416 -328 +-953 -4247 +-1965 -2208 +4220 900 +5775 996 +981 -2734 +-2001 -2950 +2754 138 +-699 -1982 +-4404 -1366 +-2069 -898 +-5120 0 +-2069 898 +-4404 1366 +-699 1982 +2754 -138 +-2001 2950 +981 2734 +5775 -996 +4220 -900 +-1965 2208 +-953 4247 +-2416 328 +-476 -536 +2669 -220 +1752 312 +-2546 1875 +-4096 1024 +6184 -1991 +2152 -1072 +-51 380 +2524 -4032 +-492 5878 +185 5851 +-252 -2725 +-124 5244 +907 -946 +2684 -2654 +-1772 -59 +-706 462 +-1032 8007 +-2396 1182 +-240 -383 +-3072 0 +-240 383 +-2396 -1182 +-1032 -8007 +-706 -462 +-1772 59 +2684 2654 +907 946 +-124 -5244 +-252 2725 +185 -5851 +-492 -5878 +2524 4032 +-51 -380 +2152 1072 +6184 1991 +-4096 -1024 +-2546 -1875 +1752 -312 +2669 220 +-476 536 +-2416 -328 +-953 -4247 +-1965 -2208 +4220 900 +5775 996 +981 -2734 +-2001 -2950 +2754 138 +-699 -1982 +-4404 -1366 +-2069 -898 +1024 1024 +481 -4706 +-1923 1424 +2002 61 +3672 -2609 +2791 -2029 +3768 -2897 +1716 1294 +-724 1324 +1319 1178 +2558 3957 +-217 -4227 +-3902 -4406 +-4300 896 +-1472 -4853 +-932 2328 +-2048 0 +-932 -2328 +-1472 4853 +-4300 -896 +-3902 4406 +-217 4227 +2558 -3957 +1319 -1178 +-724 -1324 +1716 -1294 +3768 2897 +2791 2029 +3672 2609 +2002 -61 +-1923 -1424 +481 4706 +1024 -1024 +-3958 -889 +-1757 2893 +2580 4591 +672 2609 +530 -1866 +1021 589 +-467 -3225 +724 2772 +-351 -1853 +-1553 -3866 +2248 6645 +-442 4406 +-5633 1876 +-640 -4815 +2193 1525 +0 0 +2193 -1525 +-640 4815 +-5633 -1876 +-442 -4406 +2248 -6645 +-1553 3866 +-351 1853 +724 -2772 +-467 3225 +1021 -589 +530 1866 +672 -2609 +2580 -4591 +-1757 -2893 +-3958 889 +1024 1024 +481 -4706 +-1923 1424 +2002 61 +3672 -2609 +2791 -2029 +3768 -2897 +1716 1294 +-724 1324 +1319 1178 +2558 3957 +-217 -4227 +-3902 -4406 +-4300 896 +-1472 -4853 +-932 2328 +-3072 -1024 +3051 -693 +2020 1573 +-2155 -957 +971 -2230 +-3076 2448 +-773 -2081 +1551 -2364 +-724 124 +-840 969 +-3284 -1348 +-3597 278 +-6708 2901 +-951 -463 +3556 5190 +-457 80 +0 0 +-457 -80 +3556 -5190 +-951 463 +-6708 -2901 +-3597 -278 +-3284 1348 +-840 -969 +-724 -124 +1551 2364 +-773 2081 +-3076 -2448 +971 2230 +-2155 957 +2020 -1573 +3051 693 +-3072 1024 +1808 -894 +6045 -1912 +1983 -362 +-1571 1879 +-1878 1482 +1048 -2043 +6240 -1065 +724 -4220 +409 -614 +4208 121 +-1026 2084 +3212 9036 +-885 -2423 +-4628 -2633 +-178 2118 +-2048 0 +-178 -2118 +-4628 2633 +-885 2423 +3212 -9036 +-1026 -2084 +4208 -121 +409 614 +724 4220 +6240 1065 +1048 2043 +-1878 -1482 +-1571 -1879 +1983 362 +6045 1912 +1808 894 +-3072 -1024 +3051 -693 +2020 1573 +-2155 -957 +971 -2230 +-3076 2448 +-773 -2081 +1551 -2364 +-724 124 +-840 969 +-3284 -1348 +-3597 278 +-6708 2901 +-951 -463 +3556 5190 +-457 80 +0 0 +3588 932 +1924 4081 +2879 -1258 +-2036 -110 +4549 -1636 +5218 -3561 +-3437 -2968 +424 600 +-2054 -1296 +-824 -537 +1633 3294 +1867 -2002 +5159 1737 +-5425 2022 +-3447 -725 +8192 0 +-3447 725 +-5425 -2022 +5159 -1737 +1867 2002 +1633 -3294 +-824 537 +-2054 1296 +424 -600 +-3437 2968 +5218 3561 +4549 1636 +-2036 110 +2879 1258 +1924 -4081 +3588 -932 +0 0 +-4476 -2500 +-2760 -297 +1720 -2526 +5532 -2786 +-515 -2148 +1766 1994 +940 1401 +-2472 3496 +-1242 2864 +-4463 -1030 +126 490 +-1268 -894 +-3966 2047 +-3628 1762 +-1458 2293 +4096 0 +-1458 -2293 +-3628 -1762 +-3966 -2047 +-1268 894 +126 -490 +-4463 1030 +-1242 -2864 +-2472 -3496 +940 -1401 +1766 -1994 +-515 2148 +5532 2786 +1720 2526 +-2760 297 +-4476 2500 +0 0 +3588 932 +1924 4081 +2879 -1258 +-2036 -110 +4549 -1636 +5218 -3561 +-3437 -2968 +424 600 +-2054 -1296 +-824 -537 +1633 3294 +1867 -2002 +5159 1737 +-5425 2022 +-3447 -725 +-5120 2048 +-2587 -3499 +3494 -979 +5197 -6134 +2786 -1592 +281 2189 +542 -2356 +74 2981 +2472 -424 +2058 458 +1867 2443 +222 -167 +-894 -2532 +-5838 -6068 +-669 -840 +729 -1909 +-9216 0 +729 1909 +-669 840 +-5838 6068 +-894 2532 +222 167 +1867 -2443 +2058 -458 +2472 424 +74 -2981 +542 2356 +281 -2189 +2786 1592 +5197 6134 +3494 979 +-2587 3499 +-5120 -2048 +2794 198 +-218 3471 +-1930 -2353 +110 2192 +3798 3528 +1846 -1924 +-3610 -1957 +-424 2472 +-2987 1455 +2738 269 +2380 1420 +-2002 -964 +1683 -1091 +-1407 2133 +-2265 5288 +-1024 0 +-2265 -5288 +-1407 -2133 +1683 1091 +-2002 964 +2380 -1420 +2738 -269 +-2987 -1455 +-424 -2472 +-3610 1957 +1846 1924 +3798 -3528 +110 -2192 +-1930 2353 +-218 -3471 +2794 -198 +-5120 2048 +-2587 -3499 +3494 -979 +5197 -6134 +2786 -1592 +281 2189 +542 -2356 +74 2981 +2472 -424 +2058 458 +1867 2443 +222 -167 +-894 -2532 +-5838 -6068 +-669 -840 +729 -1909 +-3072 3072 +-221 -2060 +-313 -922 +-693 -59 +3178 2030 +-749 571 +-350 -2168 +4064 451 +-2596 2348 +-1979 3860 +2587 5572 +-516 6 +52 -3248 +3407 714 +6500 -2616 +1153 -724 +-6144 0 +1153 724 +6500 2616 +3407 -714 +52 3248 +-516 -6 +2587 -5572 +-1979 -3860 +-2596 -2348 +4064 -451 +-350 2168 +-749 -571 +3178 -2030 +-693 59 +-313 922 +-221 2060 +-3072 -3072 +-844 227 +25 1418 +-2660 -2143 +-282 -1430 +3780 687 +-617 5028 +-215 2222 +4644 3796 +-982 -2516 +-419 -1512 +1949 2141 +-2948 -248 +1275 3764 +780 -3881 +-6769 3356 +-8192 0 +-6769 -3356 +780 3881 +1275 -3764 +-2948 248 +1949 -2141 +-419 1512 +-982 2516 +4644 -3796 +-215 -2222 +-617 -5028 +3780 -687 +-282 1430 +-2660 2143 +25 -1418 +-844 -227 +-3072 3072 +-221 -2060 +-313 -922 +-693 -59 +3178 2030 +-749 571 +-350 -2168 +4064 451 +-2596 2348 +-1979 3860 +2587 5572 +-516 6 +52 -3248 +3407 714 +6500 -2616 +1153 -724 +-2048 -4096 +-722 -337 +2383 2395 +-1119 -3116 +3066 -1808 +-259 -5289 +585 -2151 +4113 -2017 +-424 -2048 +641 1091 +-2156 512 +-2910 6176 +-2282 2916 +-2724 1697 +818 1113 +988 -4184 +0 0 +988 4184 +818 -1113 +-2724 -1697 +-2282 -2916 +-2910 -6176 +-2156 -512 +641 -1091 +-424 2048 +4113 2017 +585 2151 +-259 5289 +3066 1808 +-1119 3116 +2383 -2395 +-722 337 +-2048 4096 +1412 2381 +-151 1729 +2467 -219 +4175 -240 +3595 -3485 +-3925 4707 +-1351 2797 +2472 -2048 +-4970 736 +2600 -852 +3358 4074 +-4958 -868 +-2408 -5784 +-154 115 +-111 3882 +0 0 +-111 -3882 +-154 -115 +-2408 5784 +-4958 868 +3358 -4074 +2600 852 +-4970 -736 +2472 2048 +-1351 -2797 +-3925 -4707 +3595 3485 +4175 240 +2467 219 +-151 -1729 +1412 -2381 +-2048 -4096 +-722 -337 +2383 2395 +-1119 -3116 +3066 -1808 +-259 -5289 +585 -2151 +4113 -2017 +-424 -2048 +641 1091 +-2156 512 +-2910 6176 +-2282 2916 +-2724 1697 +818 1113 +988 -4184 +0 0 +-456 -5616 +-3105 -1975 +-407 806 +580 854 +2878 655 +4597 -38 +-2557 2910 +-3496 -424 +-1874 -1195 +618 -1497 +-1954 -4715 +360 -410 +-490 -332 +-4951 -2941 +2223 -2035 +6144 0 +2223 2035 +-4951 2941 +-490 332 +360 410 +-1954 4715 +618 1497 +-1874 1195 +-3496 424 +-2557 -2910 +4597 38 +2878 -655 +580 -854 +-407 -806 +-3105 1975 +-456 5616 +0 0 +1206 -3382 +-131 3579 +4741 6301 +4364 -254 +933 2778 +2423 222 +754 -1810 +-600 2472 +-3003 -6264 +1051 2881 +-528 5564 +-1208 -3086 +620 2455 +-502 -2447 +-2085 -1538 +-6144 0 +-2085 1538 +-502 2447 +620 -2455 +-1208 3086 +-528 -5564 +1051 -2881 +-3003 6264 +-600 -2472 +754 1810 +2423 -222 +933 -2778 +4364 254 +4741 -6301 +-131 -3579 +1206 3382 +0 0 +-456 -5616 +-3105 -1975 +-407 806 +580 854 +2878 655 +4597 -38 +-2557 2910 +-3496 -424 +-1874 -1195 +618 -1497 +-1954 -4715 +360 -410 +-490 -332 +-4951 -2941 +2223 -2035 +2048 4096 +4138 3726 +2879 2523 +-242 -1316 +-1252 894 +-614 726 +-711 57 +3430 792 +2472 -600 +-3805 1612 +-1103 -465 +-5518 -1184 +3759 110 +4566 -515 +-1043 1907 +4485 30 +2048 0 +4485 -30 +-1043 -1907 +4566 515 +3759 -110 +-5518 1184 +-1103 465 +-3805 -1612 +2472 600 +3430 -792 +-711 -57 +-614 -726 +-1252 -894 +-242 1316 +2879 -2523 +4138 -3726 +2048 -4096 +2851 -1317 +-6819 2829 +-182 751 +4749 2002 +-8538 2114 +-2121 2160 +2664 817 +-424 -3496 +-930 -3 +-161 2682 +-1827 4025 +-3160 2786 +769 -50 +887 3445 +-1248 2378 +-2048 0 +-1248 -2378 +887 -3445 +769 50 +-3160 -2786 +-1827 -4025 +-161 -2682 +-930 3 +-424 3496 +2664 -817 +-2121 -2160 +-8538 -2114 +4749 -2002 +-182 -751 +-6819 -2829 +2851 1317 +2048 4096 +4138 3726 +2879 2523 +-242 -1316 +-1252 894 +-614 726 +-711 57 +3430 792 +2472 -600 +-3805 1612 +-1103 -465 +-5518 -1184 +3759 110 +4566 -515 +-1043 1907 +4485 30 +2048 2048 +-1751 -4156 +-4644 2924 +-2516 3767 +954 1208 +282 1681 +-3280 -2670 +4874 2911 +4520 3496 +-1569 3325 +1971 2364 +-516 -1794 +2506 580 +658 -2192 +-4717 -822 +-1013 -3324 +0 0 +-1013 3324 +-4717 822 +658 2192 +2506 -580 +-516 1794 +1971 -2364 +-1569 -3325 +4520 -3496 +4874 -2911 +-3280 2670 +282 -1681 +954 -1208 +-2516 -3767 +-4644 -2924 +-1751 4156 +2048 -2048 +908 -7583 +-745 -2325 +1422 -3731 +495 -360 +-2649 7010 +1897 -827 +475 -925 +1624 600 +2901 1765 +-1787 1132 +1554 669 +-3954 4364 +-4027 -1795 +3113 222 +968 482 +0 0 +968 -482 +3113 -222 +-4027 1795 +-3954 -4364 +1554 -669 +-1787 -1132 +2901 -1765 +1624 -600 +475 925 +1897 827 +-2649 -7010 +495 360 +1422 3731 +-745 2325 +908 7583 +2048 2048 +-1751 -4156 +-4644 2924 +-2516 3767 +954 1208 +282 1681 +-3280 -2670 +4874 2911 +4520 3496 +-1569 3325 +1971 2364 +-516 -1794 +2506 580 +658 -2192 +-4717 -822 +-1013 -3324 +-4096 -3072 +2115 -2703 +1661 -1226 +-412 498 +248 717 +-1925 2314 +-2491 2844 +-2208 1137 +1748 -1572 +-587 2340 +-3528 2739 +-3514 83 +2030 3622 +1122 3970 +-368 234 +-28 -4145 +-5120 0 +-28 4145 +-368 -234 +1122 -3970 +2030 -3622 +-3514 -83 +-3528 -2739 +-587 -2340 +1748 1572 +-2208 -1137 +-2491 -2844 +-1925 -2314 +248 -717 +-412 -498 +1661 1226 +2115 2703 +-4096 3072 +2214 1434 +452 -1931 +-1345 -1647 +3248 -717 +4324 7302 +1487 1880 +-3008 -3707 +300 5668 +1131 -3710 +-1260 -2111 +-4916 2540 +-1430 -3622 +873 1874 +4048 705 +6164 1677 +1024 0 +6164 -1677 +4048 -705 +873 -1874 +-1430 3622 +-4916 -2540 +-1260 2111 +1131 3710 +300 -5668 +-3008 3707 +1487 -1880 +4324 -7302 +3248 717 +-1345 1647 +452 1931 +2214 -1434 +-4096 -3072 +2115 -2703 +1661 -1226 +-412 498 +248 717 +-1925 2314 +-2491 2844 +-2208 1137 +1748 -1572 +-587 2340 +-3528 2739 +-3514 83 +2030 3622 +1122 3970 +-368 234 +-28 -4145 +-2048 0 +1281 1825 +-2474 5173 +-1535 -1465 +-1762 144 +-876 1770 +-358 -3104 +481 -1563 +2048 -3920 +-1362 -3941 +-481 4393 +7145 3360 +1918 1084 +1661 2618 +4631 -1076 +-100 -2576 +0 0 +-100 2576 +4631 1076 +1661 -2618 +1918 -1084 +7145 -3360 +-481 -4393 +-1362 3941 +2048 3920 +481 1563 +-358 3104 +-876 -1770 +-1762 -144 +-1535 1465 +-2474 -5173 +1281 -1825 +-2048 0 +-3849 -2507 +306 4939 +-4898 3993 +914 -3640 +-114 -1283 +646 781 +4693 1014 +2048 1872 +2188 -392 +-6799 -1421 +764 -1306 +3026 -484 +-2147 1478 +-3663 100 +-3333 -1889 +4096 0 +-3333 1889 +-3663 -100 +-2147 -1478 +3026 484 +764 1306 +-6799 1421 +2188 392 +2048 -1872 +4693 -1014 +646 -781 +-114 1283 +914 3640 +-4898 -3993 +306 -4939 +-3849 2507 +-2048 0 +1281 1825 +-2474 5173 +-1535 -1465 +-1762 144 +-876 1770 +-358 -3104 +481 -1563 +2048 -3920 +-1362 -3941 +-481 4393 +7145 3360 +1918 1084 +1661 2618 +4631 -1076 +-100 -2576 +2048 1024 +-2106 4063 +-1534 -961 +3113 -6439 +692 1656 +1062 -1772 +-617 -1501 +-1334 -1474 +-900 1324 +-4222 4794 +-2247 3227 +1810 -2147 +2694 -1102 +1140 4266 +424 -4468 +121 2535 +-1024 0 +121 -2535 +424 4468 +1140 -4266 +2694 1102 +1810 2147 +-2247 -3227 +-4222 -4794 +-900 -1324 +-1334 1474 +-617 1501 +1062 1772 +692 -1656 +3113 6439 +-1534 961 +-2106 -4063 +2048 -1024 +63 -4271 +2318 -1234 +1411 -513 +-92 2440 +-281 -1960 +-1275 -5439 +-85 169 +-5244 2772 +528 451 +4139 -6072 +-5047 -6361 +802 -2994 +2584 -2009 +-1207 -1823 +1242 1409 +1024 0 +1242 -1409 +-1207 1823 +2584 2009 +802 2994 +-5047 6361 +4139 6072 +528 -451 +-5244 -2772 +-85 -169 +-1275 5439 +-281 1960 +-92 -2440 +1411 513 +2318 1234 +63 4271 +2048 1024 +-2106 4063 +-1534 -961 +3113 -6439 +692 1656 +1062 -1772 +-617 -1501 +-1334 -1474 +-900 1324 +-4222 4794 +-2247 3227 +1810 -2147 +2694 -1102 +1140 4266 +424 -4468 +121 2535 +-3072 1024 +3674 3884 +-3916 -2579 +-1821 -5306 +-222 -2394 +-1182 -2955 +2005 553 +-2257 -4726 +-124 -6268 +1490 88 +2261 3276 +-2051 3947 +-332 -1840 +3639 -2612 +-2009 -3045 +-712 -7053 +2048 0 +-712 7053 +-2009 3045 +3639 2612 +-332 1840 +-2051 -3947 +2261 -3276 +1490 -88 +-124 6268 +-2257 4726 +2005 -553 +-1182 2955 +-222 2394 +-1821 5306 +-3916 2579 +3674 -3884 +-3072 -1024 +-2536 466 +1804 1471 +-188 -967 +1670 -502 +1582 46 +-1001 2123 +3960 3825 +4220 -1924 +-3192 1332 +2528 -601 +1651 -2024 +-1116 -1056 +-1630 -2224 +-1671 1937 +-426 -6054 +-4096 0 +-426 6054 +-1671 -1937 +-1630 2224 +-1116 1056 +1651 2024 +2528 601 +-3192 -1332 +4220 1924 +3960 -3825 +-1001 -2123 +1582 -46 +1670 502 +-188 967 +1804 -1471 +-2536 -466 +-3072 1024 +3674 3884 +-3916 -2579 +-1821 -5306 +-222 -2394 +-1182 -2955 +2005 553 +-2257 -4726 +-124 -6268 +1490 88 +2261 3276 +-2051 3947 +-332 -1840 +3639 -2612 +-2009 -3045 +-712 -7053 +1024 -1024 +-633 -1023 +1127 5240 +-887 3092 +1056 -692 +-1079 -196 +-4461 -767 +-3727 4181 +-3796 1748 +2563 1343 +895 511 +-192 -1418 +-2394 2694 +513 -1796 +5308 -3333 +2727 24 +4096 0 +2727 -24 +5308 3333 +513 1796 +-2394 -2694 +-192 1418 +895 -511 +2563 -1343 +-3796 -1748 +-3727 -4181 +-4461 767 +-1079 196 +1056 692 +-887 -3092 +1127 -5240 +-633 1023 +1024 1024 +-4036 2876 +-5031 -480 +3955 -3531 +1840 92 +-1047 -1498 +5230 -3773 +-1303 -640 +-2348 300 +4035 -5683 +-4560 244 +-1466 5388 +-502 802 +202 -1171 +1492 -2995 +374 2140 +6144 0 +374 -2140 +1492 2995 +202 1171 +-502 -802 +-1466 -5388 +-4560 -244 +4035 5683 +-2348 -300 +-1303 640 +5230 3773 +-1047 1498 +1840 -92 +3955 3531 +-5031 480 +-4036 -2876 +1024 -1024 +-633 -1023 +1127 5240 +-887 3092 +1056 -692 +-1079 -196 +-4461 -767 +-3727 4181 +-3796 1748 +2563 1343 +895 511 +-192 -1418 +-2394 2694 +513 -1796 +5308 -3333 +2727 24 +1024 -1024 +-1030 -3384 +-7224 -295 +-1846 907 +1970 -1345 +4361 -6281 +1143 -4206 +-4751 1035 +300 -4644 +3147 1033 +1074 5077 +-2930 1590 +632 3452 +-3352 2497 +-749 1013 +6706 -3860 +2048 0 +6706 3860 +-749 -1013 +-3352 -2497 +632 -3452 +-2930 -1590 +1074 -5077 +3147 -1033 +300 4644 +-4751 -1035 +1143 4206 +4361 6281 +1970 1345 +-1846 -907 +-7224 295 +-1030 3384 +1024 1024 +-3584 2484 +-1504 2279 +2714 -1880 +78 -103 +531 2467 +-1863 -894 +-1463 473 +1748 2596 +-2285 -1742 +1341 3807 +256 -52 +1416 -4900 +268 1882 +-411 -1429 +3260 743 +0 0 +3260 -743 +-411 1429 +268 -1882 +1416 4900 +256 52 +1341 -3807 +-2285 1742 +1748 -2596 +-1463 -473 +-1863 894 +531 -2467 +78 103 +2714 1880 +-1504 -2279 +-3584 -2484 +1024 -1024 +-1030 -3384 +-7224 -295 +-1846 907 +1970 -1345 +4361 -6281 +1143 -4206 +-4751 1035 +300 -4644 +3147 1033 +1074 5077 +-2930 1590 +632 3452 +-3352 2497 +-749 1013 +6706 -3860 +-1024 -5120 +-3529 -447 +742 3642 +1827 -2882 +-222 3178 +1226 -482 +341 4646 +-2577 -681 +-724 -4820 +72 7478 +-3154 6640 +-3084 223 +-332 -52 +1114 2555 +2381 -1180 +2623 2918 +2048 0 +2623 -2918 +2381 1180 +1114 -2555 +-332 52 +-3084 -223 +-3154 -6640 +72 -7478 +-724 4820 +-2577 681 +341 -4646 +1226 482 +-222 -3178 +1827 2882 +742 -3642 +-3529 447 +-1024 5120 +1826 -6492 +-1526 -3183 +-1427 -5150 +1670 -282 +783 4513 +1551 1814 +3715 -111 +724 -3372 +-1210 737 +1262 -180 +1075 -1647 +-1116 2948 +-1514 3735 +-1597 1639 +-920 2544 +0 0 +-920 -2544 +-1597 -1639 +-1514 -3735 +-1116 -2948 +1075 1647 +1262 180 +-1210 -737 +724 3372 +3715 111 +1551 -1814 +783 -4513 +1670 282 +-1427 5150 +-1526 3183 +1826 6492 +-1024 -5120 +-3529 -447 +742 3642 +1827 -2882 +-222 3178 +1226 -482 +341 4646 +-2577 -681 +-724 -4820 +72 7478 +-3154 6640 +-3084 223 +-332 -52 +1114 2555 +2381 -1180 +2623 2918 +0 -2048 +-1344 1453 +-2361 -428 +-1438 -898 +-1282 3196 +2417 2195 +430 -2736 +-2854 -4128 +0 1624 +-1801 -2660 +3220 -2426 +2121 3167 +182 1148 +-1047 3110 +-536 3029 +1828 2446 +-2048 0 +1828 -2446 +-536 -3029 +-1047 -3110 +182 -1148 +2121 -3167 +3220 2426 +-1801 2660 +0 -1624 +-2854 4128 +430 2736 +2417 -2195 +-1282 -3196 +-1438 898 +-2361 428 +-1344 -1453 +0 2048 +1563 273 +-4031 1980 +-2063 2861 +4529 3196 +1883 1132 +1866 -2171 +4910 5188 +0 4520 +-1824 -64 +-924 415 +-2638 1727 +8859 1148 +764 421 +-5857 1420 +-479 -4503 +-6144 0 +-479 4503 +-5857 -1420 +764 -421 +8859 -1148 +-2638 -1727 +-924 -415 +-1824 64 +0 -4520 +4910 -5188 +1866 2171 +1883 -1132 +4529 -3196 +-2063 -2861 +-4031 -1980 +1563 -273 +0 -2048 +-1344 1453 +-2361 -428 +-1438 -898 +-1282 3196 +2417 2195 +430 -2736 +-2854 -4128 +0 1624 +-1801 -2660 +3220 -2426 +2121 3167 +182 1148 +-1047 3110 +-536 3029 +1828 2446 +-3072 3072 +-478 579 +-4667 -1387 +913 -1678 +-327 -4032 +-4483 -1524 +2232 -1183 +-53 -3346 +300 -2348 +-4457 1393 +9 -1012 +2786 391 +-7782 462 +-5432 -1682 +1316 5365 +3678 2313 +4096 0 +3678 -2313 +1316 -5365 +-5432 1682 +-7782 -462 +2786 -391 +9 1012 +-4457 -1393 +300 2348 +-53 3346 +2232 1183 +-4483 1524 +-327 4032 +913 1678 +-4667 1387 +-478 -579 +-3072 -3072 +2280 -387 +2591 -4001 +1569 360 +2024 536 +149 -2823 +2372 2567 +-85 -3940 +1748 -3796 +3708 3353 +2379 1195 +-2915 -1192 +-2106 138 +1622 -1883 +1960 -3761 +1200 4119 +-2048 0 +1200 -4119 +1960 3761 +1622 1883 +-2106 -138 +-2915 1192 +2379 -1195 +3708 -3353 +1748 3796 +-85 3940 +2372 -2567 +149 2823 +2024 -536 +1569 -360 +2591 4001 +2280 387 +-3072 3072 +-478 579 +-4667 -1387 +913 -1678 +-327 -4032 +-4483 -1524 +2232 -1183 +-53 -3346 +300 -2348 +-4457 1393 +9 -1012 +2786 391 +-7782 462 +-5432 -1682 +1316 5365 +3678 2313 +1024 -3072 +1398 714 +3845 2972 +733 -1557 +2974 1006 +33 -2535 +-4230 -671 +-2143 -255 +-1148 2596 +-2224 3239 +247 3542 +2969 1352 +-1480 -2224 +-3615 2606 +-1254 -3066 +1678 1625 +4096 0 +1678 -1625 +-1254 3066 +-3615 -2606 +-1480 2224 +2969 -1352 +247 -3542 +-2224 -3239 +-1148 -2596 +-2143 255 +-4230 671 +33 2535 +2974 -1006 +733 1557 +3845 -2972 +1398 -714 +1024 3072 +3143 -3725 +-5893 -1877 +-2343 -2134 +4866 -2454 +4184 -4796 +2182 34 +-3874 1928 +3196 -4644 +5106 1569 +-2295 4013 +383 -1114 +-2264 776 +-2343 1272 +-794 -4031 +-3084 -1501 +-2048 0 +-3084 1501 +-794 4031 +-2343 -1272 +-2264 -776 +383 1114 +-2295 -4013 +5106 -1569 +3196 4644 +-3874 -1928 +2182 -34 +4184 4796 +4866 2454 +-2343 2134 +-5893 1877 +3143 3725 +1024 -3072 +1398 714 +3845 2972 +733 -1557 +2974 1006 +33 -2535 +-4230 -671 +-2143 -255 +-1148 2596 +-2224 3239 +247 3542 +2969 1352 +-1480 -2224 +-3615 2606 +-1254 -3066 +1678 1625 +2048 2048 +-1319 1072 +4899 -175 +2574 -853 +-240 -2302 +-78 -1043 +5212 -3333 +575 1440 +-4944 1024 +-1492 -1291 +221 1627 +4667 -263 +868 -1038 +268 -3281 +-15 1545 +-1941 2769 +2048 0 +-1941 -2769 +-15 -1545 +268 3281 +868 1038 +4667 263 +221 -1627 +-1492 1291 +-4944 -1024 +575 -1440 +5212 3333 +-78 1043 +-240 2302 +2574 853 +4899 175 +-1319 -1072 +2048 -2048 +3392 -4667 +-3191 -2277 +3385 1237 +-1808 -1194 +-4804 3836 +960 -1795 +1157 -4470 +848 1024 +-4465 2045 +599 1933 +2223 1488 +-2916 1638 +3350 2097 +-494 4692 +-7493 -2580 +-6144 0 +-7493 2580 +-494 -4692 +3350 -2097 +-2916 -1638 +2223 -1488 +599 -1933 +-4465 -2045 +848 -1024 +1157 4470 +960 1795 +-4804 -3836 +-1808 1194 +3385 -1237 +-3191 2277 +3392 4667 +2048 2048 +-1319 1072 +4899 -175 +2574 -853 +-240 -2302 +-78 -1043 +5212 -3333 +575 1440 +-4944 1024 +-1492 -1291 +221 1627 +4667 -263 +868 -1038 +268 -3281 +-15 1545 +-1941 2769 +-3072 3072 +9106 2660 +-3554 859 +-8384 1471 +-3308 -1376 +-1475 -879 +1164 -2284 +-119 -2449 +1748 -300 +-861 -1739 +1073 -248 +-1502 2043 +-1186 2490 +692 -66 +1558 -2969 +580 -10 +-6144 0 +580 10 +1558 2969 +692 66 +-1186 -2490 +-1502 -2043 +1073 248 +-861 1739 +1748 300 +-119 2449 +1164 2284 +-1475 879 +-3308 1376 +-8384 -1471 +-3554 -859 +9106 -2660 +-3072 -3072 +-2302 3298 +251 -1643 +-870 -3441 +1260 1624 +-1357 -2268 +3101 392 +-1504 2593 +300 -1748 +2925 -334 +-1242 -1645 +758 162 +-862 5950 +552 3448 +5842 2186 +3761 3751 +0 0 +3761 -3751 +5842 -2186 +552 -3448 +-862 -5950 +758 -162 +-1242 1645 +2925 334 +300 1748 +-1504 -2593 +3101 -392 +-1357 2268 +1260 -1624 +-870 3441 +251 1643 +-2302 -3298 +-3072 3072 +9106 2660 +-3554 859 +-8384 1471 +-3308 -1376 +-1475 -879 +1164 -2284 +-119 -2449 +1748 -300 +-861 -1739 +1073 -248 +-1502 2043 +-1186 2490 +692 -66 +1558 -2969 +580 -10 +3072 2048 +-1291 -1999 +5358 1653 +4001 -1722 +3425 -1722 +-3051 716 +-779 -679 +4997 3548 +-1624 1024 +3057 -3871 +1162 -157 +-2270 1344 +-5932 -1398 +-536 904 +4835 2269 +-438 -2750 +1024 0 +-438 2750 +4835 -2269 +-536 -904 +-5932 1398 +-2270 -1344 +1162 157 +3057 3871 +-1624 -1024 +4997 -3548 +-779 679 +-3051 -716 +3425 1722 +4001 1722 +5358 -1653 +-1291 1999 +3072 -2048 +4794 -2851 +1153 1347 +1336 1017 +-2576 3170 +-6602 -244 +-3854 -2781 +-965 -2584 +-4520 1024 +1839 4836 +1774 -3303 +-1437 -873 +987 2846 +-3026 -1609 +-1458 732 +-407 -2099 +-3072 0 +-407 2099 +-1458 -732 +-3026 1609 +987 -2846 +-1437 873 +1774 3303 +1839 -4836 +-4520 -1024 +-965 2584 +-3854 2781 +-6602 244 +-2576 -3170 +1336 -1017 +1153 -1347 +4794 2851 +3072 2048 +-1291 -1999 +5358 1653 +4001 -1722 +3425 -1722 +-3051 716 +-779 -679 +4997 3548 +-1624 1024 +3057 -3871 +1162 -157 +-2270 1344 +-5932 -1398 +-536 904 +4835 2269 +-438 -2750 +0 1024 +-512 -2270 +2047 -5730 +1716 3399 +366 138 +809 1898 +-945 -937 +4179 -4563 +5069 2596 +384 -536 +-1708 -766 +-3116 -86 +-751 -4032 +-2085 -2375 +-317 902 +4954 -1359 +5120 0 +4954 1359 +-317 -902 +-2085 2375 +-751 4032 +-3116 86 +-1708 766 +384 536 +5069 -2596 +4179 4563 +-945 937 +809 -1898 +366 -138 +1716 -3399 +2047 5730 +-512 2270 +0 -1024 +447 2744 +3185 -1446 +-1581 -4044 +-5310 462 +977 2908 +1064 -3252 +-2347 -2434 +-5069 -4644 +-3104 -1035 +-1308 1171 +-3133 -91 +1600 536 +621 -854 +-2019 4707 +1792 -6726 +3072 0 +1792 6726 +-2019 -4707 +621 854 +1600 -536 +-3133 91 +-1308 -1171 +-3104 1035 +-5069 4644 +-2347 2434 +1064 3252 +977 -2908 +-5310 -462 +-1581 4044 +3185 1446 +447 -2744 +0 1024 +-512 -2270 +2047 -5730 +1716 3399 +366 138 +809 1898 +-945 -937 +4179 -4563 +5069 2596 +384 -536 +-1708 -766 +-3116 -86 +-751 -4032 +-2085 -2375 +-317 902 +4954 -1359 +0 1024 +1594 -1514 +1093 -147 +-1100 -653 +-5416 -1176 +-946 -1308 +-658 3370 +-3164 1625 +300 -2772 +370 -3301 +5114 -331 +-181 1316 +-5850 2838 +4606 4760 +6232 -4463 +3413 -2228 +5120 0 +3413 2228 +6232 4463 +4606 -4760 +-5850 -2838 +-181 -1316 +5114 331 +370 3301 +300 2772 +-3164 -1625 +-658 -3370 +-946 1308 +-5416 1176 +-1100 653 +1093 147 +1594 1514 +0 -1024 +1176 -524 +-717 -1850 +-3248 -2329 +720 1176 +611 -2905 +134 3770 +-3057 1586 +1748 -1324 +500 4295 +-6287 1678 +2733 -177 +-1742 -2838 +-2475 -2390 +3281 -3326 +-831 -2026 +-1024 0 +-831 2026 +3281 3326 +-2475 2390 +-1742 2838 +2733 177 +-6287 -1678 +500 -4295 +1748 1324 +-3057 -1586 +134 -3770 +611 2905 +720 -1176 +-3248 2329 +-717 1850 +1176 524 +0 1024 +1594 -1514 +1093 -147 +-1100 -653 +-5416 -1176 +-946 -1308 +-658 3370 +-3164 1625 +300 -2772 +370 -3301 +5114 -331 +-181 1316 +-5850 2838 +4606 4760 +6232 -4463 +3413 -2228 +-4096 -1024 +5350 688 +2778 -103 +-3270 -2129 +1056 2380 +95 -864 +2525 -2546 +-544 -6987 +-724 -3196 +1307 2728 +205 -456 +3090 22 +-2394 -378 +2705 -32 +-397 -3421 +-7317 -1860 +-1024 0 +-7317 1860 +-397 3421 +2705 32 +-2394 378 +3090 -22 +205 456 +1307 -2728 +-724 3196 +-544 6987 +2525 2546 +95 864 +1056 -2380 +-3270 2129 +2778 103 +5350 -688 +-4096 1024 +-556 -3619 +1946 -3837 +-1338 2069 +1840 3164 +4955 316 +-1586 5378 +-3986 1725 +724 1148 +2543 258 +2952 -809 +108 4543 +-502 -2270 +-553 -707 +-231 3577 +-2591 1385 +-7168 0 +-2591 -1385 +-231 -3577 +-553 707 +-502 2270 +108 -4543 +2952 809 +2543 -258 +724 -1148 +-3986 -1725 +-1586 -5378 +4955 -316 +1840 -3164 +-1338 -2069 +1946 3837 +-556 3619 +-4096 -1024 +5350 688 +2778 -103 +-3270 -2129 +1056 2380 +95 -864 +2525 -2546 +-544 -6987 +-724 -3196 +1307 2728 +205 -456 +3090 22 +-2394 -378 +2705 -32 +-397 -3421 +-7317 -1860 +2048 1024 +-5721 -3782 +1242 -484 +676 502 +-3562 4682 +-851 -1071 +-1473 -6772 +2087 -2855 +-548 -2172 +-2209 155 +-191 553 +-1681 -228 +1900 660 +-2542 218 +-3811 -1723 +1562 1079 +1024 0 +1562 -1079 +-3811 1723 +-2542 -218 +1900 -660 +-1681 228 +-191 -553 +-2209 -155 +-548 2172 +2087 2855 +-1473 6772 +-851 1071 +-3562 -4682 +676 -502 +1242 484 +-5721 3782 +2048 -1024 +734 -552 +-5522 -3159 +-100 -5380 +2114 4358 +3805 933 +2765 -1617 +1826 373 +6692 2172 +4976 -3966 +-2301 -1949 +-2601 978 +-452 -3908 +-2498 1585 +1099 -3120 +2538 -950 +-1024 0 +2538 950 +1099 3120 +-2498 -1585 +-452 3908 +-2601 -978 +-2301 1949 +4976 3966 +6692 -2172 +1826 -373 +2765 1617 +3805 -933 +2114 -4358 +-100 5380 +-5522 3159 +734 552 +2048 1024 +-5721 -3782 +1242 -484 +676 502 +-3562 4682 +-851 -1071 +-1473 -6772 +2087 -2855 +-548 -2172 +-2209 155 +-191 553 +-1681 -228 +1900 660 +-2542 218 +-3811 -1723 +1562 1079 +0 -2048 +1667 -415 +2967 -2913 +-4098 -4370 +-1818 1168 +-1182 4234 +-1447 -3551 +-2504 1775 +1448 4520 +5545 757 +-565 2296 +-36 -59 +1182 60 +5700 1002 +3480 3391 +-2582 -647 +0 0 +-2582 647 +3480 -3391 +5700 -1002 +1182 -60 +-36 59 +-565 -2296 +5545 -757 +1448 -4520 +-2504 -1775 +-1447 3551 +-1182 -4234 +-1818 -1168 +-4098 4370 +2967 2913 +1667 415 +0 2048 +524 -3475 +-2486 -4144 +863 1652 +-2278 -2616 +4427 526 +7279 1698 +-2397 2247 +-1448 1624 +-3541 369 +-1171 3340 +-312 1923 +-5278 -1508 +432 -824 +135 5234 +-2506 -346 +0 0 +-2506 346 +135 -5234 +432 824 +-5278 1508 +-312 -1923 +-1171 -3340 +-3541 -369 +-1448 -1624 +-2397 -2247 +7279 -1698 +4427 -526 +-2278 2616 +863 -1652 +-2486 4144 +524 3475 +0 -2048 +1667 -415 +2967 -2913 +-4098 -4370 +-1818 1168 +-1182 4234 +-1447 -3551 +-2504 1775 +1448 4520 +5545 757 +-565 2296 +-36 -59 +1182 60 +5700 1002 +3480 3391 +-2582 -647 +7168 -2048 +120 -1704 +-3642 -1499 +84 -3337 +-26 280 +-150 3891 +2249 6265 +1744 -959 +-2472 -424 +-3325 2221 +997 -1597 +1791 448 +-1698 1388 +1834 3463 +-2350 783 +-5919 963 +-1024 0 +-5919 -963 +-2350 -783 +1834 -3463 +-1698 -1388 +1791 -448 +997 1597 +-3325 -2221 +-2472 424 +1744 959 +2249 -6265 +-150 -3891 +-26 -280 +84 3337 +-3642 1499 +120 1704 +7168 2048 +-830 830 +-363 -2441 +493 -703 +-4918 4064 +295 -5622 +-2028 -3433 +520 2338 +424 2472 +-1834 3493 +4576 332 +960 -1603 +2546 2956 +485 7058 +562 -627 +3733 99 +-1024 0 +3733 -99 +562 627 +485 -7058 +2546 -2956 +960 1603 +4576 -332 +-1834 -3493 +424 -2472 +520 -2338 +-2028 3433 +295 5622 +-4918 -4064 +493 703 +-363 2441 +-830 -830 +7168 -2048 +120 -1704 +-3642 -1499 +84 -3337 +-26 280 +-150 3891 +2249 6265 +1744 -959 +-2472 -424 +-3325 2221 +997 -1597 +1791 448 +-1698 1388 +1834 3463 +-2350 783 +-5919 963 +1024 -1024 +3245 6493 +2233 6653 +-1019 -645 +2948 -3404 +-1906 -984 +-1705 1554 +2284 3336 +-6692 2596 +-1608 2118 +6472 -1530 +-1009 -3056 +-3178 1402 +-1031 -3758 +1635 -3678 +237 908 +-4096 0 +237 -908 +1635 3678 +-1031 3758 +-3178 -1402 +-1009 3056 +6472 1530 +-1608 -2118 +-6692 -2596 +2284 -3336 +-1705 -1554 +-1906 984 +2948 3404 +-1019 645 +2233 -6653 +3245 -6493 +1024 1024 +4545 -1687 +2831 1411 +787 674 +-52 -4188 +-2500 158 +-1479 -1829 +246 -1559 +548 -4644 +-1809 988 +-2088 2454 +951 1342 +282 3294 +-66 -2894 +293 4750 +-1346 -566 +-2048 0 +-1346 566 +293 -4750 +-66 2894 +282 -3294 +951 -1342 +-2088 -2454 +-1809 -988 +548 4644 +246 1559 +-1479 1829 +-2500 -158 +-52 4188 +787 -674 +2831 -1411 +4545 1687 +1024 -1024 +3245 6493 +2233 6653 +-1019 -645 +2948 -3404 +-1906 -984 +-1705 1554 +2284 3336 +-6692 2596 +-1608 2118 +6472 -1530 +-1009 -3056 +-3178 1402 +-1031 -3758 +1635 -3678 +237 908 +2048 3072 +217 714 +6627 -1839 +-1655 1794 +-3418 1155 +600 -2815 +-4151 1931 +1615 2578 +-2172 300 +245 -198 +4480 3152 +1553 6246 +816 8312 +-2280 2877 +-1844 -4263 +94 4806 +1024 0 +94 -4806 +-1844 4263 +-2280 -2877 +816 -8312 +1553 -6246 +4480 -3152 +245 198 +-2172 -300 +1615 -2578 +-4151 -1931 +600 2815 +-3418 -1155 +-1655 -1794 +6627 1839 +217 -714 +2048 -3072 +-2198 -883 +-936 3443 +2570 -3252 +-1526 -2954 +-3367 1155 +-2189 -1747 +2032 2882 +2172 1748 +2790 233 +-1036 -1769 +-115 -2922 +32 2177 +-3098 -1751 +-951 -1125 +999 3585 +3072 0 +999 -3585 +-951 1125 +-3098 1751 +32 -2177 +-115 2922 +-1036 1769 +2790 -233 +2172 -1748 +2032 -2882 +-2189 1747 +-3367 -1155 +-1526 2954 +2570 3252 +-936 -3443 +-2198 883 +2048 3072 +217 714 +6627 -1839 +-1655 1794 +-3418 1155 +600 -2815 +-4151 1931 +1615 2578 +-2172 300 +245 -198 +4480 3152 +1553 6246 +816 8312 +-2280 2877 +-1844 -4263 +94 4806 +-3072 -6144 +1187 -809 +3478 2391 +2125 489 +3040 -1134 +-2795 5074 +-1200 1760 +-643 512 +-4344 2048 +237 -961 +1800 -2384 +926 -4235 +-3980 -978 +-3266 -2952 +18 -4123 +-2678 -2533 +-3072 0 +-2678 2533 +18 4123 +-3266 2952 +-3980 978 +926 4235 +1800 2384 +237 961 +-4344 -2048 +-643 -512 +-1200 -1760 +-2795 -5074 +3040 1134 +2125 -489 +3478 -2391 +1187 809 +-3072 6144 +-761 -2412 +3478 -4727 +3745 1183 +-744 -3810 +-1365 2391 +-1200 1256 +5844 2060 +4344 2048 +-3870 -1611 +1800 2504 +-550 -3229 +-2412 130 +1179 1280 +18 -1109 +685 5754 +1024 0 +685 -5754 +18 1109 +1179 -1280 +-2412 -130 +-550 3229 +1800 -2504 +-3870 1611 +4344 -2048 +5844 -2060 +-1200 -1256 +-1365 -2391 +-744 3810 +3745 -1183 +3478 4727 +-761 2412 +-3072 -6144 +1187 -809 +3478 2391 +2125 489 +3040 -1134 +-2795 5074 +-1200 1760 +-643 512 +-4344 2048 +237 -961 +1800 -2384 +926 -4235 +-3980 -978 +-3266 -2952 +18 -4123 +-2678 -2533 +5120 -3072 +532 -922 +-6050 -5157 +-2737 22 +522 -1382 +-2140 581 +-2901 -852 +1170 -2148 +1148 -1148 +-2251 2085 +-1566 1189 +36 312 +2080 1051 +4171 -4138 +1554 553 +469 -3320 +2048 0 +469 3320 +1554 -553 +4171 4138 +2080 -1051 +36 -312 +-1566 -1189 +-2251 -2085 +1148 1148 +1170 2148 +-2901 852 +-2140 -581 +522 1382 +-2737 -22 +-6050 5157 +532 922 +5120 3072 +-2941 5827 +-4246 -740 +2172 1172 +-1370 -7058 +-701 -2339 +5966 1416 +439 -1147 +-3196 3196 +642 412 +198 5167 +2805 3722 +2864 -1300 +-3606 -461 +-1146 -657 +1940 2433 +0 0 +1940 -2433 +-1146 657 +-3606 461 +2864 1300 +2805 -3722 +198 -5167 +642 -412 +-3196 -3196 +439 1147 +5966 -1416 +-701 2339 +-1370 7058 +2172 -1172 +-4246 740 +-2941 -5827 +5120 -3072 +532 -922 +-6050 -5157 +-2737 22 +522 -1382 +-2140 581 +-2901 -852 +1170 -2148 +1148 -1148 +-2251 2085 +-1566 1189 +36 312 +2080 1051 +4171 -4138 +1554 553 +469 -3320 +3072 3072 +2118 -1710 +-2138 -4316 +-2424 -1123 +1272 -392 +2009 5870 +-31 2612 +1804 -1938 +5069 -1324 +2897 -1740 +-3743 -601 +5645 518 +3054 946 +-4957 2687 +988 5272 +-1099 2440 +-4096 0 +-1099 -2440 +988 -5272 +-4957 -2687 +3054 -946 +5645 -518 +-3743 601 +2897 1740 +5069 1324 +1804 1938 +-31 -2612 +2009 -5870 +1272 392 +-2424 1123 +-2138 4316 +2118 1710 +3072 -3072 +-2415 2844 +-694 1159 +473 1589 +4272 392 +930 -476 +-125 2111 +2566 -1557 +-5069 -2772 +62 -4651 +-197 1229 +-777 1979 +-406 -946 +-6693 676 +-2252 -4333 +-141 1590 +-2048 0 +-141 -1590 +-2252 4333 +-6693 -676 +-406 946 +-777 -1979 +-197 -1229 +62 4651 +-5069 2772 +2566 1557 +-125 -2111 +930 476 +4272 -392 +473 -1589 +-694 -1159 +-2415 -2844 +3072 3072 +2118 -1710 +-2138 -4316 +-2424 -1123 +1272 -392 +2009 5870 +-31 2612 +1804 -1938 +5069 -1324 +2897 -1740 +-3743 -601 +5645 518 +3054 946 +-4957 2687 +988 5272 +-1099 2440 +-2048 -2048 +7654 -397 +2265 -111 +252 2685 +2016 3146 +741 -3767 +1820 6 +464 2605 +5120 2896 +249 -1186 +537 -2796 +1337 -190 +-5004 -3470 +-2301 -648 +1120 1936 +1116 267 +0 0 +1116 -267 +1120 -1936 +-2301 648 +-5004 3470 +1337 190 +537 2796 +249 1186 +5120 -2896 +464 -2605 +1820 -6 +741 3767 +2016 -3146 +252 -2685 +2265 111 +7654 397 +-2048 2048 +-348 -4885 +2643 1559 +1435 4661 +-1768 -1098 +-4404 1336 +-6269 1442 +-4232 1026 +5120 -2896 +-265 -846 +1016 1348 +758 -1930 +-3436 1422 +2181 114 +-3131 -3384 +-4639 -3020 +0 0 +-4639 3020 +-3131 3384 +2181 -114 +-3436 -1422 +758 1930 +1016 -1348 +-265 846 +5120 2896 +-4232 -1026 +-6269 -1442 +-4404 -1336 +-1768 1098 +1435 -4661 +2643 -1559 +-348 4885 +-2048 -2048 +7654 -397 +2265 -111 +252 2685 +2016 3146 +741 -3767 +1820 6 +464 2605 +5120 2896 +249 -1186 +537 -2796 +1337 -190 +-5004 -3470 +-2301 -648 +1120 1936 +1116 267 +0 -3072 +-60 5041 +-337 6526 +-188 -1093 +1670 2634 +-2329 1100 +-5563 -3861 +-5493 3532 +-548 724 +-1199 -3983 +2056 1799 +2955 5301 +-1116 2708 +349 -2962 +-3276 -2858 +-1881 899 +3072 0 +-1881 -899 +-3276 2858 +349 2962 +-1116 -2708 +2955 -5301 +2056 -1799 +-1199 3983 +-548 -724 +-5493 -3532 +-5563 3861 +-2329 -1100 +1670 -2634 +-188 1093 +-337 -6526 +-60 -5041 +0 3072 +2207 4254 +-808 -354 +2021 -1410 +-222 2310 +985 1355 +-713 4369 +1015 2500 +6692 -724 +-1004 3776 +3021 -91 +-282 -599 +-332 -1860 +2283 -3087 +-2571 2038 +622 -3636 +1024 0 +622 3636 +-2571 -2038 +2283 3087 +-332 1860 +-282 599 +3021 91 +-1004 -3776 +6692 724 +1015 -2500 +-713 -4369 +985 -1355 +-222 -2310 +2021 1410 +-808 354 +2207 -4254 +0 -3072 +-60 5041 +-337 6526 +-188 -1093 +1670 2634 +-2329 1100 +-5563 -3861 +-5493 3532 +-548 724 +-1199 -3983 +2056 1799 +2955 5301 +-1116 2708 +349 -2962 +-3276 -2858 +-1881 899 +-5120 -3072 +-609 110 +5531 2829 +-616 2664 +-1396 -92 +-580 -583 +570 4547 +25 -3366 +-1748 -2596 +272 -4409 +-1556 -7499 +-2004 1829 +1167 -802 +5634 -626 +-492 -183 +-1561 -753 +6144 0 +-1561 753 +-492 183 +5634 626 +1167 802 +-2004 -1829 +-1556 7499 +272 4409 +-1748 2596 +25 3366 +570 -4547 +-580 583 +-1396 92 +-616 -2664 +5531 -2829 +-609 -110 +-5120 3072 +-2494 18 +-927 1360 +245 3185 +-4397 692 +-602 2727 +2706 1062 +614 -4518 +-300 4644 +-2239 3205 +-520 323 +2299 1644 +4626 -2694 +1418 2012 +2880 -222 +200 1770 +-8192 0 +200 -1770 +2880 222 +1418 -2012 +4626 2694 +2299 -1644 +-520 -323 +-2239 -3205 +-300 -4644 +614 4518 +2706 -1062 +-602 -2727 +-4397 -692 +245 -3185 +-927 -1360 +-2494 -18 +-5120 -3072 +-609 110 +5531 2829 +-616 2664 +-1396 -92 +-580 -583 +570 4547 +25 -3366 +-1748 -2596 +272 -4409 +-1556 -7499 +-2004 1829 +1167 -802 +5634 -626 +-492 -183 +-1561 -753 +0 6144 +3070 389 +-4092 -2807 +112 -1822 +2266 340 +-2394 -2263 +2866 -1531 +1082 1415 +-1024 1448 +1220 77 +2766 3069 +1413 1960 +1363 -1228 +-4019 1501 +-119 -310 +5421 -894 +0 0 +5421 894 +-119 310 +-4019 -1501 +1363 1228 +1413 -1960 +2766 -3069 +1220 -77 +-1024 -1448 +1082 -1415 +2866 1531 +-2394 2263 +2266 -340 +112 1822 +-4092 2807 +3070 -389 +0 -6144 +1364 -6877 +2460 -1198 +-2108 -728 +-5762 2557 +206 224 +3971 1310 +-2565 4769 +-1024 -1448 +704 3890 +286 2504 +-2802 1353 +-1962 4124 +-1995 1301 +54 2098 +1289 -7811 +-4096 0 +1289 7811 +54 -2098 +-1995 -1301 +-1962 -4124 +-2802 -1353 +286 -2504 +704 -3890 +-1024 1448 +-2565 -4769 +3971 -1310 +206 -224 +-5762 -2557 +-2108 728 +2460 1198 +1364 6877 +0 6144 +3070 389 +-4092 -2807 +112 -1822 +2266 340 +-2394 -2263 +2866 -1531 +1082 1415 +-1024 1448 +1220 77 +2766 3069 +1413 1960 +1363 -1228 +-4019 1501 +-119 -310 +5421 -894 +-2048 4096 +-934 -1763 +2730 -3138 +2153 1988 +-1528 -4834 +2028 -1608 +-1099 -1862 +-680 -5757 +-2472 600 +-2695 619 +1388 1972 +-2526 2007 +-4305 1154 +3998 1986 +4223 -81 +-4094 4312 +-6144 0 +-4094 -4312 +4223 81 +3998 -1986 +-4305 -1154 +-2526 -2007 +1388 -1972 +-2695 -619 +-2472 -600 +-680 5757 +-1099 1862 +2028 1608 +-1528 4834 +2153 -1988 +2730 3138 +-934 1763 +-2048 -4096 +-4804 3537 +322 1571 +-896 -3529 +3824 -2158 +3871 -1674 +-534 -1923 +1292 108 +424 3496 +1643 -916 +-1453 -5757 +203 -3073 +-2088 46 +2754 -1310 +2614 -1486 +-1313 2813 +6144 0 +-1313 -2813 +2614 1486 +2754 1310 +-2088 -46 +203 3073 +-1453 5757 +1643 916 +424 -3496 +1292 -108 +-534 1923 +3871 1674 +3824 2158 +-896 3529 +322 -1571 +-4804 -3537 +-2048 4096 +-934 -1763 +2730 -3138 +2153 1988 +-1528 -4834 +2028 -1608 +-1099 -1862 +-680 -5757 +-2472 600 +-2695 619 +1388 1972 +-2526 2007 +-4305 1154 +3998 1986 +4223 -81 +-4094 4312 +-1024 -2048 +-488 -3231 +-1166 1565 +-853 4 +360 -2062 +-5170 2201 +-2084 892 +403 -1838 +-424 1872 +-1037 3987 +3238 1751 +5601 1934 +4364 -170 +999 2058 +-5880 6027 +-1379 -862 +5120 0 +-1379 862 +-5880 -6027 +999 -2058 +4364 170 +5601 -1934 +3238 -1751 +-1037 -3987 +-424 -1872 +403 1838 +-2084 -892 +-5170 -2201 +360 2062 +-853 -4 +-1166 -1565 +-488 3231 +-1024 2048 +2028 -888 +-2210 -3990 +556 -1019 +-1208 614 +-1955 -6856 +-852 633 +663 2402 +2472 -3920 +-2245 1929 +1395 -2627 +-3827 -4372 +580 -1278 +4650 -856 +-631 5533 +2055 2094 +1024 0 +2055 -2094 +-631 -5533 +4650 856 +580 1278 +-3827 4372 +1395 2627 +-2245 -1929 +2472 3920 +663 -2402 +-852 -633 +-1955 6856 +-1208 -614 +556 1019 +-2210 3990 +2028 888 +-1024 -2048 +-488 -3231 +-1166 1565 +-853 4 +360 -2062 +-5170 2201 +-2084 892 +403 -1838 +-424 1872 +-1037 3987 +3238 1751 +5601 1934 +4364 -170 +999 2058 +-5880 6027 +-1379 -862 +0 0 +-6682 2252 +809 112 +1052 -1414 +-144 -3230 +-233 -2060 +-294 1968 +-594 -4259 +-424 -3496 +1236 -2617 +-3057 10 +-2118 4307 +1084 -230 +1250 -1285 +-2119 -5824 +-3229 -1251 +0 0 +-3229 1251 +-2119 5824 +1250 1285 +1084 230 +-2118 -4307 +-3057 -10 +1236 2617 +-424 3496 +-594 4259 +-294 -1968 +-233 2060 +-144 3230 +1052 1414 +809 -112 +-6682 -2252 +0 0 +515 936 +-3641 -6572 +6029 -4905 +3640 3230 +1354 -751 +138 -1509 +403 -1819 +2472 -600 +-3702 -326 +-883 449 +-779 449 +-484 230 +5031 2535 +854 -636 +468 7575 +4096 0 +468 -7575 +854 636 +5031 -2535 +-484 -230 +-779 -449 +-883 -449 +-3702 326 +2472 600 +403 1819 +138 1509 +1354 751 +3640 -3230 +6029 4905 +-3641 6572 +515 -936 +0 0 +-6682 2252 +809 112 +1052 -1414 +-144 -3230 +-233 -2060 +-294 1968 +-594 -4259 +-424 -3496 +1236 -2617 +-3057 10 +-2118 4307 +1084 -230 +1250 -1285 +-2119 -5824 +-3229 -1251 +-1024 -4096 +2515 1674 +-3054 -739 +188 -4490 +410 -2916 +465 946 +564 -2832 +4431 872 +2896 1200 +-1265 -229 +1572 2156 +-2210 -976 +-254 240 +-638 527 +-1757 1181 +-983 -2057 +-5120 0 +-983 2057 +-1757 -1181 +-638 -527 +-254 -240 +-2210 976 +1572 -2156 +-1265 229 +2896 -1200 +4431 -872 +564 2832 +465 -946 +410 2916 +188 4490 +-3054 739 +2515 -1674 +-1024 4096 +-4356 3494 +3498 -540 +82 1318 +3086 868 +4025 2875 +1668 -1920 +1584 3096 +-2896 6992 +1931 -3739 +-908 -8108 +-3610 -2844 +854 1808 +-4096 2644 +-1584 4532 +1936 -3111 +-1024 0 +1936 3111 +-1584 -4532 +-4096 -2644 +854 -1808 +-3610 2844 +-908 8108 +1931 3739 +-2896 -6992 +1584 -3096 +1668 1920 +4025 -2875 +3086 -868 +82 -1318 +3498 540 +-4356 -3494 +-1024 -4096 +2515 1674 +-3054 -739 +188 -4490 +410 -2916 +465 946 +564 -2832 +4431 872 +2896 1200 +-1265 -229 +1572 2156 +-2210 -976 +-254 240 +-638 527 +-1757 1181 +-983 -2057 +0 2048 +-2214 2692 +-1185 2906 +2473 -6992 +110 4664 +18 3970 +-497 -869 +1511 1182 +1448 -2472 +370 -95 +1019 3564 +-2802 1800 +-2002 -540 +-4545 2898 +569 2656 +5325 3084 +0 0 +5325 -3084 +569 -2656 +-4545 -2898 +-2002 540 +-2802 -1800 +1019 -3564 +370 95 +1448 2472 +1511 -1182 +-497 869 +18 -3970 +110 -4664 +2473 6992 +-1185 -2906 +-2214 -2692 +0 -2048 +-2164 -7933 +-1491 -2343 +3082 -214 +2786 880 +-511 -4465 +1605 974 +-1770 5507 +-1448 424 +-110 30 +-2127 2333 +3295 3577 +-894 -2108 +-1009 -839 +2107 3700 +-947 4698 +0 0 +-947 -4698 +2107 -3700 +-1009 839 +-894 2108 +3295 -3577 +-2127 -2333 +-110 -30 +-1448 -424 +-1770 -5507 +1605 -974 +-511 4465 +2786 -880 +3082 214 +-1491 2343 +-2164 7933 +0 2048 +-2214 2692 +-1185 2906 +2473 -6992 +110 4664 +18 3970 +-497 -869 +1511 1182 +1448 -2472 +370 -95 +1019 3564 +-2802 1800 +-2002 -540 +-4545 2898 +569 2656 +5325 3084 +1024 -3072 +-3520 2269 +-1320 -2486 +-552 -2593 +-4552 3404 +-471 -527 +-25 1996 +101 -3100 +1148 -900 +-106 -1125 +1701 -2140 +-347 3869 +1794 -1402 +5612 -679 +-422 -559 +-2563 3519 +0 0 +-2563 -3519 +-422 559 +5612 679 +1794 1402 +-347 -3869 +1701 2140 +-106 1125 +1148 900 +101 3100 +-25 -1996 +-471 527 +-4552 -3404 +-552 2593 +-1320 2486 +-3520 -2269 +1024 3072 +2011 2136 +1920 2669 +-3454 5530 +-5336 4188 +104 -608 +3521 3393 +2563 -1205 +-3196 -5244 +1905 3500 +1795 536 +-5966 -3676 +-98 -3294 +-719 -848 +1022 1943 +5402 1774 +2048 0 +5402 -1774 +1022 -1943 +-719 848 +-98 3294 +-5966 3676 +1795 -536 +1905 -3500 +-3196 5244 +2563 1205 +3521 -3393 +104 608 +-5336 -4188 +-3454 -5530 +1920 -2669 +2011 -2136 +1024 -3072 +-3520 2269 +-1320 -2486 +-552 -2593 +-4552 3404 +-471 -527 +-25 1996 +101 -3100 +1148 -900 +-106 -1125 +1701 -2140 +-347 3869 +1794 -1402 +5612 -679 +-422 -559 +-2563 3519 +1024 1024 +-180 3317 +-928 2565 +-2014 4465 +502 -1430 +1496 -1435 +673 -774 +2941 5362 +1148 4644 +-3862 -2126 +-2039 7328 +675 747 +-1056 -248 +46 4642 +2603 -3295 +404 1025 +-2048 0 +404 -1025 +2603 3295 +46 -4642 +-1056 248 +675 -747 +-2039 -7328 +-3862 2126 +1148 -4644 +2941 -5362 +673 774 +1496 1435 +502 1430 +-2014 -4465 +-928 -2565 +-180 -3317 +1024 -1024 +1936 -2377 +-3196 -242 +-4634 5432 +2394 2030 +4907 3353 +1883 -202 +-1190 851 +-3196 -2596 +543 -2676 +2378 2784 +-3294 -735 +-1840 -3248 +2818 -4842 +-1375 323 +-592 -2908 +4096 0 +-592 2908 +-1375 -323 +2818 4842 +-1840 3248 +-3294 735 +2378 -2784 +543 2676 +-3196 2596 +-1190 -851 +1883 202 +4907 -3353 +2394 -2030 +-4634 -5432 +-3196 242 +1936 2377 +1024 1024 +-180 3317 +-928 2565 +-2014 4465 +502 -1430 +1496 -1435 +673 -774 +2941 5362 +1148 4644 +-3862 -2126 +-2039 7328 +675 747 +-1056 -248 +46 4642 +2603 -3295 +404 1025 +7168 -1024 +-2140 789 +678 2921 +529 4015 +-4462 -2778 +1000 605 +-86 198 +1958 -347 +2596 300 +-1457 -5797 +2523 -3231 +-2 -5223 +-3345 -3792 +-546 3611 +-505 2422 +-1605 -1018 +-2048 0 +-1605 1018 +-505 -2422 +-546 -3611 +-3345 3792 +-2 5223 +2523 3231 +-1457 5797 +2596 -300 +1958 347 +-86 -198 +1000 -605 +-4462 2778 +529 -4015 +678 -2921 +-2140 -789 +7168 1024 +2446 1916 +-2634 2755 +1573 1778 +1214 1330 +2146 3579 +2355 -2549 +-421 212 +-4644 1748 +-80 2527 +5097 880 +-3145 1838 +-5696 2344 +-1557 -5386 +765 3254 +1299 588 +0 0 +1299 -588 +765 -3254 +-1557 5386 +-5696 -2344 +-3145 -1838 +5097 -880 +-80 -2527 +-4644 -1748 +-421 -212 +2355 2549 +2146 -3579 +1214 -1330 +1573 -1778 +-2634 -2755 +2446 -1916 +7168 -1024 +-2140 789 +678 2921 +529 4015 +-4462 -2778 +1000 605 +-86 198 +1958 -347 +2596 300 +-1457 -5797 +2523 -3231 +-2 -5223 +-3345 -3792 +-546 3611 +-505 2422 +-1605 -1018 +1024 -1024 +-402 1761 +-4473 2763 +1483 1285 +2454 -3842 +1959 -2159 +4946 5756 +-2764 -4038 +-724 -724 +7541 1411 +1273 -2727 +-1421 -4294 +776 -3288 +-27 4409 +2149 -4399 +9 -441 +-4096 0 +9 441 +2149 4399 +-27 -4409 +776 3288 +-1421 4294 +1273 2727 +7541 -1411 +-724 724 +-2764 4038 +4946 -5756 +1959 2159 +2454 3842 +1483 -1285 +-4473 -2763 +-402 -1761 +1024 1024 +857 719 +1013 3030 +-3109 -360 +-1006 -1950 +-1544 -242 +-1945 36 +3086 -184 +724 724 +-2071 -1537 +-4274 -3066 +-4787 -2204 +-2224 -2504 +-4140 612 +1311 -1393 +5328 -1174 +2048 0 +5328 1174 +1311 1393 +-4140 -612 +-2224 2504 +-4787 2204 +-4274 3066 +-2071 1537 +724 -724 +3086 184 +-1945 -36 +-1544 242 +-1006 1950 +-3109 360 +1013 -3030 +857 -719 +1024 -1024 +-402 1761 +-4473 2763 +1483 1285 +2454 -3842 +1959 -2159 +4946 5756 +-2764 -4038 +-724 -724 +7541 1411 +1273 -2727 +-1421 -4294 +776 -3288 +-27 4409 +2149 -4399 +9 -441 +-2048 2048 +-7562 1380 +-354 -4126 +1998 -1781 +938 -1024 +-1453 5593 +407 5858 +2680 1444 +2472 -1448 +230 -1348 +-4080 -1238 +-2130 -3847 +-3290 1024 +-519 -1500 +2018 -2593 +-824 992 +0 0 +-824 -992 +2018 2593 +-519 1500 +-3290 -1024 +-2130 3847 +-4080 1238 +230 1348 +2472 1448 +2680 -1444 +407 -5858 +-1453 -5593 +938 1024 +1998 1781 +-354 4126 +-7562 -1380 +-2048 -2048 +1929 899 +-1657 1790 +600 -392 +-2387 -1024 +32 8603 +1145 -2843 +-2861 -4560 +-424 1448 +1518 -2815 +-369 1358 +-234 -982 +4738 1024 +1706 80 +2889 -2639 +4889 3633 +0 0 +4889 -3633 +2889 2639 +1706 -80 +4738 -1024 +-234 982 +-369 -1358 +1518 2815 +-424 -1448 +-2861 4560 +1145 2843 +32 -8603 +-2387 1024 +600 392 +-1657 -1790 +1929 -899 +-2048 2048 +-7562 1380 +-354 -4126 +1998 -1781 +938 -1024 +-1453 5593 +407 5858 +2680 1444 +2472 -1448 +230 -1348 +-4080 -1238 +-2130 -3847 +-3290 1024 +-519 -1500 +2018 -2593 +-824 992 +-3072 0 +-5095 -1489 +4283 -928 +1861 -2780 +-600 -1638 +2427 -2100 +2587 -4754 +1345 -2233 +424 -2472 +3232 2201 +743 -1515 +2040 -5297 +-3496 2302 +-5090 -1303 +-936 -1084 +-710 4222 +3072 0 +-710 -4222 +-936 1084 +-5090 1303 +-3496 -2302 +2040 5297 +743 1515 +3232 -2201 +424 2472 +1345 2233 +2587 4754 +2427 2100 +-600 1638 +1861 2780 +4283 928 +-5095 1489 +-3072 0 +-91 -2557 +-2575 -3132 +-3002 3080 +-600 1038 +3784 -517 +3585 5250 +-3505 1318 +-2472 424 +2032 -2228 +77 -4982 +1565 -1784 +-3496 1194 +2208 2932 +428 -1776 +-3000 -1587 +7168 0 +-3000 1587 +428 1776 +2208 -2932 +-3496 -1194 +1565 1784 +77 4982 +2032 2228 +-2472 -424 +-3505 -1318 +3585 -5250 +3784 517 +-600 -1038 +-3002 -3080 +-2575 3132 +-91 2557 +-3072 0 +-5095 -1489 +4283 -928 +1861 -2780 +-600 -1638 +2427 -2100 +2587 -4754 +1345 -2233 +424 -2472 +3232 2201 +743 -1515 +2040 -5297 +-3496 2302 +-5090 -1303 +-936 -1084 +-710 4222 +-2048 0 +1275 820 +4691 2877 +-449 3050 +-2192 110 +2787 6018 +1731 585 +-2790 713 +1024 600 +-1349 -1813 +-7195 -2943 +-1839 -454 +-964 2002 +-743 -5194 +3433 1922 +-126 1497 +-4096 0 +-126 -1497 +3433 -1922 +-743 5194 +-964 -2002 +-1839 454 +-7195 2943 +-1349 1813 +1024 -600 +-2790 -713 +1731 -585 +2787 -6018 +-2192 -110 +-449 -3050 +4691 -2877 +1275 -820 +-2048 0 +-3772 3155 +-4774 -2877 +2207 169 +1592 2786 +1812 607 +4205 -585 +-2115 2464 +1024 3496 +462 1854 +-438 2943 +3032 -489 +-2532 894 +4777 845 +6539 -1922 +-3170 -657 +-4096 0 +-3170 657 +6539 1922 +4777 -845 +-2532 -894 +3032 489 +-438 -2943 +462 -1854 +1024 -3496 +-2115 -2464 +4205 585 +1812 -607 +1592 -2786 +2207 -169 +-4774 2877 +-3772 -3155 +-2048 0 +1275 820 +4691 2877 +-449 3050 +-2192 110 +2787 6018 +1731 585 +-2790 713 +1024 600 +-1349 -1813 +-7195 -2943 +-1839 -454 +-964 2002 +-743 -5194 +3433 1922 +-126 1497 +2048 -3072 +1474 4700 +-1834 278 +1698 870 +562 -678 +-4054 -1388 +1199 -1642 +2102 -501 +2596 2772 +7253 -5814 +-84 -5232 +-2443 3326 +1560 4912 +-4772 902 +-3044 -1613 +3397 -1055 +3072 0 +3397 1055 +-3044 1613 +-4772 -902 +1560 -4912 +-2443 -3326 +-84 5232 +7253 5814 +2596 -2772 +2102 501 +1199 1642 +-4054 1388 +562 678 +1698 -870 +-1834 -278 +1474 -4700 +2048 3072 +372 -2580 +2277 -3293 +3017 4412 +886 -2570 +-395 -644 +1033 -3590 +-1428 1035 +-4644 1324 +-567 -1532 +748 2896 +-2684 304 +-3008 4128 +-1953 1851 +-297 1494 +-1017 3486 +-3072 0 +-1017 -3486 +-297 -1494 +-1953 -1851 +-3008 -4128 +-2684 -304 +748 -2896 +-567 1532 +-4644 -1324 +-1428 -1035 +1033 3590 +-395 644 +886 2570 +3017 -4412 +2277 3293 +372 2580 +2048 -3072 +1474 4700 +-1834 278 +1698 870 +562 -678 +-4054 -1388 +1199 -1642 +2102 -501 +2596 2772 +7253 -5814 +-84 -5232 +-2443 3326 +1560 4912 +-4772 902 +-3044 -1613 +3397 -1055 +-1024 -2048 +-1586 4118 +2959 1329 +5430 2458 +254 2472 +-6386 -5172 +732 -418 +-1067 -2707 +-6392 -1448 +2141 -144 +3198 -1161 +642 3862 +-3086 424 +-2808 1794 +494 845 +124 -122 +1024 0 +124 122 +494 -845 +-2808 -1794 +-3086 -424 +642 -3862 +3198 1161 +2141 144 +-6392 1448 +-1067 2707 +732 418 +-6386 5172 +254 -2472 +5430 -2458 +2959 -1329 +-1586 -4118 +-1024 2048 +-1635 -36 +-2463 -2556 +582 4666 +-854 2472 +-1422 -1963 +-3591 758 +648 -2842 +2296 1448 +-2372 1956 +861 -1395 +-1970 -1421 +-410 424 +5932 3321 +6002 -4969 +3747 -20 +1024 0 +3747 20 +6002 4969 +5932 -3321 +-410 -424 +-1970 1421 +861 1395 +-2372 -1956 +2296 -1448 +648 2842 +-3591 -758 +-1422 1963 +-854 -2472 +582 -4666 +-2463 2556 +-1635 36 +-1024 -2048 +-1586 4118 +2959 1329 +5430 2458 +254 2472 +-6386 -5172 +732 -418 +-1067 -2707 +-6392 -1448 +2141 -144 +3198 -1161 +642 3862 +-3086 424 +-2808 1794 +494 845 +124 -122 +-3072 4096 +-1333 574 +-1131 330 +-3209 -654 +314 1324 +-161 6408 +2185 2233 +163 -1196 +-5368 1024 +-1097 -5841 +2237 130 +2874 2185 +-470 -2772 +289 7223 +899 1868 +1164 -124 +5120 0 +1164 124 +899 -1868 +289 -7223 +-470 2772 +2874 -2185 +2237 -130 +-1097 5841 +-5368 -1024 +163 1196 +2185 -2233 +-161 -6408 +314 -1324 +-3209 654 +-1131 -330 +-1333 -574 +-3072 -4096 +-2922 2229 +-825 1562 +839 -4706 +-2362 1324 +1300 -1104 +83 -3016 +-1157 -142 +3320 1024 +4308 -3506 +5383 -914 +1340 2678 +-1578 -2772 +-3271 -1438 +-639 24 +874 6502 +-3072 0 +874 -6502 +-639 -24 +-3271 1438 +-1578 2772 +1340 -2678 +5383 914 +4308 3506 +3320 -1024 +-1157 142 +83 3016 +1300 1104 +-2362 -1324 +839 4706 +-825 -1562 +-2922 -2229 +-3072 4096 +-1333 574 +-1131 330 +-3209 -654 +314 1324 +-161 6408 +2185 2233 +163 -1196 +-5368 1024 +-1097 -5841 +2237 130 +2874 2185 +-470 -2772 +289 7223 +899 1868 +1164 -124 +1024 2048 +1125 -460 +-6057 1928 +341 -6276 +-254 -3360 +336 -2162 +575 1007 +-4840 -1621 +-1448 -6392 +2762 374 +2486 696 +-464 -815 +3086 905 +2632 622 +-2976 -1529 +46 2973 +3072 0 +46 -2973 +-2976 1529 +2632 -622 +3086 -905 +-464 815 +2486 -696 +2762 -374 +-1448 6392 +-4840 1621 +575 -1007 +336 2162 +-254 3360 +341 6276 +-6057 -1928 +1125 460 +1024 -2048 +-986 -5331 +2237 -480 +-4602 -1230 +854 4208 +1415 -351 +-5743 442 +-3201 3898 +1448 2296 +3711 3030 +1482 -2144 +2497 -1489 +410 4040 +-2155 3666 +-196 80 +1383 3948 +3072 0 +1383 -3948 +-196 -80 +-2155 -3666 +410 -4040 +2497 1489 +1482 2144 +3711 -3030 +1448 -2296 +-3201 -3898 +-5743 -442 +1415 351 +854 -4208 +-4602 1230 +2237 480 +-986 5331 +1024 2048 +1125 -460 +-6057 1928 +341 -6276 +-254 -3360 +336 -2162 +575 1007 +-4840 -1621 +-1448 -6392 +2762 374 +2486 696 +-464 -815 +3086 905 +2632 622 +-2976 -1529 +46 2973 +1024 -7168 +1284 2106 +28 1303 +-416 -3244 +-1656 -2140 +-2047 -819 +2737 1107 +2902 2202 +-1748 2348 +-2471 -1204 +2579 2778 +-1863 442 +-1102 1246 +612 3729 +2914 -6493 +7231 430 +4096 0 +7231 -430 +2914 6493 +612 -3729 +-1102 -1246 +-1863 -442 +2579 -2778 +-2471 1204 +-1748 -2348 +2902 -2202 +2737 -1107 +-2047 819 +-1656 2140 +-416 3244 +28 -1303 +1284 -2106 +1024 7168 +756 -265 +-3212 -2375 +1863 3514 +-2440 -1356 +-5701 -3672 +-809 -2032 +-2845 3813 +-300 3796 +-690 539 +2485 -2503 +-204 -6262 +-2994 -646 +1965 1005 +1470 -1572 +-374 523 +-2048 0 +-374 -523 +1470 1572 +1965 -1005 +-2994 646 +-204 6262 +2485 2503 +-690 -539 +-300 -3796 +-2845 -3813 +-809 2032 +-5701 3672 +-2440 1356 +1863 -3514 +-3212 2375 +756 265 +1024 -7168 +1284 2106 +28 1303 +-416 -3244 +-1656 -2140 +-2047 -819 +2737 1107 +2902 2202 +-1748 2348 +-2471 -1204 +2579 2778 +-1863 442 +-1102 1246 +612 3729 +2914 -6493 +7231 430 +3072 1024 +-2367 -337 +-4705 2642 +-5399 -4995 +1670 162 +-1444 -1354 +-1371 -812 +4757 2675 +4220 -2172 +5134 -3883 +-255 -2648 +-3004 1962 +-1116 2284 +764 -2040 +2616 -4071 +1894 2384 +2048 0 +1894 -2384 +2616 4071 +764 2040 +-1116 -2284 +-3004 -1962 +-255 2648 +5134 3883 +4220 2172 +4757 -2675 +-1371 812 +-1444 1354 +1670 -162 +-5399 4995 +-4705 -2642 +-2367 337 +3072 -1024 +973 -1235 +-3699 4519 +2313 -4378 +-222 -162 +2998 1171 +5220 -3691 +199 -1962 +-124 2172 +-1842 -516 +-1897 -3552 +-3663 310 +-332 -2284 +1643 915 +-4101 1343 +-2957 -3276 +0 0 +-2957 3276 +-4101 -1343 +1643 -915 +-332 2284 +-3663 -310 +-1897 3552 +-1842 516 +-124 -2172 +199 1962 +5220 3691 +2998 -1171 +-222 162 +2313 4378 +-3699 -4519 +973 1235 +3072 1024 +-2367 -337 +-4705 2642 +-5399 -4995 +1670 162 +-1444 -1354 +-1371 -812 +4757 2675 +4220 -2172 +5134 -3883 +-255 -2648 +-3004 1962 +-1116 2284 +764 -2040 +2616 -4071 +1894 2384 +-1024 1024 +-6217 1997 +-808 -2739 +3206 -163 +-1670 282 +-1169 -983 +248 -1226 +-4272 1390 +-4220 4820 +-2083 2384 +-1845 705 +1513 -4685 +1116 -2948 +-1771 -2352 +1868 -2844 +2681 4445 +0 0 +2681 -4445 +1868 2844 +-1771 2352 +1116 2948 +1513 4685 +-1845 -705 +-2083 -2384 +-4220 -4820 +-4272 -1390 +248 1226 +-1169 983 +-1670 -282 +3206 163 +-808 2739 +-6217 -1997 +-1024 -1024 +1223 -5968 +-1712 2111 +-315 6168 +222 -3178 +-624 4636 +2124 -1931 +2730 -621 +124 3372 +729 -78 +3569 234 +3176 -5261 +332 52 +1776 551 +4748 -1880 +-584 -1086 +-6144 0 +-584 1086 +4748 1880 +1776 -551 +332 -52 +3176 5261 +3569 -234 +729 78 +124 -3372 +2730 621 +2124 1931 +-624 -4636 +222 3178 +-315 -6168 +-1712 -2111 +1223 5968 +-1024 1024 +-6217 1997 +-808 -2739 +3206 -163 +-1670 282 +-1169 -983 +248 -1226 +-4272 1390 +-4220 4820 +-2083 2384 +-1845 705 +1513 -4685 +1116 -2948 +-1771 -2352 +1868 -2844 +2681 4445 +1024 0 +3307 3051 +-4110 -2169 +-1608 -1732 +-214 484 +3291 -1258 +1066 350 +-2337 -1818 +424 -3920 +-2092 290 +3966 4545 +-1896 1844 +2566 -144 +7999 1476 +-3597 111 +-2191 166 +1024 0 +-2191 -166 +-3597 -111 +7999 -1476 +2566 144 +-1896 -1844 +3966 -4545 +-2092 -290 +424 3920 +-2337 1818 +1066 -350 +3291 1258 +-214 -484 +-1608 1732 +-4110 2169 +3307 -3051 +1024 0 +-366 -1214 +2442 -1171 +2707 1410 +3111 -1084 +3764 4222 +2170 -1014 +-4367 -5557 +-2472 1872 +-782 -2002 +1486 -2313 +-934 808 +-5462 3640 +-1737 6082 +-3424 -555 +-2758 -857 +1024 0 +-2758 857 +-3424 555 +-1737 -6082 +-5462 -3640 +-934 -808 +1486 2313 +-782 2002 +-2472 -1872 +-4367 5557 +2170 1014 +3764 -4222 +3111 1084 +2707 -1410 +2442 1171 +-366 1214 +1024 0 +3307 3051 +-4110 -2169 +-1608 -1732 +-214 484 +3291 -1258 +1066 350 +-2337 -1818 +424 -3920 +-2092 290 +3966 4545 +-1896 1844 +2566 -144 +7999 1476 +-3597 111 +-2191 166 +0 3072 +3837 -1094 +399 -296 +-1620 52 +-3418 1466 +-1168 -2795 +-1363 -1948 +-2637 3995 +5668 -6692 +637 -2230 +-1399 2552 +-1139 -1122 +816 2648 +4646 131 +-1225 1556 +-360 1415 +3072 0 +-360 -1415 +-1225 -1556 +4646 -131 +816 -2648 +-1139 1122 +-1399 -2552 +637 2230 +5668 6692 +-2637 -3995 +-1363 1948 +-1168 2795 +-3418 -1466 +-1620 -52 +399 296 +3837 1094 +0 -3072 +-568 3869 +-4523 5684 +-5032 1272 +-1526 4926 +1604 511 +3919 565 +-521 5207 +-1572 548 +1633 -4697 +1739 -2736 +-3760 -612 +32 -352 +677 -656 +2453 -3160 +3772 -784 +-3072 0 +3772 784 +2453 3160 +677 656 +32 352 +-3760 612 +1739 2736 +1633 4697 +-1572 -548 +-521 -5207 +3919 -565 +1604 -511 +-1526 -4926 +-5032 -1272 +-4523 -5684 +-568 -3869 +0 3072 +3837 -1094 +399 -296 +-1620 52 +-3418 1466 +-1168 -2795 +-1363 -1948 +-2637 3995 +5668 -6692 +637 -2230 +-1399 2552 +-1139 -1122 +816 2648 +4646 131 +-1225 1556 +-360 1415 +-3072 1024 +1318 -362 +4654 2759 +-722 1222 +1030 2595 +364 -591 +2345 3234 +1566 -720 +1148 -2348 +797 2386 +-2405 -5100 +293 -5584 +-4092 2188 +-1204 2725 +-448 -2338 +-940 2840 +6144 0 +-940 -2840 +-448 2338 +-1204 -2725 +-4092 -2188 +293 5584 +-2405 5100 +797 -2386 +1148 2348 +1566 720 +2345 -3234 +364 591 +1030 -2595 +-722 -1222 +4654 -2759 +1318 362 +-3072 -1024 +-15 -4184 +-1498 2029 +1461 822 +-3078 53 +-4075 -1403 +2378 -1122 +-695 -1204 +-3196 -3796 +-1668 -2534 +1777 1420 +3419 -5338 +2044 -7732 +466 1977 +1388 1334 +-363 5976 +-4096 0 +-363 -5976 +1388 -1334 +466 -1977 +2044 7732 +3419 5338 +1777 -1420 +-1668 2534 +-3196 3796 +-695 1204 +2378 1122 +-4075 1403 +-3078 -53 +1461 -822 +-1498 -2029 +-15 4184 +-3072 1024 +1318 -362 +4654 2759 +-722 1222 +1030 2595 +364 -591 +2345 3234 +1566 -720 +1148 -2348 +797 2386 +-2405 -5100 +293 -5584 +-4092 2188 +-1204 2725 +-448 -2338 +-940 2840 +2048 3072 +-3227 3917 +1571 -1582 +-1441 -3004 +-5610 -1030 +3312 -364 +-1650 3670 +-1856 5950 +2596 5420 +769 -2302 +1046 -908 +3351 1427 +-148 -4092 +2326 -155 +2208 489 +-782 -1188 +3072 0 +-782 1188 +2208 -489 +2326 155 +-148 4092 +3351 -1427 +1046 908 +769 2302 +2596 -5420 +-1856 -5950 +-1650 -3670 +3312 364 +-5610 1030 +-1441 3004 +1571 1582 +-3227 -3917 +2048 -3072 +-1816 -1536 +2709 2091 +2505 1010 +66 3078 +929 3440 +358 -2849 +3437 3777 +-4644 6868 +-1463 -2 +1446 -5264 +-3127 -1896 +-2500 2044 +-2061 407 +505 1219 +-856 -2670 +-3072 0 +-856 2670 +505 -1219 +-2061 -407 +-2500 -2044 +-3127 1896 +1446 5264 +-1463 2 +-4644 -6868 +3437 -3777 +358 2849 +929 -3440 +66 -3078 +2505 -1010 +2709 -2091 +-1816 1536 +2048 3072 +-3227 3917 +1571 -1582 +-1441 -3004 +-5610 -1030 +3312 -364 +-1650 3670 +-1856 5950 +2596 5420 +769 -2302 +1046 -908 +3351 1427 +-148 -4092 +2326 -155 +2208 489 +-782 -1188 +-2048 2048 +1475 -1483 +-5370 -539 +-757 -3536 +2702 -3484 +-4866 -3589 +-1228 18 +1607 -1293 +-2048 -2472 +3204 -790 +3669 4724 +-1455 6570 +2806 -3316 +-370 -1100 +-1543 2839 +2112 3206 +0 0 +2112 -3206 +-1543 -2839 +-370 1100 +2806 3316 +-1455 -6570 +3669 -4724 +3204 790 +-2048 2472 +1607 1293 +-1228 -18 +-4866 3589 +2702 3484 +-757 3536 +-5370 539 +1475 1483 +-2048 -2048 +-2653 -1132 +138 5707 +2245 3938 +2243 4084 +-3723 638 +1109 -3189 +-607 -4807 +-2048 424 +260 409 +-654 -903 +3364 3473 +-3654 -181 +-231 511 +3879 1129 +396 2297 +4096 0 +396 -2297 +3879 -1129 +-231 -511 +-3654 181 +3364 -3473 +-654 903 +260 -409 +-2048 -424 +-607 4807 +1109 3189 +-3723 -638 +2243 -4084 +2245 -3938 +138 -5707 +-2653 1132 +-2048 2048 +1475 -1483 +-5370 -539 +-757 -3536 +2702 -3484 +-4866 -3589 +-1228 18 +1607 -1293 +-2048 -2472 +3204 -790 +3669 4724 +-1455 6570 +2806 -3316 +-370 -1100 +-1543 2839 +2112 3206 +3072 2048 +-1071 336 +-3981 -492 +-47 632 +2108 -1494 +-5659 -650 +-167 -3512 +2777 653 +-4944 -848 +-5211 4922 +-3096 6065 +3462 -1087 +4664 3386 +-2475 -1527 +-798 1137 +81 2484 +-3072 0 +81 -2484 +-798 -1137 +-2475 1527 +4664 -3386 +3462 1087 +-3096 -6065 +-5211 -4922 +-4944 848 +2777 -653 +-167 3512 +-5659 650 +2108 1494 +-47 -632 +-3981 492 +-1071 -336 +3072 -2048 +3423 373 +-3315 -1464 +475 -55 +540 -2602 +-1204 505 +6691 1244 +1550 1610 +848 4944 +3780 238 +-1732 1555 +504 3838 +880 710 +-849 -792 +-1795 -1397 +463 -4671 +5120 0 +463 4671 +-1795 1397 +-849 792 +880 -710 +504 -3838 +-1732 -1555 +3780 -238 +848 -4944 +1550 -1610 +6691 -1244 +-1204 -505 +540 2602 +475 55 +-3315 1464 +3423 -373 +3072 2048 +-1071 336 +-3981 -492 +-47 632 +2108 -1494 +-5659 -650 +-167 -3512 +2777 653 +-4944 -848 +-5211 4922 +-3096 6065 +3462 -1087 +4664 3386 +-2475 -1527 +-798 1137 +81 2484 +-2048 0 +-560 -1039 +-7744 -5218 +-1162 -4525 +5448 -470 +-399 3596 +1166 -2341 +-1178 1350 +1024 -600 +3113 -1314 +409 -490 +6266 -1541 +2432 2362 +-2538 -3943 +-202 -164 +-2366 261 +-4096 0 +-2366 -261 +-202 164 +-2538 3943 +2432 -2362 +6266 1541 +409 490 +3113 1314 +1024 600 +-1178 -1350 +1166 2341 +-399 -3596 +5448 470 +-1162 4525 +-7744 5218 +-560 1039 +-2048 0 +978 1245 +-321 -3171 +-5819 1257 +96 -1578 +-1331 482 +-1441 7184 +3422 2185 +1024 -3496 +-1811 385 +-1333 4133 +1703 -1061 +216 -314 +-2514 -212 +1274 -1233 +4195 1274 +4096 0 +4195 -1274 +1274 1233 +-2514 212 +216 314 +1703 1061 +-1333 -4133 +-1811 -385 +1024 3496 +3422 -2185 +-1441 -7184 +-1331 -482 +96 1578 +-5819 -1257 +-321 3171 +978 -1245 +-2048 0 +-560 -1039 +-7744 -5218 +-1162 -4525 +5448 -470 +-399 3596 +1166 -2341 +-1178 1350 +1024 -600 +3113 -1314 +409 -490 +6266 -1541 +2432 2362 +-2538 -3943 +-202 -164 +-2366 261 +-1024 2048 +2078 3323 +-1152 -352 +2133 -913 +-1278 -1384 +-1131 1503 +-103 1109 +-2600 1135 +4096 600 +-5769 2852 +-5614 5432 +5292 4481 +2062 5388 +-2969 -696 +-586 -6417 +3732 -3820 +3072 0 +3732 3820 +-586 6417 +-2969 696 +2062 -5388 +5292 -4481 +-5614 -5432 +-5769 -2852 +4096 -600 +-2600 -1135 +-103 -1109 +-1131 -1503 +-1278 1384 +2133 913 +-1152 352 +2078 -3323 +-1024 -2048 +-1250 1641 +997 -5168 +-656 -880 +-170 184 +1984 -2786 +-1161 -22 +485 134 +4096 3496 +-364 -904 +2782 -249 +-1032 2484 +-614 1604 +2171 1359 +-3355 -3199 +-2105 3672 +-1024 0 +-2105 -3672 +-3355 3199 +2171 -1359 +-614 -1604 +-1032 -2484 +2782 249 +-364 904 +4096 -3496 +485 -134 +-1161 22 +1984 2786 +-170 -184 +-656 880 +997 5168 +-1250 -1641 +-1024 2048 +2078 3323 +-1152 -352 +2133 -913 +-1278 -1384 +-1131 1503 +-103 1109 +-2600 1135 +4096 600 +-5769 2852 +-5614 5432 +5292 4481 +2062 5388 +-2969 -696 +-586 -6417 +3732 -3820 +-1024 0 +-1775 -1894 +2319 1715 +-1598 4864 +540 -554 +-2230 3229 +-456 1515 +1284 -6347 +-1448 -1448 +761 1398 +-3689 -499 +2987 -193 +880 -1338 +1740 28 +805 2047 +-6316 893 +-1024 0 +-6316 -893 +805 -2047 +1740 -28 +880 1338 +2987 193 +-3689 499 +761 -1398 +-1448 1448 +1284 6347 +-456 -1515 +-2230 -3229 +540 554 +-1598 -4864 +2319 -1715 +-1775 1894 +-1024 0 +525 2234 +-3363 -867 +-89 -740 +2108 554 +-5192 -2001 +-5272 3430 +4691 3790 +1448 1448 +-4281 1159 +-471 -4445 +5115 -1034 +4664 1338 +5060 -4152 +1935 -2896 +-682 -1233 +3072 0 +-682 1233 +1935 2896 +5060 4152 +4664 -1338 +5115 1034 +-471 4445 +-4281 -1159 +1448 -1448 +4691 -3790 +-5272 -3430 +-5192 2001 +2108 -554 +-89 740 +-3363 867 +525 -2234 +-1024 0 +-1775 -1894 +2319 1715 +-1598 4864 +540 -554 +-2230 3229 +-456 1515 +1284 -6347 +-1448 -1448 +761 1398 +-3689 -499 +2987 -193 +880 -1338 +1740 28 +805 2047 +-6316 893 +0 -1024 +1696 1049 +-4117 1375 +3259 2801 +-1814 1430 +-1937 -360 +3089 268 +-3794 -2868 +2772 300 +-553 6227 +-2179 563 +679 -2473 +2200 248 +1678 -2389 +-1764 -1693 +1821 2739 +3072 0 +1821 -2739 +-1764 1693 +1678 2389 +2200 -248 +679 2473 +-2179 -563 +-553 -6227 +2772 -300 +-3794 2868 +3089 -268 +-1937 360 +-1814 -1430 +3259 -2801 +-4117 -1375 +1696 -1049 +0 1024 +-1413 -712 +-6299 -3607 +-5316 -1933 +3862 -2030 +1719 -1723 +2312 -3609 +-506 -4996 +1324 1748 +1069 838 +-4422 -1007 +-2028 6831 +-152 3248 +1947 -1886 +5187 2357 +1681 941 +-3072 0 +1681 -941 +5187 -2357 +1947 1886 +-152 -3248 +-2028 -6831 +-4422 1007 +1069 -838 +1324 -1748 +-506 4996 +2312 3609 +1719 1723 +3862 2030 +-5316 1933 +-6299 3607 +-1413 712 +0 -1024 +1696 1049 +-4117 1375 +3259 2801 +-1814 1430 +-1937 -360 +3089 268 +-3794 -2868 +2772 300 +-553 6227 +-2179 563 +679 -2473 +2200 248 +1678 -2389 +-1764 -1693 +1821 2739 +3072 2048 +1450 -1896 +-5496 3509 +503 3091 +4834 -484 +-2107 -4173 +-432 -4610 +3367 -636 +3320 424 +26 2668 +919 -4693 +-338 -1652 +1154 144 +-336 -4138 +-225 6188 +2550 735 +-1024 0 +2550 -735 +-225 -6188 +-336 4138 +1154 -144 +-338 1652 +919 4693 +26 -2668 +3320 -424 +3367 636 +-432 4610 +-2107 4173 +4834 484 +503 -3091 +-5496 -3509 +1450 1896 +3072 -2048 +2998 1053 +-1784 3876 +1509 -1997 +2158 1084 +-2801 1806 +-1735 -3913 +-4919 -4713 +-5368 -2472 +-1578 -1336 +48 3162 +-4570 614 +46 -3640 +2347 768 +513 -3 +1899 -691 +-1024 0 +1899 691 +513 3 +2347 -768 +46 3640 +-4570 -614 +48 -3162 +-1578 1336 +-5368 2472 +-4919 4713 +-1735 3913 +-2801 -1806 +2158 -1084 +1509 1997 +-1784 -3876 +2998 -1053 +3072 2048 +1450 -1896 +-5496 3509 +503 3091 +4834 -484 +-2107 -4173 +-432 -4610 +3367 -636 +3320 424 +26 2668 +919 -4693 +-338 -1652 +1154 144 +-336 -4138 +-225 6188 +2550 735 +-2048 0 +-5161 661 +-322 1091 +-4356 240 +-2806 1748 +2199 -2557 +788 -1940 +-1142 141 +2048 -3072 +1721 2459 +4440 4397 +2487 1845 +-2243 -300 +1843 -3994 +4323 -1917 +2227 -849 +0 0 +2227 849 +4323 1917 +1843 3994 +-2243 300 +2487 -1845 +4440 -4397 +1721 -2459 +2048 3072 +-1142 -141 +788 1940 +2199 2557 +-2806 -1748 +-4356 -240 +-322 -1091 +-5161 -661 +-2048 0 +46 -812 +-7806 292 +3480 2566 +3654 1748 +3316 -5268 +1989 336 +-4063 5591 +2048 -3072 +-979 -1711 +1472 991 +-1321 -1111 +-2702 -300 +-1855 1376 +-4883 2101 +1558 -1887 +4096 0 +1558 1887 +-4883 -2101 +-1855 -1376 +-2702 300 +-1321 1111 +1472 -991 +-979 1711 +2048 3072 +-4063 -5591 +1989 -336 +3316 5268 +3654 -1748 +3480 -2566 +-7806 -292 +46 812 +-2048 0 +-5161 661 +-322 1091 +-4356 240 +-2806 1748 +2199 -2557 +788 -1940 +-1142 141 +2048 -3072 +1721 2459 +4440 4397 +2487 1845 +-2243 -300 +1843 -3994 +4323 -1917 +2227 -849 +3072 3072 +2453 680 +-4928 2496 +-266 -1771 +776 -1684 +-2009 -1952 +-2635 4769 +1374 229 +1324 724 +-2091 3313 +-1233 -5829 +-5215 -780 +-1006 -3334 +3041 -1969 +538 933 +778 -9235 +0 0 +778 9235 +538 -933 +3041 1969 +-1006 3334 +-5215 780 +-1233 5829 +-2091 -3313 +1324 -724 +1374 -229 +-2635 -4769 +-2009 1952 +776 1684 +-266 1771 +-4928 -2496 +2453 -680 +3072 -3072 +-3043 -5219 +-1688 1028 +-2248 505 +-2224 2884 +-2259 575 +911 1284 +937 991 +2772 -724 +5812 802 +-1139 1993 +-982 2300 +2454 -3658 +4144 -2193 +1982 894 +-426 1800 +2048 0 +-426 -1800 +1982 -894 +4144 2193 +2454 3658 +-982 -2300 +-1139 -1993 +5812 -802 +2772 724 +937 -991 +911 -1284 +-2259 -575 +-2224 -2884 +-2248 -505 +-1688 -1028 +-3043 5219 +3072 3072 +2453 680 +-4928 2496 +-266 -1771 +776 -1684 +-2009 -1952 +-2635 4769 +1374 229 +1324 724 +-2091 3313 +-1233 -5829 +-5215 -780 +-1006 -3334 +3041 -1969 +538 933 +778 -9235 +-1024 1024 +2211 3890 +452 90 +-877 1504 +2634 4621 +1191 453 +-938 2017 +2663 -1920 +4644 -300 +184 -2308 +-2707 1695 +-3890 -466 +-2708 -8057 +1657 6471 +-1440 3036 +-2053 -435 +2048 0 +-2053 435 +-1440 -3036 +1657 -6471 +-2708 8057 +-3890 466 +-2707 -1695 +184 2308 +4644 300 +2663 1920 +-938 -2017 +1191 -453 +2634 -4621 +-877 -1504 +452 -90 +2211 -3890 +-1024 -1024 +1245 -1914 +-452 -1354 +-2915 -1956 +2310 -1973 +-108 29 +938 1923 +3258 -578 +-2596 -1748 +2144 -1207 +2707 -1851 +-2307 -693 +1860 2512 +1456 5421 +1440 -204 +-3859 -1006 +-12288 0 +-3859 1006 +1440 204 +1456 -5421 +1860 -2512 +-2307 693 +2707 1851 +2144 1207 +-2596 1748 +3258 578 +938 -1923 +-108 -29 +2310 1973 +-2915 1956 +-452 1354 +1245 1914 +-1024 1024 +2211 3890 +452 90 +-877 1504 +2634 4621 +1191 453 +-938 2017 +2663 -1920 +4644 -300 +184 -2308 +-2707 1695 +-3890 -466 +-2708 -8057 +1657 6471 +-1440 3036 +-2053 -435 +0 -2048 +6868 -31 +733 1647 +-3569 -3497 +-4024 3021 +-312 -2776 +967 -1802 +-3975 2115 +-4944 1024 +328 2431 +105 1439 +-3030 1312 +2436 -7691 +-1383 -2167 +-2086 6284 +456 -1371 +-4096 0 +456 1371 +-2086 -6284 +-1383 2167 +2436 7691 +-3030 -1312 +105 -1439 +328 -2431 +-4944 -1024 +-3975 -2115 +967 1802 +-312 2776 +-4024 -3021 +-3569 3497 +733 -1647 +6868 31 +0 2048 +328 -1020 +6547 1473 +2021 -4115 +1976 -1222 +-527 1222 +1201 678 +3370 108 +848 1024 +2285 1359 +-1072 334 +-3491 919 +-4484 -2798 +-1294 -1661 +1798 -268 +1924 1888 +4096 0 +1924 -1888 +1798 268 +-1294 1661 +-4484 2798 +-3491 -919 +-1072 -334 +2285 -1359 +848 -1024 +3370 -108 +1201 -678 +-527 -1222 +1976 1222 +2021 4115 +6547 -1473 +328 1020 +0 -2048 +6868 -31 +733 1647 +-3569 -3497 +-4024 3021 +-312 -2776 +967 -1802 +-3975 2115 +-4944 1024 +328 2431 +105 1439 +-3030 1312 +2436 -7691 +-1383 -2167 +-2086 6284 +456 -1371 +-1024 1024 +4028 -396 +1134 -6760 +-447 -4161 +1186 2898 +-6096 -2664 +-605 -5233 +3148 -3409 +-1748 900 +-354 -242 +-2208 -728 +-3532 -1019 +-1260 -1441 +5444 2712 +1989 -3553 +-1325 177 +4096 0 +-1325 -177 +1989 3553 +5444 -2712 +-1260 1441 +-3532 1019 +-2208 728 +-354 242 +-1748 -900 +3148 3409 +-605 5233 +-6096 2664 +1186 -2898 +-447 4161 +1134 6760 +4028 396 +-1024 -1024 +-1872 1926 +-1134 2672 +-1234 -1361 +862 -4346 +1663 -2223 +605 -924 +3273 -3663 +-300 5244 +-37 3297 +2208 -1332 +-2500 2501 +3308 -8 +909 535 +-1989 -4630 +-1070 -2505 +-6144 0 +-1070 2505 +-1989 4630 +909 -535 +3308 8 +-2500 -2501 +2208 1332 +-37 -3297 +-300 -5244 +3273 3663 +605 924 +1663 2223 +862 4346 +-1234 1361 +-1134 -2672 +-1872 -1926 +-1024 1024 +4028 -396 +1134 -6760 +-447 -4161 +1186 2898 +-6096 -2664 +-605 -5233 +3148 -3409 +-1748 900 +-354 -242 +-2208 -728 +-3532 -1019 +-1260 -1441 +5444 2712 +1989 -3553 +-1325 177 +1024 1024 +120 300 +-253 -2156 +2238 -696 +318 2474 +3388 3176 +-128 1311 +-926 1514 +2596 1748 +80 1911 +-2470 91 +1003 -8541 +548 -3913 +315 302 +1473 -3310 +-2540 5539 +-4096 0 +-2540 -5539 +1473 3310 +315 -302 +548 3913 +1003 8541 +-2470 -91 +80 -1911 +2596 -1748 +-926 -1514 +-128 -1311 +3388 -3176 +318 -2474 +2238 696 +-253 2156 +120 -300 +1024 -1024 +-2661 -1743 +-347 1084 +-3850 2369 +3778 -4770 +2250 -3988 +-3368 -2235 +-2648 -2799 +-4644 300 +4381 -92 +-1026 184 +-2177 -2087 +3548 -2480 +2625 -2652 +-2073 -4754 +-1599 1916 +6144 0 +-1599 -1916 +-2073 4754 +2625 2652 +3548 2480 +-2177 2087 +-1026 -184 +4381 92 +-4644 -300 +-2648 2799 +-3368 2235 +2250 3988 +3778 4770 +-3850 -2369 +-347 -1084 +-2661 1743 +1024 1024 +120 300 +-253 -2156 +2238 -696 +318 2474 +3388 3176 +-128 1311 +-926 1514 +2596 1748 +80 1911 +-2470 91 +1003 -8541 +548 -3913 +315 302 +1473 -3310 +-2540 5539 +-1024 0 +-2307 -1890 +-2591 4826 +1745 -703 +84 6186 +-1785 1971 +3017 -2868 +4903 1856 +2472 -4520 +-386 523 +844 4064 +-836 -711 +-3700 510 +542 3867 +-777 357 +-55 -4097 +5120 0 +-55 4097 +-777 -357 +542 -3867 +-3700 -510 +-836 711 +844 -4064 +-386 -523 +2472 4520 +4903 -1856 +3017 2868 +-1785 -1971 +84 -6186 +1745 703 +-2591 -4826 +-2307 1890 +-1024 0 +209 -2122 +-1414 2010 +-5796 -2462 +-2132 -1842 +-1510 -1418 +-2797 2932 +525 -1687 +-424 -1624 +3207 -1370 +4728 -5696 +-983 -377 +1652 3835 +2830 5311 +-1011 -3409 +-302 -3332 +1024 0 +-302 3332 +-1011 3409 +2830 -5311 +1652 -3835 +-983 377 +4728 5696 +3207 1370 +-424 1624 +525 1687 +-2797 -2932 +-1510 1418 +-2132 1842 +-5796 2462 +-1414 -2010 +209 2122 +-1024 0 +-2307 -1890 +-2591 4826 +1745 -703 +84 6186 +-1785 1971 +3017 -2868 +4903 1856 +2472 -4520 +-386 523 +844 4064 +-836 -711 +-3700 510 +542 3867 +-777 357 +-55 -4097 +1024 4096 +81 942 +1178 402 +-4652 418 +495 260 +3256 -3201 +141 8 +1345 -459 +3496 -848 +599 -3132 +-3709 -2378 +-1229 374 +-3954 -2268 +-4980 945 +-3974 -1396 +247 965 +7168 0 +247 -965 +-3974 1396 +-4980 -945 +-3954 2268 +-1229 -374 +-3709 2378 +599 3132 +3496 848 +1345 459 +141 -8 +3256 3201 +495 -260 +-4652 -418 +1178 -402 +81 -942 +1024 -4096 +2031 -1652 +-4010 5651 +-2457 -995 +954 -1957 +4494 3346 +-297 -1835 +-4343 -1805 +600 4944 +2161 -2027 +-231 -1146 +-1849 -3125 +2506 -7620 +1623 1374 +2710 -2440 +3673 1221 +-1024 0 +3673 -1221 +2710 2440 +1623 -1374 +2506 7620 +-1849 3125 +-231 1146 +2161 2027 +600 -4944 +-4343 1805 +-297 1835 +4494 -3346 +954 1957 +-2457 995 +-4010 -5651 +2031 1652 +1024 4096 +81 942 +1178 402 +-4652 418 +495 260 +3256 -3201 +141 8 +1345 -459 +3496 -848 +599 -3132 +-3709 -2378 +-1229 374 +-3954 -2268 +-4980 945 +-3974 -1396 +247 965 +0 -1024 +2381 -1902 +227 4062 +-2464 185 +92 2165 +-1695 -1302 +-1331 -646 +-1542 3903 +-3196 -124 +-320 -2199 +4360 -2732 +1712 3827 +-802 5070 +4226 -151 +-1863 670 +373 3407 +11264 0 +373 -3407 +-1863 -670 +4226 151 +-802 -5070 +1712 -3827 +4360 2732 +-320 2199 +-3196 124 +-1542 -3903 +-1331 646 +-1695 1302 +92 -2165 +-2464 -185 +227 -4062 +2381 1902 +0 1024 +3981 -2095 +3233 882 +1755 579 +-692 732 +-4743 -1409 +-1670 1495 +-2402 3110 +1148 4220 +4944 2 +-1360 1883 +-3522 14 +-2694 -2174 +-1062 5068 +-1596 -5615 +-1621 -2629 +1024 0 +-1621 2629 +-1596 5615 +-1062 -5068 +-2694 2174 +-3522 -14 +-1360 -1883 +4944 -2 +1148 -4220 +-2402 -3110 +-1670 -1495 +-4743 1409 +-692 -732 +1755 -579 +3233 -882 +3981 2095 +0 -1024 +2381 -1902 +227 4062 +-2464 185 +92 2165 +-1695 -1302 +-1331 -646 +-1542 3903 +-3196 -124 +-320 -2199 +4360 -2732 +1712 3827 +-802 5070 +4226 -151 +-1863 670 +373 3407 +1024 -2048 +-2286 -1361 +-698 445 +-301 4675 +-2786 -1304 +-2555 -502 +-5688 521 +13 2573 +3320 -2472 +-2512 -2502 +495 -362 +1283 -229 +894 -364 +4540 -6668 +1734 606 +-317 -1491 +1024 0 +-317 1491 +1734 -606 +4540 6668 +894 364 +1283 229 +495 362 +-2512 2502 +3320 2472 +13 -2573 +-5688 -521 +-2555 502 +-2786 1304 +-301 -4675 +-698 -445 +-2286 1361 +1024 2048 +631 7372 +-291 4463 +-1518 3670 +-110 -5088 +-76 -3451 +676 3927 +2074 1176 +-5368 424 +1992 1029 +7413 1914 +-2437 164 +2002 -1932 +1064 -878 +-3641 1405 +404 -1114 +1024 0 +404 1114 +-3641 -1405 +1064 878 +2002 1932 +-2437 -164 +7413 -1914 +1992 -1029 +-5368 -424 +2074 -1176 +676 -3927 +-76 3451 +-110 5088 +-1518 -3670 +-291 -4463 +631 -7372 +1024 -2048 +-2286 -1361 +-698 445 +-301 4675 +-2786 -1304 +-2555 -502 +-5688 521 +13 2573 +3320 -2472 +-2512 -2502 +495 -362 +1283 -229 +894 -364 +4540 -6668 +1734 606 +-317 -1491 +-2048 3072 +894 -912 +1092 1936 +6008 1935 +-452 -2864 +-6489 -1201 +536 -1784 +31 -3549 +1748 -2172 +-2452 1406 +1767 703 +2866 3360 +-3562 522 +2014 -6473 +273 920 +-1772 2084 +1024 0 +-1772 -2084 +273 -920 +2014 6473 +-3562 -522 +2866 -3360 +1767 -703 +-2452 -1406 +1748 2172 +31 3549 +536 1784 +-6489 1201 +-452 2864 +6008 -1935 +1092 -1936 +894 912 +-2048 -3072 +334 -3967 +-3324 -6060 +436 -2828 +1900 -2080 +-3494 -3720 +2804 -773 +2524 -665 +300 2172 +-752 -2355 +-2211 -363 +-2019 5391 +2114 -1370 +678 -525 +-937 -2147 +1193 -7092 +-1024 0 +1193 7092 +-937 2147 +678 525 +2114 1370 +-2019 -5391 +-2211 363 +-752 2355 +300 -2172 +2524 665 +2804 773 +-3494 3720 +1900 2080 +436 2828 +-3324 6060 +334 3967 +-2048 3072 +894 -912 +1092 1936 +6008 1935 +-452 -2864 +-6489 -1201 +536 -1784 +31 -3549 +1748 -2172 +-2452 1406 +1767 703 +2866 3360 +-3562 522 +2014 -6473 +273 920 +-1772 2084 +-7168 -2048 +448 469 +-103 2242 +-5715 1023 +-1363 -1872 +103 -2647 +2078 2585 +-901 1906 +600 600 +1691 -1015 +-2039 226 +-1536 -638 +5762 -3920 +3152 -1762 +464 833 +4455 6374 +3072 0 +4455 -6374 +464 -833 +3152 1762 +5762 3920 +-1536 638 +-2039 -226 +1691 1015 +600 -600 +-901 -1906 +2078 -2585 +103 2647 +-1363 1872 +-5715 -1023 +-103 -2242 +448 -469 +-7168 2048 +2986 -2311 +768 -166 +1811 -2243 +1962 -1872 +-3779 4678 +-1634 2020 +-3088 3677 +3496 3496 +1411 -1962 +-1301 -2614 +748 84 +-2266 -3920 +-577 -4442 +1768 2442 +-1209 -2791 +-5120 0 +-1209 2791 +1768 -2442 +-577 4442 +-2266 3920 +748 -84 +-1301 2614 +1411 1962 +3496 -3496 +-3088 -3677 +-1634 -2020 +-3779 -4678 +1962 1872 +1811 2243 +768 166 +2986 2311 +-7168 -2048 +448 469 +-103 2242 +-5715 1023 +-1363 -1872 +103 -2647 +2078 2585 +-901 1906 +600 600 +1691 -1015 +-2039 226 +-1536 -638 +5762 -3920 +3152 -1762 +464 833 +4455 6374 +3072 -4096 +-1683 5485 +983 -386 +4337 575 +1578 724 +356 -2806 +-2058 647 +143 -750 +1024 1872 +851 7918 +1513 2978 +-864 -1702 +-314 724 +-2269 -1089 +-1600 902 +-386 1986 +-3072 0 +-386 -1986 +-1600 -902 +-2269 1089 +-314 -724 +-864 1702 +1513 -2978 +851 -7918 +1024 -1872 +143 750 +-2058 -647 +356 2806 +1578 -724 +4337 -575 +983 386 +-1683 -5485 +3072 4096 +-921 -1994 +-1684 -4403 +2759 5397 +470 724 +-4132 576 +-1986 -2759 +5246 -2117 +1024 -3920 +-889 -2776 +4227 702 +2423 -86 +2362 724 +-2609 -4083 +-7587 103 +-2362 -2071 +1024 0 +-2362 2071 +-7587 -103 +-2609 4083 +2362 -724 +2423 86 +4227 -702 +-889 2776 +1024 3920 +5246 2117 +-1986 2759 +-4132 -576 +470 -724 +2759 -5397 +-1684 4403 +-921 1994 +3072 -4096 +-1683 5485 +983 -386 +4337 575 +1578 724 +356 -2806 +-2058 647 +143 -750 +1024 1872 +851 7918 +1513 2978 +-864 -1702 +-314 724 +-2269 -1089 +-1600 902 +-386 1986 +0 0 +-7267 -2039 +877 3285 +3280 -964 +1338 2432 +475 2501 +483 -1160 +3293 -4062 +-600 -3072 +-1376 1665 +3375 -2103 +2136 2299 +554 -96 +761 -2544 +499 5160 +-3815 1780 +-8192 0 +-3815 -1780 +499 -5160 +761 2544 +554 96 +2136 -2299 +3375 2103 +-1376 -1665 +-600 3072 +3293 4062 +483 1160 +475 -2501 +1338 -2432 +3280 964 +877 -3285 +-7267 2039 +0 0 +4446 -2123 +-2834 -3441 +15 -4344 +-1338 216 +-3847 -2004 +1786 2425 +-1194 -3043 +-3496 -3072 +1732 -522 +4245 -728 +1915 3310 +-554 -5448 +1057 -3444 +-239 -1219 +-1612 -3486 +0 0 +-1612 3486 +-239 1219 +1057 3444 +-554 5448 +1915 -3310 +4245 728 +1732 522 +-3496 3072 +-1194 3043 +1786 -2425 +-3847 2004 +-1338 -216 +15 4344 +-2834 3441 +4446 2123 +0 0 +-7267 -2039 +877 3285 +3280 -964 +1338 2432 +475 2501 +483 -1160 +3293 -4062 +-600 -3072 +-1376 1665 +3375 -2103 +2136 2299 +554 -96 +761 -2544 +499 5160 +-3815 1780 +-3072 -2048 +-62 -2924 +-925 -839 +537 3017 +968 -3242 +-3922 3551 +-2870 2264 +1852 270 +2472 5968 +2390 1180 +1517 1810 +102 -746 +288 3686 +557 1389 +820 -8395 +60 1467 +-1024 0 +60 -1467 +820 8395 +557 -1389 +288 -3686 +102 746 +1517 -1810 +2390 -1180 +2472 -5968 +1852 -270 +-2870 -2264 +-3922 -3551 +968 3242 +537 -3017 +-925 839 +-62 2924 +-3072 2048 +-2790 251 +-691 -233 +-3334 -870 +-2167 -4350 +417 -1348 +1015 -3189 +3097 2361 +-424 176 +-659 -3013 +7331 -1535 +2074 -3732 +-7280 1010 +-2224 -130 +1997 331 +1904 -2811 +3072 0 +1904 2811 +1997 -331 +-2224 130 +-7280 -1010 +2074 3732 +7331 1535 +-659 3013 +-424 -176 +3097 -2361 +1015 3189 +417 1348 +-2167 4350 +-3334 870 +-691 233 +-2790 -251 +-3072 -2048 +-62 -2924 +-925 -839 +537 3017 +968 -3242 +-3922 3551 +-2870 2264 +1852 270 +2472 5968 +2390 1180 +1517 1810 +102 -746 +288 3686 +557 1389 +820 -8395 +60 1467 +4096 4096 +1346 6274 +-1179 931 +-481 1615 +-170 -699 +2592 2148 +1356 451 +772 4156 +-2472 0 +-4723 649 +-1623 4340 +-264 1356 +-614 5592 +-2146 811 +3643 1109 +4105 243 +-2048 0 +4105 -243 +3643 -1109 +-2146 -811 +-614 -5592 +-264 -1356 +-1623 -4340 +-4723 -649 +-2472 0 +772 -4156 +1356 -451 +2592 -2148 +-170 699 +-481 -1615 +-1179 -931 +1346 -6274 +4096 -4096 +-2694 8487 +735 2973 +4811 1401 +-1278 -1349 +-3469 -1440 +-3587 318 +-1220 -3489 +424 0 +1387 771 +958 -675 +-426 400 +2062 -3544 +-616 -141 +-303 5691 +1027 -4507 +-2048 0 +1027 4507 +-303 -5691 +-616 141 +2062 3544 +-426 -400 +958 675 +1387 -771 +424 0 +-1220 3489 +-3587 -318 +-3469 1440 +-1278 1349 +4811 -1401 +735 -2973 +-2694 -8487 +4096 4096 +1346 6274 +-1179 931 +-481 1615 +-170 -699 +2592 2148 +1356 451 +772 4156 +-2472 0 +-4723 649 +-1623 4340 +-264 1356 +-614 5592 +-2146 811 +3643 1109 +4105 243 +2048 -4096 +-2085 943 +3349 560 +2656 -688 +-350 2976 +-436 -2795 +-2199 -967 +652 535 +848 -3920 +4386 -1538 +425 1219 +-1218 -2315 +2870 -2856 +5007 2570 +2428 1137 +-3757 -1730 +-4096 0 +-3757 1730 +2428 -1137 +5007 -2570 +2870 2856 +-1218 2315 +425 -1219 +4386 1538 +848 3920 +652 -535 +-2199 967 +-436 2795 +-350 -2976 +2656 688 +3349 -560 +-2085 -943 +2048 4096 +-230 1114 +3043 992 +1466 -2843 +-4594 -2376 +2438 -2099 +-97 -3940 +-9623 2189 +-4944 1872 +359 477 +-2721 -3231 +1225 -1013 +-2022 -640 +448 -4533 +3965 3311 +-1287 3 +0 0 +-1287 -3 +3965 -3311 +448 4533 +-2022 640 +1225 1013 +-2721 3231 +359 -477 +-4944 -1872 +-9623 -2189 +-97 3940 +2438 2099 +-4594 2376 +1466 2843 +3043 -992 +-230 -1114 +2048 -4096 +-2085 943 +3349 560 +2656 -688 +-350 2976 +-436 -2795 +-2199 -967 +652 535 +848 -3920 +4386 -1538 +425 1219 +-1218 -2315 +2870 -2856 +5007 2570 +2428 1137 +-3757 -1730 +0 3072 +-1020 4226 +-78 250 +2023 173 +-946 -2270 +-498 213 +3582 -3853 +3459 781 +124 -1748 +-2939 -1754 +973 2911 +1590 -3723 +392 2380 +6529 2962 +1105 -1244 +-5433 -2647 +-3072 0 +-5433 2647 +1105 1244 +6529 -2962 +392 -2380 +1590 3723 +973 -2911 +-2939 1754 +124 1748 +3459 -781 +3582 3853 +-498 -213 +-946 2270 +2023 -173 +-78 -250 +-1020 -4226 +0 -3072 +1919 2088 +3234 -2990 +1395 1229 +946 -378 +78 -1542 +1141 -307 +1213 2184 +-4220 -300 +-4629 -5746 +-1601 2817 +1727 2632 +-392 3164 +-7051 4470 +-165 200 +1637 4289 +-5120 0 +1637 -4289 +-165 -200 +-7051 -4470 +-392 -3164 +1727 -2632 +-1601 -2817 +-4629 5746 +-4220 300 +1213 -2184 +1141 307 +78 1542 +946 378 +1395 -1229 +3234 2990 +1919 -2088 +0 3072 +-1020 4226 +-78 250 +2023 173 +-946 -2270 +-498 213 +3582 -3853 +3459 781 +124 -1748 +-2939 -1754 +973 2911 +1590 -3723 +392 2380 +6529 2962 +1105 -1244 +-5433 -2647 +-1024 1024 +4065 -489 +1477 -1096 +-628 -4260 +-1984 816 +-359 2599 +-2494 3801 +-3685 2180 +724 -724 +-833 1311 +1957 -2852 +-2882 -58 +1586 1526 +2531 -1076 +-2520 2823 +-1621 -1637 +-6144 0 +-1621 1637 +-2520 -2823 +2531 1076 +1586 -1526 +-2882 58 +1957 2852 +-833 -1311 +724 724 +-3685 -2180 +-2494 -3801 +-359 -2599 +-1984 -816 +-628 4260 +1477 1096 +4065 489 +-1024 -1024 +1778 -4150 +-2141 276 +-2712 -270 +2584 32 +-475 -1338 +2050 -2093 +-1914 5752 +-724 724 +5544 -6372 +1384 3361 +-747 9438 +1910 3418 +-520 2266 +288 3349 +2459 -2011 +0 0 +2459 2011 +288 -3349 +-520 -2266 +1910 -3418 +-747 -9438 +1384 -3361 +5544 6372 +-724 -724 +-1914 -5752 +2050 2093 +-475 1338 +2584 -32 +-2712 270 +-2141 -276 +1778 4150 +-1024 1024 +4065 -489 +1477 -1096 +-628 -4260 +-1984 816 +-359 2599 +-2494 3801 +-3685 2180 +724 -724 +-833 1311 +1957 -2852 +-2882 -58 +1586 1526 +2531 -1076 +-2520 2823 +-1621 -1637 +-5120 0 +-1193 -6091 +535 -2438 +1916 -2375 +3340 1638 +-624 -647 +-1411 -3188 +-2150 610 +1024 -4520 +-1331 -3679 +36 1050 +-243 -1359 +-2232 -2302 +3784 361 +-1874 835 +-991 -3052 +7168 0 +-991 3052 +-1874 -835 +3784 -361 +-2232 2302 +-243 1359 +36 -1050 +-1331 3679 +1024 4520 +-2150 -610 +-1411 3188 +-624 647 +3340 -1638 +1916 2375 +535 2438 +-1193 6091 +-5120 0 +-1693 -4291 +-2204 2882 +1513 867 +-444 -1038 +-962 -961 +4647 956 +6080 2536 +1024 -1624 +-1032 721 +5417 -386 +-1955 -3513 +-664 -1194 +-3430 -1740 +-5147 2505 +2310 6342 +-1024 0 +2310 -6342 +-5147 -2505 +-3430 1740 +-664 1194 +-1955 3513 +5417 386 +-1032 -721 +1024 1624 +6080 -2536 +4647 -956 +-962 961 +-444 1038 +1513 -867 +-2204 -2882 +-1693 4291 +-5120 0 +-1193 -6091 +535 -2438 +1916 -2375 +3340 1638 +-624 -647 +-1411 -3188 +-2150 610 +1024 -4520 +-1331 -3679 +36 1050 +-243 -1359 +-2232 -2302 +3784 361 +-1874 835 +-991 -3052 +-2048 0 +-1827 -2511 +1003 -790 +703 2171 +-5004 26 +2549 -1195 +1774 4303 +-271 -862 +1024 1448 +-1758 3010 +1832 -1402 +-2308 2447 +-1768 -1698 +2270 -804 +2155 276 +176 -1716 +-4096 0 +176 1716 +2155 -276 +2270 804 +-1768 1698 +-2308 -2447 +1832 1402 +-1758 -3010 +1024 -1448 +-271 862 +1774 -4303 +2549 1195 +-5004 -26 +703 -2171 +1003 790 +-1827 2511 +-2048 0 +3064 3604 +7061 5762 +-3877 3207 +-3436 4918 +-3081 2633 +-1499 3198 +7046 -2173 +1024 -1448 +-554 636 +-907 -3882 +-3840 320 +2016 2546 +1791 1718 +-3227 103 +-84 3698 +4096 0 +-84 -3698 +-3227 -103 +1791 -1718 +2016 -2546 +-3840 -320 +-907 3882 +-554 -636 +1024 1448 +7046 2173 +-1499 -3198 +-3081 -2633 +-3436 -4918 +-3877 -3207 +7061 -5762 +3064 -3604 +-2048 0 +-1827 -2511 +1003 -790 +703 2171 +-5004 26 +2549 -1195 +1774 4303 +-271 -862 +1024 1448 +-1758 3010 +1832 -1402 +-2308 2447 +-1768 -1698 +2270 -804 +2155 276 +176 -1716 +1024 -3072 +-2673 1507 +-4948 3086 +-1668 313 +-256 776 +547 -1141 +2101 806 +-3213 2421 +300 2348 +-245 -3535 +167 -3482 +-704 2058 +-1251 1006 +3763 507 +1208 -1875 +-583 -1620 +0 0 +-583 1620 +1208 1875 +3763 -507 +-1251 -1006 +-704 -2058 +167 3482 +-245 3535 +300 -2348 +-3213 -2421 +2101 -806 +547 1141 +-256 -776 +-1668 -313 +-4948 -3086 +-2673 -1507 +1024 3072 +3781 1569 +-5348 8475 +4344 1933 +8096 -2224 +-3223 3952 +964 -1681 +2105 2264 +1748 3796 +-863 2867 +-1536 5007 +-1972 -1464 +-2494 -2454 +-1087 -477 +-801 -550 +1692 -657 +2048 0 +1692 657 +-801 550 +-1087 477 +-2494 2454 +-1972 1464 +-1536 -5007 +-863 -2867 +1748 -3796 +2105 -2264 +964 1681 +-3223 -3952 +8096 2224 +4344 -1933 +-5348 -8475 +3781 -1569 +1024 -3072 +-2673 1507 +-4948 3086 +-1668 313 +-256 776 +547 -1141 +2101 806 +-3213 2421 +300 2348 +-245 -3535 +167 -3482 +-704 2058 +-1251 1006 +3763 507 +1208 -1875 +-583 -1620 +2048 -1024 +3645 -1536 +2056 -750 +-1817 -726 +-1102 -562 +-2127 2155 +-83 2509 +1686 -667 +2772 -1748 +3933 5880 +416 -355 +-683 -3222 +-2440 1560 +1943 -6022 +1373 360 +-4297 1298 +-3072 0 +-4297 -1298 +1373 -360 +1943 6022 +-2440 -1560 +-683 3222 +416 355 +3933 -5880 +2772 1748 +1686 667 +-83 -2509 +-2127 -2155 +-1102 562 +-1817 726 +2056 750 +3645 1536 +2048 1024 +-1369 -509 +-2056 3102 +-2200 1150 +-2994 -886 +2926 6148 +83 3168 +1719 1817 +1324 -300 +-7339 3799 +-416 6031 +-116 -3274 +-1656 -3008 +2075 -161 +-1373 1991 +2021 -3006 +7168 0 +2021 3006 +-1373 -1991 +2075 161 +-1656 3008 +-116 3274 +-416 -6031 +-7339 -3799 +1324 300 +1719 -1817 +83 -3168 +2926 -6148 +-2994 886 +-2200 -1150 +-2056 -3102 +-1369 509 +2048 -1024 +3645 -1536 +2056 -750 +-1817 -726 +-1102 -562 +-2127 2155 +-83 2509 +1686 -667 +2772 -1748 +3933 5880 +416 -355 +-683 -3222 +-2440 1560 +1943 -6022 +1373 360 +-4297 1298 +-1024 -2048 +4283 173 +-4603 2323 +-810 1023 +-458 -325 +-1072 -45 +-465 -109 +-6320 -219 +0 -600 +-1433 -708 +1835 -86 +4274 -2058 +1553 -4568 +-383 -2093 +-957 1091 +3299 632 +3072 0 +3299 -632 +-957 -1091 +-383 2093 +1553 4568 +4274 2058 +1835 86 +-1433 708 +0 600 +-6320 219 +-465 109 +-1072 45 +-458 325 +-810 -1023 +-4603 -2323 +4283 -173 +-1024 2048 +-3140 3313 +-4909 5297 +1307 3407 +6002 325 +-4917 2810 +1638 2065 +2157 -4440 +0 -3496 +3140 1163 +-1311 346 +1035 2367 +1094 4568 +-5226 -1725 +580 -3359 +3806 2175 +-1024 0 +3806 -2175 +580 3359 +-5226 1725 +1094 -4568 +1035 -2367 +-1311 -346 +3140 -1163 +0 3496 +2157 4440 +1638 -2065 +-4917 -2810 +6002 -325 +1307 -3407 +-4909 -5297 +-3140 -3313 +-1024 -2048 +4283 173 +-4603 2323 +-810 1023 +-458 -325 +-1072 -45 +-465 -109 +-6320 -219 +0 -600 +-1433 -708 +1835 -86 +4274 -2058 +1553 -4568 +-383 -2093 +-957 1091 +3299 632 +-1024 3072 +2620 -859 +2188 2639 +-916 1172 +-8012 -876 +-845 893 +4706 -1810 +-3877 226 +3796 -1148 +492 -1845 +-1870 787 +52 3151 +-1206 1090 +3871 -3455 +-4268 -935 +-4263 -2564 +4096 0 +-4263 2564 +-4268 935 +3871 3455 +-1206 -1090 +52 -3151 +-1870 -787 +492 1845 +3796 1148 +-3877 -226 +4706 1810 +-845 -893 +-8012 876 +-916 -1172 +2188 -2639 +2620 859 +-1024 -3072 +240 -2108 +-2528 702 +973 2369 +-1877 1476 +-8479 959 +-582 2474 +2580 1468 +2348 3196 +156 -2124 +643 -3019 +136 -986 +2903 -4586 +5208 -884 +1711 1379 +2053 2125 +2048 0 +2053 -2125 +1711 -1379 +5208 884 +2903 4586 +136 986 +643 3019 +156 2124 +2348 -3196 +2580 -1468 +-582 -2474 +-8479 -959 +-1877 -1476 +973 -2369 +-2528 -702 +240 2108 +-1024 3072 +2620 -859 +2188 2639 +-916 1172 +-8012 -876 +-845 893 +4706 -1810 +-3877 226 +3796 -1148 +492 -1845 +-1870 787 +52 3151 +-1206 1090 +3871 -3455 +-4268 -935 +-4263 -2564 +3072 -3072 +-3512 278 +-3688 450 +123 -5700 +4250 -3986 +-3407 -1918 +-4432 967 +5693 -1929 +900 -300 +305 3142 +3139 540 +-554 -2084 +-223 -4372 +1055 302 +-624 -1065 +-2110 -931 +-2048 0 +-2110 931 +-624 1065 +1055 -302 +-223 4372 +-554 2084 +3139 -540 +305 -3142 +900 300 +5693 1929 +-4432 -967 +-3407 1918 +4250 3986 +123 5700 +-3688 -450 +-3512 -278 +3072 3072 +791 4298 +2699 2891 +2007 -681 +-8346 1690 +-896 -500 +-580 -303 +1478 -2173 +5244 -1748 +-557 -1581 +4770 -2772 +-1143 -646 +-3873 -2021 +2816 1197 +-1283 1509 +-2088 2978 +0 0 +-2088 -2978 +-1283 -1509 +2816 -1197 +-3873 2021 +-1143 646 +4770 2772 +-557 1581 +5244 1748 +1478 2173 +-580 303 +-896 500 +-8346 -1690 +2007 681 +2699 -2891 +791 -4298 +3072 -3072 +-3512 278 +-3688 450 +123 -5700 +4250 -3986 +-3407 -1918 +-4432 967 +5693 -1929 +900 -300 +305 3142 +3139 540 +-554 -2084 +-223 -4372 +1055 302 +-624 -1065 +-2110 -931 +-2048 1024 +580 -3244 +-2757 1309 +-4139 -1359 +1186 321 +-1849 6757 +1070 -491 +2482 -500 +2772 -300 +-3695 -7393 +-2780 -2850 +3177 -839 +-1260 -2428 +2053 -1627 +2783 -2630 +-198 788 +1024 0 +-198 -788 +2783 2630 +2053 1627 +-1260 2428 +3177 839 +-2780 2850 +-3695 7393 +2772 300 +2482 500 +1070 491 +-1849 -6757 +1186 -321 +-4139 1359 +-2757 -1309 +580 3244 +-2048 -1024 +207 -1136 +-3415 4927 +5096 1564 +862 -921 +-5487 2448 +-561 4052 +-1894 202 +1324 -1748 +-677 -266 +1072 -2278 +2591 468 +3308 5924 +-1443 3841 +-3603 178 +3195 -943 +3072 0 +3195 943 +-3603 -178 +-1443 -3841 +3308 -5924 +2591 -468 +1072 2278 +-677 266 +1324 1748 +-1894 -202 +-561 -4052 +-5487 -2448 +862 921 +5096 -1564 +-3415 -4927 +207 1136 +-2048 1024 +580 -3244 +-2757 1309 +-4139 -1359 +1186 321 +-1849 6757 +1070 -491 +2482 -500 +2772 -300 +-3695 -7393 +-2780 -2850 +3177 -839 +-1260 -2428 +2053 -1627 +2783 -2630 +-198 788 +1024 0 +-1217 -2232 +-656 -2480 +-5554 3082 +-978 -540 +-1753 -1432 +-6746 2581 +2175 -5356 +-1624 -1024 +56 5718 +-728 1821 +-1011 -619 +3810 880 +-3283 1041 +-971 -3955 +3102 -1268 +1024 0 +3102 1268 +-971 3955 +-3283 -1041 +3810 -880 +-1011 619 +-728 -1821 +56 -5718 +-1624 1024 +2175 5356 +-6746 -2581 +-1753 1432 +-978 540 +-5554 -3082 +-656 2480 +-1217 2232 +1024 0 +-1589 738 +1115 2636 +1736 -985 +130 -2108 +1444 -3360 +286 -3845 +-1721 1121 +-4520 -1024 +4603 2391 +7188 1011 +3776 -3156 +1134 4664 +-1147 4472 +512 15 +385 -1867 +1024 0 +385 1867 +512 -15 +-1147 -4472 +1134 -4664 +3776 3156 +7188 -1011 +4603 -2391 +-4520 1024 +-1721 -1121 +286 3845 +1444 3360 +130 2108 +1736 985 +1115 -2636 +-1589 -738 +1024 0 +-1217 -2232 +-656 -2480 +-5554 3082 +-978 -540 +-1753 -1432 +-6746 2581 +2175 -5356 +-1624 -1024 +56 5718 +-728 1821 +-1011 -619 +3810 880 +-3283 1041 +-971 -3955 +3102 -1268 +2048 -4096 +-157 -937 +-705 719 +4320 -3465 +6765 4194 +2572 -136 +810 -547 +581 -1513 +2648 -4520 +764 2414 +237 1517 +1188 668 +-8164 1822 +-1966 98 +2913 -457 +-42 4646 +4096 0 +-42 -4646 +2913 457 +-1966 -98 +-8164 -1822 +1188 -668 +237 -1517 +764 -2414 +2648 4520 +581 1513 +810 547 +2572 136 +6765 -4194 +4320 3465 +-705 -719 +-157 937 +2048 4096 +-291 51 +105 -1383 +-963 -2847 +-3020 -698 +364 -4134 +-4306 991 +479 494 +5544 -1624 +-3390 3487 +-3733 1823 +-340 1062 +323 -2422 +-5175 -409 +-3513 2689 +2057 1387 +0 0 +2057 -1387 +-3513 -2689 +-5175 409 +323 2422 +-340 -1062 +-3733 -1823 +-3390 -3487 +5544 1624 +479 -494 +-4306 -991 +364 4134 +-3020 698 +-963 2847 +105 1383 +-291 -51 +2048 -4096 +-157 -937 +-705 719 +4320 -3465 +6765 4194 +2572 -136 +810 -547 +581 -1513 +2648 -4520 +764 2414 +237 1517 +1188 668 +-8164 1822 +-1966 98 +2913 -457 +-42 4646 +1024 -6144 +-5023 -1848 +1274 1501 +767 1899 +-1038 3256 +-588 -3463 +-2348 -508 +-487 -2922 +1448 -6392 +3407 -1331 +-5132 -363 +-3721 44 +1194 -1468 +612 1257 +3247 2211 +2720 -3696 +3072 0 +2720 3696 +3247 -2211 +612 -1257 +1194 1468 +-3721 -44 +-5132 363 +3407 1331 +1448 6392 +-487 2922 +-2348 508 +-588 3463 +-1038 -3256 +767 -1899 +1274 -1501 +-5023 1848 +1024 6144 +2286 -3118 +-2437 -2949 +-1066 -1686 +1638 1688 +-3729 2013 +-1044 -940 +3203 6179 +-1448 2296 +1238 803 +-4261 1811 +-1539 73 +2302 2316 +-2322 524 +2509 -763 +4242 -5054 +3072 0 +4242 5054 +2509 763 +-2322 -524 +2302 -2316 +-1539 -73 +-4261 -1811 +1238 -803 +-1448 -2296 +3203 -6179 +-1044 940 +-3729 -2013 +1638 -1688 +-1066 1686 +-2437 2949 +2286 3118 +1024 -6144 +-5023 -1848 +1274 1501 +767 1899 +-1038 3256 +-588 -3463 +-2348 -508 +-487 -2922 +1448 -6392 +3407 -1331 +-5132 -363 +-3721 44 +1194 -1468 +612 1257 +3247 2211 +2720 -3696 +-2048 -1024 +1103 -367 +-168 2775 +-2541 511 +-4286 -1670 +-998 1824 +519 3136 +369 1187 +-3372 -1748 +-4522 2792 +-185 -347 +-1957 -1952 +2624 -1116 +2835 -6788 +-1197 1766 +1050 -391 +1024 0 +1050 391 +-1197 -1766 +2835 6788 +2624 1116 +-1957 1952 +-185 347 +-4522 -2792 +-3372 1748 +369 -1187 +519 -3136 +-998 -1824 +-4286 1670 +-2541 -511 +-168 -2775 +1103 367 +-2048 1024 +-2628 -1466 +-2248 3841 +-1082 4016 +1390 222 +-882 983 +8209 -4860 +5529 -104 +-4820 -300 +1520 -1947 +1345 2720 +942 88 +273 -332 +-2109 850 +1916 754 +3371 -7474 +3072 0 +3371 7474 +1916 -754 +-2109 -850 +273 332 +942 -88 +1345 -2720 +1520 1947 +-4820 300 +5529 104 +8209 4860 +-882 -983 +1390 -222 +-1082 -4016 +-2248 -3841 +-2628 1466 +-2048 -1024 +1103 -367 +-168 2775 +-2541 511 +-4286 -1670 +-998 1824 +519 3136 +369 1187 +-3372 -1748 +-4522 2792 +-185 -347 +-1957 -1952 +2624 -1116 +2835 -6788 +-1197 1766 +1050 -391 +-3072 -6144 +3001 -2545 +-353 3379 +2571 3864 +240 -3510 +1608 -2052 +-2173 -2004 +-4401 -2763 +4520 5968 +-1785 1804 +-440 -3014 +-2362 -291 +-868 -1618 +3750 415 +-86 432 +2432 -2137 +3072 0 +2432 2137 +-86 -432 +3750 -415 +-868 1618 +-2362 291 +-440 3014 +-1785 -1804 +4520 -5968 +-4401 2763 +-2173 2004 +1608 2052 +240 3510 +2571 -3864 +-353 -3379 +3001 2545 +-3072 6144 +-5604 1453 +-4371 1409 +-1198 7123 +1808 -834 +-3413 -45 +1233 4116 +4031 -2145 +1624 176 +-62 1297 +-2716 -666 +-1185 -1364 +2916 -2726 +229 -573 +713 -1436 +2388 -2531 +-1024 0 +2388 2531 +713 1436 +229 573 +2916 2726 +-1185 1364 +-2716 666 +-62 -1297 +1624 -176 +4031 2145 +1233 -4116 +-3413 45 +1808 834 +-1198 -7123 +-4371 -1409 +-5604 -1453 +-3072 -6144 +3001 -2545 +-353 3379 +2571 3864 +240 -3510 +1608 -2052 +-2173 -2004 +-4401 -2763 +4520 5968 +-1785 1804 +-440 -3014 +-2362 -291 +-868 -1618 +3750 415 +-86 432 +2432 -2137 +-1024 -3072 +2681 -931 +110 -1043 +3400 -237 +4612 3732 +516 3837 +-1545 -664 +-1822 -3908 +1324 -724 +-1573 2560 +-480 2432 +-3184 2686 +822 1286 +415 2288 +-3456 5415 +1126 3187 +0 0 +1126 -3187 +-3456 -5415 +415 -2288 +822 -1286 +-3184 -2686 +-480 -2432 +-1573 -2560 +1324 724 +-1822 3908 +-1545 664 +516 -3837 +4612 -3732 +3400 237 +110 1043 +2681 931 +-1024 3072 +2658 -556 +-2942 -2793 +-2894 83 +3828 -836 +2873 -838 +1389 -2860 +-5048 -4594 +2772 724 +196 -2814 +-3461 -4260 +4909 5425 +-1070 1610 +-241 -3121 +2192 638 +-4009 -2219 +-6144 0 +-4009 2219 +2192 -638 +-241 3121 +-1070 -1610 +4909 -5425 +-3461 4260 +196 2814 +2772 -724 +-5048 4594 +1389 2860 +2873 838 +3828 836 +-2894 -83 +-2942 2793 +2658 556 +-1024 -3072 +2681 -931 +110 -1043 +3400 -237 +4612 3732 +516 3837 +-1545 -664 +-1822 -3908 +1324 -724 +-1573 2560 +-480 2432 +-3184 2686 +822 1286 +415 2288 +-3456 5415 +1126 3187 +2048 -3072 +-2182 -2887 +4747 1959 +791 3955 +-2140 836 +4978 1869 +855 -148 +-1282 -2679 +-300 -1572 +-496 592 +-394 -686 +2050 -1791 +-1246 -1610 +1939 1041 +3638 6392 +1054 2398 +5120 0 +1054 -2398 +3638 -6392 +1939 -1041 +-1246 1610 +2050 1791 +-394 686 +-496 -592 +-300 1572 +-1282 2679 +855 148 +4978 -1869 +-2140 -836 +791 -3955 +4747 -1959 +-2182 2887 +2048 3072 +-475 1979 +-3742 -223 +-3321 -1866 +-1356 -3732 +-1262 3994 +-4535 629 +-4583 -5111 +-1748 5668 +3465 386 +-1719 -2929 +-2870 -2474 +646 -1286 +3487 4905 +1150 -560 +-1292 3062 +3072 0 +-1292 -3062 +1150 560 +3487 -4905 +646 1286 +-2870 2474 +-1719 2929 +3465 -386 +-1748 -5668 +-4583 5111 +-4535 -629 +-1262 -3994 +-1356 3732 +-3321 1866 +-3742 223 +-475 -1979 +2048 -3072 +-2182 -2887 +4747 1959 +791 3955 +-2140 836 +4978 1869 +855 -148 +-1282 -2679 +-300 -1572 +-496 592 +-394 -686 +2050 -1791 +-1246 -1610 +1939 1041 +3638 6392 +1054 2398 +-5120 -4096 +-2956 5383 +-1658 2887 +3063 -2563 +2122 1748 +-1771 -3915 +196 -1253 +-1632 454 +1024 1024 +2085 4754 +-195 -1468 +-2102 -2918 +2446 -300 +3145 -777 +5048 984 +4471 2800 +-3072 0 +4471 -2800 +5048 -984 +3145 777 +2446 300 +-2102 2918 +-195 1468 +2085 -4754 +1024 -1024 +-1632 -454 +196 1253 +-1771 3915 +2122 -1748 +3063 2563 +-1658 -2887 +-2956 -5383 +-5120 4096 +-829 531 +-3094 713 +-3052 -85 +-2122 1748 +604 412 +5517 -5703 +890 2431 +1024 1024 +3121 -2757 +1475 1505 +-3413 3880 +-2446 -300 +-2269 -3199 +904 1417 +643 -3567 +-7168 0 +643 3567 +904 -1417 +-2269 3199 +-2446 300 +-3413 -3880 +1475 -1505 +3121 2757 +1024 -1024 +890 -2431 +5517 5703 +604 -412 +-2122 -1748 +-3052 85 +-3094 -713 +-829 -531 +-5120 -4096 +-2956 5383 +-1658 2887 +3063 -2563 +2122 1748 +-1771 -3915 +196 -1253 +-1632 454 +1024 1024 +2085 4754 +-195 -1468 +-2102 -2918 +2446 -300 +3145 -777 +5048 984 +4471 2800 +0 1024 +6559 1351 +-1150 2657 +2781 3198 +6818 2330 +549 2241 +3986 -6366 +268 759 +-1748 724 +-71 -2146 +-1385 3277 +3070 978 +-432 -4996 +-1043 -6136 +3369 6578 +-1376 2606 +-5120 0 +-1376 -2606 +3369 -6578 +-1043 6136 +-432 4996 +3070 -978 +-1385 -3277 +-71 2146 +-1748 -724 +268 -759 +3986 6366 +549 -2241 +6818 -2330 +2781 -3198 +-1150 -2657 +6559 -1351 +0 -1024 +-1798 2763 +366 2955 +-1788 4388 +-426 -1130 +-4571 -415 +-2094 963 +2451 -694 +-300 -724 +249 -2123 +-507 1208 +-1945 271 +-1865 -1996 +-2846 -839 +-2585 731 +-488 -427 +1024 0 +-488 427 +-2585 -731 +-2846 839 +-1865 1996 +-1945 -271 +-507 -1208 +249 2123 +-300 724 +2451 694 +-2094 -963 +-4571 415 +-426 1130 +-1788 -4388 +366 -2955 +-1798 -2763 +0 1024 +6559 1351 +-1150 2657 +2781 3198 +6818 2330 +549 2241 +3986 -6366 +268 759 +-1748 724 +-71 -2146 +-1385 3277 +3070 978 +-432 -4996 +-1043 -6136 +3369 6578 +-1376 2606 +-4096 -1024 +-3216 3276 +1048 5021 +2066 -2620 +-2818 -1430 +-96 -4091 +-282 812 +-562 1193 +-3372 -2596 +-1811 -3681 +4277 -3721 +1480 1753 +4312 -248 +-311 -1431 +234 -3368 +2315 -3084 +-5120 0 +2315 3084 +234 3368 +-311 1431 +4312 248 +1480 -1753 +4277 3721 +-1811 3681 +-3372 2596 +-562 -1193 +-282 -812 +-96 4091 +-2818 1430 +2066 2620 +1048 -5021 +-3216 -3276 +-4096 1024 +-714 -3087 +3795 1216 +132 1437 +-926 2030 +-3333 5316 +-1114 2749 +-757 -438 +-4820 4644 +4697 651 +4112 -1407 +-1834 1039 +3528 -3248 +1898 1815 +-3877 916 +48 -511 +5120 0 +48 511 +-3877 -916 +1898 -1815 +3528 3248 +-1834 -1039 +4112 1407 +4697 -651 +-4820 -4644 +-757 438 +-1114 -2749 +-3333 -5316 +-926 -2030 +132 -1437 +3795 -1216 +-714 3087 +-4096 -1024 +-3216 3276 +1048 5021 +2066 -2620 +-2818 -1430 +-96 -4091 +-282 812 +-562 1193 +-3372 -2596 +-1811 -3681 +4277 -3721 +1480 1753 +4312 -248 +-311 -1431 +234 -3368 +2315 -3084 +1024 3072 +4426 175 +-1161 -5401 +-1517 -682 +-1670 1610 +-3940 -687 +2505 -4630 +-1676 1628 +-5668 1324 +-1142 -3076 +-1300 -4982 +-8 1928 +1116 3732 +1464 -3796 +878 4005 +3621 -3382 +8192 0 +3621 3382 +878 -4005 +1464 3796 +1116 -3732 +-8 -1928 +-1300 4982 +-1142 3076 +-5668 -1324 +-1676 -1628 +2505 4630 +-3940 687 +-1670 -1610 +-1517 682 +-1161 5401 +4426 -175 +1024 -3072 +-918 -112 +-2299 625 +-3702 5448 +222 1286 +2302 2156 +496 478 +-834 255 +1572 2772 +-1699 -4488 +-1700 -1569 +3863 1420 +332 -836 +1538 2248 +2581 5204 +-1778 2189 +-2048 0 +-1778 -2189 +2581 -5204 +1538 -2248 +332 836 +3863 -1420 +-1700 1569 +-1699 4488 +1572 -2772 +-834 -255 +496 -478 +2302 -2156 +222 -1286 +-3702 -5448 +-2299 -625 +-918 112 +1024 3072 +4426 175 +-1161 -5401 +-1517 -682 +-1670 1610 +-3940 -687 +2505 -4630 +-1676 1628 +-5668 1324 +-1142 -3076 +-1300 -4982 +-8 1928 +1116 3732 +1464 -3796 +878 4005 +3621 -3382 +1024 -5120 +1277 1051 +-614 1099 +3271 683 +1610 -683 +-283 -2602 +-242 2588 +1672 401 +1748 300 +-5689 1920 +-269 3298 +-1503 1233 +-3732 -4541 +-2623 -2790 +-3941 -2424 +-140 -1093 +0 0 +-140 1093 +-3941 2424 +-2623 2790 +-3732 4541 +-1503 -1233 +-269 -3298 +-5689 -1920 +1748 -300 +1672 -401 +-242 -2588 +-283 2602 +1610 683 +3271 -683 +-614 -1099 +1277 -1051 +1024 5120 +2768 479 +3630 1132 +1421 1733 +1286 -5710 +-1333 -2829 +-4990 752 +576 -700 +300 1748 +-2560 3262 +2605 -2854 +-3799 1657 +836 2244 +4851 -6250 +3821 1759 +2097 4711 +-6144 0 +2097 -4711 +3821 -1759 +4851 6250 +836 -2244 +-3799 -1657 +2605 2854 +-2560 -3262 +300 -1748 +576 700 +-4990 -752 +-1333 2829 +1286 5710 +1421 -1733 +3630 -1132 +2768 -479 +1024 -5120 +1277 1051 +-614 1099 +3271 683 +1610 -683 +-283 -2602 +-242 2588 +1672 401 +1748 300 +-5689 1920 +-269 3298 +-1503 1233 +-3732 -4541 +-2623 -2790 +-3941 -2424 +-140 -1093 +4096 -1024 +14 1947 +-232 892 +2777 -463 +876 -3548 +4611 158 +-3964 1209 +-3116 2134 +2348 -6268 +-2568 -3844 +928 7185 +197 -599 +1090 318 +60 3440 +-47 1192 +3266 75 +1024 0 +3266 -75 +-47 -1192 +60 -3440 +1090 -318 +197 599 +928 -7185 +-2568 3844 +2348 6268 +-3116 -2134 +-3964 -1209 +4611 -158 +876 3548 +2777 463 +-232 -892 +14 -1947 +4096 1024 +721 894 +1644 -2221 +-2450 2871 +-1476 -548 +1920 -1767 +-1084 -321 +1383 -2699 +3796 -1924 +1166 3279 +24 -505 +840 -1010 +-4586 3778 +-7955 -1032 +-5461 3272 +-867 2765 +3072 0 +-867 -2765 +-5461 -3272 +-7955 1032 +-4586 -3778 +840 1010 +24 505 +1166 -3279 +3796 1924 +1383 2699 +-1084 321 +1920 1767 +-1476 548 +-2450 -2871 +1644 2221 +721 -894 +4096 -1024 +14 1947 +-232 892 +2777 -463 +876 -3548 +4611 158 +-3964 1209 +-3116 2134 +2348 -6268 +-2568 -3844 +928 7185 +197 -599 +1090 318 +60 3440 +-47 1192 +3266 75 +-1024 -2048 +1314 2187 +-1620 2177 +4104 -4705 +914 724 +-1527 2146 +7145 6972 +-1039 1297 +-8016 -2472 +-398 -1466 +-638 -601 +-1131 4707 +3026 724 +2138 -254 +407 -2493 +776 -205 +1024 0 +776 205 +407 2493 +2138 254 +3026 -724 +-1131 -4707 +-638 601 +-398 1466 +-8016 2472 +-1039 -1297 +7145 -6972 +-1527 -2146 +914 -724 +4104 4705 +-1620 -2177 +1314 -2187 +-1024 2048 +-3352 -1040 +992 -1173 +-1123 -5793 +-1762 724 +-2686 -1336 +-3989 -3292 +-2172 1280 +-2224 424 +1392 1827 +1577 -1512 +-8 1454 +1918 724 +232 -4893 +4317 -2295 +3479 -864 +-3072 0 +3479 864 +4317 2295 +232 4893 +1918 -724 +-8 -1454 +1577 1512 +1392 -1827 +-2224 -424 +-2172 -1280 +-3989 3292 +-2686 1336 +-1762 -724 +-1123 5793 +992 1173 +-3352 1040 +-1024 -2048 +1314 2187 +-1620 2177 +4104 -4705 +914 724 +-1527 2146 +7145 6972 +-1039 1297 +-8016 -2472 +-398 -1466 +-638 -601 +-1131 4707 +3026 724 +2138 -254 +407 -2493 +776 -205 +-5120 -2048 +758 747 +185 7094 +-266 3769 +-568 -3726 +-384 2901 +3209 2125 +-4493 3163 +-600 -2896 +4017 -4848 +-3132 473 +2280 2445 +3556 3830 +-332 -977 +537 3260 +-548 -1148 +-1024 0 +-548 1148 +537 -3260 +-332 977 +3556 -3830 +2280 -2445 +-3132 -473 +4017 4848 +-600 2896 +-4493 -3163 +3209 -2125 +-384 -2901 +-568 3726 +-266 -3769 +185 -7094 +758 -747 +-5120 2048 +2012 3027 +1927 -634 +-4083 -307 +3216 -3267 +49 -2303 +-4214 -2584 +-1729 -542 +-3496 2896 +-3147 -1979 +-1656 -932 +272 32 +1988 -2630 +2464 -1874 +3143 3200 +3131 3667 +3072 0 +3131 -3667 +3143 -3200 +2464 1874 +1988 2630 +272 -32 +-1656 932 +-3147 1979 +-3496 -2896 +-1729 542 +-4214 2584 +49 2303 +3216 3267 +-4083 307 +1927 634 +2012 -3027 +-5120 -2048 +758 747 +185 7094 +-266 3769 +-568 -3726 +-384 2901 +3209 2125 +-4493 3163 +-600 -2896 +4017 -4848 +-3132 473 +2280 2445 +3556 3830 +-332 -977 +537 3260 +-548 -1148 +1024 3072 +932 -4704 +3118 -4077 +-252 1175 +-222 -3622 +-2784 1217 +-2177 948 +2730 -3987 +-724 476 +5410 6246 +1946 3145 +-843 -1704 +-332 717 +-4396 2552 +1920 1670 +960 -647 +-4096 0 +960 647 +1920 -1670 +-4396 -2552 +-332 -717 +-843 1704 +1946 -3145 +5410 -6246 +-724 -476 +2730 3987 +-2177 -948 +-2784 -1217 +-222 3622 +-252 -1175 +3118 4077 +932 4704 +1024 -3072 +-4495 1358 +-5010 293 +943 1185 +1670 3622 +-1047 2963 +1394 620 +2554 563 +724 7716 +2667 1995 +-1162 -1578 +2016 -1347 +-1116 -717 +-5223 769 +-28 -5455 +827 774 +2048 0 +827 -774 +-28 5455 +-5223 -769 +-1116 717 +2016 1347 +-1162 1578 +2667 -1995 +724 -7716 +2554 -563 +1394 -620 +-1047 -2963 +1670 -3622 +943 -1185 +-5010 -293 +-4495 -1358 +1024 3072 +932 -4704 +3118 -4077 +-252 1175 +-222 -3622 +-2784 1217 +-2177 948 +2730 -3987 +-724 476 +5410 6246 +1946 3145 +-843 -1704 +-332 717 +-4396 2552 +1920 1670 +960 -647 +0 -1024 +-3384 -2087 +-1489 2871 +-1642 1686 +5675 262 +8032 1151 +1681 3145 +1700 1003 +1148 -724 +-1191 3963 +-472 449 +3058 -648 +-6688 188 +-499 -4844 +-147 147 +-6186 4809 +3072 0 +-6186 -4809 +-147 -147 +-499 4844 +-6688 -188 +3058 648 +-472 -449 +-1191 -3963 +1148 724 +1700 -1003 +1681 -3145 +8032 -1151 +5675 -262 +-1642 -1686 +-1489 -2871 +-3384 2087 +0 1024 +-1807 -982 +825 -314 +2043 -2566 +1566 586 +3173 -1677 +-2125 -4372 +-2067 456 +-3196 724 +1766 -2816 +3812 -4573 +-1551 2651 +-553 4756 +-1029 -1699 +-2084 -487 +-416 2 +1024 0 +-416 -2 +-2084 487 +-1029 1699 +-553 -4756 +-1551 -2651 +3812 4573 +1766 2816 +-3196 -724 +-2067 -456 +-2125 4372 +3173 1677 +1566 -586 +2043 2566 +825 314 +-1807 982 +0 -1024 +-3384 -2087 +-1489 2871 +-1642 1686 +5675 262 +8032 1151 +1681 3145 +1700 1003 +1148 -724 +-1191 3963 +-472 449 +3058 -648 +-6688 188 +-499 -4844 +-147 147 +-6186 4809 +1024 3072 +-4174 2099 +-1579 2105 +3741 -797 +222 1390 +4133 -963 +789 -3220 +-208 833 +724 -724 +-3978 -3027 +-3170 -1705 +-1156 1872 +332 -273 +3981 -1430 +-3898 2454 +-1688 -1478 +10240 0 +-1688 1478 +-3898 -2454 +3981 1430 +332 273 +-1156 -1872 +-3170 1705 +-3978 3027 +724 724 +-208 -833 +789 3220 +4133 963 +222 -1390 +3741 797 +-1579 -2105 +-4174 -2099 +1024 -3072 +-86 -1080 +2532 1939 +-4569 -3577 +-1670 -4286 +2000 -5263 +623 -5967 +1006 -1478 +-724 724 +2500 3061 +-2338 2406 +3271 150 +1116 -2624 +-5608 -489 +-1151 3286 +835 -2616 +4096 0 +835 2616 +-1151 -3286 +-5608 489 +1116 2624 +3271 -150 +-2338 -2406 +2500 -3061 +-724 -724 +1006 1478 +623 5967 +2000 5263 +-1670 4286 +-4569 3577 +2532 -1939 +-86 1080 +1024 3072 +-4174 2099 +-1579 2105 +3741 -797 +222 1390 +4133 -963 +789 -3220 +-208 833 +724 -724 +-3978 -3027 +-3170 -1705 +-1156 1872 +332 -273 +3981 -1430 +-3898 2454 +-1688 -1478 +-1024 0 +111 -1653 +1176 414 +-541 4140 +-854 4208 +-5550 1085 +-1011 -2733 +330 2343 +0 2048 +665 2137 +-4954 1124 +-719 863 +-410 4040 +779 -878 +5527 2427 +1380 29 +-1024 0 +1380 -29 +5527 -2427 +779 878 +-410 -4040 +-719 -863 +-4954 -1124 +665 -2137 +0 -2048 +330 -2343 +-1011 2733 +-5550 -1085 +-854 -4208 +-541 -4140 +1176 -414 +111 1653 +-1024 0 +6553 -1448 +3325 -1862 +-4451 -3425 +254 -3360 +966 573 +-2069 1285 +6088 4254 +0 2048 +-5075 2893 +3441 325 +-2057 -2990 +-3086 905 +-12 -2191 +2758 -979 +1533 -4698 +-5120 0 +1533 4698 +2758 979 +-12 2191 +-3086 -905 +-2057 2990 +3441 -325 +-5075 -2893 +0 -2048 +6088 -4254 +-2069 -1285 +966 -573 +254 3360 +-4451 3425 +3325 1862 +6553 1448 +-1024 0 +111 -1653 +1176 414 +-541 4140 +-854 4208 +-5550 1085 +-1011 -2733 +330 2343 +0 2048 +665 2137 +-4954 1124 +-719 863 +-410 4040 +779 -878 +5527 2427 +1380 29 +3072 -4096 +1129 5650 +143 2875 +1305 -603 +-954 -3940 +2125 -1168 +3064 1837 +2606 -2785 +848 -2048 +-4234 2503 +-4723 1671 +-4044 -2256 +-2506 1264 +-1189 1743 +-1536 128 +-1112 554 +-1024 0 +-1112 -554 +-1536 -128 +-1189 -1743 +-2506 -1264 +-4044 2256 +-4723 -1671 +-4234 -2503 +848 2048 +2606 2785 +3064 -1837 +2125 1168 +-954 3940 +1305 603 +143 -2875 +1129 -5650 +3072 4096 +888 -2120 +2857 -3983 +-782 6229 +-495 -156 +2836 -151 +396 839 +1105 -1730 +-4944 -2048 +-4828 5087 +1264 1005 +1300 -2718 +3954 2832 +-1551 -3165 +-1464 -1236 +4446 -4696 +3072 0 +4446 4696 +-1464 1236 +-1551 3165 +3954 -2832 +1300 2718 +1264 -1005 +-4828 -5087 +-4944 2048 +1105 1730 +396 -839 +2836 151 +-495 156 +-782 -6229 +2857 3983 +888 2120 +3072 -4096 +1129 5650 +143 2875 +1305 -603 +-954 -3940 +2125 -1168 +3064 1837 +2606 -2785 +848 -2048 +-4234 2503 +-4723 1671 +-4044 -2256 +-2506 1264 +-1189 1743 +-1536 128 +-1112 554 +2048 -3072 +1516 -6436 +172 -1007 +1118 1903 +632 2465 +5706 -1770 +963 -4577 +55 -1384 +2772 -1748 +-2417 -450 +-1030 -128 +-5550 -2487 +78 3322 +-3635 2101 +-1270 -2917 +4957 2742 +-5120 0 +4957 -2742 +-1270 2917 +-3635 -2101 +78 -3322 +-5550 2487 +-1030 128 +-2417 450 +2772 1748 +55 1384 +963 4577 +5706 1770 +632 -2465 +1118 -1903 +172 1007 +1516 6436 +2048 3072 +517 -4073 +337 -2333 +3068 -1009 +1416 1032 +-626 5183 +-1784 3912 +-3650 2049 +1324 -300 +-3123 -893 +-5142 2360 +1119 -1460 +1970 -3922 +-1200 3018 +-438 2473 +2145 -3673 +1024 0 +2145 3673 +-438 -2473 +-1200 -3018 +1970 3922 +1119 1460 +-5142 -2360 +-3123 893 +1324 300 +-3650 -2049 +-1784 -3912 +-626 -5183 +1416 -1032 +3068 1009 +337 2333 +517 4073 +2048 -3072 +1516 -6436 +172 -1007 +1118 1903 +632 2465 +5706 -1770 +963 -4577 +55 -1384 +2772 -1748 +-2417 -450 +-1030 -128 +-5550 -2487 +78 3322 +-3635 2101 +-1270 -2917 +4957 2742 +2048 2048 +-2734 7015 +-802 -3826 +1706 2 +724 1762 +2745 3131 +92 1868 +159 618 +5968 -2048 +2685 -4638 +-692 5063 +869 668 +-724 1918 +-1497 4894 +-2694 -5095 +-4372 -1751 +-4096 0 +-4372 1751 +-2694 5095 +-1497 -4894 +-724 -1918 +869 -668 +-692 -5063 +2685 4638 +5968 2048 +159 -618 +92 -1868 +2745 -3131 +724 -1762 +1706 -2 +-802 3826 +-2734 -7015 +2048 -2048 +1403 2398 +-802 -3454 +661 -514 +724 -914 +624 1716 +92 299 +684 1193 +176 -2048 +-2641 -5583 +-692 -4096 +225 634 +-724 3026 +458 -3159 +-2694 4807 +-977 4925 +4096 0 +-977 -4925 +-2694 -4807 +458 3159 +-724 -3026 +225 -634 +-692 4096 +-2641 5583 +176 2048 +684 -1193 +92 -299 +624 -1716 +724 914 +661 514 +-802 3454 +1403 -2398 +2048 2048 +-2734 7015 +-802 -3826 +1706 2 +724 1762 +2745 3131 +92 1868 +159 618 +5968 -2048 +2685 -4638 +-692 5063 +869 668 +-724 1918 +-1497 4894 +-2694 -5095 +-4372 -1751 +-5120 0 +4274 -1107 +1801 319 +2147 1836 +5448 -110 +1918 -993 +3205 -631 +-3853 -6959 +-3496 -600 +1477 977 +1212 -1552 +-903 3463 +2432 -2002 +1276 -3196 +-4069 841 +2252 19 +7168 0 +2252 -19 +-4069 -841 +1276 3196 +2432 2002 +-903 -3463 +1212 1552 +1477 -977 +-3496 600 +-3853 6959 +3205 631 +1918 993 +5448 110 +2147 -1836 +1801 -319 +4274 1107 +-5120 0 +475 -3681 +91 3465 +-109 548 +96 -2786 +-4191 2355 +-2421 -937 +-4781 -26 +-600 -3496 +-411 -4490 +-1996 -15 +40 -1139 +216 -894 +-180 -1651 +2177 2943 +568 6857 +-5120 0 +568 -6857 +2177 -2943 +-180 1651 +216 894 +40 1139 +-1996 15 +-411 4490 +-600 3496 +-4781 26 +-2421 937 +-4191 -2355 +96 2786 +-109 -548 +91 -3465 +475 3681 +-5120 0 +4274 -1107 +1801 319 +2147 1836 +5448 -110 +1918 -993 +3205 -631 +-3853 -6959 +-3496 -600 +1477 977 +1212 -1552 +-903 3463 +2432 -2002 +1276 -3196 +-4069 841 +2252 19 +-1024 -2048 +5769 -1284 +-1310 -89 +-6411 -2701 +-4000 -1494 +-4448 50 +1382 -7152 +2742 -1285 +1448 -2048 +1675 -2675 +-39 -1460 +-915 -262 +-3880 3386 +269 -3776 +4323 2717 +617 2897 +-1024 0 +617 -2897 +4323 -2717 +269 3776 +-3880 -3386 +-915 262 +-39 1460 +1675 2675 +1448 2048 +2742 1285 +1382 7152 +-4448 -50 +-4000 1494 +-6411 2701 +-1310 89 +5769 1284 +-1024 2048 +-342 807 +2574 349 +546 285 +1352 -2602 +1790 3938 +2558 -468 +974 -5562 +-1448 -2048 +-2495 500 +195 3728 +676 -1781 +-1664 710 +2699 1122 +-1491 -760 +-3147 7091 +3072 0 +-3147 -7091 +-1491 760 +2699 -1122 +-1664 -710 +676 1781 +195 -3728 +-2495 -500 +-1448 2048 +974 5562 +2558 468 +1790 -3938 +1352 2602 +546 -285 +2574 -349 +-342 -807 +-1024 -2048 +5769 -1284 +-1310 -89 +-6411 -2701 +-4000 -1494 +-4448 50 +1382 -7152 +2742 -1285 +1448 -2048 +1675 -2675 +-39 -1460 +-915 -262 +-3880 3386 +269 -3776 +4323 2717 +617 2897 +2048 0 +2380 -1769 +-1488 854 +1052 -1765 +-1578 -328 +-467 -406 +-502 394 +2334 3566 +6992 3072 +1104 1405 +-1342 -749 +1485 475 +314 -2688 +-2988 -2589 +-2945 1508 +1484 2069 +4096 0 +1484 -2069 +-2945 -1508 +-2988 2589 +314 2688 +1485 -475 +-1342 749 +1104 -1405 +6992 -3072 +2334 -3566 +-502 -394 +-467 406 +-1578 328 +1052 1765 +-1488 -854 +2380 1769 +2048 0 +-4396 2829 +6240 -190 +6089 -3130 +-470 5024 +-381 2370 +-5211 -838 +293 -1508 +1200 3072 +-2164 -3132 +63 -2592 +-4421 3056 +-2362 -4904 +-369 -738 +-3006 -3740 +-1036 -4793 +0 0 +-1036 4793 +-3006 3740 +-369 738 +-2362 4904 +-4421 -3056 +63 2592 +-2164 3132 +1200 -3072 +293 1508 +-5211 838 +-381 -2370 +-470 -5024 +6089 3130 +6240 190 +-4396 -2829 +2048 0 +2380 -1769 +-1488 854 +1052 -1765 +-1578 -328 +-467 -406 +-502 394 +2334 3566 +6992 3072 +1104 1405 +-1342 -749 +1485 475 +314 -2688 +-2988 -2589 +-2945 1508 +1484 2069 +-3072 4096 +5095 381 +-5439 -235 +1713 -2383 +4084 -1254 +-2969 4679 +4955 5409 +-120 4140 +1448 -1448 +3935 -609 +-445 -6 +-647 -272 +181 4254 +-608 4140 +-1652 2251 +-1556 -2832 +-3072 0 +-1556 2832 +-1652 -2251 +-608 -4140 +181 -4254 +-647 272 +-445 6 +3935 609 +1448 1448 +-120 -4140 +4955 -5409 +-2969 -4679 +4084 1254 +1713 2383 +-5439 235 +5095 -381 +-3072 -4096 +-4265 -2339 +1419 -2582 +1478 -1464 +-3484 -794 +-4482 208 +3957 3439 +1520 125 +-1448 1448 +-871 2290 +222 1862 +1418 -266 +3316 -2206 +-1695 573 +-3017 -3868 +2055 -4109 +1024 0 +2055 4109 +-3017 3868 +-1695 -573 +3316 2206 +1418 266 +222 -1862 +-871 -2290 +-1448 -1448 +1520 -125 +3957 -3439 +-4482 -208 +-3484 794 +1478 1464 +1419 2582 +-4265 2339 +-3072 4096 +5095 381 +-5439 -235 +1713 -2383 +4084 -1254 +-2969 4679 +4955 5409 +-120 4140 +1448 -1448 +3935 -609 +-445 -6 +-647 -272 +181 4254 +-608 4140 +-1652 2251 +-1556 -2832 +0 -2048 +-2540 -771 +3260 5954 +2245 2286 +-5148 -2302 +-2165 -2483 +32 2805 +215 4506 +-1448 5968 +-3294 3748 +-2673 1048 +-383 3391 +-684 -1038 +7141 -4516 +1484 -2341 +-3389 -202 +6144 0 +-3389 202 +1484 2341 +7141 4516 +-684 1038 +-383 -3391 +-2673 -1048 +-3294 -3748 +-1448 -5968 +215 -4506 +32 -2805 +-2165 2483 +-5148 2302 +2245 -2286 +3260 -5954 +-2540 771 +0 2048 +308 -3597 +4869 2110 +1095 -886 +204 -1194 +1721 674 +-2809 -3080 +-879 -384 +1448 176 +2630 2959 +-3238 -123 +-61 225 +1533 1638 +-3801 -2644 +-924 3412 +1157 818 +2048 0 +1157 -818 +-924 -3412 +-3801 2644 +1533 -1638 +-61 -225 +-3238 123 +2630 -2959 +1448 -176 +-879 384 +-2809 3080 +1721 -674 +204 1194 +1095 886 +4869 -2110 +308 3597 +0 -2048 +-2540 -771 +3260 5954 +2245 2286 +-5148 -2302 +-2165 -2483 +32 2805 +215 4506 +-1448 5968 +-3294 3748 +-2673 1048 +-383 3391 +-684 -1038 +7141 -4516 +1484 -2341 +-3389 -202 +1024 -1024 +-2743 -2087 +-1649 -1100 +1697 313 +-895 -1212 +4703 1027 +-4329 -4544 +-5190 -4514 +2172 724 +-2030 4562 +1371 3668 +-4794 -1850 +-4227 438 +3519 1604 +-2702 2244 +-2281 -2033 +2048 0 +-2281 2033 +-2702 -2244 +3519 -1604 +-4227 -438 +-4794 1850 +1371 -3668 +-2030 -4562 +2172 -724 +-5190 4514 +-4329 4544 +4703 -1027 +-895 1212 +1697 -313 +-1649 1100 +-2743 2087 +1024 1024 +552 -2795 +4000 -2904 +2164 -1099 +5240 -5780 +3043 1051 +-1348 4323 +1823 784 +-2172 -724 +-395 3373 +4305 1904 +2841 -3303 +-118 762 +-1588 -1429 +351 -456 +-1320 623 +-4096 0 +-1320 -623 +351 456 +-1588 1429 +-118 -762 +2841 3303 +4305 -1904 +-395 -3373 +-2172 724 +1823 -784 +-1348 -4323 +3043 -1051 +5240 5780 +2164 1099 +4000 2904 +552 2795 +1024 -1024 +-2743 -2087 +-1649 -1100 +1697 313 +-895 -1212 +4703 1027 +-4329 -4544 +-5190 -4514 +2172 724 +-2030 4562 +1371 3668 +-4794 -1850 +-4227 438 +3519 1604 +-2702 2244 +-2281 -2033 +-5120 -3072 +-6967 -1402 +-357 548 +2986 -2475 +850 -2394 +-1366 -265 +-644 -4096 +841 1974 +1324 -2172 +1282 -3246 +350 6286 +1495 -849 +-607 -1840 +-48 4548 +679 3598 +12 -3901 +2048 0 +12 3901 +679 -3598 +-48 -4548 +-607 1840 +1495 849 +350 -6286 +1282 3246 +1324 2172 +841 -1974 +-644 4096 +-1366 265 +850 2394 +2986 2475 +-357 -548 +-6967 1402 +-5120 3072 +-1213 -1081 +3189 1279 +-1083 3439 +-6394 -502 +-414 3693 +800 260 +5465 1412 +2772 2172 +660 -1616 +3590 -233 +-4829 -837 +-2040 -1056 +-2535 -2904 +585 -74 +5712 -1039 +0 0 +5712 1039 +585 74 +-2535 2904 +-2040 1056 +-4829 837 +3590 233 +660 1616 +2772 -2172 +5465 -1412 +800 -260 +-414 -3693 +-6394 502 +-1083 -3439 +3189 -1279 +-1213 1081 +-5120 -3072 +-6967 -1402 +-357 548 +2986 -2475 +850 -2394 +-1366 -265 +-644 -4096 +841 1974 +1324 -2172 +1282 -3246 +350 6286 +1495 -849 +-607 -1840 +-48 4548 +679 3598 +12 -3901 +-4096 -2048 +219 -3502 +-745 -1204 +-278 2807 +-46 1168 +2108 2107 +-144 3500 +1593 6146 +4944 4520 +-2763 1400 +306 -594 +268 -670 +-4834 60 +2428 251 +2742 7686 +-1990 2214 +-2048 0 +-1990 -2214 +2742 -7686 +2428 -251 +-4834 -60 +268 670 +306 594 +-2763 -1400 +4944 -4520 +1593 -6146 +-144 -3500 +2108 -2107 +-46 -1168 +-278 -2807 +-745 1204 +219 3502 +-4096 2048 +-2776 -2672 +1225 827 +-949 2964 +-1154 -2616 +2016 1444 +5976 -4024 +-1933 -2999 +-848 1624 +2424 1068 +-2042 1767 +3856 -4027 +-2158 -1508 +-3655 3065 +874 1826 +-566 -3275 +2048 0 +-566 3275 +874 -1826 +-3655 -3065 +-2158 1508 +3856 4027 +-2042 -1767 +2424 -1068 +-848 -1624 +-1933 2999 +5976 4024 +2016 -1444 +-1154 2616 +-949 -2964 +1225 -827 +-2776 2672 +-4096 -2048 +219 -3502 +-745 -1204 +-278 2807 +-46 1168 +2108 2107 +-144 3500 +1593 6146 +4944 4520 +-2763 1400 +306 -594 +268 -670 +-4834 60 +2428 251 +2742 7686 +-1990 2214 +1024 2048 +7112 214 +-1706 -2921 +-105 2387 +2726 968 +-1079 -2026 +1068 -546 +-1528 1243 +2648 -2648 +4573 -2534 +-76 3693 +685 -1012 +-3510 -288 +-1645 555 +1035 -3037 +392 -619 +3072 0 +392 619 +1035 3037 +-1645 -555 +-3510 288 +685 1012 +-76 -3693 +4573 2534 +2648 2648 +-1528 -1243 +1068 546 +-1079 2026 +2726 -968 +-105 -2387 +-1706 2921 +7112 -214 +1024 -2048 +-1552 -2533 +1771 964 +-1178 -124 +1618 -2167 +463 2736 +-4120 -1723 +230 -1098 +5544 -5544 +-378 -217 +-6761 3927 +-2965 -1174 +-834 7280 +31 4605 +597 2777 +-3055 1196 +-5120 0 +-3055 -1196 +597 -2777 +31 -4605 +-834 -7280 +-2965 1174 +-6761 -3927 +-378 217 +5544 5544 +230 1098 +-4120 1723 +463 -2736 +1618 2167 +-1178 124 +1771 -964 +-1552 2533 +1024 2048 +7112 214 +-1706 -2921 +-105 2387 +2726 968 +-1079 -2026 +1068 -546 +-1528 1243 +2648 -2648 +4573 -2534 +-76 3693 +685 -1012 +-3510 -288 +-1645 555 +1035 -3037 +392 -619 +-3072 -4096 +-150 -1906 +-7638 6817 +-3801 -76 +5498 1592 +1437 1258 +1543 30 +2684 870 +2472 -1024 +1899 1474 +648 -24 +1435 1333 +-2186 2532 +-442 992 +2317 -1757 +-1480 -3558 +-3072 0 +-1480 3558 +2317 1757 +-442 -992 +-2186 -2532 +1435 -1333 +648 24 +1899 -1474 +2472 1024 +2684 -870 +1543 -30 +1437 -1258 +5498 -1592 +-3801 76 +-7638 -6817 +-150 1906 +-3072 4096 +-4061 -2028 +-2318 -2317 +754 -3664 +4390 -2192 +57 307 +-2601 3050 +-937 -1343 +-424 -1024 +-2758 -3276 +-788 -1489 +1535 1120 +490 964 +4818 1948 +647 -6527 +-990 4087 +5120 0 +-990 -4087 +647 6527 +4818 -1948 +490 -964 +1535 -1120 +-788 1489 +-2758 3276 +-424 1024 +-937 1343 +-2601 -3050 +57 -307 +4390 2192 +754 3664 +-2318 2317 +-4061 2028 +-3072 -4096 +-150 -1906 +-7638 6817 +-3801 -76 +5498 1592 +1437 1258 +1543 30 +2684 870 +2472 -1024 +1899 1474 +648 -24 +1435 1333 +-2186 2532 +-442 992 +2317 -1757 +-1480 -3558 +5120 -1024 +-1526 2335 +-1640 -3907 +1595 918 +152 1272 +1531 -3083 +-517 -995 +2918 -278 +2348 -300 +-3558 -363 +195 171 +2677 -1913 +1814 -3054 +-609 2247 +-1306 4920 +2442 -3425 +4096 0 +2442 3425 +-1306 -4920 +-609 -2247 +1814 3054 +2677 1913 +195 -171 +-3558 363 +2348 300 +2918 278 +-517 995 +1531 3083 +152 -1272 +1595 -918 +-1640 3907 +-1526 -2335 +5120 1024 +-1141 -1473 +-3084 -2449 +-1667 3831 +-2200 4272 +1183 2018 +-423 -4902 +3069 1374 +3796 -1748 +-2429 -1676 +-3351 -275 +-5391 -6720 +-3862 406 +681 -5066 +1934 -5484 +226 1153 +-2048 0 +226 -1153 +1934 5484 +681 5066 +-3862 -406 +-5391 6720 +-3351 275 +-2429 1676 +3796 1748 +3069 -1374 +-423 4902 +1183 -2018 +-2200 -4272 +-1667 -3831 +-3084 2449 +-1141 1473 +5120 -1024 +-1526 2335 +-1640 -3907 +1595 918 +152 1272 +1531 -3083 +-517 -995 +2918 -278 +2348 -300 +-3558 -363 +195 171 +2677 -1913 +1814 -3054 +-609 2247 +-1306 4920 +2442 -3425 +-3072 -2048 +-586 -2228 +-4314 2450 +-2162 752 +1182 -1408 +-2528 4958 +1750 -1857 +3493 -6084 +-424 -5368 +-1492 -5016 +2434 2777 +4260 -1922 +-2278 -928 +-1091 2188 +1551 -158 +-22 4729 +1024 0 +-22 -4729 +1551 158 +-1091 -2188 +-2278 928 +4260 1922 +2434 -2777 +-1492 5016 +-424 5368 +3493 6084 +1750 1857 +-2528 -4958 +1182 1408 +-2162 -752 +-4314 -2450 +-586 2228 +-3072 2048 +-963 -4489 +2238 42 +103 2469 +-5278 808 +-4689 4366 +2855 -2422 +2327 553 +2472 3320 +2352 2590 +-46 -64 +1628 1431 +-1818 4424 +-1314 -2990 +1724 1451 +683 -2548 +1024 0 +683 2548 +1724 -1451 +-1314 2990 +-1818 -4424 +1628 -1431 +-46 64 +2352 -2590 +2472 -3320 +2327 -553 +2855 2422 +-4689 -4366 +-5278 -808 +103 -2469 +2238 -42 +-963 4489 +-3072 -2048 +-586 -2228 +-4314 2450 +-2162 752 +1182 -1408 +-2528 4958 +1750 -1857 +3493 -6084 +-424 -5368 +-1492 -5016 +2434 2777 +4260 -1922 +-2278 -928 +-1091 2188 +1551 -158 +-22 4729 +1024 -5120 +2030 922 +1388 880 +404 1015 +-572 -1232 +-945 -2876 +-2659 195 +246 -1885 +2772 -476 +-1740 5072 +-609 878 +3311 1086 +2538 3574 +1206 -2333 +-2282 -2843 +-472 -6247 +4096 0 +-472 6247 +-2282 2843 +1206 2333 +2538 -3574 +3311 -1086 +-609 -878 +-1740 -5072 +2772 476 +246 1885 +-2659 -195 +-945 2876 +-572 1232 +404 -1015 +1388 -880 +2030 -922 +1024 5120 +618 1320 +4628 -1388 +1593 -2705 +-2924 -2016 +1711 1154 +887 -1015 +-1207 2384 +1324 -7716 +-1763 -1366 +-515 5294 +2603 -2439 +-3138 5466 +-4091 3411 +-838 1135 +-3504 -2288 +-6144 0 +-3504 2288 +-838 -1135 +-4091 -3411 +-3138 -5466 +2603 2439 +-515 -5294 +-1763 1366 +1324 7716 +-1207 -2384 +887 1015 +1711 -1154 +-2924 2016 +1593 2705 +4628 1388 +618 -1320 +1024 -5120 +2030 922 +1388 880 +404 1015 +-572 -1232 +-945 -2876 +-2659 195 +246 -1885 +2772 -476 +-1740 5072 +-609 878 +3311 1086 +2538 3574 +1206 -2333 +-2282 -2843 +-472 -6247 +2048 6144 +1435 -1713 +2170 -383 +-1788 -3313 +0 -3510 +-1681 1265 +-4627 927 +-552 1432 +-2896 5968 +-5039 -166 +-372 -4029 +5132 -2423 +0 -1618 +-5394 3608 +-811 -749 +25 854 +-2048 0 +25 -854 +-811 749 +-5394 -3608 +0 1618 +5132 2423 +-372 4029 +-5039 166 +-2896 -5968 +-552 -1432 +-4627 -927 +-1681 -1265 +0 3510 +-1788 3313 +2170 383 +1435 1713 +2048 -6144 +748 835 +3402 5172 +5058 -6316 +0 -834 +2531 604 +622 1186 +3431 2227 +2896 176 +-3191 -88 +-1416 349 +-3764 -245 +0 -2726 +-93 2003 +1032 -255 +3144 -2252 +-2048 0 +3144 2252 +1032 255 +-93 -2003 +0 2726 +-3764 245 +-1416 -349 +-3191 88 +2896 -176 +3431 -2227 +622 -1186 +2531 -604 +0 834 +5058 6316 +3402 -5172 +748 -835 +2048 6144 +1435 -1713 +2170 -383 +-1788 -3313 +0 -3510 +-1681 1265 +-4627 927 +-552 1432 +-2896 5968 +-5039 -166 +-372 -4029 +5132 -2423 +0 -1618 +-5394 3608 +-811 -749 +25 854 +3072 2048 +-3463 2586 +352 319 +958 -1351 +1194 5748 +-834 -152 +3091 -6755 +3756 -2743 +-2296 600 +-1701 -1266 +-4378 2900 +-1330 -784 +1638 -4180 +-676 3943 +-486 -2163 +-4151 -9 +-7168 0 +-4151 9 +-486 2163 +-676 -3943 +1638 4180 +-1330 784 +-4378 -2900 +-1701 1266 +-2296 -600 +3756 2743 +3091 6755 +-834 152 +1194 -5748 +958 1351 +352 -319 +-3463 -2586 +3072 -2048 +1478 -4240 +-6200 -347 +1498 3171 +2302 396 +3061 -2478 +1986 103 +1682 655 +6392 3496 +48 747 +-1898 1536 +670 1937 +-1038 -1964 +-3348 1661 +-659 -3161 +2352 -78 +1024 0 +2352 78 +-659 3161 +-3348 -1661 +-1038 1964 +670 -1937 +-1898 -1536 +48 -747 +6392 -3496 +1682 -655 +1986 -103 +3061 2478 +2302 -396 +1498 -3171 +-6200 347 +1478 4240 +3072 2048 +-3463 2586 +352 319 +958 -1351 +1194 5748 +-834 -152 +3091 -6755 +3756 -2743 +-2296 600 +-1701 -1266 +-4378 2900 +-1330 -784 +1638 -4180 +-676 3943 +-486 -2163 +-4151 -9 +-4096 0 +-1266 -2268 +-1615 581 +-452 1552 +3400 -2702 +521 -1476 +988 -3746 +-258 -2586 +1624 2648 +2875 993 +3207 -3402 +-165 2688 +384 2806 +1334 -964 +-665 2322 +757 -4813 +0 0 +757 4813 +-665 -2322 +1334 964 +384 -2806 +-165 -2688 +3207 3402 +2875 -993 +1624 -2648 +-258 2586 +988 3746 +521 1476 +3400 2702 +-452 -1552 +-1615 -581 +-1266 2268 +-4096 0 +3752 3471 +-617 408 +-1839 -538 +-1952 -2243 +-637 -6355 +2352 -1266 +-1664 3324 +4520 5544 +-2521 -2343 +-3651 -4507 +4065 937 +-1832 -3654 +-2827 -6343 +1 -4230 +-1676 536 +-4096 0 +-1676 -536 +1 4230 +-2827 6343 +-1832 3654 +4065 -937 +-3651 4507 +-2521 2343 +4520 -5544 +-1664 -3324 +2352 1266 +-637 6355 +-1952 2243 +-1839 538 +-617 -408 +3752 -3471 +-4096 0 +-1266 -2268 +-1615 581 +-452 1552 +3400 -2702 +521 -1476 +988 -3746 +-258 -2586 +1624 2648 +2875 993 +3207 -3402 +-165 2688 +384 2806 +1334 -964 +-665 2322 +757 -4813 +3072 2048 +1140 1661 +-4554 1068 +616 2917 +1938 928 +-486 -824 +562 -1318 +-813 -665 +-3920 1624 +-3321 1535 +1369 3261 +-2724 1431 +4050 -808 +-94 -4271 +-1261 -6845 +6513 1389 +1024 0 +6513 -1389 +-1261 6845 +-94 4271 +4050 808 +-2724 -1431 +1369 -3261 +-3321 -1535 +-3920 -1624 +-813 665 +562 1318 +-486 824 +1938 -928 +616 -2917 +-4554 -1068 +1140 -1661 +3072 -2048 +2468 -4113 +-4294 -2140 +-2954 1018 +-738 -4424 +-3478 -978 +1055 393 +-697 -2276 +1872 4520 +-1408 2205 +-4186 -2986 +4441 -1904 +2942 1408 +-1113 3742 +3117 -1219 +1912 -2954 +-3072 0 +1912 2954 +3117 1219 +-1113 -3742 +2942 -1408 +4441 1904 +-4186 2986 +-1408 -2205 +1872 -4520 +-697 2276 +1055 -393 +-3478 978 +-738 4424 +-2954 -1018 +-4294 2140 +2468 4113 +3072 2048 +1140 1661 +-4554 1068 +616 2917 +1938 928 +-486 -824 +562 -1318 +-813 -665 +-3920 1624 +-3321 1535 +1369 3261 +-2724 1431 +4050 -808 +-94 -4271 +-1261 -6845 +6513 1389 +2048 -1024 +-806 1493 +976 1727 +-2497 2833 +-2720 -1076 +6034 3119 +3023 2827 +4752 -953 +4644 -2172 +-2929 -2279 +-154 4459 +-123 2253 +-1606 742 +-382 -530 +-1220 -2318 +2004 2235 +5120 0 +2004 -2235 +-1220 2318 +-382 530 +-1606 -742 +-123 -2253 +-154 -4459 +-2929 2279 +4644 2172 +4752 953 +3023 -2827 +6034 -3119 +-2720 1076 +-2497 -2833 +976 -1727 +-806 -1493 +2048 1024 +-678 -1763 +576 -1387 +-604 5320 +-5720 1924 +275 -905 +1885 1297 +-1557 5823 +-2596 2172 +-1834 3702 +-1857 -3231 +-2402 -5078 +1854 4202 +-300 -4549 +-3229 -238 +1047 2240 +3072 0 +1047 -2240 +-3229 238 +-300 4549 +1854 -4202 +-2402 5078 +-1857 3231 +-1834 -3702 +-2596 -2172 +-1557 -5823 +1885 -1297 +275 905 +-5720 -1924 +-604 -5320 +576 1387 +-678 1763 +2048 -1024 +-806 1493 +976 1727 +-2497 2833 +-2720 -1076 +6034 3119 +3023 2827 +4752 -953 +4644 -2172 +-2929 -2279 +-154 4459 +-123 2253 +-1606 742 +-382 -530 +-1220 -2318 +2004 2235 +-2048 1024 +2337 2132 +-1549 -240 +-3871 -1394 +6080 2284 +-260 2060 +-2938 261 +863 2060 +-300 -2772 +842 -1421 +-1801 -1116 +2457 -862 +2510 -162 +-1607 -4119 +871 1958 +-801 -4006 +-3072 0 +-801 4006 +871 -1958 +-1607 4119 +2510 162 +2457 862 +-1801 1116 +842 1421 +-300 2772 +863 -2060 +-2938 -261 +-260 -2060 +6080 -2284 +-3871 1394 +-1549 240 +2337 -2132 +-2048 -1024 +-197 -1303 +-1282 4483 +-3531 4308 +1512 -2284 +6852 3027 +2782 4631 +2113 3219 +-1748 -1324 +-6953 3565 +-2139 6009 +-1480 -1619 +2186 162 +1441 -536 +-2135 2286 +1796 1701 +3072 0 +1796 -1701 +-2135 -2286 +1441 536 +2186 -162 +-1480 1619 +-2139 -6009 +-6953 -3565 +-1748 1324 +2113 -3219 +2782 -4631 +6852 -3027 +1512 2284 +-3531 -4308 +-1282 -4483 +-197 1303 +-2048 1024 +2337 2132 +-1549 -240 +-3871 -1394 +6080 2284 +-260 2060 +-2938 261 +863 2060 +-300 -2772 +842 -1421 +-1801 -1116 +2457 -862 +2510 -162 +-1607 -4119 +871 1958 +-801 -4006 +-2048 -5120 +4986 -3167 +-1514 -1945 +-4459 1413 +816 2465 +-4030 -443 +-5015 994 +-2155 2538 +724 -3196 +-654 422 +2092 688 +1085 -521 +-1526 3322 +65 153 +2268 1873 +255 2301 +-5120 0 +255 -2301 +2268 -1873 +65 -153 +-1526 -3322 +1085 521 +2092 -688 +-654 -422 +724 3196 +-2155 -2538 +-5015 -994 +-4030 443 +816 -2465 +-4459 -1413 +-1514 1945 +4986 3167 +-2048 5120 +-159 2978 +117 -5491 +3641 -230 +32 1032 +-1200 -782 +1372 2438 +-6791 -3292 +-724 1148 +464 2608 +2751 -2552 +4794 -2271 +-3418 -3922 +103 -537 +6120 1779 +4053 1294 +1024 0 +4053 -1294 +6120 -1779 +103 537 +-3418 3922 +4794 2271 +2751 2552 +464 -2608 +-724 -1148 +-6791 3292 +1372 -2438 +-1200 782 +32 -1032 +3641 230 +117 5491 +-159 -2978 +-2048 -5120 +4986 -3167 +-1514 -1945 +-4459 1413 +816 2465 +-4030 -443 +-5015 994 +-2155 2538 +724 -3196 +-654 422 +2092 688 +1085 -521 +-1526 3322 +65 153 +2268 1873 +255 2301 +6144 -1024 +597 2730 +-958 678 +-1218 3959 +-3478 2238 +1483 1599 +4654 1444 +-2642 -53 +-5244 -3620 +-1108 561 +-421 4796 +1262 2473 +-1800 4672 +-581 3055 +4771 1899 +1719 -2832 +-1024 0 +1719 2832 +4771 -1899 +-581 -3055 +-1800 -4672 +1262 -2473 +-421 -4796 +-1108 -561 +-5244 3620 +-2642 53 +4654 -1444 +1483 -1599 +-3478 -2238 +-1218 -3959 +-958 -678 +597 -2730 +6144 1024 +-2300 1703 +-4458 -898 +1617 2084 +-18 -3438 +526 -2393 +615 -3232 +3780 -2537 +-900 3620 +-30 2642 +5040 -791 +-3271 2526 +1200 2321 +181 -2805 +-1051 3673 +-17 1473 +-7168 0 +-17 -1473 +-1051 -3673 +181 2805 +1200 -2321 +-3271 -2526 +5040 791 +-30 -2642 +-900 -3620 +3780 2537 +615 3232 +526 2393 +-18 3438 +1617 -2084 +-4458 898 +-2300 -1703 +6144 -1024 +597 2730 +-958 678 +-1218 3959 +-3478 2238 +1483 1599 +4654 1444 +-2642 -53 +-5244 -3620 +-1108 561 +-421 4796 +1262 2473 +-1800 4672 +-581 3055 +4771 1899 +1719 -2832 +0 0 +-2289 -883 +2868 182 +1652 -3799 +-1678 3216 +-3052 -1599 +-6031 -1028 +-3832 -937 +-848 3072 +-2319 4610 +-965 -2526 +-270 -748 +-1782 -1988 +-476 -586 +676 -422 +3327 1646 +6144 0 +3327 -1646 +676 422 +-476 586 +-1782 1988 +-270 748 +-965 2526 +-2319 -4610 +-848 -3072 +-3832 937 +-6031 1028 +-3052 1599 +-1678 -3216 +1652 3799 +2868 -182 +-2289 883 +0 0 +4005 -3508 +-2020 4866 +-4774 -4478 +-1219 -568 +-129 2278 +1086 -4480 +4214 2716 +4944 3072 +-518 -376 +-3979 1114 +2771 747 +4678 -3556 +-1515 -2577 +172 1375 +3205 2211 +2048 0 +3205 -2211 +172 -1375 +-1515 2577 +4678 3556 +2771 -747 +-3979 -1114 +-518 376 +4944 -3072 +4214 -2716 +1086 4480 +-129 -2278 +-1219 568 +-4774 4478 +-2020 -4866 +4005 3508 +0 0 +-2289 -883 +2868 182 +1652 -3799 +-1678 3216 +-3052 -1599 +-6031 -1028 +-3832 -937 +-848 3072 +-2319 4610 +-965 -2526 +-270 -748 +-1782 -1988 +-476 -586 +676 -422 +3327 1646 +0 -3072 +2610 2189 +648 5 +1486 -374 +-1950 -2714 +-2066 1298 +581 2006 +-12 1372 +-1324 1748 +-409 -6189 +-552 -3471 +77 3874 +2504 3045 +-621 1001 +89 1554 +1584 -1017 +-1024 0 +1584 1017 +89 -1554 +-621 -1001 +2504 -3045 +77 -3874 +-552 3471 +-409 6189 +-1324 -1748 +-12 -1372 +581 -2006 +-2066 -1298 +-1950 2714 +1486 374 +648 -5 +2610 -2189 +0 3072 +-5940 2585 +-388 -161 +-288 1478 +-3842 2962 +-185 4498 +7039 -741 +7283 2658 +-2772 300 +1387 1972 +2821 640 +-2939 -3191 +3288 5396 +-1257 782 +-2046 2386 +-709 3335 +-7168 0 +-709 -3335 +-2046 -2386 +-1257 -782 +3288 -5396 +-2939 3191 +2821 -640 +1387 -1972 +-2772 -300 +7283 -2658 +7039 741 +-185 -4498 +-3842 -2962 +-288 -1478 +-388 161 +-5940 -2585 +0 -3072 +2610 2189 +648 5 +1486 -374 +-1950 -2714 +-2066 1298 +581 2006 +-12 1372 +-1324 1748 +-409 -6189 +-552 -3471 +77 3874 +2504 3045 +-621 1001 +89 1554 +1584 -1017 +2048 -1024 +-356 225 +1560 2653 +2059 6863 +-5950 -522 +-4202 900 +1297 2605 +1490 -1116 +-3196 -2772 +-1912 -2347 +2116 1801 +-1912 -897 +-1376 2080 +-21 1974 +-1187 -2157 +-598 2013 +-1024 0 +-598 -2013 +-1187 2157 +-21 -1974 +-1376 -2080 +-1912 897 +2116 -1801 +-1912 2347 +-3196 2772 +1490 1116 +1297 -2605 +-4202 -900 +-5950 522 +2059 -6863 +1560 -2653 +-356 -225 +2048 1024 +-164 -545 +3828 -486 +-298 3293 +-2490 1370 +5572 67 +87 -2317 +19 -1489 +1148 -1324 +-6277 8639 +-2300 5479 +1872 -2158 +1624 2864 +2725 -1633 +2791 3125 +2007 771 +1024 0 +2007 -771 +2791 -3125 +2725 1633 +1624 -2864 +1872 2158 +-2300 -5479 +-6277 -8639 +1148 1324 +19 1489 +87 2317 +5572 -67 +-2490 -1370 +-298 -3293 +3828 486 +-164 545 +2048 -1024 +-356 225 +1560 2653 +2059 6863 +-5950 -522 +-4202 900 +1297 2605 +1490 -1116 +-3196 -2772 +-1912 -2347 +2116 1801 +-1912 -897 +-1376 2080 +-21 1974 +-1187 -2157 +-598 2013 +3072 -1024 +-538 -3243 +3873 2043 +2099 -4793 +-1416 -268 +1555 6019 +-1095 -5583 +-901 -5818 +1148 -3196 +1075 -1102 +-1684 -5212 +976 -5045 +-1970 5166 +-1848 3331 +966 1643 +-572 613 +2048 0 +-572 -613 +966 -1643 +-1848 -3331 +-1970 -5166 +976 5045 +-1684 5212 +1075 1102 +1148 3196 +-901 5818 +-1095 5583 +1555 -6019 +-1416 268 +2099 4793 +3873 -2043 +-538 3243 +3072 1024 +-910 37 +-976 238 +-651 -640 +-632 516 +-107 -208 +-1801 3284 +-547 934 +-3196 1148 +-2523 -2583 +-1213 -6976 +472 3863 +-78 3274 +3296 -1772 +1930 -1058 +-876 -5018 +4096 0 +-876 5018 +1930 1058 +3296 1772 +-78 -3274 +472 -3863 +-1213 6976 +-2523 2583 +-3196 -1148 +-547 -934 +-1801 -3284 +-107 208 +-632 -516 +-651 640 +-976 -238 +-910 -37 +3072 -1024 +-538 -3243 +3873 2043 +2099 -4793 +-1416 -268 +1555 6019 +-1095 -5583 +-901 -5818 +1148 -3196 +1075 -1102 +-1684 -5212 +976 -5045 +-1970 5166 +-1848 3331 +966 1643 +-572 613 +1024 1024 +-6191 1575 +-1996 -1277 +-871 -1308 +-632 776 +2681 -1947 +118 -3328 +2563 1778 +-1148 -1748 +3411 1808 +5014 5644 +1218 498 +-78 1006 +-2840 3169 +1896 -36 +3741 -7758 +2048 0 +3741 7758 +1896 36 +-2840 -3169 +-78 -1006 +1218 -498 +5014 -5644 +3411 -1808 +-1148 1748 +2563 -1778 +118 3328 +2681 1947 +-632 -776 +-871 1308 +-1996 1277 +-6191 -1575 +1024 -1024 +-3492 4262 +-221 324 +320 -765 +-1416 -2224 +2509 -2567 +-5470 4740 +-3200 1750 +3196 -300 +1899 1144 +338 -137 +-377 -3076 +-1970 -2454 +3153 -908 +320 -5012 +-4523 -966 +0 0 +-4523 966 +320 5012 +3153 908 +-1970 2454 +-377 3076 +338 137 +1899 -1144 +3196 300 +-3200 -1750 +-5470 -4740 +2509 2567 +-1416 2224 +320 765 +-221 -324 +-3492 -4262 +1024 1024 +-6191 1575 +-1996 -1277 +-871 -1308 +-632 776 +2681 -1947 +118 -3328 +2563 1778 +-1148 -1748 +3411 1808 +5014 5644 +1218 498 +-78 1006 +-2840 3169 +1896 -36 +3741 -7758 +1024 1024 +2904 3335 +-2156 -1056 +937 -1529 +138 262 +-1370 1512 +-2669 -624 +-2789 -6284 +-1324 724 +-881 69 +2364 -3587 +3190 -2022 +4032 188 +616 5199 +1917 -2854 +2940 -878 +-2048 0 +2940 878 +1917 2854 +616 -5199 +4032 -188 +3190 2022 +2364 3587 +-881 -69 +-1324 -724 +-2789 6284 +-2669 624 +-1370 -1512 +138 -262 +937 1529 +-2156 1056 +2904 -3335 +1024 -1024 +-1322 2957 +2820 -891 +-713 2316 +462 586 +2433 -5716 +3112 2123 +1152 -2273 +-2772 -724 +-4162 -65 +-5704 -7698 +-2925 401 +-536 4756 +3625 572 +315 -3686 +-3634 1745 +0 0 +-3634 -1745 +315 3686 +3625 -572 +-536 -4756 +-2925 -401 +-5704 7698 +-4162 65 +-2772 724 +1152 2273 +3112 -2123 +2433 5716 +462 -586 +-713 -2316 +2820 891 +-1322 -2957 +1024 1024 +2904 3335 +-2156 -1056 +937 -1529 +138 262 +-1370 1512 +-2669 -624 +-2789 -6284 +-1324 724 +-881 69 +2364 -3587 +3190 -2022 +4032 188 +616 5199 +1917 -2854 +2940 -878 +-1024 -5120 +-2918 -2280 +-272 4304 +-846 754 +-4416 -4337 +-2443 -2300 +989 4077 +1633 -1924 +2348 3796 +1864 2609 +831 1460 +1795 3186 +1490 -7242 +723 -3469 +2614 -2532 +3072 2111 +2048 0 +3072 -2111 +2614 2532 +723 3469 +1490 7242 +1795 -3186 +831 -1460 +1864 -2609 +2348 -3796 +1633 1924 +989 -4077 +-2443 2300 +-4416 4337 +-846 -754 +-272 -4304 +-2918 2280 +-1024 5120 +-718 -1883 +-3512 1424 +-58 -2966 +2368 -2904 +-2954 1730 +-2557 -1337 +4100 2345 +3796 2348 +651 -3829 +737 -416 +-1511 -340 +-3538 2 +-498 2275 +1170 -1628 +-1891 6070 +-4096 0 +-1891 -6070 +1170 1628 +-498 -2275 +-3538 -2 +-1511 340 +737 416 +651 3829 +3796 -2348 +4100 -2345 +-2557 1337 +-2954 -1730 +2368 2904 +-58 2966 +-3512 -1424 +-718 1883 +-1024 -5120 +-2918 -2280 +-272 4304 +-846 754 +-4416 -4337 +-2443 -2300 +989 4077 +1633 -1924 +2348 3796 +1864 2609 +831 1460 +1795 3186 +1490 -7242 +723 -3469 +2614 -2532 +3072 2111 +1024 2048 +2221 6179 +-8191 1758 +-2625 -4037 +-1094 -940 +657 -1462 +1812 -1055 +-2548 -664 +2048 600 +-3868 4041 +-1069 82 +1466 633 +458 4724 +951 1020 +-476 -250 +4057 1342 +5120 0 +4057 -1342 +-476 250 +951 -1020 +458 -4724 +1466 -633 +-1069 -82 +-3868 -4041 +2048 -600 +-2548 664 +1812 1055 +657 1462 +-1094 940 +-2625 4037 +-8191 -1758 +2221 -6179 +1024 -2048 +-2292 -3096 +-840 -650 +685 -423 +-1553 -3156 +617 1530 +5193 -1620 +-4239 -3322 +2048 3496 +5303 1421 +-143 -2758 +-523 -2444 +-6002 -628 +-1227 833 +3715 1359 +1366 2997 +1024 0 +1366 -2997 +3715 -1359 +-1227 -833 +-6002 628 +-523 2444 +-143 2758 +5303 -1421 +2048 -3496 +-4239 3322 +5193 1620 +617 -1530 +-1553 3156 +685 423 +-840 650 +-2292 3096 +1024 2048 +2221 6179 +-8191 1758 +-2625 -4037 +-1094 -940 +657 -1462 +1812 -1055 +-2548 -664 +2048 600 +-3868 4041 +-1069 82 +1466 633 +458 4724 +951 1020 +-476 -250 +4057 1342 +2048 1024 +-1961 -2213 +-936 -3701 +2871 2876 +3478 -2994 +1150 736 +2292 -1488 +3580 2447 +1748 724 +563 -4029 +-1943 497 +-1419 -1172 +1800 1656 +-1607 -2766 +-4690 -3296 +-2444 837 +-1024 0 +-2444 -837 +-4690 3296 +-1607 2766 +1800 -1656 +-1419 1172 +-1943 -497 +563 4029 +1748 -724 +3580 -2447 +2292 1488 +1150 -736 +3478 2994 +2871 -2876 +-936 3701 +-1961 2213 +2048 -1024 +1350 8341 +-3683 -83 +-548 -3565 +18 -1102 +-2407 5056 +3124 3055 +1827 -3411 +300 -724 +5174 -2286 +-1777 1070 +-5333 4748 +-1200 2440 +-4292 -139 +-579 -488 +3497 -61 +1024 0 +3497 61 +-579 488 +-4292 139 +-1200 -2440 +-5333 -4748 +-1777 -1070 +5174 2286 +300 724 +1827 3411 +3124 -3055 +-2407 -5056 +18 1102 +-548 3565 +-3683 83 +1350 -8341 +2048 1024 +-1961 -2213 +-936 -3701 +2871 2876 +3478 -2994 +1150 736 +2292 -1488 +3580 2447 +1748 724 +563 -4029 +-1943 497 +-1419 -1172 +1800 1656 +-1607 -2766 +-4690 -3296 +-2444 837 +1024 0 +-6311 972 +-2067 -559 +7875 -2107 +-1084 470 +-916 -4956 +-2575 -1844 +646 1598 +3496 1448 +-2247 710 +5938 -1460 +2139 -2367 +-3640 -2362 +-923 2606 +-4324 -2568 +-4382 -4349 +-3072 0 +-4382 4349 +-4324 2568 +-923 -2606 +-3640 2362 +2139 2367 +5938 1460 +-2247 -710 +3496 -1448 +646 -1598 +-2575 1844 +-916 4956 +-1084 -470 +7875 2107 +-2067 559 +-6311 -972 +1024 0 +-1837 -103 +294 -1297 +119 -1429 +484 1578 +-730 -1585 +-545 -973 +2809 -4647 +600 -1448 +2815 4801 +78 -157 +-3598 -1589 +144 314 +1826 -1158 +3200 -6280 +2715 -206 +1024 0 +2715 206 +3200 6280 +1826 1158 +144 -314 +-3598 1589 +78 157 +2815 -4801 +600 1448 +2809 4647 +-545 973 +-730 1585 +484 -1578 +119 1429 +294 1297 +-1837 103 +1024 0 +-6311 972 +-2067 -559 +7875 -2107 +-1084 470 +-916 -4956 +-2575 -1844 +646 1598 +3496 1448 +-2247 710 +5938 -1460 +2139 -2367 +-3640 -2362 +-923 2606 +-4324 -2568 +-4382 -4349 +0 1024 +-2441 -2452 +-1438 -9729 +1990 -3074 +292 1200 +-3138 1416 +-625 -836 +2653 -2237 +1324 -4644 +-1535 -974 +-1451 2391 +2716 410 +-1150 3478 +-2435 3388 +2042 4581 +2640 293 +3072 0 +2640 -293 +2042 -4581 +-2435 -3388 +-1150 -3478 +2716 -410 +-1451 -2391 +-1535 974 +1324 4644 +2653 2237 +-625 836 +-3138 -1416 +292 -1200 +1990 3074 +-1438 9729 +-2441 2452 +0 -1024 +-4126 -1143 +-1838 -603 +-502 3458 +-1141 -1800 +4105 1376 +-1763 1371 +754 -793 +2772 2596 +-3439 1729 +-3154 -1359 +102 815 +6094 18 +-2837 -4571 +34 1967 +5495 -104 +-3072 0 +5495 104 +34 -1967 +-2837 4571 +6094 -18 +102 -815 +-3154 1359 +-3439 -1729 +2772 -2596 +754 793 +-1763 -1371 +4105 -1376 +-1141 1800 +-502 -3458 +-1838 603 +-4126 1143 +0 1024 +-2441 -2452 +-1438 -9729 +1990 -3074 +292 1200 +-3138 1416 +-625 -836 +2653 -2237 +1324 -4644 +-1535 -974 +-1451 2391 +2716 410 +-1150 3478 +-2435 3388 +2042 4581 +2640 293 +-1024 -4096 +161 -72 +-2295 1697 +178 -98 +4644 1918 +-3289 -3560 +446 1537 +6446 -158 +0 3496 +-1655 3277 +1795 -2120 +-862 2261 +-2596 -914 +3456 -657 +1207 -2486 +111 348 +5120 0 +111 -348 +1207 2486 +3456 657 +-2596 914 +-862 -2261 +1795 2120 +-1655 -3277 +0 -3496 +6446 158 +446 -1537 +-3289 3560 +4644 -1918 +178 98 +-2295 -1697 +161 72 +-1024 4096 +1552 -4997 +-3094 -3145 +-44 -61 +4644 3026 +-3202 1124 +-1830 -2985 +-757 -893 +0 600 +3326 2590 +-1611 3568 +-2224 1304 +-2596 1762 +-5598 6498 +-2811 3934 +2401 1503 +5120 0 +2401 -1503 +-2811 -3934 +-5598 -6498 +-2596 -1762 +-2224 -1304 +-1611 -3568 +3326 -2590 +0 -600 +-757 893 +-1830 2985 +-3202 -1124 +4644 -3026 +-44 61 +-3094 3145 +1552 4997 +-1024 -4096 +161 -72 +-2295 1697 +178 -98 +4644 1918 +-3289 -3560 +446 1537 +6446 -158 +0 3496 +-1655 3277 +1795 -2120 +-862 2261 +-2596 -914 +3456 -657 +1207 -2486 +111 348 +0 -1024 +-4426 4871 +-5469 -275 +-3558 1375 +208 3792 +-538 -1929 +-1156 -1114 +-1120 1770 +724 -300 +3824 -1432 +-1841 -1009 +-4055 1794 +2550 -1330 +973 -6162 +-2633 -1734 +-382 -5618 +1024 0 +-382 5618 +-2633 1734 +973 6162 +2550 1330 +-4055 -1794 +-1841 1009 +3824 1432 +724 300 +-1120 -1770 +-1156 1114 +-538 1929 +208 -3792 +-3558 -1375 +-5469 275 +-4426 -4871 +0 1024 +5803 1356 +745 431 +-3878 -1328 +992 -2344 +1757 -110 +216 -150 +-146 6677 +-724 -1748 +339 2072 +-1315 3841 +-61 -5370 +4442 2778 +3566 -1121 +3261 -2206 +1902 -1755 +-1024 0 +1902 1755 +3261 2206 +3566 1121 +4442 -2778 +-61 5370 +-1315 -3841 +339 -2072 +-724 1748 +-146 -6677 +216 150 +1757 110 +992 2344 +-3878 1328 +745 -431 +5803 -1356 +0 -1024 +-4426 4871 +-5469 -275 +-3558 1375 +208 3792 +-538 -1929 +-1156 -1114 +-1120 1770 +724 -300 +3824 -1432 +-1841 -1009 +-4055 1794 +2550 -1330 +973 -6162 +-2633 -1734 +-382 -5618 +0 4096 +-840 3246 +3435 1780 +1844 2519 +51 -1024 +-2082 -1437 +-1043 -2254 +3275 -4791 +-3072 2048 +2138 1294 +-1775 -1960 +-9981 -1815 +-4619 1024 +-420 1262 +3573 -3818 +357 3280 +-4096 0 +357 -3280 +3573 3818 +-420 -1262 +-4619 -1024 +-9981 1815 +-1775 1960 +2138 -1294 +-3072 -2048 +3275 4791 +-1043 2254 +-2082 1437 +51 1024 +1844 -2519 +3435 -1780 +-840 -3246 +0 -4096 +1645 22 +3741 2824 +-2044 -1591 +4294 -1024 +5999 -98 +-3145 -1022 +1326 2189 +-3072 2048 +-2275 2784 +1371 -116 +-616 1609 +274 1024 +1508 -4797 +2036 1431 +167 876 +0 0 +167 -876 +2036 -1431 +1508 4797 +274 -1024 +-616 -1609 +1371 116 +-2275 -2784 +-3072 -2048 +1326 -2189 +-3145 1022 +5999 98 +4294 1024 +-2044 1591 +3741 -2824 +1645 -22 +0 4096 +-840 3246 +3435 1780 +1844 2519 +51 -1024 +-2082 -1437 +-1043 -2254 +3275 -4791 +-3072 2048 +2138 1294 +-1775 -1960 +-9981 -1815 +-4619 1024 +-420 1262 +3573 -3818 +357 3280 +1024 0 +1365 -3300 +-1615 26 +953 1895 +1567 -410 +1366 -518 +-4220 2800 +-4549 1574 +424 4520 +4201 24 +3981 -1290 +-1968 1972 +3784 -254 +3875 5002 +-915 2018 +396 1976 +-1024 0 +396 -1976 +-915 -2018 +3875 -5002 +3784 254 +-1968 -1972 +3981 1290 +4201 -24 +424 -4520 +-4549 -1574 +-4220 -2800 +1366 518 +1567 410 +953 -1895 +-1615 -26 +1365 3300 +1024 0 +-1005 303 +4631 5102 +2061 1008 +-1567 -3086 +1744 689 +-1013 3437 +-7097 -1141 +-2472 1624 +-2132 -2121 +-1645 -1163 +3083 6079 +-3784 854 +471 -2411 +796 -5578 +-2764 691 +3072 0 +-2764 -691 +796 5578 +471 2411 +-3784 -854 +3083 -6079 +-1645 1163 +-2132 2121 +-2472 -1624 +-7097 1141 +-1013 -3437 +1744 -689 +-1567 3086 +2061 -1008 +4631 -5102 +-1005 -303 +1024 0 +1365 -3300 +-1615 26 +953 1895 +1567 -410 +1366 -518 +-4220 2800 +-4549 1574 +424 4520 +4201 24 +3981 -1290 +-1968 1972 +3784 -254 +3875 5002 +-915 2018 +396 1976 +2048 3072 +-2248 5364 +-336 -879 +-1324 2923 +522 3986 +3035 -1345 +-6315 -497 +-3043 -5714 +3620 300 +3462 53 +-2097 -5318 +2118 4225 +2080 4372 +-5266 2644 +1322 2479 +3863 -2433 +1024 0 +3863 2433 +1322 -2479 +-5266 -2644 +2080 -4372 +2118 -4225 +-2097 5318 +3462 -53 +3620 -300 +-3043 5714 +-6315 497 +3035 1345 +522 -3986 +-1324 -2923 +-336 879 +-2248 -5364 +2048 -3072 +-4063 -2113 +-1372 -245 +-530 89 +-1370 -1690 +1605 -3044 +143 -5519 +700 331 +-3620 1748 +-470 1925 +1276 2198 +2378 963 +2864 2021 +-2016 -1639 +-813 -706 +1799 1458 +3072 0 +1799 -1458 +-813 706 +-2016 1639 +2864 -2021 +2378 -963 +1276 -2198 +-470 -1925 +-3620 -1748 +700 -331 +143 5519 +1605 3044 +-1370 1690 +-530 -89 +-1372 245 +-4063 2113 +2048 3072 +-2248 5364 +-336 -879 +-1324 2923 +522 3986 +3035 -1345 +-6315 -497 +-3043 -5714 +3620 300 +3462 53 +-2097 -5318 +2118 4225 +2080 4372 +-5266 2644 +1322 2479 +3863 -2433 +-3072 2048 +-1188 -342 +2806 1023 +-3554 -1317 +2630 5088 +239 -2102 +-6484 -2246 +-5133 2407 +-4769 -2472 +539 -927 +693 -343 +-2756 -178 +-3726 1932 +1731 871 +6377 -1734 +1871 3854 +-1024 0 +1871 -3854 +6377 1734 +1731 -871 +-3726 -1932 +-2756 178 +693 343 +539 927 +-4769 2472 +-5133 -2407 +-6484 2246 +239 2102 +2630 -5088 +-3554 1317 +2806 -1023 +-1188 342 +-3072 -2048 +2919 119 +1370 5473 +49 -3726 +-3830 1304 +-1906 2796 +-1164 -1813 +2280 -2142 +6817 424 +1426 -137 +2363 -2517 +-41 1760 +-3267 364 +446 767 +2233 1239 +3078 386 +3072 0 +3078 -386 +2233 -1239 +446 -767 +-3267 -364 +-41 -1760 +2363 2517 +1426 137 +6817 -424 +2280 2142 +-1164 1813 +-1906 -2796 +-3830 -1304 +49 3726 +1370 -5473 +2919 -119 +-3072 2048 +-1188 -342 +2806 1023 +-3554 -1317 +2630 5088 +239 -2102 +-6484 -2246 +-5133 2407 +-4769 -2472 +539 -927 +693 -343 +-2756 -178 +-3726 1932 +1731 871 +6377 -1734 +1871 3854 +1024 1024 +1131 2347 +-3224 2551 +5799 -2712 +-3922 -2754 +-4093 1688 +2055 -283 +-1482 -3424 +124 -2172 +-1661 2440 +802 2647 +-4423 -418 +-2465 2524 +-1284 1391 +2497 -2188 +7240 1465 +0 0 +7240 -1465 +2497 2188 +-1284 -1391 +-2465 -2524 +-4423 418 +802 -2647 +-1661 -2440 +124 2172 +-1482 3424 +2055 283 +-4093 -1688 +-3922 2754 +5799 2712 +-3224 -2551 +1131 -2347 +1024 -1024 +-4212 1954 +1931 4560 +3614 1364 +3322 706 +2149 369 +658 1420 +-640 6925 +-4220 2172 +-2218 -2723 +3478 3785 +-552 4042 +-1032 -476 +-1210 -1173 +-5 -1789 +1841 -949 +-2048 0 +1841 949 +-5 1789 +-1210 1173 +-1032 476 +-552 -4042 +3478 -3785 +-2218 2723 +-4220 -2172 +-640 -6925 +658 -1420 +2149 -369 +3322 -706 +3614 -1364 +1931 -4560 +-4212 -1954 +1024 1024 +1131 2347 +-3224 2551 +5799 -2712 +-3922 -2754 +-4093 1688 +2055 -283 +-1482 -3424 +124 -2172 +-1661 2440 +802 2647 +-4423 -418 +-2465 2524 +-1284 1391 +2497 -2188 +7240 1465 +-2048 -1024 +-313 1244 +-14 3554 +3272 1595 +-3458 -3234 +-3209 -3492 +3541 -1499 +-1545 -2797 +-1148 -3620 +-844 -2030 +815 -1960 +2850 -2021 +1336 788 +-6 3577 +-1317 3875 +1442 2465 +3072 0 +1442 -2465 +-1317 -3875 +-6 -3577 +1336 -788 +2850 2021 +815 1960 +-844 2030 +-1148 3620 +-1545 2797 +3541 1499 +-3209 3492 +-3458 3234 +3272 -1595 +-14 -3554 +-313 -1244 +-2048 1024 +-5541 2860 +458 1678 +1113 -3127 +-3783 -2910 +5793 2039 +-1309 1380 +-4208 -599 +3196 3620 +-2980 4297 +-150 -1056 +-1208 256 +5904 5356 +2981 2771 +-2023 -1539 +2403 -889 +-3072 0 +2403 889 +-2023 1539 +2981 -2771 +5904 -5356 +-1208 -256 +-150 1056 +-2980 -4297 +3196 -3620 +-4208 599 +-1309 -1380 +5793 -2039 +-3783 2910 +1113 3127 +458 -1678 +-5541 -2860 +-2048 -1024 +-313 1244 +-14 3554 +3272 1595 +-3458 -3234 +-3209 -3492 +3541 -1499 +-1545 -2797 +-1148 -3620 +-844 -2030 +815 -1960 +2850 -2021 +1336 788 +-6 3577 +-1317 3875 +1442 2465 +3072 4096 +-2272 -3266 +-2361 602 +-2797 3553 +-4050 808 +1171 7356 +-609 -2471 +-2260 -153 +-1024 1024 +-525 -2458 +1481 537 +3055 1117 +738 4424 +-4723 -3457 +2039 -2329 +5981 1306 +1024 0 +5981 -1306 +2039 2329 +-4723 3457 +738 -4424 +3055 -1117 +1481 -537 +-525 2458 +-1024 -1024 +-2260 153 +-609 2471 +1171 -7356 +-4050 -808 +-2797 -3553 +-2361 -602 +-2272 3266 +3072 -4096 +54 661 +-824 -1674 +-976 -4544 +-2942 -1408 +1447 1407 +2538 1546 +-48 1442 +-1024 1024 +4163 1500 +3583 -262 +-4786 -4386 +-1938 -928 +1815 -3774 +2345 -5735 +701 -365 +-3072 0 +701 365 +2345 5735 +1815 3774 +-1938 928 +-4786 4386 +3583 262 +4163 -1500 +-1024 -1024 +-48 -1442 +2538 -1546 +1447 -1407 +-2942 1408 +-976 4544 +-824 1674 +54 -661 +3072 4096 +-2272 -3266 +-2361 602 +-2797 3553 +-4050 808 +1171 7356 +-609 -2471 +-2260 -153 +-1024 1024 +-525 -2458 +1481 537 +3055 1117 +738 4424 +-4723 -3457 +2039 -2329 +5981 1306 +3072 0 +451 -807 +202 -2759 +334 2053 +-1278 0 +-1029 -5488 +-7117 -3582 +-1012 3343 +2048 -4944 +542 -2074 +1009 5562 +-5460 -3650 +2062 0 +2254 1754 +2149 1724 +5036 4644 +-1024 0 +5036 -4644 +2149 -1724 +2254 -1754 +2062 0 +-5460 3650 +1009 -5562 +542 2074 +2048 4944 +-1012 -3343 +-7117 3582 +-1029 5488 +-1278 0 +334 -2053 +202 2759 +451 807 +3072 0 +-2315 1894 +-5251 1690 +-4223 1009 +-170 0 +2154 2181 +1609 -3150 +1190 -922 +2048 848 +2177 1598 +403 3387 +1438 -2553 +-614 0 +-1261 4204 +-1196 4697 +-276 -661 +3072 0 +-276 661 +-1196 -4697 +-1261 -4204 +-614 0 +1438 2553 +403 -3387 +2177 -1598 +2048 -848 +1190 922 +1609 3150 +2154 -2181 +-170 0 +-4223 -1009 +-5251 -1690 +-2315 -1894 +3072 0 +451 -807 +202 -2759 +334 2053 +-1278 0 +-1029 -5488 +-7117 -3582 +-1012 3343 +2048 -4944 +542 -2074 +1009 5562 +-5460 -3650 +2062 0 +2254 1754 +2149 1724 +5036 4644 +0 2048 +-4930 -1246 +1190 -2459 +-2180 -3607 +300 -1013 +3027 190 +-774 -1237 +1381 2451 +-4520 -2896 +787 -4315 +-3260 -1060 +-8188 1469 +1748 5122 +-14 1729 +-302 -195 +1547 -1972 +0 0 +1547 1972 +-302 195 +-14 -1729 +1748 -5122 +-8188 -1469 +-3260 1060 +787 4315 +-4520 2896 +1381 -2451 +-774 1237 +3027 -190 +300 1013 +-2180 3607 +1190 2459 +-4930 1246 +0 -2048 +3372 -184 +3598 -1156 +393 -1691 +300 1013 +1245 2916 +-1339 -499 +334 -3151 +-1624 2896 +394 -2754 +-420 -4772 +1020 -2221 +1748 -5122 +-1096 3100 +1307 676 +2907 -8226 +4096 0 +2907 8226 +1307 -676 +-1096 -3100 +1748 5122 +1020 2221 +-420 4772 +394 2754 +-1624 -2896 +334 3151 +-1339 499 +1245 -2916 +300 -1013 +393 1691 +3598 1156 +3372 184 +0 2048 +-4930 -1246 +1190 -2459 +-2180 -3607 +300 -1013 +3027 190 +-774 -1237 +1381 2451 +-4520 -2896 +787 -4315 +-3260 -1060 +-8188 1469 +1748 5122 +-14 1729 +-302 -195 +1547 -1972 +-6144 5120 +709 4677 +1681 4895 +-1857 -284 +-1670 -2598 +1909 4336 +3416 2526 +-1342 -3468 +-300 -1324 +18 -1291 +2422 1140 +758 5880 +1116 -307 +-2952 -3682 +645 994 +3705 764 +-5120 0 +3705 -764 +645 -994 +-2952 3682 +1116 307 +758 -5880 +2422 -1140 +18 1291 +-300 1324 +-1342 3468 +3416 -2526 +1909 -4336 +-1670 2598 +-1857 284 +1681 -4895 +709 -4677 +-6144 -5120 +4293 -2704 +-1865 1277 +-5832 3131 +222 4646 +-1800 1663 +1972 -2017 +-4215 -1574 +-1748 -2772 +1516 -2422 +-818 568 +2237 -770 +332 -1741 +1744 -151 +739 -1814 +1109 -3255 +5120 0 +1109 3255 +739 1814 +1744 151 +332 1741 +2237 770 +-818 -568 +1516 2422 +-1748 2772 +-4215 1574 +1972 2017 +-1800 -1663 +222 -4646 +-5832 -3131 +-1865 -1277 +4293 2704 +-6144 5120 +709 4677 +1681 4895 +-1857 -284 +-1670 -2598 +1909 4336 +3416 2526 +-1342 -3468 +-300 -1324 +18 -1291 +2422 1140 +758 5880 +1116 -307 +-2952 -3682 +645 994 +3705 764 +5120 2048 +3737 -2018 +405 116 +-4643 -1940 +4738 -1228 +7728 2596 +-133 -878 +-848 -1007 +-4944 1448 +-638 1493 +-538 -2966 +-1265 4445 +938 2557 +925 -4988 +2275 5915 +874 1510 +1024 0 +874 -1510 +2275 -5915 +925 4988 +938 -2557 +-1265 -4445 +-538 2966 +-638 -1493 +-4944 -1448 +-848 1007 +-133 878 +7728 -2596 +4738 1228 +-4643 1940 +405 -116 +3737 2018 +5120 -2048 +1377 275 +1708 681 +-585 1886 +-3290 4124 +-703 -1497 +-871 731 +-626 1518 +848 -1448 +-1023 -5078 +-4250 -5374 +1809 750 +-2387 340 +-3265 837 +1405 3074 +-2853 844 +-3072 0 +-2853 -844 +1405 -3074 +-3265 -837 +-2387 -340 +1809 -750 +-4250 5374 +-1023 5078 +848 1448 +-626 -1518 +-871 -731 +-703 1497 +-3290 -4124 +-585 -1886 +1708 -681 +1377 -275 +5120 2048 +3737 -2018 +405 116 +-4643 -1940 +4738 -1228 +7728 2596 +-133 -878 +-848 -1007 +-4944 1448 +-638 1493 +-538 -2966 +-1265 4445 +938 2557 +925 -4988 +2275 5915 +874 1510 +4096 -1024 +-1336 -1558 +-559 -6311 +3254 992 +3198 646 +-347 1634 +-249 -2448 +1002 -2141 +-2772 -1748 +-2285 -1272 +-417 -3111 +1339 -5073 +3189 2140 +-1225 -1631 +-646 -362 +186 1212 +-3072 0 +186 -1212 +-646 362 +-1225 1631 +3189 -2140 +1339 5073 +-417 3111 +-2285 1272 +-2772 1748 +1002 2141 +-249 2448 +-347 -1634 +3198 -646 +3254 -992 +-559 6311 +-1336 1558 +4096 1024 +1691 4523 +-1829 -2693 +676 1677 +-4046 -1246 +-2539 2501 +2325 2095 +-2588 -4027 +-1324 -300 +87 -6464 +-2858 -2538 +-20 5423 +1756 1356 +-1138 516 +-3958 2446 +3244 186 +11264 0 +3244 -186 +-3958 -2446 +-1138 -516 +1756 -1356 +-20 -5423 +-2858 2538 +87 6464 +-1324 300 +-2588 4027 +2325 -2095 +-2539 -2501 +-4046 1246 +676 -1677 +-1829 2693 +1691 -4523 +4096 -1024 +-1336 -1558 +-559 -6311 +3254 992 +3198 646 +-347 1634 +-249 -2448 +1002 -2141 +-2772 -1748 +-2285 -1272 +-417 -3111 +1339 -5073 +3189 2140 +-1225 -1631 +-646 -362 +186 1212 +5120 -4096 +1354 2746 +1663 2121 +-2329 2279 +-4090 749 +324 -1814 +-772 445 +-474 4378 +3496 0 +1161 -276 +-6559 -680 +-4088 399 +1258 7040 +1543 1282 +794 257 +3460 -258 +7168 0 +3460 258 +794 -257 +1543 -1282 +1258 -7040 +-4088 -399 +-6559 680 +1161 276 +3496 0 +-474 -4378 +-772 -445 +324 1814 +-4090 -749 +-2329 -2279 +1663 -2121 +1354 -2746 +5120 4096 +-2774 951 +-3046 -2461 +672 1757 +-5199 100 +1466 1400 +-832 -4569 +-2688 -1114 +600 0 +-1783 -5595 +1170 -548 +731 -1462 +3934 -2096 +1682 2105 +-610 2299 +1744 -5181 +-1024 0 +1744 5181 +-610 -2299 +1682 -2105 +3934 2096 +731 1462 +1170 548 +-1783 5595 +600 0 +-2688 1114 +-832 4569 +1466 -1400 +-5199 -100 +672 -1757 +-3046 2461 +-2774 -951 +5120 -4096 +1354 2746 +1663 2121 +-2329 2279 +-4090 749 +324 -1814 +-772 445 +-474 4378 +3496 0 +1161 -276 +-6559 -680 +-4088 399 +1258 7040 +1543 1282 +794 257 +3460 -258 +3072 4096 +1726 941 +-120 133 +3993 611 +1462 600 +189 4389 +-2441 4701 +2623 1120 +600 0 +-4484 -2688 +5860 -1852 +-5272 2020 +-3666 -3496 +455 -6968 +-3392 1238 +2716 5561 +1024 0 +2716 -5561 +-3392 -1238 +455 6968 +-3666 3496 +-5272 -2020 +5860 1852 +-4484 2688 +600 0 +2623 -1120 +-2441 -4701 +189 -4389 +1462 -600 +3993 -611 +-120 -133 +1726 -941 +3072 -4096 +-712 -3227 +-425 -1242 +1923 402 +-1214 600 +-1421 -3023 +-339 -2025 +-186 1123 +3496 0 +-170 3052 +2713 4527 +1153 -1910 +-4774 -3496 +-1019 -1468 +-1855 -2347 +-1514 -1534 +1024 0 +-1514 1534 +-1855 2347 +-1019 1468 +-4774 3496 +1153 1910 +2713 -4527 +-170 -3052 +3496 0 +-186 -1123 +-339 2025 +-1421 3023 +-1214 -600 +1923 -402 +-425 1242 +-712 3227 +3072 4096 +1726 941 +-120 133 +3993 611 +1462 600 +189 4389 +-2441 4701 +2623 1120 +600 0 +-4484 -2688 +5860 -1852 +-5272 2020 +-3666 -3496 +455 -6968 +-3392 1238 +2716 5561 +0 2048 +-1944 -1846 +-621 -491 +-3161 -1276 +-4194 1228 +2753 3635 +-1354 158 +3265 -1084 +4769 -1448 +-969 1205 +2763 -187 +4465 -5157 +1822 -2557 +2616 1653 +-1188 1158 +-1415 -1419 +4096 0 +-1415 1419 +-1188 -1158 +2616 -1653 +1822 2557 +4465 5157 +2763 187 +-969 -1205 +4769 1448 +3265 1084 +-1354 -158 +2753 -3635 +-4194 -1228 +-3161 1276 +-621 491 +-1944 1846 +0 -2048 +-4559 -136 +-1492 1118 +468 -4135 +698 -4124 +-2179 -4084 +2358 2999 +2383 5427 +-6817 1448 +-7 4338 +2025 -752 +992 -2284 +-2422 -340 +-161 -72 +-2492 3566 +-2547 -1762 +8192 0 +-2547 1762 +-2492 -3566 +-161 72 +-2422 340 +992 2284 +2025 752 +-7 -4338 +-6817 -1448 +2383 -5427 +2358 -2999 +-2179 4084 +698 4124 +468 4135 +-1492 -1118 +-4559 136 +0 2048 +-1944 -1846 +-621 -491 +-3161 -1276 +-4194 1228 +2753 3635 +-1354 158 +3265 -1084 +4769 -1448 +-969 1205 +2763 -187 +4465 -5157 +1822 -2557 +2616 1653 +-1188 1158 +-1415 -1419 +2048 1024 +4098 -1445 +-2672 982 +-3828 -1008 +2974 1727 +-524 766 +1058 -1733 +903 920 +-3620 -300 +-1249 1208 +2386 1785 +2599 -913 +-1480 380 +-596 243 +1301 -3114 +-724 2059 +-1024 0 +-724 -2059 +1301 3114 +-596 -243 +-1480 -380 +2599 913 +2386 -1785 +-1249 -1208 +-3620 300 +903 -920 +1058 1733 +-524 -766 +2974 -1727 +-3828 1008 +-2672 -982 +4098 1445 +2048 -1024 +2422 97 +-4609 -4102 +-3369 -3321 +4866 2969 +-2090 -1644 +-3226 2857 +1775 -471 +3620 -1748 +2796 -4544 +-1419 -3558 +-1994 1603 +-2264 -7972 +-1784 -3005 +-1013 -2902 +1564 -7191 +5120 0 +1564 7191 +-1013 2902 +-1784 3005 +-2264 7972 +-1994 -1603 +-1419 3558 +2796 4544 +3620 1748 +1775 471 +-3226 -2857 +-2090 1644 +4866 -2969 +-3369 3321 +-4609 4102 +2422 -97 +2048 1024 +4098 -1445 +-2672 982 +-3828 -1008 +2974 1727 +-524 766 +1058 -1733 +903 920 +-3620 -300 +-1249 1208 +2386 1785 +2599 -913 +-1480 380 +-596 243 +1301 -3114 +-724 2059 +2048 -4096 +-5266 -4018 +332 -2026 +2457 -1223 +1168 -3026 +4571 -443 +222 -2714 +-3890 1803 +-2472 1448 +-3539 -3328 +-1670 -178 +805 -1251 +-60 -1762 +5680 1283 +1116 1647 +-3265 -7547 +2048 0 +-3265 7547 +1116 -1647 +5680 -1283 +-60 1762 +805 1251 +-1670 178 +-3539 3328 +-2472 -1448 +-3890 -1803 +222 2714 +4571 443 +1168 3026 +2457 1223 +332 2026 +-5266 4018 +2048 4096 +1993 419 +332 1686 +14 5464 +-2616 -1918 +1958 -3250 +222 -1410 +3081 2465 +424 -1448 +-5228 -5636 +-1670 -1049 +-3109 1005 +1508 914 +-790 -1788 +1116 909 +4529 -1093 +-2048 0 +4529 1093 +1116 -909 +-790 1788 +1508 -914 +-3109 -1005 +-1670 1049 +-5228 5636 +424 1448 +3081 -2465 +222 1410 +1958 3250 +-2616 1918 +14 -5464 +332 -1686 +1993 -419 +2048 -4096 +-5266 -4018 +332 -2026 +2457 -1223 +1168 -3026 +4571 -443 +222 -2714 +-3890 1803 +-2472 1448 +-3539 -3328 +-1670 -178 +805 -1251 +-60 -1762 +5680 1283 +1116 1647 +-3265 -7547 +-2048 -3072 +-242 -3225 +2299 -2145 +-1933 -782 +3922 3503 +3217 1895 +-496 -2314 +-2602 997 +-6093 2772 +-681 -1265 +1700 -3514 +-2973 -1103 +2465 4516 +-1256 6503 +-2581 2317 +4465 -729 +3072 0 +4465 729 +-2581 -2317 +-1256 -6503 +2465 -4516 +-2973 1103 +1700 3514 +-681 1265 +-6093 -2772 +-2602 -997 +-496 2314 +3217 -1895 +3922 -3503 +-1933 782 +2299 2145 +-242 3225 +-2048 3072 +4600 1651 +1161 3881 +-461 1735 +-3322 -606 +863 4575 +-2505 2795 +-3875 -2029 +4045 1324 +-649 1432 +1300 -101 +430 580 +1032 -1620 +-3680 1443 +-878 3515 +4776 -2045 +-3072 0 +4776 2045 +-878 -3515 +-3680 -1443 +1032 1620 +430 -580 +1300 101 +-649 -1432 +4045 -1324 +-3875 2029 +-2505 -2795 +863 -4575 +-3322 606 +-461 -1735 +1161 -3881 +4600 -1651 +-2048 -3072 +-242 -3225 +2299 -2145 +-1933 -782 +3922 3503 +3217 1895 +-496 -2314 +-2602 997 +-6093 2772 +-681 -1265 +1700 -3514 +-2973 -1103 +2465 4516 +-1256 6503 +-2581 2317 +4465 -729 +0 -1024 +634 2253 +2381 -121 +1300 -1979 +1814 -2538 +956 -151 +2484 872 +2361 606 +-124 8141 +-740 3894 +-1026 -482 +-83 -1786 +-2200 -2924 +1719 3255 +-815 -2278 +-5036 955 +-1024 0 +-5036 -955 +-815 2278 +1719 -3255 +-2200 2924 +-83 1786 +-1026 482 +-740 -3894 +-124 -8141 +2361 -606 +2484 -872 +956 151 +1814 2538 +1300 1979 +2381 121 +634 -2253 +0 1024 +-1257 4085 +2852 -7943 +4881 -6988 +-3862 3138 +-1965 -3801 +-2365 -597 +-617 995 +4220 -1997 +-2332 -1743 +-1990 -443 +204 -22 +152 -572 +-1220 3905 +-1521 1207 +1195 3535 +1024 0 +1195 -3535 +-1521 -1207 +-1220 -3905 +152 572 +204 22 +-1990 443 +-2332 1743 +4220 1997 +-617 -995 +-2365 597 +-1965 3801 +-3862 -3138 +4881 6988 +2852 7943 +-1257 -4085 +0 -1024 +634 2253 +2381 -121 +1300 -1979 +1814 -2538 +956 -151 +2484 872 +2361 606 +-124 8141 +-740 3894 +-1026 -482 +-83 -1786 +-2200 -2924 +1719 3255 +-815 -2278 +-5036 955 +-3072 0 +-2114 1111 +299 -3917 +5341 -1010 +1508 1219 +-3267 -2887 +-1459 1773 +-2877 410 +-2048 -1448 +-2731 -906 +-604 -247 +-2412 -653 +1168 4678 +4827 4174 +1915 -5137 +1227 3282 +-1024 0 +1227 -3282 +1915 5137 +4827 -4174 +1168 -4678 +-2412 653 +-604 247 +-2731 906 +-2048 1448 +-2877 -410 +-1459 -1773 +-3267 2887 +1508 -1219 +5341 1010 +299 3917 +-2114 -1111 +-3072 0 +1179 -5039 +965 1073 +1859 6114 +-60 1678 +1372 4162 +5399 423 +350 2143 +-2048 1448 +-2072 563 +760 6539 +-3500 -968 +-2616 -1782 +1574 3826 +917 -1803 +1244 -4313 +-1024 0 +1244 4313 +917 1803 +1574 -3826 +-2616 1782 +-3500 968 +760 -6539 +-2072 -563 +-2048 -1448 +350 -2143 +5399 -423 +1372 -4162 +-60 -1678 +1859 -6114 +965 -1073 +1179 5039 +-3072 0 +-2114 1111 +299 -3917 +5341 -1010 +1508 1219 +-3267 -2887 +-1459 1773 +-2877 410 +-2048 -1448 +-2731 -906 +-604 -247 +-2412 -653 +1168 4678 +4827 4174 +1915 -5137 +1227 3282 +1024 -1024 +-369 -2738 +108 -3703 +-358 -3684 +-2165 -1984 +513 -1186 +-520 2049 +-2093 5437 +300 -3196 +2626 -4265 +2479 606 +-2762 1199 +5070 -1586 +306 -3302 +-5186 2123 +-199 -193 +-4096 0 +-199 193 +-5186 -2123 +306 3302 +5070 1586 +-2762 -1199 +2479 -606 +2626 4265 +300 3196 +-2093 -5437 +-520 -2049 +513 1186 +-2165 1984 +-358 3684 +108 3703 +-369 2738 +1024 1024 +-847 -2034 +-669 -4841 +5223 2405 +-732 2584 +2044 2669 +6432 4058 +702 -5116 +1748 1148 +332 4275 +298 206 +-3580 2812 +-2174 -1910 +-1387 -3641 +-2943 420 +-152 3301 +-2048 0 +-152 -3301 +-2943 -420 +-1387 3641 +-2174 1910 +-3580 -2812 +298 -206 +332 -4275 +1748 -1148 +702 5116 +6432 -4058 +2044 -2669 +-732 -2584 +5223 -2405 +-669 4841 +-847 2034 +1024 -1024 +-369 -2738 +108 -3703 +-358 -3684 +-2165 -1984 +513 -1186 +-520 2049 +-2093 5437 +300 -3196 +2626 -4265 +2479 606 +-2762 1199 +5070 -1586 +306 -3302 +-5186 2123 +-199 -193 +3072 0 +2122 3316 +-2033 1444 +1678 2913 +-3725 -554 +-2246 -8823 +1833 -3991 +-1519 4471 +1448 1448 +-168 -4054 +1878 -1721 +1109 -907 +4184 -1338 +1268 -2279 +730 -4006 +2526 505 +-5120 0 +2526 -505 +730 4006 +1268 2279 +4184 1338 +1109 907 +1878 1721 +-168 4054 +1448 -1448 +-1519 -4471 +1833 3991 +-2246 8823 +-3725 554 +1678 -2913 +-2033 -1444 +2122 -3316 +3072 0 +4104 -1148 +141 -2700 +-1381 541 +2276 554 +-2634 758 +-2617 -2321 +308 -813 +-1448 -1448 +-6190 -3952 +-1095 3600 +636 73 +-2735 1338 +1570 4772 +1162 -5442 +-1183 -1808 +-1024 0 +-1183 1808 +1162 5442 +1570 -4772 +-2735 -1338 +636 -73 +-1095 -3600 +-6190 3952 +-1448 1448 +308 813 +-2617 2321 +-2634 -758 +2276 -554 +-1381 -541 +141 2700 +4104 1148 +3072 0 +2122 3316 +-2033 1444 +1678 2913 +-3725 -554 +-2246 -8823 +1833 -3991 +-1519 4471 +1448 1448 +-168 -4054 +1878 -1721 +1109 -907 +4184 -1338 +1268 -2279 +730 -4006 +2526 505 +4096 -1024 +-2868 394 +3123 -377 +1243 2780 +946 2270 +2136 -3335 +-1051 1784 +-380 5526 +1324 4396 +-294 -1577 +-829 1125 +4017 3214 +-392 -2380 +-3182 2064 +1005 -1276 +3118 -564 +5120 0 +3118 564 +1005 1276 +-3182 -2064 +-392 2380 +4017 -3214 +-829 -1125 +-294 1577 +1324 -4396 +-380 -5526 +-1051 -1784 +2136 3335 +946 -2270 +1243 -2780 +3123 377 +-2868 -394 +4096 1024 +-117 4933 +-7846 3429 +3352 341 +-946 378 +-2791 -1125 +112 -152 +-763 356 +2772 5844 +-1460 2787 +-2327 -1190 +-466 -1642 +392 -3164 +1483 1295 +-377 -5560 +-3029 -4574 +-5120 0 +-3029 4574 +-377 5560 +1483 -1295 +392 3164 +-466 1642 +-2327 1190 +-1460 -2787 +2772 -5844 +-763 -356 +112 152 +-2791 1125 +-946 -378 +3352 -341 +-7846 -3429 +-117 -4933 +4096 -1024 +-2868 394 +3123 -377 +1243 2780 +946 2270 +2136 -3335 +-1051 1784 +-380 5526 +1324 4396 +-294 -1577 +-829 1125 +4017 3214 +-392 -2380 +-3182 2064 +1005 -1276 +3118 -564 +-2048 -2048 +-3333 -785 +382 -751 +-1960 -8346 +-580 -2902 +2490 -128 +3506 2500 +-1205 3675 +0 -1024 +835 3332 +389 742 +-1392 -1581 +-360 2458 +4261 1387 +1355 2528 +2429 1051 +6144 0 +2429 -1051 +1355 -2528 +4261 -1387 +-360 -2458 +-1392 1581 +389 -742 +835 -3332 +0 1024 +-1205 -3675 +3506 -2500 +2490 128 +-580 2902 +-1960 8346 +382 751 +-3333 785 +-2048 2048 +5153 -3468 +-2458 307 +-3243 -60 +-4364 -1794 +-606 -1676 +1098 -269 +-3401 2981 +0 -1024 +-13 -901 +1998 -1407 +-2059 -2231 +1208 5134 +2510 -217 +1920 -5868 +-465 2057 +-10240 0 +-465 -2057 +1920 5868 +2510 217 +1208 -5134 +-2059 2231 +1998 1407 +-13 901 +0 1024 +-3401 -2981 +1098 269 +-606 1676 +-4364 1794 +-3243 60 +-2458 -307 +5153 3468 +-2048 -2048 +-3333 -785 +382 -751 +-1960 -8346 +-580 -2902 +2490 -128 +3506 2500 +-1205 3675 +0 -1024 +835 3332 +389 742 +-1392 -1581 +-360 2458 +4261 1387 +1355 2528 +2429 1051 +3072 -3072 +4563 1158 +-4610 464 +-4699 983 +1886 -802 +-1992 1656 +750 2036 +-1155 -237 +-3196 -2348 +3160 -4607 +-1760 848 +-152 1642 +4332 692 +-3298 2169 +-3037 1053 +-2274 -2194 +-6144 0 +-2274 2194 +-3037 -1053 +-3298 -2169 +4332 -692 +-152 -1642 +-1760 -848 +3160 4607 +-3196 2348 +-1155 237 +750 -2036 +-1992 -1656 +1886 802 +-4699 -983 +-4610 -464 +4563 -1158 +3072 3072 +-1296 -685 +-2241 -501 +3770 1469 +2210 -2694 +457 2084 +8007 1565 +3757 766 +1148 -3796 +918 672 +-2404 1553 +358 -4583 +-236 -92 +-237 -604 +-2897 5903 +-1882 3996 +4096 0 +-1882 -3996 +-2897 -5903 +-237 604 +-236 92 +358 4583 +-2404 -1553 +918 -672 +1148 3796 +3757 -766 +8007 -1565 +457 -2084 +2210 2694 +3770 -1469 +-2241 501 +-1296 685 +3072 -3072 +4563 1158 +-4610 464 +-4699 983 +1886 -802 +-1992 1656 +750 2036 +-1155 -237 +-3196 -2348 +3160 -4607 +-1760 848 +-152 1642 +4332 692 +-3298 2169 +-3037 1053 +-2274 -2194 +-3072 2048 +1684 -511 +1309 81 +1829 5179 +2856 -4918 +-231 -3735 +-1109 3196 +-1209 -925 +2048 848 +-145 -2520 +-1154 2403 +-1913 3672 +-2376 -2546 +-5242 -3705 +-1454 -7742 +1124 1698 +-5120 0 +1124 -1698 +-1454 7742 +-5242 3705 +-2376 2546 +-1913 -3672 +-1154 -2403 +-145 2520 +2048 -848 +-1209 925 +-1109 -3196 +-231 3735 +2856 4918 +1829 -5179 +1309 -81 +1684 511 +-3072 -2048 +989 -1981 +-865 -2586 +318 -899 +640 -26 +-1972 1022 +3341 3124 +3840 -367 +2048 -4944 +1977 3812 +1819 5116 +-2565 -960 +2976 1698 +3983 -575 +-1886 -1755 +-2468 794 +-5120 0 +-2468 -794 +-1886 1755 +3983 575 +2976 -1698 +-2565 960 +1819 -5116 +1977 -3812 +2048 4944 +3840 367 +3341 -3124 +-1972 -1022 +640 26 +318 899 +-865 2586 +989 1981 +-3072 2048 +1684 -511 +1309 81 +1829 5179 +2856 -4918 +-231 -3735 +-1109 3196 +-1209 -925 +2048 848 +-145 -2520 +-1154 2403 +-1913 3672 +-2376 -2546 +-5242 -3705 +-1454 -7742 +1124 1698 +3072 0 +1566 307 +-6598 1886 +3573 202 +2930 1364 +2313 -7312 +1105 -2714 +-3857 1480 +0 -2048 +1533 1033 +2051 1032 +-2289 1516 +-1978 -2252 +1258 -4361 +-3988 -1916 +-4017 2071 +-1024 0 +-4017 -2071 +-3988 1916 +1258 4361 +-1978 2252 +-2289 -1516 +2051 -1032 +1533 -1033 +0 2048 +-3857 -1480 +1105 2714 +2313 7312 +2930 -1364 +3573 -202 +-6598 -1886 +1566 -307 +3072 0 +-3942 484 +1929 43 +-2437 -3048 +-3530 3581 +-786 -1049 +-1328 -1670 +-548 -1232 +0 -2048 +3760 -417 +6861 -4217 +5227 899 +-1519 3100 +-1065 -1693 +-32 -3148 +-288 1486 +3072 0 +-288 -1486 +-32 3148 +-1065 1693 +-1519 -3100 +5227 -899 +6861 4217 +3760 417 +0 2048 +-548 1232 +-1328 1670 +-786 1049 +-3530 -3581 +-2437 3048 +1929 -43 +-3942 -484 +3072 0 +1566 307 +-6598 1886 +3573 202 +2930 1364 +2313 -7312 +1105 -2714 +-3857 1480 +0 -2048 +1533 1033 +2051 1032 +-2289 1516 +-1978 -2252 +1258 -4361 +-3988 -1916 +-4017 2071 +1024 -1024 +-214 4333 +4600 -2478 +1235 3466 +765 -1526 +-2139 -3786 +-3287 777 +880 1266 +-2772 2172 +-2150 213 +-323 1543 +-1368 -2254 +3092 -32 +1495 2580 +-483 -697 +952 2475 +0 0 +952 -2475 +-483 697 +1495 -2580 +3092 32 +-1368 2254 +-323 -1543 +-2150 -213 +-2772 -2172 +880 -1266 +-3287 -777 +-2139 3786 +765 1526 +1235 -3466 +4600 2478 +-214 -4333 +1024 1024 +5906 4379 +-321 -1646 +-1471 -1472 +-4262 -3418 +-6956 -1210 +1995 -3334 +3004 1866 +-1324 -2172 +274 -6217 +2815 -1204 +3102 -3391 +-3692 -816 +-5484 -1234 +3195 -531 +2933 -2898 +-2048 0 +2933 2898 +3195 531 +-5484 1234 +-3692 816 +3102 3391 +2815 1204 +274 6217 +-1324 2172 +3004 -1866 +1995 3334 +-6956 1210 +-4262 3418 +-1471 1472 +-321 1646 +5906 -4379 +1024 -1024 +-214 4333 +4600 -2478 +1235 3466 +765 -1526 +-2139 -3786 +-3287 777 +880 1266 +-2772 2172 +-2150 213 +-323 1543 +-1368 -2254 +3092 -32 +1495 2580 +-483 -697 +952 2475 +-2048 1024 +-2156 5676 +2499 4104 +-1151 -6611 +1860 -692 +-768 350 +1249 1348 +4515 -867 +724 -1748 +1193 3454 +-3718 -2229 +487 -2132 +2634 2694 +-5256 1288 +-1117 -1848 +1685 1887 +-1024 0 +1685 -1887 +-1117 1848 +-5256 -1288 +2634 -2694 +487 2132 +-3718 2229 +1193 -3454 +724 1748 +4515 867 +1249 -1348 +-768 -350 +1860 692 +-1151 6611 +2499 -4104 +-2156 -5676 +-2048 -1024 +2851 1456 +58 3501 +957 -997 +-2708 92 +-890 -6892 +-21 -8083 +-3545 -3575 +-724 -300 +-834 -1553 +-406 -3306 +2059 3526 +2310 802 +-1231 1439 +1457 2461 +2084 -2396 +-3072 0 +2084 2396 +1457 -2461 +-1231 -1439 +2310 -802 +2059 -3526 +-406 3306 +-834 1553 +-724 300 +-3545 3575 +-21 8083 +-890 6892 +-2708 -92 +957 997 +58 -3501 +2851 -1456 +-2048 1024 +-2156 5676 +2499 4104 +-1151 -6611 +1860 -692 +-768 350 +1249 1348 +4515 -867 +724 -1748 +1193 3454 +-3718 -2229 +487 -2132 +2634 2694 +-5256 1288 +-1117 -1848 +1685 1887 +3072 -5120 +554 -2340 +322 -1105 +-1471 5409 +-3828 -2765 +-4948 -119 +2598 2828 +1783 -3541 +-3620 -124 +-402 451 +-756 -4568 +802 -2946 +1070 -1574 +2918 -2897 +3253 2330 +-404 -1374 +0 0 +-404 1374 +3253 -2330 +2918 2897 +1070 1574 +802 2946 +-756 4568 +-402 -451 +-3620 124 +1783 3541 +2598 -2828 +-4948 119 +-3828 2765 +-1471 -5409 +322 1105 +554 2340 +3072 5120 +1297 1809 +55 -3618 +1734 2426 +-4612 -1331 +-4025 608 +-3122 -1889 +-972 -319 +3620 4220 +271 802 +-417 1412 +-77 980 +-822 5670 +-726 2485 +6259 -2958 +3666 163 +-6144 0 +3666 -163 +6259 2958 +-726 -2485 +-822 -5670 +-77 -980 +-417 -1412 +271 -802 +3620 -4220 +-972 319 +-3122 1889 +-4025 -608 +-4612 1331 +1734 -2426 +55 3618 +1297 -1809 +3072 -5120 +554 -2340 +322 -1105 +-1471 5409 +-3828 -2765 +-4948 -119 +2598 2828 +1783 -3541 +-3620 -124 +-402 451 +-756 -4568 +802 -2946 +1070 -1574 +2918 -2897 +3253 2330 +-404 -1374 +-1024 0 +1716 1147 +-1636 -32 +-801 -3248 +-2376 -758 +-529 2973 +516 -610 +-663 4598 +0 5544 +1704 2309 +5382 -1808 +10 -3587 +640 195 +4307 657 +-245 3571 +-493 -4304 +1024 0 +-493 4304 +-245 -3571 +4307 -657 +640 -195 +10 3587 +5382 1808 +1704 -2309 +0 -5544 +-663 -4598 +516 610 +-529 -2973 +-2376 758 +-801 3248 +-1636 32 +1716 -1147 +-1024 0 +-457 -501 +971 -1468 +-1830 773 +2976 5702 +-2144 3718 +-960 -5930 +2865 -702 +0 2648 +2774 1219 +-2041 -138 +1334 -498 +2856 654 +-6140 76 +-1987 7715 +-1654 2182 +-7168 0 +-1654 -2182 +-1987 -7715 +-6140 -76 +2856 -654 +1334 498 +-2041 138 +2774 -1219 +0 -2648 +2865 702 +-960 5930 +-2144 -3718 +2976 -5702 +-1830 -773 +971 1468 +-457 501 +-1024 0 +1716 1147 +-1636 -32 +-801 -3248 +-2376 -758 +-529 2973 +516 -610 +-663 4598 +0 5544 +1704 2309 +5382 -1808 +10 -3587 +640 195 +4307 657 +-245 3571 +-493 -4304 +-2048 0 +-1496 7127 +113 3685 +-1218 -2073 +2217 3666 +1921 996 +-743 99 +1635 -1417 +0 -424 +-2941 639 +1308 133 +3679 2529 +-5352 -1214 +-6499 753 +-1722 1969 +-187 2463 +2048 0 +-187 -2463 +-1722 -1969 +-6499 -753 +-5352 1214 +3679 -2529 +1308 -133 +-2941 -639 +0 424 +1635 1417 +-743 -99 +1921 -996 +2217 -3666 +-1218 2073 +113 -3685 +-1496 -7127 +-2048 0 +961 1363 +4130 1104 +-1029 4365 +-2217 4774 +2169 1396 +-4149 2014 +-56 -3621 +0 2472 +-1773 4212 +3584 -3813 +-200 1559 +5352 1462 +1177 -158 +-2521 -2974 +3857 -3861 +2048 0 +3857 3861 +-2521 2974 +1177 158 +5352 -1462 +-200 -1559 +3584 3813 +-1773 -4212 +0 -2472 +-56 3621 +-4149 -2014 +2169 -1396 +-2217 -4774 +-1029 -4365 +4130 -1104 +961 -1363 +-2048 0 +-1496 7127 +113 3685 +-1218 -2073 +2217 3666 +1921 996 +-743 99 +1635 -1417 +0 -424 +-2941 639 +1308 133 +3679 2529 +-5352 -1214 +-6499 753 +-1722 1969 +-187 2463 +-2048 -3072 +4543 2851 +1924 288 +1376 -2829 +-717 1116 +-3257 -634 +5869 -753 +-406 -1326 +-3021 300 +-5 -2780 +-2346 -764 +-1727 4382 +3622 -222 +2727 3355 +818 3301 +1589 -3819 +-1024 0 +1589 3819 +818 -3301 +2727 -3355 +3622 222 +-1727 -4382 +-2346 764 +-5 2780 +-3021 -300 +-406 1326 +5869 753 +-3257 634 +-717 -1116 +1376 2829 +1924 -288 +4543 -2851 +-2048 3072 +4710 -2268 +293 -184 +-3440 1417 +717 332 +-1083 1276 +-517 -5603 +1150 -2055 +7117 1748 +2837 1279 +-3005 201 +-5076 -2484 +-3622 1670 +-1104 4681 +-3034 2596 +-2833 -1911 +-3072 0 +-2833 1911 +-3034 -2596 +-1104 -4681 +-3622 -1670 +-5076 2484 +-3005 -201 +2837 -1279 +7117 -1748 +1150 2055 +-517 5603 +-1083 -1276 +717 -332 +-3440 -1417 +293 184 +4710 2268 +-2048 -3072 +4543 2851 +1924 288 +1376 -2829 +-717 1116 +-3257 -634 +5869 -753 +-406 -1326 +-3021 300 +-5 -2780 +-2346 -764 +-1727 4382 +3622 -222 +2727 3355 +818 3301 +1589 -3819 +2048 -7168 +237 -4485 +354 305 +3253 2712 +3576 2564 +3150 2569 +769 -946 +655 -3055 +2772 -3796 +699 205 +-2189 1090 +-5354 -3873 +-4118 1226 +1051 -1946 +-1559 -3524 +-1309 4109 +3072 0 +-1309 -4109 +-1559 3524 +1051 1946 +-4118 -1226 +-5354 3873 +-2189 -1090 +699 -205 +2772 3796 +655 3055 +769 946 +3150 -2569 +3576 -2564 +3253 -2712 +354 -305 +237 4485 +2048 7168 +868 -917 +754 -2197 +-3426 2679 +-4776 1780 +3402 335 +1907 1729 +-3135 4589 +1324 -2348 +1781 1667 +-486 -307 +-1198 170 +-2875 3118 +-878 -7462 +450 1631 +204 -982 +1024 0 +204 982 +450 -1631 +-878 7462 +-2875 -3118 +-1198 -170 +-486 307 +1781 -1667 +1324 2348 +-3135 -4589 +1907 -1729 +3402 -335 +-4776 -1780 +-3426 -2679 +754 2197 +868 917 +2048 -7168 +237 -4485 +354 305 +3253 2712 +3576 2564 +3150 2569 +769 -946 +655 -3055 +2772 -3796 +699 205 +-2189 1090 +-5354 -3873 +-4118 1226 +1051 -1946 +-1559 -3524 +-1309 4109 +0 -5120 +-2976 51 +270 121 +-1022 1710 +-776 -972 +2027 567 +-442 1912 +2483 -6844 +-300 724 +-6482 18 +-5989 -5190 +1883 542 +1006 1306 +160 1121 +-787 -2043 +-1971 -809 +3072 0 +-1971 809 +-787 2043 +160 -1121 +1006 -1306 +1883 -542 +-5989 5190 +-6482 -18 +-300 -724 +2483 6844 +-442 -1912 +2027 -567 +-776 972 +-1022 -1710 +270 -121 +-2976 -51 +0 5120 +-3147 843 +-2502 -1348 +-883 -2103 +2224 -3972 +3731 961 +3782 -1573 +6182 -808 +-1748 -724 +-1974 211 +5545 2633 +5071 -4677 +-2454 -2154 +619 1014 +123 -2081 +-3699 1392 +1024 0 +-3699 -1392 +123 2081 +619 -1014 +-2454 2154 +5071 4677 +5545 -2633 +-1974 -211 +-1748 724 +6182 808 +3782 1573 +3731 -961 +2224 3972 +-883 2103 +-2502 1348 +-3147 -843 +0 -5120 +-2976 51 +270 121 +-1022 1710 +-776 -972 +2027 567 +-442 1912 +2483 -6844 +-300 724 +-6482 18 +-5989 -5190 +1883 542 +1006 1306 +160 1121 +-787 -2043 +-1971 -809 +-1024 -6144 +1396 1337 +4211 3327 +-96 2707 +2132 -326 +2430 -4150 +3808 -2305 +5176 2677 +-1024 -1024 +-682 -1148 +-3314 -1573 +-4663 -1212 +-1652 3446 +-2360 -4469 +167 -3771 +851 -419 +-1024 0 +851 419 +167 3771 +-2360 4469 +-1652 -3446 +-4663 1212 +-3314 1573 +-682 1148 +-1024 1024 +5176 -2677 +3808 2305 +2430 4150 +2132 326 +-96 -2707 +4211 -3327 +1396 -1337 +-1024 6144 +-1744 1028 +-427 2401 +2288 7076 +-84 -5218 +-426 -717 +-2240 5046 +955 2166 +-1024 -1024 +-2553 1656 +1747 2617 +-238 -4231 +3700 -798 +-2728 -309 +-3951 -390 +2394 849 +-1024 0 +2394 -849 +-3951 390 +-2728 309 +3700 798 +-238 4231 +1747 -2617 +-2553 -1656 +-1024 1024 +955 -2166 +-2240 -5046 +-426 717 +-84 5218 +2288 -7076 +-427 -2401 +-1744 -1028 +-1024 -6144 +1396 1337 +4211 3327 +-96 2707 +2132 -326 +2430 -4150 +3808 -2305 +5176 2677 +-1024 -1024 +-682 -1148 +-3314 -1573 +-4663 -1212 +-1652 3446 +-2360 -4469 +167 -3771 +851 -419 +5120 -1024 +7179 2506 +-142 2818 +-572 -1673 +692 4092 +-3575 -4119 +-1344 -1880 +-1950 1651 +-2772 2772 +4377 -1875 +1693 -5270 +-1754 2737 +2694 -3078 +1637 -1166 +2699 1958 +4194 -1481 +2048 0 +4194 1481 +2699 -1958 +1637 1166 +2694 3078 +-1754 -2737 +1693 5270 +4377 1875 +-2772 -2772 +-1950 -1651 +-1344 1880 +-3575 4119 +692 -4092 +-572 1673 +-142 -2818 +7179 -2506 +5120 1024 +-2297 -2419 +-6355 3523 +-4781 -3930 +-92 -2044 +-1549 2965 +-2716 -915 +-592 -1131 +-1324 1324 +173 3962 +1167 -421 +-483 -107 +802 1030 +-509 -654 +-3195 1487 +501 3135 +4096 0 +501 -3135 +-3195 -1487 +-509 654 +802 -1030 +-483 107 +1167 421 +173 -3962 +-1324 -1324 +-592 1131 +-2716 915 +-1549 -2965 +-92 2044 +-4781 3930 +-6355 -3523 +-2297 2419 +5120 -1024 +7179 2506 +-142 2818 +-572 -1673 +692 4092 +-3575 -4119 +-1344 -1880 +-1950 1651 +-2772 2772 +4377 -1875 +1693 -5270 +-1754 2737 +2694 -3078 +1637 -1166 +2699 1958 +4194 -1481 +0 -2048 +-3408 -169 +-2794 3995 +-2460 486 +854 5012 +3636 2051 +-1439 -1282 +1582 -6570 +2472 -600 +987 8 +2410 -2829 +-2102 2682 +410 -989 +1445 -1974 +-3904 -3829 +-1384 1817 +2048 0 +-1384 -1817 +-3904 3829 +1445 1974 +410 989 +-2102 -2682 +2410 2829 +987 -8 +2472 600 +1582 6570 +-1439 1282 +3636 -2051 +854 -5012 +-2460 -486 +-2794 -3995 +-3408 169 +0 2048 +-706 -4303 +-259 2997 +-555 -5235 +-254 -7908 +4760 293 +3071 82 +-207 -789 +-424 -3496 +-146 -2016 +-2345 4029 +-942 1878 +3086 -1907 +-3782 -558 +-2932 -3163 +3282 -938 +2048 0 +3282 938 +-2932 3163 +-3782 558 +3086 1907 +-942 -1878 +-2345 -4029 +-146 2016 +-424 3496 +-207 789 +3071 -82 +4760 -293 +-254 7908 +-555 5235 +-259 -2997 +-706 4303 +0 -2048 +-3408 -169 +-2794 3995 +-2460 486 +854 5012 +3636 2051 +-1439 -1282 +1582 -6570 +2472 -600 +987 8 +2410 -2829 +-2102 2682 +410 -989 +1445 -1974 +-3904 -3829 +-1384 1817 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs0_gi0_aggr0_len4000_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs0_gi0_aggr0_len4000_pre100_post200_openwifi.txt new file mode 100644 index 0000000..8b6cd83 --- /dev/null +++ b/testing_inputs/simulated/ht_mcs0_gi0_aggr0_len4000_pre100_post200_openwifi.txt @@ -0,0 +1,99580 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-3072 -3072 +3666 -4830 +3331 1094 +-3921 1609 +-7100 -2838 +-3969 171 +3788 2520 +2420 -1663 +1448 -2172 +-1011 1749 +-2789 2726 +605 117 +-2517 -1176 +-90 1362 +1303 2621 +1117 571 +5120 0 +1117 -571 +1303 -2621 +-90 -1362 +-2517 1176 +605 -117 +-2789 -2726 +-1011 -1749 +1448 2172 +2420 1663 +3788 -2520 +-3969 -171 +-7100 2838 +-3921 -1609 +3331 -1094 +3666 4830 +-3072 3072 +2455 -20 +490 1361 +-2137 1732 +3604 2838 +-347 1694 +1380 -3200 +2266 -1445 +-1448 2172 +549 494 +-1179 2387 +1703 3965 +1917 1176 +-3428 4196 +1868 5627 +122 -69 +-7168 0 +122 69 +1868 -5627 +-3428 -4196 +1917 -1176 +1703 -3965 +-1179 -2387 +549 -494 +-1448 -2172 +2266 1445 +1380 3200 +-347 -1694 +3604 -2838 +-2137 -1732 +490 -1361 +2455 20 +-3072 -3072 +3666 -4830 +3331 1094 +-3921 1609 +-7100 -2838 +-3969 171 +3788 2520 +2420 -1663 +1448 -2172 +-1011 1749 +-2789 2726 +605 117 +-2517 -1176 +-90 1362 +1303 2621 +1117 571 +0 1024 +-1588 1118 +-6143 -1300 +-1195 -711 +1212 -14 +-609 -1712 +-2372 4146 +147 3527 +2772 -2472 +-1991 2625 +-425 -257 +100 -1085 +-2330 4110 +829 -2602 +2807 1122 +4922 -695 +1024 -13312 +-3339 -2501 +-2407 2825 +-1795 -2402 +438 2218 +-2060 498 +-713 143 +2191 665 +-1324 -1024 +1891 4122 +4075 2137 +1203 -413 +-1996 770 +-612 -2749 +4135 -162 +289 2083 +0 -1024 +1816 -1001 +1679 177 +7260 1630 +5780 2662 +-640 3634 +-4309 1870 +-1505 -1235 +1324 424 +-3463 -1133 +-463 -2863 +2747 731 +1130 1434 +1140 116 +-1478 -2895 +-598 -3206 +-1024 1024 +-985 -1400 +1078 -4598 +-175 -85 +762 3326 +-787 -852 +1601 -3263 +3262 827 +-2772 -1024 +-533 -1830 +2606 3879 +46 2335 +-4996 1878 +-5454 3668 +329 -961 +-516 -1967 +0 1024 +-1588 1118 +-6143 -1300 +-1195 -711 +1212 -14 +-609 -1712 +-2372 4146 +147 3527 +2772 -2472 +-1991 2625 +-425 -257 +100 -1085 +-2330 4110 +829 -2602 +2807 1122 +4922 -695 +-4096 0 +864 -1769 +-4503 -1809 +-3368 295 +2740 -3146 +3271 2611 +123 7412 +770 1035 +1748 -1872 +-715 -2453 +-194 410 +-5240 274 +-6634 -1578 +-1313 4020 +-202 340 +3789 -1524 +1024 2048 +-2206 -3330 +602 2043 +348 4221 +4742 -3470 +3280 1857 +-943 810 +916 -4413 +-300 -424 +1268 1630 +1580 5403 +-2676 3910 +-3524 -2362 +1562 -1743 +2494 -671 +-2164 -804 +4096 -2048 +5674 -1115 +-885 -867 +367 -4167 +1956 1098 +4793 507 +1261 -6304 +-3088 1661 +300 3920 +-1970 -3139 +378 -1519 +2527 -496 +-958 -470 +1520 2749 +1806 2335 +-17 1512 +-1024 4096 +-1566 3318 +-2206 633 +-555 2548 +2850 1422 +-567 -2079 +759 -1918 +1770 -1179 +-1748 2472 +1050 1066 +-2964 -4295 +-5387 -792 +-1172 314 +1439 -2129 +2894 -2004 +-4375 -2080 +-4096 0 +864 -1769 +-4503 -1809 +-3368 295 +2740 -3146 +3271 2611 +123 7412 +770 1035 +1748 -1872 +-715 -2453 +-194 410 +-5240 274 +-6634 -1578 +-1313 4020 +-202 340 +3789 -1524 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-1024 2048 +3136 -553 +-1218 -815 +-4213 -924 +4424 -4150 +1514 3733 +3088 5122 +2404 -1740 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +1024 0 +3382 542 +-3943 2212 +-6230 -1606 +1408 -1358 +-1644 -877 +-1836 -1788 +1107 -3313 +-848 -2048 +2404 1740 +3088 -5122 +1514 -3733 +4424 4150 +-4213 924 +-1218 815 +3136 553 +-1024 -2048 +1815 -1033 +-606 1155 +1998 -395 +-928 -3691 +-77 197 +-1116 -998 +-3603 -1690 +4944 2048 +4318 -2958 +4457 -560 +-1801 1486 +-808 -5102 +-1133 -4492 +-7018 -344 +-973 2739 +1024 0 +-973 -2739 +-7018 344 +-1133 4492 +-808 5102 +-1801 -1486 +4457 560 +4318 2958 +4944 -2048 +-3603 1690 +-1116 998 +-77 -197 +-928 3691 +1998 395 +-606 -1155 +1815 1033 +-1024 2048 +3136 -553 +-1218 -815 +-4213 -924 +4424 -4150 +1514 3733 +3088 5122 +2404 -1740 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +3072 -3072 +-1854 1661 +2322 -102 +4963 -546 +946 138 +-4351 -228 +-50 -2407 +453 -1226 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-6144 0 +-4000 -1764 +5585 5146 +111 2775 +-392 4032 +2935 2133 +-4494 -2603 +-19 826 +-2348 300 +453 1226 +-50 2407 +-4351 228 +946 -138 +4963 546 +2322 102 +-1854 -1661 +3072 3072 +4072 3632 +4071 -2298 +-1190 -980 +-946 462 +1733 421 +-2246 2370 +1856 3601 +-3796 -1748 +-3619 -3479 +2198 4353 +-1205 998 +392 536 +2797 5712 +807 1546 +-2682 2641 +-4096 0 +-2682 -2641 +807 -1546 +2797 -5712 +392 -536 +-1205 -998 +2198 -4353 +-3619 3479 +-3796 1748 +1856 -3601 +-2246 -2370 +1733 -421 +-946 -462 +-1190 980 +4071 2298 +4072 -3632 +3072 -3072 +-1854 1661 +2322 -102 +4963 -546 +946 138 +-4351 -228 +-50 -2407 +453 -1226 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-2048 -2048 +-265 915 +1843 -1679 +352 -1945 +-3759 -230 +1646 1323 +4524 1613 +1035 724 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +6144 0 +-719 -3896 +23 -3904 +-1415 -977 +-4749 -3230 +5849 -1688 +6408 2700 +8 -2294 +-1624 -4096 +1035 -724 +4524 -1613 +1646 -1323 +-3759 230 +352 1945 +1843 1679 +-265 -915 +-2048 2048 +-1078 2058 +-799 -2417 +-3189 -229 +3160 230 +-3391 -891 +1204 2483 +5323 3726 +-4520 4096 +1203 2155 +-2247 -1396 +-970 -1256 +1252 -3230 +1118 -3151 +-2763 192 +-5506 -923 +2048 0 +-5506 923 +-2763 -192 +1118 3151 +1252 3230 +-970 1256 +-2247 1396 +1203 -2155 +-4520 -4096 +5323 -3726 +1204 -2483 +-3391 891 +3160 -230 +-3189 229 +-799 2417 +-1078 -2058 +-2048 -2048 +-265 915 +1843 -1679 +352 -1945 +-3759 -230 +1646 1323 +4524 1613 +1035 724 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +3072 4096 +2266 682 +-1640 1731 +1592 718 +-268 -2726 +-6289 1328 +-3648 2169 +-2224 -2762 +-1624 -424 +-171 420 +-502 101 +2504 1155 +5304 -3510 +2856 -555 +-1946 1553 +-1622 585 +1024 0 +-1622 -585 +-1946 -1553 +2856 555 +5304 3510 +2504 -1155 +-502 -101 +-171 -420 +-1624 424 +-2224 2762 +-3648 -2169 +-6289 -1328 +-268 2726 +1592 -718 +-1640 -1731 +2266 -682 +3072 -4096 +1683 3479 +-408 381 +-1324 -6329 +3516 -1618 +2004 -1023 +1600 -1165 +1681 -1778 +-4520 2472 +-1503 -7177 +-1546 -4889 +-3571 4502 +3736 -834 +2228 296 +-102 -5233 +-110 1359 +-3072 0 +-110 -1359 +-102 5233 +2228 -296 +3736 834 +-3571 -4502 +-1546 4889 +-1503 7177 +-4520 -2472 +1681 1778 +1600 1165 +2004 1023 +3516 1618 +-1324 6329 +-408 -381 +1683 -3479 +3072 4096 +2266 682 +-1640 1731 +1592 718 +-268 -2726 +-6289 1328 +-3648 2169 +-2224 -2762 +-1624 -424 +-171 420 +-502 101 +2504 1155 +5304 -3510 +2856 -555 +-1946 1553 +-1622 585 +1024 0 +2081 1149 +-335 2488 +-5006 -4962 +-5488 -2846 +677 -5415 +2770 -1318 +-2092 -819 +1024 -2472 +-2353 4021 +-6222 -357 +2492 -2764 +-1912 1722 +-1074 3128 +2132 -1785 +-1168 -1332 +1024 0 +-1168 1332 +2132 1785 +-1074 -3128 +-1912 -1722 +2492 2764 +-6222 357 +-2353 -4021 +1024 2472 +-2092 819 +2770 1318 +677 5415 +-5488 2846 +-5006 4962 +-335 -2488 +2081 -1149 +1024 0 +-1962 -1896 +2552 -1224 +2670 -4577 +-2353 1398 +4556 -271 +2582 -2622 +-923 -2848 +1024 424 +-663 2440 +870 513 +2741 3446 +5657 -3170 +-1262 -3899 +-4349 -1047 +1288 -3272 +1024 0 +1288 3272 +-4349 1047 +-1262 3899 +5657 3170 +2741 -3446 +870 -513 +-663 -2440 +1024 -424 +-923 2848 +2582 2622 +4556 271 +-2353 -1398 +2670 4577 +2552 1224 +-1962 1896 +1024 0 +2081 1149 +-335 2488 +-5006 -4962 +-5488 -2846 +677 -5415 +2770 -1318 +-2092 -819 +1024 -2472 +-2353 4021 +-6222 -357 +2492 -2764 +-1912 1722 +-1074 3128 +2132 -1785 +-1168 -1332 +1024 -1024 +246 -2903 +4104 -156 +-2478 -5202 +-1754 -2598 +889 5098 +-1852 -3204 +-1041 -3499 +-2772 1324 +-349 -1078 +-4435 2544 +3521 2530 +4816 -307 +-3810 -3208 +-347 -6071 +218 -417 +0 0 +218 417 +-347 6071 +-3810 3208 +4816 307 +3521 -2530 +-4435 -2544 +-349 1078 +-2772 -1324 +-1041 3499 +-1852 3204 +889 -5098 +-1754 2598 +-2478 5202 +4104 156 +246 2903 +1024 1024 +-1004 -924 +4809 -1920 +5274 -2021 +2354 4646 +3895 3938 +-2817 -1400 +2355 35 +-1324 2772 +-5429 2598 +415 -156 +-1624 -2054 +-1320 -1741 +126 1410 +124 2795 +-788 -825 +-2048 0 +-788 825 +124 -2795 +126 -1410 +-1320 1741 +-1624 2054 +415 156 +-5429 -2598 +-1324 -2772 +2355 -35 +-2817 1400 +3895 -3938 +2354 -4646 +5274 2021 +4809 1920 +-1004 924 +1024 -1024 +246 -2903 +4104 -156 +-2478 -5202 +-1754 -2598 +889 5098 +-1852 -3204 +-1041 -3499 +-2772 1324 +-349 -1078 +-4435 2544 +3521 2530 +4816 -307 +-3810 -3208 +-347 -6071 +218 -417 +2048 -1024 +5660 3139 +6573 -1673 +-3188 240 +-1006 3602 +5446 1402 +401 2198 +799 -2502 +1748 -2772 +-696 7 +1615 1261 +-3708 522 +-2224 2420 +-4957 5972 +-707 508 +3737 175 +-5120 0 +3737 -175 +-707 -508 +-4957 -5972 +-2224 -2420 +-3708 -522 +1615 -1261 +-696 -7 +1748 2772 +799 2502 +401 -2198 +5446 -1402 +-1006 -3602 +-3188 -240 +6573 1673 +5660 -3139 +2048 1024 +1401 1272 +-7237 -2451 +-1744 -3874 +2454 143 +-493 292 +-845 -4754 +-1833 -2432 +300 -1324 +604 723 +1725 -921 +-1037 859 +776 5421 +-1904 -1726 +-1525 -1735 +1914 1707 +-3072 0 +1914 -1707 +-1525 1735 +-1904 1726 +776 -5421 +-1037 -859 +1725 921 +604 -723 +300 1324 +-1833 2432 +-845 4754 +-493 -292 +2454 -143 +-1744 3874 +-7237 2451 +1401 -1272 +2048 -1024 +5660 3139 +6573 -1673 +-3188 240 +-1006 3602 +5446 1402 +401 2198 +799 -2502 +1748 -2772 +-696 7 +1615 1261 +-3708 522 +-2224 2420 +-4957 5972 +-707 508 +3737 175 +6144 4096 +1448 -670 +1425 -478 +1004 -1567 +-2832 1722 +-317 3140 +-2070 294 +-181 275 +2048 -2472 +-3676 2955 +-2584 -1498 +4778 -4034 +-3940 1398 +-12 -3589 +6377 -3668 +-1538 -5335 +-2048 0 +-1538 5335 +6377 3668 +-12 3589 +-3940 -1398 +4778 4034 +-2584 1498 +-3676 -2955 +2048 2472 +-181 -275 +-2070 -294 +-317 -3140 +-2832 -1722 +1004 1567 +1425 478 +1448 670 +6144 -4096 +-1448 43 +-984 -305 +-1004 -5636 +-1264 -3170 +317 -3594 +-1505 -2186 +181 -925 +2048 424 +3676 490 +-5425 -394 +-4778 -516 +-156 -2846 +12 482 +4768 2884 +1538 611 +-2048 0 +1538 -611 +4768 -2884 +12 -482 +-156 2846 +-4778 516 +-5425 394 +3676 -490 +2048 -424 +181 925 +-1505 2186 +317 3594 +-1264 3170 +-1004 5636 +-984 305 +-1448 -43 +6144 4096 +1448 -670 +1425 -478 +1004 -1567 +-2832 1722 +-317 3140 +-2070 294 +-181 275 +2048 -2472 +-3676 2955 +-2584 -1498 +4778 -4034 +-3940 1398 +-12 -3589 +6377 -3668 +-1538 -5335 +0 -2048 +-2286 2842 +451 1928 +3026 -1024 +1997 1143 +2082 4643 +3416 1007 +1747 -1652 +-4520 2296 +1979 -1559 +-3377 696 +-3481 -60 +6667 -6256 +1503 -3401 +-90 -1529 +-1998 4137 +-6144 0 +-1998 -4137 +-90 1529 +1503 3401 +6667 6256 +-3481 60 +-3377 -696 +1979 1559 +-4520 -2296 +1747 1652 +3416 -1007 +2082 -4643 +1997 -1143 +3026 1024 +451 -1928 +-2286 -2842 +0 2048 +-4180 1599 +1322 -480 +-364 -1972 +-2246 -1992 +638 -1268 +-296 442 +1979 -2020 +-1624 -6392 +1214 415 +-2639 -2144 +-5240 -4445 +1774 1312 +1837 716 +1213 80 +1545 -5359 +2048 0 +1545 5359 +1213 -80 +1837 -716 +1774 -1312 +-5240 4445 +-2639 2144 +1214 -415 +-1624 6392 +1979 2020 +-296 -442 +638 1268 +-2246 1992 +-364 1972 +1322 480 +-4180 -1599 +0 -2048 +-2286 2842 +451 1928 +3026 -1024 +1997 1143 +2082 4643 +3416 1007 +1747 -1652 +-4520 2296 +1979 -1559 +-3377 696 +-3481 -60 +6667 -6256 +1503 -3401 +-90 -1529 +-1998 4137 +-3072 -2048 +-2904 -1942 +-596 -1017 +1894 -486 +1075 3544 +-2862 -2485 +-197 3186 +-306 2114 +-2896 1448 +-494 701 +5314 -4359 +3755 -1799 +-3595 699 +-689 1401 +-1162 -5566 +-673 300 +5120 0 +-673 -300 +-1162 5566 +-689 -1401 +-3595 -699 +3755 1799 +5314 4359 +-494 -701 +-2896 -1448 +-306 -2114 +-197 -3186 +-2862 2485 +1075 -3544 +1894 486 +-596 1017 +-2904 1942 +-3072 2048 +-271 -4181 +-2745 2058 +1517 -1851 +5318 -5592 +983 -5256 +861 -3107 +-83 1830 +2896 -1448 +1770 -2182 +-3082 -154 +2588 -958 +1298 1349 +-1394 -1153 +1606 -6178 +-2833 2137 +-7168 0 +-2833 -2137 +1606 6178 +-1394 1153 +1298 -1349 +2588 958 +-3082 154 +1770 2182 +2896 1448 +-83 -1830 +861 3107 +983 5256 +5318 5592 +1517 1851 +-2745 -2058 +-271 4181 +-3072 -2048 +-2904 -1942 +-596 -1017 +1894 -486 +1075 3544 +-2862 -2485 +-197 3186 +-306 2114 +-2896 1448 +-494 701 +5314 -4359 +3755 -1799 +-3595 699 +-689 1401 +-1162 -5566 +-673 300 +-4096 7168 +-58 1875 +-455 683 +-545 -5747 +2578 -3902 +-4390 -3619 +-941 -3072 +4534 975 +-124 -1748 +253 -328 +1329 1267 +-1532 -1013 +-3444 -672 +1468 2705 +6953 1143 +3116 404 +-1024 0 +3116 -404 +6953 -1143 +1468 -2705 +-3444 672 +-1532 1013 +1329 -1267 +253 328 +-124 1748 +4534 -975 +-941 3072 +-4390 3619 +2578 3902 +-545 5747 +-455 -683 +-58 -1875 +-4096 -7168 +2244 -1579 +2724 3257 +-1774 -1926 +-881 -442 +1638 -1291 +1201 240 +626 -2365 +4220 -300 +-2516 -823 +-3286 -3 +1387 776 +-6445 -3672 +-2046 86 +667 -1299 +-2406 5924 +1024 0 +-2406 -5924 +667 1299 +-2046 -86 +-6445 3672 +1387 -776 +-3286 3 +-2516 823 +4220 300 +626 2365 +1201 -240 +1638 1291 +-881 442 +-1774 1926 +2724 -3257 +2244 1579 +-4096 7168 +-58 1875 +-455 683 +-545 -5747 +2578 -3902 +-4390 -3619 +-941 -3072 +4534 975 +-124 -1748 +253 -328 +1329 1267 +-1532 -1013 +-3444 -672 +1468 2705 +6953 1143 +3116 404 +0 1024 +7224 1211 +3972 1336 +-6005 1839 +-1826 -418 +1705 -1306 +909 -2850 +65 649 +1748 724 +4132 -3029 +2356 57 +1155 -704 +-1716 2644 +-1494 1397 +1666 -2170 +-2726 2081 +-7168 0 +-2726 -2081 +1666 2170 +-1494 -1397 +-1716 -2644 +1155 704 +2356 -57 +4132 3029 +1748 -724 +65 -649 +909 2850 +1705 1306 +-1826 418 +-6005 -1839 +3972 -1336 +7224 -1211 +0 -1024 +-758 -3978 +-4156 372 +-5094 -2932 +-3718 -4527 +1203 4952 +4480 -3322 +-2882 -1564 +300 -724 +2230 -2350 +-752 763 +2177 -2330 +-932 -3492 +562 -3378 +-282 2679 +-1493 -3519 +3072 0 +-1493 3519 +-282 -2679 +562 3378 +-932 3492 +2177 2330 +-752 -763 +2230 2350 +300 724 +-2882 1564 +4480 3322 +1203 -4952 +-3718 4527 +-5094 2932 +-4156 -372 +-758 3978 +0 1024 +7224 1211 +3972 1336 +-6005 1839 +-1826 -418 +1705 -1306 +909 -2850 +65 649 +1748 724 +4132 -3029 +2356 57 +1155 -704 +-1716 2644 +-1494 1397 +1666 -2170 +-2726 2081 +-1024 5120 +1684 1210 +-3687 5441 +-2272 -432 +-1130 -4142 +3911 -669 +1725 3272 +-4779 667 +-1748 -1148 +-2640 3482 +2191 -954 +18 -2331 +1996 -1540 +86 -1377 +-2533 3948 +4739 77 +4096 0 +4739 -77 +-2533 -3948 +86 1377 +1996 1540 +18 2331 +2191 954 +-2640 -3482 +-1748 1148 +-4779 -667 +1725 -3272 +3911 669 +-1130 4142 +-2272 432 +-3687 -5441 +1684 -1210 +-1024 -5120 +-174 -4818 +190 2623 +555 -5953 +2330 -2250 +-1573 3239 +-2325 -3547 +-3645 1896 +-300 3196 +-968 -32 +-2791 1879 +1189 438 +4996 -756 +3878 -3679 +-963 -2877 +-10 2996 +2048 0 +-10 -2996 +-963 2877 +3878 3679 +4996 756 +1189 -438 +-2791 -1879 +-968 32 +-300 -3196 +-3645 -1896 +-2325 3547 +-1573 -3239 +2330 2250 +555 5953 +190 -2623 +-174 4818 +-1024 5120 +1684 1210 +-3687 5441 +-2272 -432 +-1130 -4142 +3911 -669 +1725 3272 +-4779 667 +-1748 -1148 +-2640 3482 +2191 -954 +18 -2331 +1996 -1540 +86 -1377 +-2533 3948 +4739 77 +2048 3072 +-1217 -343 +850 -400 +1095 -42 +-3438 -3274 +1344 1447 +4735 -553 +-264 567 +1324 2348 +4462 -874 +2322 -1486 +1932 -309 +-2321 268 +-136 -4675 +2852 -1659 +-2762 -913 +-5120 0 +-2762 913 +2852 1659 +-136 4675 +-2321 -268 +1932 309 +2322 1486 +4462 874 +1324 -2348 +-264 -567 +4735 553 +1344 -1447 +-3438 3274 +1095 42 +850 400 +-1217 343 +2048 -3072 +-2601 -3351 +90 244 +3564 -739 +2238 -5166 +2142 2278 +-5363 1817 +3029 1476 +2772 3796 +-4772 2237 +2402 -1346 +-4739 -4215 +-4672 -516 +590 1439 +304 5599 +-1668 2332 +-7168 0 +-1668 -2332 +304 -5599 +590 -1439 +-4672 516 +-4739 4215 +2402 1346 +-4772 -2237 +2772 -3796 +3029 -1476 +-5363 -1817 +2142 -2278 +2238 5166 +3564 739 +90 -244 +-2601 3351 +2048 3072 +-1217 -343 +850 -400 +1095 -42 +-3438 -3274 +1344 1447 +4735 -553 +-264 567 +1324 2348 +4462 -874 +2322 -1486 +1932 -309 +-2321 268 +-136 -4675 +2852 -1659 +-2762 -913 +-1024 0 +-1780 -3118 +-3097 7158 +2116 -1607 +1519 240 +1869 361 +3118 -5804 +-2511 -1021 +-848 2048 +119 406 +-2537 -7206 +-325 -3835 +-2930 868 +-3930 -1836 +617 44 +613 2177 +-1024 0 +613 -2177 +617 -44 +-3930 1836 +-2930 -868 +-325 3835 +-2537 7206 +119 -406 +-848 -2048 +-2511 1021 +3118 5804 +1869 -361 +1519 -240 +2116 1607 +-3097 -7158 +-1780 3118 +-1024 0 +-1815 -2988 +-1182 1711 +-2500 -1864 +1978 1808 +923 -2788 +-1825 -4872 +5541 -3853 +4944 2048 +635 3856 +45 1826 +-900 2057 +3530 -2916 +2746 -986 +-3329 -2263 +-802 854 +3072 0 +-802 -854 +-3329 2263 +2746 986 +3530 2916 +-900 -2057 +45 -1826 +635 -3856 +4944 -2048 +5541 3853 +-1825 4872 +923 2788 +1978 -1808 +-2500 1864 +-1182 -1711 +-1815 2988 +-1024 0 +-1780 -3118 +-3097 7158 +2116 -1607 +1519 240 +1869 361 +3118 -5804 +-2511 -1021 +-848 2048 +119 406 +-2537 -7206 +-325 -3835 +-2930 868 +-3930 -1836 +617 44 +613 2177 +4096 -2048 +-3944 -1656 +-4966 -3082 +-1042 -5194 +978 -1408 +1094 -872 +-1444 348 +-1217 4073 +-1448 5368 +626 1014 +378 -1696 +1945 -2082 +-3810 -928 +-2821 1125 +5154 320 +1821 3303 +0 0 +1821 -3303 +5154 -320 +-2821 -1125 +-3810 928 +1945 2082 +378 1696 +626 -1014 +-1448 -5368 +-1217 -4073 +-1444 -348 +1094 872 +978 1408 +-1042 5194 +-4966 3082 +-3944 1656 +4096 2048 +2171 -1094 +2630 370 +-2078 1822 +-130 808 +4922 -4734 +-1571 2144 +94 6330 +1448 -3320 +-1749 3963 +-259 2988 +4072 1460 +-1134 4424 +-299 -1913 +78 3960 +-3593 2506 +0 0 +-3593 -2506 +78 -3960 +-299 1913 +-1134 -4424 +4072 -1460 +-259 -2988 +-1749 -3963 +1448 3320 +94 -6330 +-1571 -2144 +4922 4734 +-130 -808 +-2078 -1822 +2630 -370 +2171 1094 +4096 -2048 +-3944 -1656 +-4966 -3082 +-1042 -5194 +978 -1408 +1094 -872 +-1444 348 +-1217 4073 +-1448 5368 +626 1014 +378 -1696 +1945 -2082 +-3810 -928 +-2821 1125 +5154 320 +1821 3303 +-2048 0 +721 -845 +3843 -3803 +981 2473 +-724 3026 +-311 -1003 +-247 3349 +3308 3098 +1872 1448 +-3623 1825 +-763 -1413 +2082 1844 +724 1762 +2509 -1046 +2494 3761 +309 -3690 +0 0 +309 3690 +2494 -3761 +2509 1046 +724 -1762 +2082 -1844 +-763 1413 +-3623 -1825 +1872 -1448 +3308 -3098 +-247 -3349 +-311 1003 +-724 -3026 +981 -2473 +3843 3803 +721 845 +-2048 0 +-1250 -2408 +437 2983 +1960 3711 +-724 1918 +-2142 5600 +-1046 -1641 +-5110 1149 +-3920 -1448 +4097 -1601 +3255 1921 +-518 -351 +724 -914 +1231 -1666 +218 2411 +-4244 -9379 +-8192 0 +-4244 9379 +218 -2411 +1231 1666 +724 914 +-518 351 +3255 -1921 +4097 1601 +-3920 1448 +-5110 -1149 +-1046 1641 +-2142 -5600 +-724 -1918 +1960 -3711 +437 -2983 +-1250 2408 +-2048 0 +721 -845 +3843 -3803 +981 2473 +-724 3026 +-311 -1003 +-247 3349 +3308 3098 +1872 1448 +-3623 1825 +-763 -1413 +2082 1844 +724 1762 +2509 -1046 +2494 3761 +309 -3690 +0 2048 +-4092 1347 +5376 5238 +1009 224 +-3894 -1952 +-21 203 +2299 -2310 +-1449 1290 +-4096 -1272 +2336 -911 +-632 82 +1977 -2336 +3570 1832 +346 396 +-2068 -3252 +-2671 -4328 +2048 0 +-2671 4328 +-2068 3252 +346 -396 +3570 -1832 +1977 2336 +-632 -82 +2336 911 +-4096 1272 +-1449 -1290 +2299 2310 +-21 -203 +-3894 1952 +1009 -224 +5376 -5238 +-4092 -1347 +0 -2048 +2635 -25 +-2220 1598 +1620 2676 +998 3400 +-2353 910 +2425 2374 +-3311 6380 +-4096 7416 +4878 333 +4 -1714 +1077 -1664 +-674 -384 +2138 3184 +3008 200 +-4121 3194 +-2048 0 +-4121 -3194 +3008 -200 +2138 -3184 +-674 384 +1077 1664 +4 1714 +4878 -333 +-4096 -7416 +-3311 -6380 +2425 -2374 +-2353 -910 +998 -3400 +1620 -2676 +-2220 -1598 +2635 25 +0 2048 +-4092 1347 +5376 5238 +1009 224 +-3894 -1952 +-21 203 +2299 -2310 +-1449 1290 +-4096 -1272 +2336 -911 +-632 82 +1977 -2336 +3570 1832 +346 396 +-2068 -3252 +-2671 -4328 +-2048 0 +705 -1578 +3042 -2694 +562 -290 +-3640 894 +1346 -2322 +1961 -3991 +-1832 -1313 +-2472 -3496 +44 -1798 +2817 4064 +4226 859 +484 110 +-342 -2494 +-4344 -5230 +-4710 2978 +2048 0 +-4710 -2978 +-4344 5230 +-342 2494 +484 -110 +4226 -859 +2817 -4064 +44 1798 +-2472 3496 +-1832 1313 +1961 3991 +1346 2322 +-3640 -894 +562 290 +3042 2694 +705 1578 +-2048 0 +705 -1098 +7578 -3986 +562 -818 +144 2002 +1346 1214 +-458 2662 +-1832 -1363 +424 -600 +44 4474 +-6017 399 +4226 250 +-1084 2786 +-342 3603 +3612 4342 +-4710 -303 +-2048 0 +-4710 303 +3612 -4342 +-342 -3603 +-1084 -2786 +4226 -250 +-6017 -399 +44 -4474 +424 600 +-1832 1363 +-458 -2662 +1346 -1214 +144 -2002 +562 818 +7578 3986 +705 1098 +-2048 0 +705 -1578 +3042 -2694 +562 -290 +-3640 894 +1346 -2322 +1961 -3991 +-1832 -1313 +-2472 -3496 +44 -1798 +2817 4064 +4226 859 +484 110 +-342 -2494 +-4344 -5230 +-4710 2978 +2048 3072 +1157 -3013 +-2276 -1833 +255 -1503 +-5226 3118 +-5658 4440 +2174 -2402 +2092 -1356 +1324 -3796 +-2894 1495 +1604 2730 +2505 -1395 +-2100 2564 +-3507 468 +-1318 -1734 +1381 -4131 +-1024 0 +1381 4131 +-1318 1734 +-3507 -468 +-2100 -2564 +2505 1395 +1604 -2730 +-2894 -1495 +1324 3796 +2092 1356 +2174 2402 +-5658 -4440 +-5226 -3118 +255 1503 +-2276 1833 +1157 3013 +2048 -3072 +1523 139 +1168 -59 +1485 -986 +-1766 1226 +-2461 -1224 +-4850 3186 +-3396 -2773 +2772 -2348 +-3370 6040 +1072 -1946 +2480 -2620 +900 1780 +4902 -1996 +2426 -158 +3508 4729 +5120 0 +3508 -4729 +2426 158 +4902 1996 +900 -1780 +2480 2620 +1072 1946 +-3370 -6040 +2772 2348 +-3396 2773 +-4850 -3186 +-2461 1224 +-1766 -1226 +1485 986 +1168 59 +1523 -139 +2048 3072 +1157 -3013 +-2276 -1833 +255 -1503 +-5226 3118 +-5658 4440 +2174 -2402 +2092 -1356 +1324 -3796 +-2894 1495 +1604 2730 +2505 -1395 +-2100 2564 +-3507 468 +-1318 -1734 +1381 -4131 +0 -1024 +1930 2846 +1292 -131 +932 -6344 +-2354 5070 +-1527 -5157 +-2927 -6687 +-493 515 +1748 -3620 +-3693 -969 +-2877 -1532 +-1052 -1311 +1320 732 +476 852 +1487 -1265 +3623 -71 +1024 0 +3623 71 +1487 1265 +476 -852 +1320 -732 +-1052 1311 +-2877 1532 +-3693 969 +1748 3620 +-493 -515 +-2927 6687 +-1527 5157 +-2354 -5070 +932 6344 +1292 131 +1930 -2846 +0 1024 +491 730 +821 4617 +-3089 -2176 +1754 -2174 +3196 -142 +1923 1075 +403 1963 +300 3620 +1327 2430 +-1912 -5776 +-1296 -5629 +-4816 2165 +-3433 2973 +2193 -4138 +2204 231 +3072 0 +2204 -231 +2193 4138 +-3433 -2973 +-4816 -2165 +-1296 5629 +-1912 5776 +1327 -2430 +300 -3620 +403 -1963 +1923 -1075 +3196 142 +1754 2174 +-3089 2176 +821 -4617 +491 -730 +0 -1024 +1930 2846 +1292 -131 +932 -6344 +-2354 5070 +-1527 -5157 +-2927 -6687 +-493 515 +1748 -3620 +-3693 -969 +-2877 -1532 +-1052 -1311 +1320 732 +476 852 +1487 -1265 +3623 -71 +-5120 0 +-1666 -3900 +-4312 3168 +-201 2996 +4664 3386 +-4974 1897 +-3142 -301 +848 892 +2048 4344 +-2012 -1173 +-2452 -3318 +8262 581 +540 -2602 +-743 1833 +820 3312 +-957 2989 +5120 0 +-957 -2989 +820 -3312 +-743 -1833 +540 2602 +8262 -581 +-2452 3318 +-2012 1173 +2048 -4344 +848 -892 +-3142 301 +-4974 -1897 +4664 -3386 +-201 -2996 +-4312 -3168 +-1666 3900 +-5120 0 +-3133 -789 +372 2664 +1155 -3944 +880 710 +2146 851 +310 -3315 +-411 -4961 +2048 -4344 +895 -440 +1188 3799 +2814 1487 +2108 -1494 +-2667 2332 +-976 -1576 +643 570 +-3072 0 +643 -570 +-976 1576 +-2667 -2332 +2108 1494 +2814 -1487 +1188 -3799 +895 440 +2048 4344 +-411 4961 +310 3315 +2146 -851 +880 -710 +1155 3944 +372 -2664 +-3133 789 +-5120 0 +-1666 -3900 +-4312 3168 +-201 2996 +4664 3386 +-4974 1897 +-3142 -301 +848 892 +2048 4344 +-2012 -1173 +-2452 -3318 +8262 581 +540 -2602 +-743 1833 +820 3312 +-957 2989 +-2048 1024 +4798 1048 +-2608 4076 +-3996 4240 +4756 -5140 +-4094 -6981 +-4587 -800 +1508 -2257 +1324 1148 +-230 -1351 +409 -3791 +-3266 -756 +-262 -2214 +2651 299 +1675 2078 +2939 3242 +1024 0 +2939 -3242 +1675 -2078 +2651 -299 +-262 2214 +-3266 756 +409 3791 +-230 1351 +1324 -1148 +1508 2257 +-4587 800 +-4094 6981 +4756 5140 +-3996 -4240 +-2608 -4076 +4798 -1048 +-2048 -1024 +2331 -2108 +-1776 1940 +-690 655 +188 1644 +-3306 5018 +-476 2573 +-3455 200 +2772 -3196 +1969 3077 +-2338 2667 +-2045 -2774 +-586 2813 +3161 3028 +1509 1042 +1727 -517 +3072 0 +1727 517 +1509 -1042 +3161 -3028 +-586 -2813 +-2045 2774 +-2338 -2667 +1969 -3077 +2772 3196 +-3455 -200 +-476 -2573 +-3306 -5018 +188 -1644 +-690 -655 +-1776 -1940 +2331 2108 +-2048 1024 +4798 1048 +-2608 4076 +-3996 4240 +4756 -5140 +-4094 -6981 +-4587 -800 +1508 -2257 +1324 1148 +-230 -1351 +409 -3791 +-3266 -756 +-262 -2214 +2651 299 +1675 2078 +2939 3242 +2048 2048 +-3273 2924 +-3908 4428 +-4441 1017 +-2362 -2229 +-406 1623 +-3744 -318 +2233 1103 +6992 3320 +1279 -268 +2529 -1366 +1506 -2779 +-1578 -1436 +2255 -1268 +-2213 1842 +-2223 3592 +4096 0 +-2223 -3592 +-2213 -1842 +2255 1268 +-1578 1436 +1506 2779 +2529 1366 +1279 268 +6992 -3320 +2233 -1103 +-3744 318 +-406 -1623 +-2362 2229 +-4441 -1017 +-3908 -4428 +-3273 -2924 +2048 -2048 +2613 -3584 +-1805 1588 +-1591 969 +314 -5364 +145 -3277 +-2207 2090 +-2184 2921 +1200 -5368 +2456 2725 +2223 243 +322 -2659 +-470 6132 +2210 -531 +934 1277 +-901 -5820 +0 0 +-901 5820 +934 -1277 +2210 531 +-470 -6132 +322 2659 +2223 -243 +2456 -2725 +1200 5368 +-2184 -2921 +-2207 -2090 +145 3277 +314 5364 +-1591 -969 +-1805 -1588 +2613 3584 +2048 2048 +-3273 2924 +-3908 4428 +-4441 1017 +-2362 -2229 +-406 1623 +-3744 -318 +2233 1103 +6992 3320 +1279 -268 +2529 -1366 +1506 -2779 +-1578 -1436 +2255 -1268 +-2213 1842 +-2223 3592 +3072 1024 +66 2168 +758 -2437 +972 2417 +-1924 112 +2997 -4413 +-2175 1918 +-578 -490 +2596 -1748 +2403 623 +-2487 -2432 +-3457 443 +4202 -2335 +274 -4589 +-714 -2413 +3920 -8193 +4096 0 +3920 8193 +-714 2413 +274 4589 +4202 2335 +-3457 -443 +-2487 2432 +2403 -623 +2596 1748 +-578 490 +-2175 -1918 +2997 4413 +-1924 -112 +972 -2417 +758 2437 +66 -2168 +3072 -1024 +-3645 4984 +2766 544 +2307 -5938 +1076 -4456 +-85 -1016 +-3878 -1134 +-3698 673 +-4644 -300 +1874 -2217 +-1349 3216 +545 3057 +742 -2010 +-3553 -1589 +-1114 521 +-341 1984 +2048 0 +-341 -1984 +-1114 -521 +-3553 1589 +742 2010 +545 -3057 +-1349 -3216 +1874 2217 +-4644 300 +-3698 -673 +-3878 1134 +-85 1016 +1076 4456 +2307 5938 +2766 -544 +-3645 -4984 +3072 1024 +66 2168 +758 -2437 +972 2417 +-1924 112 +2997 -4413 +-2175 1918 +-578 -490 +2596 -1748 +2403 623 +-2487 -2432 +-3457 443 +4202 -2335 +274 -4589 +-714 -2413 +3920 -8193 +3072 -1024 +2095 2550 +2232 -1499 +1444 -2085 +-188 112 +343 121 +-4941 1298 +-601 -2907 +3196 -2348 +-2736 909 +2140 4945 +-374 2141 +586 -2335 +-975 3430 +-3420 2307 +2778 8 +2048 0 +2778 -8 +-3420 -2307 +-975 -3430 +586 2335 +-374 -2141 +2140 -4945 +-2736 -909 +3196 2348 +-601 2907 +-4941 -1298 +343 -121 +-188 -112 +1444 2085 +2232 1499 +2095 -2550 +3072 1024 +-3143 1655 +-3560 1435 +2913 -2329 +-4756 -4456 +1415 -3900 +4053 -4350 +-6929 -1489 +-1148 -3796 +2018 -530 +4540 1892 +3729 -1768 +262 -2010 +-2703 -1292 +-1044 -674 +725 -5012 +-4096 0 +725 5012 +-1044 674 +-2703 1292 +262 2010 +3729 1768 +4540 -1892 +2018 530 +-1148 3796 +-6929 1489 +4053 4350 +1415 3900 +-4756 4456 +2913 2329 +-3560 -1435 +-3143 -1655 +3072 -1024 +2095 2550 +2232 -1499 +1444 -2085 +-188 112 +343 121 +-4941 1298 +-601 -2907 +3196 -2348 +-2736 909 +2140 4945 +-374 2141 +586 -2335 +-975 3430 +-3420 2307 +2778 8 +-1024 -1024 +-801 2445 +-2068 -4499 +521 941 +2765 -2804 +287 -4163 +-5314 -1062 +1220 -966 +1748 1748 +-3652 3430 +5170 1717 +2464 -1122 +-1574 -2094 +1641 -1100 +248 3730 +24 -890 +0 0 +24 890 +248 -3730 +1641 1100 +-1574 2094 +2464 1122 +5170 -1717 +-3652 -3430 +1748 -1748 +1220 966 +-5314 1062 +287 4163 +2765 2804 +521 -941 +-2068 4499 +-801 -2445 +-1024 1024 +-540 4636 +-3645 1786 +-6614 3104 +1331 -3588 +1279 4136 +-638 3554 +-169 -663 +300 300 +355 -3730 +-418 -424 +8002 207 +5670 -202 +-1787 -1535 +-1527 550 +-2229 3507 +-2048 0 +-2229 -3507 +-1527 -550 +-1787 1535 +5670 202 +8002 -207 +-418 424 +355 3730 +300 -300 +-169 663 +-638 -3554 +1279 -4136 +1331 3588 +-6614 -3104 +-3645 -1786 +-540 -4636 +-1024 -1024 +-801 2445 +-2068 -4499 +521 941 +2765 -2804 +287 -4163 +-5314 -1062 +1220 -966 +1748 1748 +-3652 3430 +5170 1717 +2464 -1122 +-1574 -2094 +1641 -1100 +248 3730 +24 -890 +4096 1024 +735 -1194 +1234 -2031 +-52 -1237 +-632 -912 +3483 2030 +2348 4631 +-4837 -5006 +-6517 2348 +598 3376 +1658 669 +-2159 2178 +-78 -1311 +688 3132 +531 -2585 +413 2253 +-1024 0 +413 -2253 +531 2585 +688 -3132 +-78 1311 +-2159 -2178 +1658 -669 +598 -3376 +-6517 -2348 +-4837 5006 +2348 -4631 +3483 -2030 +-632 912 +-52 1237 +1234 2031 +735 1194 +4096 -1024 +2547 -3368 +-1574 -461 +-2973 -5659 +-1416 -5480 +4800 474 +1776 -351 +690 -191 +6517 3796 +-915 -455 +-2886 -3381 +556 -665 +-1970 -986 +1449 2965 +-3087 1292 +-5023 -1096 +1024 0 +-5023 1096 +-3087 -1292 +1449 -2965 +-1970 986 +556 665 +-2886 3381 +-915 455 +6517 -3796 +690 191 +1776 351 +4800 -474 +-1416 5480 +-2973 5659 +-1574 461 +2547 3368 +4096 1024 +735 -1194 +1234 -2031 +-52 -1237 +-632 -912 +3483 2030 +2348 4631 +-4837 -5006 +-6517 2348 +598 3376 +1658 669 +-2159 2178 +-78 -1311 +688 3132 +531 -2585 +413 2253 +0 3072 +21 -3493 +-342 -578 +-1865 1289 +-4046 -802 +-1043 3636 +1238 -2090 +4369 -1799 +2772 300 +-2217 1040 +-2642 1516 +-4239 873 +1756 692 +1677 -7351 +-1733 -1205 +2281 4195 +3072 0 +2281 -4195 +-1733 1205 +1677 7351 +1756 -692 +-4239 -873 +-2642 -1516 +-2217 -1040 +2772 -300 +4369 1799 +1238 2090 +-1043 -3636 +-4046 802 +-1865 -1289 +-342 578 +21 3493 +0 -3072 +1721 -1877 +-2045 -545 +-2685 -5087 +3198 -2694 +-2373 468 +838 -3926 +4131 -1341 +1324 1748 +3294 1820 +-634 -4636 +3429 -3688 +3189 -92 +-4486 -3366 +-2871 2978 +-2015 -3564 +-3072 0 +-2015 3564 +-2871 -2978 +-4486 3366 +3189 92 +3429 3688 +-634 4636 +3294 -1820 +1324 -1748 +4131 1341 +838 3926 +-2373 -468 +3198 2694 +-2685 5087 +-2045 545 +1721 1877 +0 3072 +21 -3493 +-342 -578 +-1865 1289 +-4046 -802 +-1043 3636 +1238 -2090 +4369 -1799 +2772 300 +-2217 1040 +-2642 1516 +-4239 873 +1756 692 +1677 -7351 +-1733 -1205 +2281 4195 +1024 3072 +-1324 1323 +-645 1108 +-2117 1353 +562 -1102 +121 1023 +349 960 +5077 -2128 +476 -724 +-3682 -2971 +2103 -5578 +-819 -278 +1560 2440 +3318 1996 +-3444 3944 +-1968 3292 +0 0 +-1968 -3292 +-3444 -3944 +3318 -1996 +1560 -2440 +-819 278 +2103 5578 +-3682 2971 +476 724 +5077 2128 +349 -960 +121 -1023 +562 1102 +-2117 -1353 +-645 -1108 +-1324 -1323 +1024 -3072 +-1089 2478 +-4624 5092 +3094 -958 +886 -2994 +2032 1657 +-4068 6201 +-1676 4620 +7716 724 +-2615 2567 +3313 2850 +1562 61 +-3008 1656 +-1398 1295 +-1176 560 +1485 3405 +-2048 0 +1485 -3405 +-1176 -560 +-1398 -1295 +-3008 -1656 +1562 -61 +3313 -2850 +-2615 -2567 +7716 -724 +-1676 -4620 +-4068 -6201 +2032 -1657 +886 2994 +3094 958 +-4624 -5092 +-1089 -2478 +1024 3072 +-1324 1323 +-645 1108 +-2117 1353 +562 -1102 +121 1023 +349 960 +5077 -2128 +476 -724 +-3682 -2971 +2103 -5578 +-819 -278 +1560 2440 +3318 1996 +-3444 3944 +-1968 3292 +0 4096 +-3086 6293 +2035 56 +4200 -356 +3654 -1084 +3307 -2322 +-1023 566 +439 917 +-600 -3920 +633 -708 +1007 3458 +-2078 4151 +-2702 3640 +4357 -287 +-1163 1598 +-6773 2327 +0 0 +-6773 -2327 +-1163 -1598 +4357 287 +-2702 -3640 +-2078 -4151 +1007 -3458 +633 708 +-600 3920 +439 -917 +-1023 -566 +3307 2322 +3654 1084 +4200 356 +2035 -56 +-3086 -6293 +0 -4096 +-2399 919 +4137 -3396 +5409 -525 +-2806 484 +-2673 1496 +514 -1231 +-1179 -4768 +-3496 1872 +1233 -1575 +701 -1226 +1236 -1192 +-2243 -144 +-2172 3190 +1983 -2042 +-454 6453 +0 0 +-454 -6453 +1983 2042 +-2172 -3190 +-2243 144 +1236 1192 +701 1226 +1233 1575 +-3496 -1872 +-1179 4768 +514 1231 +-2673 -1496 +-2806 -484 +5409 525 +4137 3396 +-2399 -919 +0 4096 +-3086 6293 +2035 56 +4200 -356 +3654 -1084 +3307 -2322 +-1023 566 +439 917 +-600 -3920 +633 -708 +1007 3458 +-2078 4151 +-2702 3640 +4357 -287 +-1163 1598 +-6773 2327 +2048 -1024 +-2341 -5937 +824 -5384 +-1172 -1639 +-2985 932 +77 -2315 +455 1251 +-2962 -278 +-4220 -300 +2818 2736 +173 572 +-601 -947 +5579 -1826 +2375 1795 +20 -307 +148 670 +-1024 0 +148 -670 +20 307 +2375 -1795 +5579 1826 +-601 947 +173 -572 +2818 -2736 +-4220 300 +-2962 278 +455 -1251 +77 2315 +-2985 -932 +-1172 1639 +824 5384 +-2341 5937 +2048 1024 +-2448 981 +1224 336 +4864 -5028 +-6904 1716 +869 5401 +1593 4257 +-2568 -784 +124 -1748 +-2401 -1343 +1875 839 +-2801 3354 +-3882 -3718 +2182 -3350 +2028 -646 +3962 2622 +5120 0 +3962 -2622 +2028 646 +2182 3350 +-3882 3718 +-2801 -3354 +1875 -839 +-2401 1343 +124 1748 +-2568 784 +1593 -4257 +869 -5401 +-6904 -1716 +4864 5028 +1224 -336 +-2448 -981 +2048 -1024 +-2341 -5937 +824 -5384 +-1172 -1639 +-2985 932 +77 -2315 +455 1251 +-2962 -278 +-4220 -300 +2818 2736 +173 572 +-601 -947 +5579 -1826 +2375 1795 +20 -307 +148 670 +-1024 1024 +11 -1728 +-4779 -371 +200 3847 +2740 -3972 +437 3216 +-740 -242 +-1269 -3762 +124 1324 +3601 930 +2541 3149 +-298 -2272 +4742 -2154 +1375 -296 +1333 488 +2101 3683 +-4096 0 +2101 -3683 +1333 -488 +1375 296 +4742 2154 +-298 2272 +2541 -3149 +3601 -930 +124 -1324 +-1269 3762 +-740 242 +437 -3216 +2740 3972 +200 -3847 +-4779 371 +11 1728 +-1024 -1024 +437 2180 +3854 -1077 +490 -2184 +1956 -972 +-4767 4927 +-7325 -1206 +-3864 -2879 +-4220 2772 +-2253 -1569 +-1469 -1701 +3060 3496 +2850 1306 +-498 -4960 +-1608 960 +1235 2770 +6144 0 +1235 -2770 +-1608 -960 +-498 4960 +2850 -1306 +3060 -3496 +-1469 1701 +-2253 1569 +-4220 -2772 +-3864 2879 +-7325 1206 +-4767 -4927 +1956 972 +490 2184 +3854 1077 +437 -2180 +-1024 1024 +11 -1728 +-4779 -371 +200 3847 +2740 -3972 +437 3216 +-740 -242 +-1269 -3762 +124 1324 +3601 930 +2541 3149 +-298 -2272 +4742 -2154 +1375 -296 +1333 488 +2101 3683 +0 2048 +536 -4570 +-1451 2019 +1421 3480 +-1508 -654 +-8035 1515 +49 1121 +1859 2279 +-2472 2048 +6126 -5403 +5416 -3745 +-2614 26 +-1168 758 +-2478 4392 +-3402 -2941 +-504 -4299 +0 0 +-504 4299 +-3402 2941 +-2478 -4392 +-1168 -758 +-2614 -26 +5416 3745 +6126 5403 +-2472 -2048 +1859 -2279 +49 -1121 +-8035 -1515 +-1508 654 +1421 -3480 +-1451 -2019 +536 4570 +0 -2048 +133 -169 +-321 2325 +-2519 2799 +60 -195 +-299 -4214 +-3169 3223 +-1419 -381 +424 2048 +795 -579 +600 -599 +1371 2938 +2616 -5702 +1567 -642 +2279 -1404 +4061 -128 +4096 0 +4061 128 +2279 1404 +1567 642 +2616 5702 +1371 -2938 +600 599 +795 579 +424 -2048 +-1419 381 +-3169 -3223 +-299 4214 +60 195 +-2519 -2799 +-321 -2325 +133 169 +0 2048 +536 -4570 +-1451 2019 +1421 3480 +-1508 -654 +-8035 1515 +49 1121 +1859 2279 +-2472 2048 +6126 -5403 +5416 -3745 +-2614 26 +-1168 758 +-2478 4392 +-3402 -2941 +-504 -4299 +6144 -2048 +-2077 -793 +745 1544 +373 1951 +288 6002 +5463 1240 +2006 2660 +-416 -1413 +-600 -3920 +-2493 -1939 +-373 -3465 +2604 521 +-2167 -1094 +-2324 196 +847 1711 +-140 1397 +0 0 +-140 -1397 +847 -1711 +-2324 -196 +-2167 1094 +2604 -521 +-373 3465 +-2493 1939 +-600 3920 +-416 1413 +2006 -2660 +5463 -1240 +288 -6002 +373 -1951 +745 -1544 +-2077 793 +6144 2048 +-1474 -1926 +-4269 -371 +2613 -826 +-7280 -458 +-3709 -2233 +4047 -2283 +2114 -451 +-3496 1872 +-2102 -2821 +4209 -6047 +-1461 -4411 +968 -1553 +2234 3826 +980 -2235 +794 -1219 +-4096 0 +794 1219 +980 2235 +2234 -3826 +968 1553 +-1461 4411 +4209 6047 +-2102 2821 +-3496 -1872 +2114 451 +4047 2283 +-3709 2233 +-7280 458 +2613 826 +-4269 371 +-1474 1926 +6144 -2048 +-2077 -793 +745 1544 +373 1951 +288 6002 +5463 1240 +2006 2660 +-416 -1413 +-600 -3920 +-2493 -1939 +-373 -3465 +2604 521 +-2167 -1094 +-2324 196 +847 1711 +-140 1397 +6144 2048 +1398 -2791 +-4008 -1418 +478 1019 +-1759 -204 +-1990 -2494 +-1912 -1250 +152 -906 +-2472 -5544 +-3566 -2555 +1207 -996 +6076 -1895 +3798 1533 +-2699 -2019 +-3211 -3266 +771 1799 +4096 0 +771 -1799 +-3211 3266 +-2699 2019 +3798 -1533 +6076 1895 +1207 996 +-3566 2555 +-2472 5544 +152 906 +-1912 1250 +-1990 2494 +-1759 204 +478 -1019 +-4008 1418 +1398 2791 +6144 -2048 +-2386 -11 +3343 191 +614 -225 +-3785 5148 +-2641 235 +1468 1590 +4620 3432 +424 -2648 +2578 -583 +2133 -1561 +123 -51 +-6446 -684 +40 -5068 +979 -858 +-3567 -2072 +4096 0 +-3567 2072 +979 858 +40 5068 +-6446 684 +123 51 +2133 1561 +2578 583 +424 2648 +4620 -3432 +1468 -1590 +-2641 -235 +-3785 -5148 +614 225 +3343 -191 +-2386 11 +6144 2048 +1398 -2791 +-4008 -1418 +478 1019 +-1759 -204 +-1990 -2494 +-1912 -1250 +152 -906 +-2472 -5544 +-3566 -2555 +1207 -996 +6076 -1895 +3798 1533 +-2699 -2019 +-3211 -3266 +771 1799 +5120 1024 +843 -321 +-1827 -103 +-2510 4975 +-52 92 +-647 -3492 +1913 1054 +5900 -825 +-1748 1148 +-3321 -1850 +1394 -2736 +-6542 2565 +282 802 +-850 -272 +-5102 175 +-211 3163 +-4096 0 +-211 -3163 +-5102 -175 +-850 272 +282 -802 +-6542 -2565 +1394 2736 +-3321 1850 +-1748 -1148 +5900 825 +1913 -1054 +-647 3492 +-52 -92 +-2510 -4975 +-1827 103 +843 321 +5120 -1024 +7635 1303 +-730 3443 +1158 -1702 +2948 -692 +-1763 1060 +-3141 -390 +-1061 3062 +-300 -3196 +-1727 -3793 +2730 504 +-3759 666 +-3178 2694 +3329 1016 +4762 269 +3526 -1870 +-2048 0 +3526 1870 +4762 -269 +3329 -1016 +-3178 -2694 +-3759 -666 +2730 -504 +-1727 3793 +-300 3196 +-1061 -3062 +-3141 390 +-1763 -1060 +2948 692 +1158 1702 +-730 -3443 +7635 -1303 +5120 1024 +843 -321 +-1827 -103 +-2510 4975 +-52 92 +-647 -3492 +1913 1054 +5900 -825 +-1748 1148 +-3321 -1850 +1394 -2736 +-6542 2565 +282 802 +-850 -272 +-5102 175 +-211 3163 +1024 3072 +-695 -518 +572 -3799 +-1008 1200 +-392 -572 +1866 -3083 +-5826 -909 +1402 1463 +1148 -300 +1974 -4417 +8145 -3491 +-559 -3866 +-946 -2538 +3599 4954 +110 4036 +-1457 -1997 +0 0 +-1457 1997 +110 -4036 +3599 -4954 +-946 2538 +-559 3866 +8145 3491 +1974 4417 +1148 300 +1402 -1463 +-5826 909 +1866 3083 +-392 572 +-1008 -1200 +572 3799 +-695 518 +1024 -3072 +-1197 1150 +4011 -2529 +224 -4440 +392 -2924 +-1082 -2307 +-3191 1665 +490 -1720 +-3196 -1748 +-82 2255 +-2025 -1049 +1343 1299 +946 3138 +-4383 2821 +-1797 724 +-435 -7468 +2048 0 +-435 7468 +-1797 -724 +-4383 -2821 +946 -3138 +1343 -1299 +-2025 1049 +-82 -2255 +-3196 1748 +490 1720 +-3191 -1665 +-1082 2307 +392 2924 +224 4440 +4011 2529 +-1197 -1150 +1024 3072 +-695 -518 +572 -3799 +-1008 1200 +-392 -572 +1866 -3083 +-5826 -909 +1402 1463 +1148 -300 +1974 -4417 +8145 -3491 +-559 -3866 +-946 -2538 +3599 4954 +110 4036 +-1457 -1997 +3072 -3072 +2269 -1036 +-3785 3706 +-2178 -1547 +652 -1800 +1910 -373 +-997 -1841 +-6484 228 +-1748 1148 +833 -2271 +1723 -1519 +-1804 -1054 +3215 18 +6140 -1460 +-1748 -7424 +-321 -1980 +2048 0 +-321 1980 +-1748 7424 +6140 1460 +3215 -18 +-1804 1054 +1723 1519 +833 2271 +-1748 -1148 +-6484 -228 +-997 1841 +1910 373 +652 1800 +-2178 1547 +-3785 -3706 +2269 1036 +3072 3072 +-224 342 +-2387 1683 +2707 -2693 +-2349 1200 +-3662 638 +1505 457 +-3519 4815 +-300 -3196 +273 634 +-3432 1335 +-467 -10 +6674 3478 +3145 1683 +927 5820 +1380 398 +-4096 0 +1380 -398 +927 -5820 +3145 -1683 +6674 -3478 +-467 10 +-3432 -1335 +273 -634 +-300 3196 +-3519 -4815 +1505 -457 +-3662 -638 +-2349 -1200 +2707 2693 +-2387 -1683 +-224 -342 +3072 -3072 +2269 -1036 +-3785 3706 +-2178 -1547 +652 -1800 +1910 -373 +-997 -1841 +-6484 228 +-1748 1148 +833 -2271 +1723 -1519 +-1804 -1054 +3215 18 +6140 -1460 +-1748 -7424 +-321 -1980 +1024 4096 +2462 -1720 +2205 -3037 +-4806 3104 +14 -784 +2762 -681 +2123 3927 +-948 4169 +-7841 2048 +190 2248 +847 -546 +-2588 2182 +-2218 1892 +1687 -2855 +365 964 +-3089 -2466 +1024 0 +-3089 2466 +365 -964 +1687 2855 +-2218 -1892 +-2588 -2182 +847 546 +190 -2248 +-7841 -2048 +-948 -4169 +2123 -3927 +2762 681 +14 784 +-4806 -3104 +2205 3037 +2462 1720 +1024 -4096 +1088 5106 +-941 2777 +-2226 -1418 +-2662 784 +-3122 1645 +1817 3693 +3323 771 +3745 2048 +5683 236 +-691 -1723 +-2165 -539 +-3326 -1892 +4666 -573 +2467 -2921 +-2917 -2396 +5120 0 +-2917 2396 +2467 2921 +4666 573 +-3326 1892 +-2165 539 +-691 1723 +5683 -236 +3745 -2048 +3323 -771 +1817 -3693 +-3122 -1645 +-2662 -784 +-2226 1418 +-941 -2777 +1088 -5106 +1024 4096 +2462 -1720 +2205 -3037 +-4806 3104 +14 -784 +2762 -681 +2123 3927 +-948 4169 +-7841 2048 +190 2248 +847 -546 +-2588 2182 +-2218 1892 +1687 -2855 +365 964 +-3089 -2466 +-1024 2048 +-3957 -198 +-309 -2254 +219 -527 +1374 -2532 +-2025 2019 +-1603 339 +2116 -3043 +-2224 -2472 +-1114 993 +3268 -622 +1151 -3759 +-1846 2192 +827 -87 +348 -1371 +3042 -1664 +9216 0 +3042 1664 +348 1371 +827 87 +-1846 -2192 +1151 3759 +3268 622 +-1114 -993 +-2224 2472 +2116 3043 +-1603 -339 +-2025 -2019 +1374 2532 +219 527 +-309 2254 +-3957 198 +-1024 -2048 +2444 -1387 +429 22 +1364 2639 +5618 -964 +5259 -2057 +-733 -3679 +-1732 569 +-8016 424 +-3053 -938 +1965 178 +-5953 -4160 +3046 -1592 +-842 2511 +-3364 2035 +2255 -5584 +-3072 0 +2255 5584 +-3364 -2035 +-842 -2511 +3046 1592 +-5953 4160 +1965 -178 +-3053 938 +-8016 -424 +-1732 -569 +-733 3679 +5259 2057 +5618 964 +1364 -2639 +429 -22 +2444 1387 +-1024 2048 +-3957 -198 +-309 -2254 +219 -527 +1374 -2532 +-2025 2019 +-1603 339 +2116 -3043 +-2224 -2472 +-1114 993 +3268 -622 +1151 -3759 +-1846 2192 +827 -87 +348 -1371 +3042 -1664 +-3072 3072 +3198 2474 +6098 -1204 +-3744 -3242 +-4816 -1416 +2041 1334 +-2056 1646 +3153 -75 +4220 -1324 +-1358 -884 +6713 697 +-935 2151 +-2354 1970 +-6684 -482 +-4787 -3334 +37 -3365 +-10240 0 +37 3365 +-4787 3334 +-6684 482 +-2354 -1970 +-935 -2151 +6713 -697 +-1358 884 +4220 1324 +3153 75 +-2056 -1646 +2041 -1334 +-4816 1416 +-3744 3242 +6098 1204 +3198 -2474 +-3072 -3072 +4028 -276 +637 1543 +-2488 356 +1320 -632 +195 1029 +1443 2478 +-946 429 +-124 -2772 +3376 -2545 +892 531 +-3309 1779 +1754 78 +3339 -837 +-748 777 +97 1779 +0 0 +97 -1779 +-748 -777 +3339 837 +1754 -78 +-3309 -1779 +892 -531 +3376 2545 +-124 2772 +-946 -429 +1443 -2478 +195 -1029 +1320 632 +-2488 -356 +637 -1543 +4028 276 +-3072 3072 +3198 2474 +6098 -1204 +-3744 -3242 +-4816 -1416 +2041 1334 +-2056 1646 +3153 -75 +4220 -1324 +-1358 -884 +6713 697 +-935 2151 +-2354 1970 +-6684 -482 +-4787 -3334 +37 -3365 +0 -4096 +-2141 -6782 +1462 -1512 +-900 1794 +770 -360 +3636 1413 +63 70 +-3610 -2205 +-1624 2896 +4599 1836 +-4780 -2766 +-330 1904 +4110 4364 +120 1587 +1434 2251 +-1801 2630 +-2048 0 +-1801 -2630 +1434 -2251 +120 -1587 +4110 -4364 +-330 -1904 +-4780 2766 +4599 -1836 +-1624 -2896 +-3610 2205 +63 -70 +3636 -1413 +770 360 +-900 -1794 +1462 1512 +-2141 6782 +0 4096 +-2930 1704 +770 2740 +2257 3076 +1878 1208 +1763 -1683 +-3403 -409 +-784 -9 +-4520 -2896 +3579 989 +5224 5323 +-3502 2571 +1434 580 +-3045 -164 +-770 1873 +3088 5523 +-2048 0 +3088 -5523 +-770 -1873 +-3045 164 +1434 -580 +-3502 -2571 +5224 -5323 +3579 -989 +-4520 2896 +-784 9 +-3403 409 +1763 1683 +1878 -1208 +2257 -3076 +770 -2740 +-2930 -1704 +0 -4096 +-2141 -6782 +1462 -1512 +-900 1794 +770 -360 +3636 1413 +63 70 +-3610 -2205 +-1624 2896 +4599 1836 +-4780 -2766 +-330 1904 +4110 4364 +120 1587 +1434 2251 +-1801 2630 +-3072 2048 +706 -2030 +2601 -2226 +-4797 -429 +2602 -2976 +2599 4845 +-904 2991 +1816 -5 +-2472 6568 +-574 1635 +-3334 -1265 +872 -268 +710 2856 +284 3164 +673 -3101 +-4971 1095 +-3072 0 +-4971 -1095 +673 3101 +284 -3164 +710 -2856 +872 268 +-3334 1265 +-574 -1635 +-2472 -6568 +1816 5 +-904 -2991 +2599 -4845 +2602 2976 +-4797 429 +2601 2226 +706 2030 +-3072 -2048 +-5839 2020 +-2720 2890 +3513 1912 +1494 2376 +-515 2098 +3240 -3435 +5593 -4767 +424 3672 +2302 1473 +-1898 -2075 +-3605 1547 +3386 640 +1650 847 +2343 869 +968 -1416 +-3072 0 +968 1416 +2343 -869 +1650 -847 +3386 -640 +-3605 -1547 +-1898 2075 +2302 -1473 +424 -3672 +5593 4767 +3240 3435 +-515 -2098 +1494 -2376 +3513 -1912 +-2720 -2890 +-5839 -2020 +-3072 2048 +706 -2030 +2601 -2226 +-4797 -429 +2602 -2976 +2599 4845 +-904 2991 +1816 -5 +-2472 6568 +-574 1635 +-3334 -1265 +872 -268 +710 2856 +284 3164 +673 -3101 +-4971 1095 +-1024 1024 +462 3098 +-794 3018 +-1486 -1901 +-392 -2648 +-110 -909 +4268 6488 +-1880 2522 +-5244 300 +-972 98 +-978 352 +2279 -3349 +-946 -4926 +-4148 2307 +2921 -1985 +5553 5824 +4096 0 +5553 -5824 +2921 1985 +-4148 -2307 +-946 4926 +2279 3349 +-978 -352 +-972 -98 +-5244 -300 +-1880 -2522 +4268 -6488 +-110 909 +-392 2648 +-1486 1901 +-794 -3018 +462 -3098 +-1024 -1024 +2602 -2756 +-1061 -2834 +-1919 -4752 +392 352 +-3587 -805 +-1452 -1100 +-1363 583 +-900 1748 +192 1679 +-639 -1956 +4522 2522 +946 -1466 +-1345 -2279 +5927 3369 +1198 -1019 +-6144 0 +1198 1019 +5927 -3369 +-1345 2279 +946 1466 +4522 -2522 +-639 1956 +192 -1679 +-900 -1748 +-1363 -583 +-1452 1100 +-3587 805 +392 -352 +-1919 4752 +-1061 2834 +2602 2756 +-1024 1024 +462 3098 +-794 3018 +-1486 -1901 +-392 -2648 +-110 -909 +4268 6488 +-1880 2522 +-5244 300 +-972 98 +-978 352 +2279 -3349 +-946 -4926 +-4148 2307 +2921 -1985 +5553 5824 +5120 2048 +159 350 +4548 -1595 +-2152 -104 +-1808 -170 +966 443 +-770 -4690 +-778 -575 +-1024 6817 +2834 3836 +-3413 2973 +-3000 -2507 +-2916 614 +751 2699 +1604 -4909 +-1124 2893 +5120 0 +-1124 -2893 +1604 4909 +751 -2699 +-2916 -614 +-3000 2507 +-3413 -2973 +2834 -3836 +-1024 -6817 +-778 575 +-770 4690 +966 -443 +-1808 170 +-2152 104 +4548 1595 +159 -350 +5120 -2048 +2559 -723 +-3440 -4929 +-769 -4101 +-240 -1278 +1421 -64 +3446 2097 +2988 -1563 +-1024 -4769 +3205 577 +737 4323 +-4500 -1889 +868 -2062 +1490 2305 +-2713 81 +-4049 886 +-3072 0 +-4049 -886 +-2713 -81 +1490 -2305 +868 2062 +-4500 1889 +737 -4323 +3205 -577 +-1024 4769 +2988 1563 +3446 -2097 +1421 64 +-240 1278 +-769 4101 +-3440 4929 +2559 723 +5120 2048 +159 350 +4548 -1595 +-2152 -104 +-1808 -170 +966 443 +-770 -4690 +-778 -575 +-1024 6817 +2834 3836 +-3413 2973 +-3000 -2507 +-2916 614 +751 2699 +1604 -4909 +-1124 2893 +4096 0 +980 3885 +-4847 1445 +3720 328 +724 4918 +-1281 -2189 +4230 1203 +740 2845 +-424 3496 +868 4334 +891 -2166 +-2644 796 +-724 2546 +3504 585 +-1655 -2911 +-2843 -1339 +0 0 +-2843 1339 +-1655 2911 +3504 -585 +-724 -2546 +-2644 -796 +891 2166 +868 -4334 +-424 -3496 +740 -2845 +4230 -1203 +-1281 2189 +724 -4918 +3720 -328 +-4847 -1445 +980 -3885 +4096 0 +29 -467 +-2108 -2933 +-3925 -4734 +724 26 +931 3285 +-1829 6757 +-2848 4945 +2472 600 +-88 -3225 +-4491 -2659 +2106 -1028 +-724 -1698 +3382 -528 +1618 -3170 +-2629 3868 +4096 0 +-2629 -3868 +1618 3170 +3382 528 +-724 1698 +2106 1028 +-4491 2659 +-88 3225 +2472 -600 +-2848 -4945 +-1829 -6757 +931 -3285 +724 -26 +-3925 4734 +-2108 2933 +29 467 +4096 0 +980 3885 +-4847 1445 +3720 328 +724 4918 +-1281 -2189 +4230 1203 +740 2845 +-424 3496 +868 4334 +891 -2166 +-2644 796 +-724 2546 +3504 585 +-1655 -2911 +-2843 -1339 +-2048 2048 +1396 -456 +-506 -2644 +977 1917 +384 -2858 +-804 -1557 +-5429 4726 +-4164 -1374 +4520 0 +-2476 -717 +3559 1046 +4531 4537 +-1952 5638 +3764 5190 +-416 -2393 +-1758 2271 +2048 0 +-1758 -2271 +-416 2393 +3764 -5190 +-1952 -5638 +4531 -4537 +3559 -1046 +-2476 717 +4520 0 +-4164 1374 +-5429 -4726 +-804 1557 +384 2858 +977 -1917 +-506 2644 +1396 456 +-2048 -2048 +-1657 -2647 +-639 935 +290 -1546 +-1832 -6183 +-3381 375 +-847 1446 +1295 736 +1624 0 +-1336 -1251 +1517 -1866 +983 -4831 +3400 -2390 +-568 1862 +-5431 1884 +2908 -910 +6144 0 +2908 910 +-5431 -1884 +-568 -1862 +3400 2390 +983 4831 +1517 1866 +-1336 1251 +1624 0 +1295 -736 +-847 -1446 +-3381 -375 +-1832 6183 +290 1546 +-639 -935 +-1657 2647 +-2048 2048 +1396 -456 +-506 -2644 +977 1917 +384 -2858 +-804 -1557 +-5429 4726 +-4164 -1374 +4520 0 +-2476 -717 +3559 1046 +4531 4537 +-1952 5638 +3764 5190 +-416 -2393 +-1758 2271 +1024 -1024 +-2634 1500 +1562 5844 +5639 43 +1780 2284 +2107 -1513 +-2036 869 +-3160 -305 +-724 -124 +-964 -237 +1604 -5085 +-4183 5032 +-3118 -162 +2609 -4066 +1307 4580 +-348 1758 +-2048 0 +-348 -1758 +1307 -4580 +2609 4066 +-3118 162 +-4183 -5032 +1604 5085 +-964 237 +-724 124 +-3160 305 +-2036 -869 +2107 1513 +1780 -2284 +5639 -43 +1562 -5844 +-2634 -1500 +1024 1024 +4448 -5353 +551 -2151 +-1999 -2814 +2564 -2284 +67 -905 +1031 1880 +1580 981 +724 4220 +327 2168 +-6392 -6151 +-3342 -1138 +-1226 162 +-897 -585 +2373 1513 +751 3837 +0 0 +751 -3837 +2373 -1513 +-897 585 +-1226 -162 +-3342 1138 +-6392 6151 +327 -2168 +724 -4220 +1580 -981 +1031 -1880 +67 905 +2564 2284 +-1999 2814 +551 2151 +4448 5353 +1024 -1024 +-2634 1500 +1562 5844 +5639 43 +1780 2284 +2107 -1513 +-2036 869 +-3160 -305 +-724 -124 +-964 -237 +1604 -5085 +-4183 5032 +-3118 -162 +2609 -4066 +1307 4580 +-348 1758 +-3072 2048 +2721 -1243 +2855 -941 +-110 2465 +2002 2192 +-1465 -858 +1724 -3941 +6180 862 +-1024 -424 +-716 -241 +2238 -31 +3075 -4510 +-2786 -964 +1459 2743 +2434 1583 +-4121 -2570 +-1024 0 +-4121 2570 +2434 -1583 +1459 -2743 +-2786 964 +3075 4510 +2238 31 +-716 241 +-1024 424 +6180 -862 +1724 3941 +-1465 858 +2002 -2192 +-110 -2465 +2855 941 +2721 1243 +-3072 -2048 +-2750 -571 +1750 -4448 +-831 -403 +894 -1592 +2517 -4050 +1551 5325 +-393 2084 +-1024 2472 +1169 2299 +-4314 214 +-1879 4066 +-110 -2532 +3028 -2011 +-46 21 +-7882 -5925 +-5120 0 +-7882 5925 +-46 -21 +3028 2011 +-110 2532 +-1879 -4066 +-4314 -214 +1169 -2299 +-1024 -2472 +-393 -2084 +1551 -5325 +2517 4050 +894 1592 +-831 403 +1750 4448 +-2750 571 +-3072 2048 +2721 -1243 +2855 -941 +-110 2465 +2002 2192 +-1465 -858 +1724 -3941 +6180 862 +-1024 -424 +-716 -241 +2238 -31 +3075 -4510 +-2786 -964 +1459 2743 +2434 1583 +-4121 -2570 +-1024 1024 +883 -6966 +272 -401 +603 2625 +-5910 1670 +-2245 -109 +368 -2746 +-3623 990 +3796 -1148 +-2535 1094 +-3104 3146 +508 3431 +-1896 1116 +-320 1688 +-1220 5968 +503 -6214 +2048 0 +503 6214 +-1220 -5968 +-320 -1688 +-1896 -1116 +508 -3431 +-3104 -3146 +-2535 -1094 +3796 1148 +-3623 -990 +368 2746 +-2245 109 +-5910 -1670 +603 -2625 +272 401 +883 6966 +-1024 -1024 +225 -1225 +1776 -1712 +2073 -5574 +-234 -222 +-431 79 +1680 1742 +2515 4056 +2348 3196 +1426 1736 +5152 1642 +-3184 1890 +-4248 332 +2996 715 +3268 -2287 +605 -4193 +-4096 0 +605 4193 +3268 2287 +2996 -715 +-4248 -332 +-3184 -1890 +5152 -1642 +1426 -1736 +2348 -3196 +2515 -4056 +1680 -1742 +-431 -79 +-234 222 +2073 5574 +1776 1712 +225 1225 +-1024 1024 +883 -6966 +272 -401 +603 2625 +-5910 1670 +-2245 -109 +368 -2746 +-3623 990 +3796 -1148 +-2535 1094 +-3104 3146 +508 3431 +-1896 1116 +-320 1688 +-1220 5968 +503 -6214 +-5120 -1024 +1062 -4011 +1693 -709 +-594 -100 +1984 801 +-3151 -3485 +-1007 -3118 +-1672 285 +1324 -124 +1472 1517 +-268 -732 +857 1544 +-1586 7322 +-257 -1154 +-3607 -4831 +-1970 3311 +4096 0 +-1970 -3311 +-3607 4831 +-257 1154 +-1586 -7322 +857 -1544 +-268 732 +1472 -1517 +1324 124 +-1672 -285 +-1007 3118 +-3151 3485 +1984 -801 +-594 100 +1693 709 +1062 4011 +-5120 1024 +2372 -7200 +-2357 -1367 +-3311 70 +-2584 -2849 +-524 1023 +563 -1487 +-2318 745 +2772 4220 +1630 1657 +3609 3120 +-1645 -2156 +-1910 -5274 +2832 573 +1375 1555 +5217 1607 +6144 0 +5217 -1607 +1375 -1555 +2832 -573 +-1910 5274 +-1645 2156 +3609 -3120 +1630 -1657 +2772 -4220 +-2318 -745 +563 1487 +-524 -1023 +-2584 2849 +-3311 -70 +-2357 1367 +2372 7200 +-5120 -1024 +1062 -4011 +1693 -709 +-594 -100 +1984 801 +-3151 -3485 +-1007 -3118 +-1672 285 +1324 -124 +1472 1517 +-268 -732 +857 1544 +-1586 7322 +-257 -1154 +-3607 -4831 +-1970 3311 +0 -1024 +679 -3610 +-366 693 +-3615 2982 +-452 476 +1812 -3795 +-1029 -481 +3587 1233 +1148 -1324 +-4659 1761 +907 1756 +4770 538 +-3562 2754 +-3655 1127 +-222 -700 +821 -55 +5120 0 +821 55 +-222 700 +-3655 -1127 +-3562 -2754 +4770 -538 +907 -1756 +-4659 -1761 +1148 1324 +3587 -1233 +-1029 481 +1812 3795 +-452 -476 +-3615 -2982 +-366 -693 +679 3610 +0 1024 +2975 -2500 +1031 3063 +-5369 1450 +1900 -2524 +1546 -3724 +1473 -7739 +-994 -2881 +-3196 -2772 +2716 -5937 +-4248 1112 +1007 -177 +2114 -706 +3503 2994 +2454 -840 +-5124 -392 +-1024 0 +-5124 392 +2454 840 +3503 -2994 +2114 706 +1007 177 +-4248 -1112 +2716 5937 +-3196 2772 +-994 2881 +1473 7739 +1546 3724 +1900 2524 +-5369 -1450 +1031 -3063 +2975 2500 +0 -1024 +679 -3610 +-366 693 +-3615 2982 +-452 476 +1812 -3795 +-1029 -481 +3587 1233 +1148 -1324 +-4659 1761 +907 1756 +4770 538 +-3562 2754 +-3655 1127 +-222 -700 +821 -55 +-1024 2048 +-2324 -1165 +-2155 357 +-1107 2542 +-1378 2316 +1715 2762 +-4072 -92 +-1134 1253 +3496 -1448 +-760 -2480 +-266 -4686 +-3800 -2674 +-34 3256 +3468 -3205 +273 -786 +-995 -943 +-3072 0 +-995 943 +273 786 +3468 3205 +-34 -3256 +-3800 2674 +-266 4686 +-760 2480 +3496 1448 +-1134 -1253 +-4072 92 +1715 -2762 +-1378 -2316 +-1107 -2542 +-2155 -357 +-2324 1165 +-1024 -2048 +-4668 -2306 +-796 2200 +3454 1721 +-919 -1468 +1863 268 +6252 -1136 +-2249 525 +600 1448 +-82 4907 +-8906 562 +2231 -3431 +6426 1688 +3761 -1669 +1479 446 +627 -1879 +5120 0 +627 1879 +1479 -446 +3761 1669 +6426 -1688 +2231 3431 +-8906 -562 +-82 -4907 +600 -1448 +-2249 -525 +6252 1136 +1863 -268 +-919 1468 +3454 -1721 +-796 -2200 +-4668 2306 +-1024 2048 +-2324 -1165 +-2155 357 +-1107 2542 +-1378 2316 +1715 2762 +-4072 -92 +-1134 1253 +3496 -1448 +-760 -2480 +-266 -4686 +-3800 -2674 +-34 3256 +3468 -3205 +273 -786 +-995 -943 +-1024 -1024 +3451 -112 +833 1225 +-221 1926 +-1056 248 +-619 -1496 +-3245 987 +-1497 3767 +-300 4644 +-3422 2169 +4882 5515 +1416 1787 +2394 -2030 +2811 1146 +-6326 76 +-840 6555 +4096 0 +-840 -6555 +-6326 -76 +2811 -1146 +2394 2030 +1416 -1787 +4882 -5515 +-3422 -2169 +-300 -4644 +-1497 -3767 +-3245 -987 +-619 1496 +-1056 -248 +-221 -1926 +833 -1225 +3451 112 +-1024 1024 +-3347 -3429 +4638 -1889 +6577 2044 +-1840 3248 +56 1935 +-1308 -543 +-4399 -1603 +-1748 -2596 +-2474 -317 +2567 -2175 +-1979 1180 +502 1430 +3545 -2840 +-2042 2156 +944 -2216 +2048 0 +944 2216 +-2042 -2156 +3545 2840 +502 -1430 +-1979 -1180 +2567 2175 +-2474 317 +-1748 2596 +-4399 1603 +-1308 543 +56 -1935 +-1840 -3248 +6577 -2044 +4638 1889 +-3347 3429 +-1024 -1024 +3451 -112 +833 1225 +-221 1926 +-1056 248 +-619 -1496 +-3245 987 +-1497 3767 +-300 4644 +-3422 2169 +4882 5515 +1416 1787 +2394 -2030 +2811 1146 +-6326 76 +-840 6555 +3072 1024 +-223 -873 +685 -2293 +-2863 -2361 +946 -1910 +1598 503 +-1820 295 +3258 -294 +900 1748 +-8118 1471 +-3619 1405 +-1158 310 +-392 -1984 +-761 3399 +-1873 4619 +-320 -2841 +-2048 0 +-320 2841 +-1873 -4619 +-761 -3399 +-392 1984 +-1158 -310 +-3619 -1405 +-8118 -1471 +900 -1748 +3258 294 +-1820 -295 +1598 -503 +946 1910 +-2863 2361 +685 2293 +-223 873 +3072 -1024 +5125 179 +1391 -199 +4347 -1880 +-946 -1586 +714 -238 +-2785 3985 +-314 5337 +5244 300 +-6858 -3108 +1231 -4117 +2391 -1374 +392 2584 +1524 -3176 +-1402 -5911 +1657 1259 +0 0 +1657 -1259 +-1402 5911 +1524 3176 +392 -2584 +2391 1374 +1231 4117 +-6858 3108 +5244 -300 +-314 -5337 +-2785 -3985 +714 238 +-946 1586 +4347 1880 +1391 199 +5125 -179 +3072 1024 +-223 -873 +685 -2293 +-2863 -2361 +946 -1910 +1598 503 +-1820 295 +3258 -294 +900 1748 +-8118 1471 +-3619 1405 +-1158 310 +-392 -1984 +-761 3399 +-1873 4619 +-320 -2841 +-1024 -1024 +-2513 -4007 +-2420 117 +456 -2801 +4116 -2024 +2670 -1828 +773 -168 +4558 -675 +4820 -4220 +-1593 -1417 +-2539 -63 +372 -2500 +-3238 -2106 +-5699 609 +-432 -1343 +2717 1002 +2048 0 +2717 -1002 +-432 1343 +-5699 -609 +-3238 2106 +372 2500 +-2539 63 +-1593 1417 +4820 4220 +4558 675 +773 168 +2670 1828 +4116 2024 +456 2801 +-2420 -117 +-2513 4007 +-1024 1024 +1149 -2784 +-412 822 +-4180 316 +-2668 327 +566 4882 +-929 796 +-864 -6540 +3372 124 +3011 -3343 +-1401 4787 +-1153 4874 +1789 -7782 +1175 2019 +-832 -1814 +-674 455 +0 0 +-674 -455 +-832 1814 +1175 -2019 +1789 7782 +-1153 -4874 +-1401 -4787 +3011 3343 +3372 -124 +-864 6540 +-929 -796 +566 -4882 +-2668 -327 +-4180 -316 +-412 -822 +1149 2784 +-1024 -1024 +-2513 -4007 +-2420 117 +456 -2801 +4116 -2024 +2670 -1828 +773 -168 +4558 -675 +4820 -4220 +-1593 -1417 +-2539 -63 +372 -2500 +-3238 -2106 +-5699 609 +-432 -1343 +2717 1002 +1024 -1024 +-117 -1450 +761 -2275 +-2979 -3185 +-3672 -2728 +-313 -401 +-1245 2362 +561 664 +6268 2772 +1971 2945 +-936 -1723 +-1319 1793 +3902 827 +5290 -1447 +-4068 3602 +-4752 1796 +-2048 0 +-4752 -1796 +-4068 -3602 +5290 1447 +3902 -827 +-1319 -1793 +-936 1723 +1971 -2945 +6268 -2772 +561 -664 +-1245 -2362 +-313 401 +-3672 2728 +-2979 3185 +761 2275 +-117 1450 +1024 1024 +-224 -1607 +-2245 227 +3057 4577 +-672 5624 +479 2414 +-907 -314 +955 3193 +1924 1324 +-3248 -1985 +4784 -325 +-3519 -2676 +442 2070 +5097 5735 +-4335 -1554 +-939 -1957 +0 0 +-939 1957 +-4335 1554 +5097 -5735 +442 -2070 +-3519 2676 +4784 325 +-3248 1985 +1924 -1324 +955 -3193 +-907 314 +479 -2414 +-672 -5624 +3057 -4577 +-2245 -227 +-224 1607 +1024 -1024 +-117 -1450 +761 -2275 +-2979 -3185 +-3672 -2728 +-313 -401 +-1245 2362 +561 664 +6268 2772 +1971 2945 +-936 -1723 +-1319 1793 +3902 827 +5290 -1447 +-4068 3602 +-4752 1796 +1024 -3072 +-2287 -1851 +2688 2811 +-1384 -3976 +-5964 508 +2801 3885 +-692 -860 +-1348 -3682 +-3196 300 +-2475 867 +4134 -155 +-2577 -722 +842 -4298 +709 -1467 +685 -2145 +5536 1035 +2048 0 +5536 -1035 +685 2145 +709 1467 +842 4298 +-2577 722 +4134 155 +-2475 -867 +-3196 -300 +-1348 3682 +-692 860 +2801 -3885 +-5964 -508 +-1384 3976 +2688 -2811 +-2287 1851 +1024 3072 +-671 1175 +-4137 3517 +-1173 -1399 +171 1292 +-1720 1693 +2141 104 +2564 -92 +1148 1748 +2826 3239 +-2685 4695 +-2288 637 +4951 -6190 +5633 -1380 +-2133 -2616 +-4145 -2023 +0 0 +-4145 2023 +-2133 2616 +5633 1380 +4951 6190 +-2288 -637 +-2685 -4695 +2826 -3239 +1148 -1748 +2564 92 +2141 -104 +-1720 -1693 +171 -1292 +-1173 1399 +-4137 -3517 +-671 -1175 +1024 -3072 +-2287 -1851 +2688 2811 +-1384 -3976 +-5964 508 +2801 3885 +-692 -860 +-1348 -3682 +-3196 300 +-2475 867 +4134 -155 +-2577 -722 +842 -4298 +709 -1467 +685 -2145 +5536 1035 +-1024 -2048 +416 3937 +-1011 4185 +-5845 224 +-1001 1364 +539 4155 +-1433 -731 +-1976 -3478 +-600 1448 +3376 -3368 +-1142 -2650 +2201 -855 +-1207 -2252 +-3711 768 +933 -2112 +-488 1074 +1024 0 +-488 -1074 +933 2112 +-3711 -768 +-1207 2252 +2201 855 +-1142 2650 +3376 3368 +-600 -1448 +-1976 3478 +-1433 731 +539 -4155 +-1001 -1364 +-5845 -224 +-1011 -4185 +416 -3937 +-1024 2048 +-2923 -7 +-4157 -1629 +2054 1974 +8594 3581 +1786 -725 +-1739 -497 +-4651 2159 +-3496 -1448 +3900 482 +-2679 -1474 +4610 500 +5903 3100 +-1634 -2354 +3035 1772 +2345 1289 +1024 0 +2345 -1289 +3035 -1772 +-1634 2354 +5903 -3100 +4610 -500 +-2679 1474 +3900 -482 +-3496 1448 +-4651 -2159 +-1739 497 +1786 725 +8594 -3581 +2054 -1974 +-4157 1629 +-2923 7 +-1024 -2048 +416 3937 +-1011 4185 +-5845 224 +-1001 1364 +539 4155 +-1433 -731 +-1976 -3478 +-600 1448 +3376 -3368 +-1142 -2650 +2201 -855 +-1207 -2252 +-3711 768 +933 -2112 +-488 1074 +2048 0 +-376 -2415 +2909 2250 +86 7582 +1533 -1194 +-3429 -3258 +-6419 -857 +1983 -3571 +4096 -424 +-3963 871 +-788 -1290 +-1084 -2403 +-5148 1638 +-1451 2509 +-2205 -2961 +-846 -274 +2048 0 +-846 274 +-2205 2961 +-1451 -2509 +-5148 -1638 +-1084 2403 +-788 1290 +-3963 -871 +4096 424 +1983 3571 +-6419 857 +-3429 3258 +1533 1194 +86 -7582 +2909 -2250 +-376 2415 +2048 0 +7088 -2357 +735 -2694 +4676 -1384 +-684 -2302 +-901 2166 +-1969 3089 +-5721 775 +4096 2472 +1701 117 +2185 625 +-1505 -257 +204 -1038 +3607 2122 +-2638 -379 +135 -714 +2048 0 +135 714 +-2638 379 +3607 -2122 +204 1038 +-1505 257 +2185 -625 +1701 -117 +4096 -2472 +-5721 -775 +-1969 -3089 +-901 -2166 +-684 2302 +4676 1384 +735 2694 +7088 2357 +2048 0 +-376 -2415 +2909 2250 +86 7582 +1533 -1194 +-3429 -3258 +-6419 -857 +1983 -3571 +4096 -424 +-3963 871 +-788 -1290 +-1084 -2403 +-5148 1638 +-1451 2509 +-2205 -2961 +-846 -274 +0 -2048 +447 -2528 +61 -1442 +-1530 -4282 +-4024 -2146 +1876 -2146 +3950 -3671 +-867 -4222 +1448 1024 +10 978 +-71 -854 +3233 -935 +2436 -3870 +-36 945 +-842 773 +-3126 2258 +-6144 0 +-3126 -2258 +-842 -773 +-36 -945 +2436 3870 +3233 935 +-71 854 +10 -978 +1448 -1024 +-867 4222 +3950 3671 +1876 2146 +-4024 2146 +-1530 4282 +61 1442 +447 2528 +0 2048 +5280 -1025 +759 -3778 +-4308 -1959 +1976 2746 +-1899 589 +-2242 1751 +-2498 -2783 +-1448 1024 +1788 -1065 +-438 -6362 +574 5379 +-4484 374 +2090 -1185 +7014 5096 +-1033 1108 +-2048 0 +-1033 -1108 +7014 -5096 +2090 1185 +-4484 -374 +574 -5379 +-438 6362 +1788 1065 +-1448 -1024 +-2498 2783 +-2242 -1751 +-1899 -589 +1976 -2746 +-4308 1959 +759 3778 +5280 1025 +0 -2048 +447 -2528 +61 -1442 +-1530 -4282 +-4024 -2146 +1876 -2146 +3950 -3671 +-867 -4222 +1448 1024 +10 978 +-71 -854 +3233 -935 +2436 -3870 +-36 945 +-842 773 +-3126 2258 +0 -1024 +-4029 -665 +1432 -1818 +-820 1135 +1032 2284 +3381 -3291 +-3926 -2041 +1017 -576 +-300 724 +-3988 -3923 +-2745 -4816 +3168 459 +3922 -162 +-1644 1802 +314 2881 +4619 2389 +7168 0 +4619 -2389 +314 -2881 +-1644 -1802 +3922 162 +3168 -459 +-2745 4816 +-3988 3923 +-300 -724 +1017 576 +-3926 2041 +3381 3291 +1032 -2284 +-820 -1135 +1432 1818 +-4029 665 +0 1024 +1792 -623 +2876 251 +-1027 -6872 +2465 -2284 +2717 5622 +-4019 -1743 +1700 1064 +-1748 -724 +-1863 -1381 +801 1031 +-1698 -3921 +-3322 162 +-4077 -1747 +-2926 -4448 +754 2117 +5120 0 +754 -2117 +-2926 4448 +-4077 1747 +-3322 -162 +-1698 3921 +801 -1031 +-1863 1381 +-1748 724 +1700 -1064 +-4019 1743 +2717 -5622 +2465 2284 +-1027 6872 +2876 -251 +1792 623 +0 -1024 +-4029 -665 +1432 -1818 +-820 1135 +1032 2284 +3381 -3291 +-3926 -2041 +1017 -576 +-300 724 +-3988 -3923 +-2745 -4816 +3168 459 +3922 -162 +-1644 1802 +314 2881 +4619 2389 +0 3072 +3640 1592 +2603 6347 +115 -22 +1514 -1970 +1543 4171 +-102 -632 +-3426 -1100 +300 2172 +-1526 -2062 +-1546 -1924 +-1000 3904 +-3948 632 +1021 -3246 +2407 -794 +-272 -2315 +-1024 0 +-272 2315 +2407 794 +1021 3246 +-3948 -632 +-1000 -3904 +-1546 1924 +-1526 2062 +300 -2172 +-3426 1100 +-102 632 +1543 -4171 +1514 1970 +115 22 +2603 -6347 +3640 -1592 +0 -3072 +486 -860 +4353 933 +8105 -3560 +-4162 -78 +-4825 -951 +-2298 -1536 +-3464 -2474 +1748 -2172 +-481 5168 +5146 956 +258 646 +-1596 1416 +575 -4799 +-2370 1082 +-749 3935 +-3072 0 +-749 -3935 +-2370 -1082 +575 4799 +-1596 -1416 +258 -646 +5146 -956 +-481 -5168 +1748 2172 +-3464 2474 +-2298 1536 +-4825 951 +-4162 78 +8105 3560 +4353 -933 +486 860 +0 3072 +3640 1592 +2603 6347 +115 -22 +1514 -1970 +1543 4171 +-102 -632 +-3426 -1100 +300 2172 +-1526 -2062 +-1546 -1924 +-1000 3904 +-3948 632 +1021 -3246 +2407 -794 +-272 -2315 +-1024 1024 +1252 1367 +-1073 2955 +1775 86 +-1910 -1970 +-2867 -113 +-1316 -1076 +-501 3601 +1324 -6268 +1255 -3179 +672 10927 +-1210 3100 +1984 632 +753 3220 +1101 4973 +2098 1437 +-2048 0 +2098 -1437 +1101 -4973 +753 -3220 +1984 -632 +-1210 -3100 +672 -10927 +1255 3179 +1324 6268 +-501 -3601 +-1316 1076 +-2867 113 +-1910 1970 +1775 -86 +-1073 -2955 +1252 -1367 +-1024 -1024 +-2596 434 +629 100 +-3034 529 +-1586 -78 +-1611 -5288 +-916 -3099 +2333 4063 +2772 -1924 +1377 67 +-1337 -2318 +-992 -5734 +-2584 1416 +1393 -2238 +2239 2675 +575 3573 +4096 0 +575 -3573 +2239 -2675 +1393 2238 +-2584 -1416 +-992 5734 +-1337 2318 +1377 -67 +2772 1924 +2333 -4063 +-916 3099 +-1611 5288 +-1586 78 +-3034 -529 +629 -100 +-2596 -434 +-1024 1024 +1252 1367 +-1073 2955 +1775 86 +-1910 -1970 +-2867 -113 +-1316 -1076 +-501 3601 +1324 -6268 +1255 -3179 +672 10927 +-1210 3100 +1984 632 +753 3220 +1101 4973 +2098 1437 +1024 -1024 +94 2268 +3111 1181 +-1776 3259 +-522 1670 +-1014 2048 +168 675 +6065 -5918 +1748 -1748 +1403 -226 +2174 -3147 +4205 -842 +-2080 1116 +-231 3444 +-1385 -95 +-4406 -5569 +4096 0 +-4406 5569 +-1385 95 +-231 -3444 +-2080 -1116 +4205 842 +2174 3147 +1403 226 +1748 1748 +6065 5918 +168 -675 +-1014 -2048 +-522 -1670 +-1776 -3259 +3111 -1181 +94 -2268 +1024 1024 +-1412 1801 +-435 -1026 +-158 -241 +1370 -222 +5946 1360 +-1276 -1939 +823 -2122 +300 -300 +-6755 -821 +-1066 5979 +4463 3050 +-2864 332 +-5642 774 +-1291 -3845 +-1606 2645 +-2048 0 +-1606 -2645 +-1291 3845 +-5642 -774 +-2864 -332 +4463 -3050 +-1066 -5979 +-6755 821 +300 300 +823 2122 +-1276 1939 +5946 -1360 +1370 222 +-158 241 +-435 1026 +-1412 -1801 +1024 -1024 +94 2268 +3111 1181 +-1776 3259 +-522 1670 +-1014 2048 +168 675 +6065 -5918 +1748 -1748 +1403 -226 +2174 -3147 +4205 -842 +-2080 1116 +-231 3444 +-1385 -95 +-4406 -5569 +0 -2048 +3853 -6215 +2777 119 +-1215 -812 +-3216 -1918 +2441 2584 +2450 -530 +-5807 1648 +3072 -2896 +1920 -5160 +-1451 -820 +-614 -2047 +-1988 914 +2604 1751 +1857 -1112 +-3269 -2687 +-6144 0 +-3269 2687 +1857 1112 +2604 -1751 +-1988 -914 +-614 2047 +-1451 820 +1920 5160 +3072 2896 +-5807 -1648 +2450 530 +2441 -2584 +-3216 1918 +-1215 812 +2777 -119 +3853 6215 +0 2048 +3543 271 +-64 -939 +1204 -1225 +568 -3026 +-2554 -2157 +42 2239 +285 -1722 +3072 2896 +-3079 3758 +158 1329 +2056 3362 +-3556 -1762 +1870 2893 +2422 7284 +-3239 1207 +-6144 0 +-3239 -1207 +2422 -7284 +1870 -2893 +-3556 1762 +2056 -3362 +158 -1329 +-3079 -3758 +3072 -2896 +285 1722 +42 -2239 +-2554 2157 +568 3026 +1204 1225 +-64 939 +3543 -271 +0 -2048 +3853 -6215 +2777 119 +-1215 -812 +-3216 -1918 +2441 2584 +2450 -530 +-5807 1648 +3072 -2896 +1920 -5160 +-1451 -820 +-614 -2047 +-1988 914 +2604 1751 +1857 -1112 +-3269 -2687 +-1024 -1024 +-4774 -3318 +-1624 1663 +-4356 -1439 +38 -2394 +7783 -899 +-306 -7402 +1024 1396 +2172 2172 +19 -1663 +-2131 2335 +813 -1232 +1936 -1840 +-518 -117 +1451 2344 +-396 4023 +-2048 0 +-396 -4023 +1451 -2344 +-518 117 +1936 1840 +813 1232 +-2131 -2335 +19 1663 +2172 -2172 +1024 -1396 +-306 7402 +7783 899 +38 2394 +-4356 1439 +-1624 -1663 +-4774 3318 +-1024 1024 +-3935 2905 +1688 165 +3095 -2421 +-287 -502 +523 368 +-2747 3567 +-3199 -1885 +-2172 -2172 +1476 3629 +-4705 3718 +-872 22 +6504 -1056 +-677 1370 +181 1181 +3992 3812 +4096 0 +3992 -3812 +181 -1181 +-677 -1370 +6504 1056 +-872 -22 +-4705 -3718 +1476 -3629 +-2172 2172 +-3199 1885 +-2747 -3567 +523 -368 +-287 502 +3095 2421 +1688 -165 +-3935 -2905 +-1024 -1024 +-4774 -3318 +-1624 1663 +-4356 -1439 +38 -2394 +7783 -899 +-306 -7402 +1024 1396 +2172 2172 +19 -1663 +-2131 2335 +813 -1232 +1936 -1840 +-518 -117 +1451 2344 +-396 4023 +2048 3072 +1667 -359 +-885 -504 +-3878 4425 +-2910 2850 +1650 1353 +-1881 3443 +604 -2872 +-724 300 +-4715 1901 +2070 175 +-2428 -1012 +-5356 -2740 +-2746 5399 +230 390 +4034 -2564 +3072 0 +4034 2564 +230 -390 +-2746 -5399 +-5356 2740 +-2428 1012 +2070 -175 +-4715 -1901 +-724 -300 +604 2872 +-1881 -3443 +1650 -1353 +-2910 -2850 +-3878 -4425 +-885 504 +1667 359 +2048 -3072 +1393 909 +1485 2736 +-2031 3352 +-3234 4742 +1042 -1911 +5377 -103 +2018 4166 +724 1748 +525 -4391 +1426 269 +3521 2022 +-788 -1956 +4871 3945 +370 -1054 +-5526 -670 +1024 0 +-5526 670 +370 1054 +4871 -3945 +-788 1956 +3521 -2022 +1426 -269 +525 4391 +724 -1748 +2018 -4166 +5377 103 +1042 1911 +-3234 -4742 +-2031 -3352 +1485 -2736 +1393 -909 +2048 3072 +1667 -359 +-885 -504 +-3878 4425 +-2910 2850 +1650 1353 +-1881 3443 +604 -2872 +-724 300 +-4715 1901 +2070 175 +-2428 -1012 +-5356 -2740 +-2746 5399 +230 390 +4034 -2564 +4096 1024 +-496 -907 +521 786 +4828 3399 +-78 802 +-1443 1000 +3654 1010 +3267 2249 +2172 -3196 +4146 -1367 +-2501 3768 +-1394 -2865 +-1416 -692 +314 1059 +3147 -4786 +-2396 -3982 +-3072 0 +-2396 3982 +3147 4786 +314 -1059 +-1416 692 +-1394 2865 +-2501 -3768 +4146 1367 +2172 3196 +3267 -2249 +3654 -1010 +-1443 -1000 +-78 -802 +4828 -3399 +521 -786 +-496 907 +4096 -1024 +1631 2252 +2036 818 +-3581 2820 +-1970 2694 +-1468 903 +-2427 -826 +3743 -3534 +-2172 1148 +-1341 -286 +-1623 -2385 +-4592 -6009 +-632 92 +1544 8367 +-2807 -603 +-2762 2559 +3072 0 +-2762 -2559 +-2807 603 +1544 -8367 +-632 -92 +-4592 6009 +-1623 2385 +-1341 286 +-2172 -1148 +3743 3534 +-2427 826 +-1468 -903 +-1970 -2694 +-3581 -2820 +2036 -818 +1631 -2252 +4096 1024 +-496 -907 +521 786 +4828 3399 +-78 802 +-1443 1000 +3654 1010 +3267 2249 +2172 -3196 +4146 -1367 +-2501 3768 +-1394 -2865 +-1416 -692 +314 1059 +3147 -4786 +-2396 -3982 +-1024 -5120 +-1984 -4882 +4534 -1733 +2006 6543 +-3876 -3020 +1383 -2217 +-2354 634 +-3976 -1329 +724 724 +1525 476 +929 1238 +-1456 1797 +2369 3354 +-1752 1477 +-4384 2045 +-2527 889 +-6144 0 +-2527 -889 +-4384 -2045 +-1752 -1477 +2369 -3354 +-1456 -1797 +929 -1238 +1525 -476 +724 -724 +-3976 1329 +-2354 -634 +1383 2217 +-3876 3020 +2006 -6543 +4534 1733 +-1984 4882 +-1024 5120 +789 1520 +1482 -2871 +7792 1449 +4476 -6020 +-5200 -1233 +581 2642 +1176 -1267 +-724 -724 +-54 -487 +-2052 838 +4385 177 +1127 -106 +-1367 -2045 +1264 342 +-742 732 +0 0 +-742 -732 +1264 -342 +-1367 2045 +1127 106 +4385 -177 +-2052 -838 +-54 487 +-724 724 +1176 1267 +581 -2642 +-5200 1233 +4476 6020 +7792 -1449 +1482 2871 +789 -1520 +-1024 -5120 +-1984 -4882 +4534 -1733 +2006 6543 +-3876 -3020 +1383 -2217 +-2354 634 +-3976 -1329 +724 724 +1525 476 +929 1238 +-1456 1797 +2369 3354 +-1752 1477 +-4384 2045 +-2527 889 +2048 0 +1834 -479 +952 -1244 +1753 529 +3824 -4050 +-505 689 +-1201 1992 +-2631 -1579 +1024 2648 +3380 1398 +3532 -710 +2119 -3014 +-2088 -738 +-3422 1557 +-2240 -4395 +1984 -1672 +4096 0 +1984 1672 +-2240 4395 +-3422 -1557 +-2088 738 +2119 3014 +3532 710 +3380 -1398 +1024 -2648 +-2631 1579 +-1201 -1992 +-505 -689 +3824 4050 +1753 -529 +952 1244 +1834 479 +2048 0 +3561 1605 +-3065 -3479 +-1736 -4339 +-1528 -2942 +2606 1949 +2205 -1053 +-1909 -6130 +1024 5544 +-1296 3237 +1256 -2446 +-4900 6669 +-4305 1938 +-1708 -1951 +-1440 3767 +870 1157 +-4096 0 +870 -1157 +-1440 -3767 +-1708 1951 +-4305 -1938 +-4900 -6669 +1256 2446 +-1296 -3237 +1024 -5544 +-1909 6130 +2205 1053 +2606 -1949 +-1528 2942 +-1736 4339 +-3065 3479 +3561 -1605 +2048 0 +1834 -479 +952 -1244 +1753 529 +3824 -4050 +-505 689 +-1201 1992 +-2631 -1579 +1024 2648 +3380 1398 +3532 -710 +2119 -3014 +-2088 -738 +-3422 1557 +-2240 -4395 +1984 -1672 +0 5120 +522 3674 +-2227 -4060 +-3213 -1216 +972 3967 +862 -1871 +893 -1521 +7265 -1379 +2172 300 +-245 2796 +3584 3344 +-1506 -3347 +1306 -7299 +-513 368 +-858 -1631 +438 2740 +-5120 0 +438 -2740 +-858 1631 +-513 -368 +1306 7299 +-1506 3347 +3584 -3344 +-245 -2796 +2172 -300 +7265 1379 +893 1521 +862 1871 +972 -3967 +-3213 1216 +-2227 4060 +522 -3674 +0 -5120 +2919 1047 +779 1660 +-195 -2979 +3972 -2168 +-1292 1716 +555 1485 +1889 -3301 +-2172 1748 +-2229 1084 +-2136 3611 +607 5777 +-2154 -3190 +-542 421 +-591 -1970 +-4767 -3444 +-3072 0 +-4767 3444 +-591 1970 +-542 -421 +-2154 3190 +607 -5777 +-2136 -3611 +-2229 -1084 +-2172 -1748 +1889 3301 +555 -1485 +-1292 -1716 +3972 2168 +-195 2979 +779 -1660 +2919 -1047 +0 5120 +522 3674 +-2227 -4060 +-3213 -1216 +972 3967 +862 -1871 +893 -1521 +7265 -1379 +2172 300 +-245 2796 +3584 3344 +-1506 -3347 +1306 -7299 +-513 368 +-858 -1631 +438 2740 +1024 0 +553 5525 +-2022 1920 +377 2554 +-1519 2916 +1655 505 +192 -389 +-1111 615 +2048 -848 +-2581 -3386 +2194 1098 +657 793 +2930 -240 +1977 1017 +414 -382 +2807 3715 +-3072 0 +2807 -3715 +414 382 +1977 -1017 +2930 240 +657 -793 +2194 -1098 +-2581 3386 +2048 848 +-1111 -615 +192 389 +1655 -505 +-1519 -2916 +377 -2554 +-2022 -1920 +553 -5525 +1024 0 +1429 4474 +2687 1355 +2755 -221 +-1978 -868 +-282 3647 +252 -1998 +-6608 -7062 +2048 4944 +-1732 7716 +-5535 3506 +1515 592 +-3530 -1808 +-2862 949 +1818 2458 +1450 3076 +1024 0 +1450 -3076 +1818 -2458 +-2862 -949 +-3530 1808 +1515 -592 +-5535 -3506 +-1732 -7716 +2048 -4944 +-6608 7062 +252 1998 +-282 -3647 +-1978 868 +2755 221 +2687 -1355 +1429 -4474 +1024 0 +553 5525 +-2022 1920 +377 2554 +-1519 2916 +1655 505 +192 -389 +-1111 615 +2048 -848 +-2581 -3386 +2194 1098 +657 793 +2930 -240 +1977 1017 +414 -382 +2807 3715 +-2048 -5120 +-2045 -3173 +4229 725 +-154 -2234 +-1370 3359 +-340 2373 +-1869 4608 +-219 2441 +124 5244 +-2 2557 +-3444 -5573 +-2179 1573 +2864 3432 +-1536 -37 +-512 -4683 +-137 52 +-5120 0 +-137 -52 +-512 4683 +-1536 37 +2864 -3432 +-2179 -1573 +-3444 5573 +-2 -2557 +124 -5244 +-219 -2441 +-1869 -4608 +-340 -2373 +-1370 -3359 +-154 2234 +4229 -725 +-2045 3173 +-2048 5120 +1261 -595 +-2301 2835 +2046 138 +522 3034 +-1552 -685 +6253 -2156 +1002 1082 +-4220 900 +786 4749 +260 -663 +287 -1453 +2080 -1136 +3428 -3627 +5575 -445 +-647 -36 +-7168 0 +-647 36 +5575 445 +3428 3627 +2080 1136 +287 1453 +260 663 +786 -4749 +-4220 -900 +1002 -1082 +6253 2156 +-1552 685 +522 -3034 +2046 -138 +-2301 -2835 +1261 595 +-2048 -5120 +-2045 -3173 +4229 725 +-154 -2234 +-1370 3359 +-340 2373 +-1869 4608 +-219 2441 +124 5244 +-2 2557 +-3444 -5573 +-2179 1573 +2864 3432 +-1536 -37 +-512 -4683 +-137 52 +7168 3072 +-2517 2333 +2952 -1929 +1549 2534 +765 -1814 +-3032 -2551 +-4197 291 +1821 -3616 +-724 -2772 +1096 -1251 +-669 -985 +3792 -3115 +3092 -2200 +281 1834 +-522 -15 +-438 396 +6144 0 +-438 -396 +-522 15 +281 -1834 +3092 2200 +3792 3115 +-669 985 +1096 1251 +-724 2772 +1821 3616 +-4197 -291 +-3032 2551 +765 1814 +1549 -2534 +2952 1929 +-2517 -2333 +7168 -3072 +4205 297 +-2768 -5979 +-1565 -2447 +-4262 3862 +-490 464 +-1191 -1280 +275 1797 +724 -1324 +-4760 -4353 +-936 2892 +3514 2596 +-3692 152 +-4049 -179 +-861 -4997 +318 -1549 +4096 0 +318 1549 +-861 4997 +-4049 179 +-3692 -152 +3514 -2596 +-936 -2892 +-4760 4353 +724 1324 +275 -1797 +-1191 1280 +-490 -464 +-4262 -3862 +-1565 2447 +-2768 5979 +4205 -297 +7168 3072 +-2517 2333 +2952 -1929 +1549 2534 +765 -1814 +-3032 -2551 +-4197 291 +1821 -3616 +-724 -2772 +1096 -1251 +-669 -985 +3792 -3115 +3092 -2200 +281 1834 +-522 -15 +-438 396 +-3072 -2048 +-324 1856 +1617 1219 +758 -3438 +-914 -1324 +1542 3928 +-2401 -3598 +2543 2068 +1024 424 +-4316 -3503 +4878 5347 +1993 2121 +-3026 2772 +-6182 -179 +-3425 -3582 +-308 655 +-5120 0 +-308 -655 +-3425 3582 +-6182 179 +-3026 -2772 +1993 -2121 +4878 -5347 +-4316 3503 +1024 -424 +2543 -2068 +-2401 3598 +1542 -3928 +-914 1324 +758 3438 +1617 -1219 +-324 -1856 +-3072 2048 +-1043 3134 +3107 985 +-2941 741 +1762 -1324 +6689 1464 +3341 286 +2944 -1329 +1024 -2472 +1046 -1110 +-1721 -467 +-4872 1054 +-1918 2772 +3012 -4735 +2797 -2406 +-542 -1016 +-1024 0 +-542 1016 +2797 2406 +3012 4735 +-1918 -2772 +-4872 -1054 +-1721 467 +1046 1110 +1024 2472 +2944 1329 +3341 -286 +6689 -1464 +1762 1324 +-2941 -741 +3107 -985 +-1043 -3134 +-3072 -2048 +-324 1856 +1617 1219 +758 -3438 +-914 -1324 +1542 3928 +-2401 -3598 +2543 2068 +1024 424 +-4316 -3503 +4878 5347 +1993 2121 +-3026 2772 +-6182 -179 +-3425 -3582 +-308 655 +-4096 5120 +-413 3240 +-1866 -1578 +-2603 1155 +1056 1900 +4919 148 +-501 -1853 +-3977 -4539 +3620 -1748 +-224 -2683 +5163 2703 +5685 354 +-2394 -2114 +409 3856 +1822 -2605 +1588 10 +1024 0 +1588 -10 +1822 2605 +409 -3856 +-2394 2114 +5685 -354 +5163 -2703 +-224 2683 +3620 1748 +-3977 4539 +-501 1853 +4919 -148 +1056 -1900 +-2603 -1155 +-1866 1578 +-413 -3240 +-4096 -5120 +-339 -4116 +-3875 1734 +-3445 1014 +1840 -452 +-425 4108 +1202 588 +2265 1444 +-3620 -300 +-4095 -3309 +4025 129 +286 1005 +-502 3562 +966 1210 +2222 -1335 +-597 2011 +-9216 0 +-597 -2011 +2222 1335 +966 -1210 +-502 -3562 +286 -1005 +4025 -129 +-4095 3309 +-3620 300 +2265 -1444 +1202 -588 +-425 -4108 +1840 452 +-3445 -1014 +-3875 -1734 +-339 4116 +-4096 5120 +-413 3240 +-1866 -1578 +-2603 1155 +1056 1900 +4919 148 +-501 -1853 +-3977 -4539 +3620 -1748 +-224 -2683 +5163 2703 +5685 354 +-2394 -2114 +409 3856 +1822 -2605 +1588 10 +0 -6144 +2926 3550 +-603 -857 +-2168 -263 +554 724 +-660 -7446 +-1811 1758 +1202 -417 +1448 2472 +-863 3948 +-962 564 +-1087 3966 +-1338 724 +514 79 +1746 -4152 +786 2697 +0 0 +786 -2697 +1746 4152 +514 -79 +-1338 -724 +-1087 -3966 +-962 -564 +-863 -3948 +1448 -2472 +1202 417 +-1811 -1758 +-660 7446 +554 -724 +-2168 263 +-603 857 +2926 -3550 +0 6144 +-1614 1647 +1932 752 +1726 6077 +-554 724 +771 -81 +2699 4598 +2980 2121 +-1448 -424 +-8671 -2765 +-5718 -1 +3193 3748 +1338 724 +-2289 1199 +2718 -1744 +3254 -1413 +0 0 +3254 1413 +2718 1744 +-2289 -1199 +1338 -724 +3193 -3748 +-5718 1 +-8671 2765 +-1448 424 +2980 -2121 +2699 -4598 +771 81 +-554 -724 +1726 -6077 +1932 -752 +-1614 -1647 +0 -6144 +2926 3550 +-603 -857 +-2168 -263 +554 724 +-660 -7446 +-1811 1758 +1202 -417 +1448 2472 +-863 3948 +-962 564 +-1087 3966 +-1338 724 +514 79 +1746 -4152 +786 2697 +7168 1024 +1709 -299 +-1805 -4830 +-2048 -2112 +1260 -1900 +-4818 -1862 +529 -87 +829 -3132 +-6692 300 +2352 4327 +327 -766 +4217 -1008 +-862 2114 +-4479 1689 +4619 247 +2451 -1753 +2048 0 +2451 1753 +4619 -247 +-4479 -1689 +-862 -2114 +4217 1008 +327 766 +2352 -4327 +-6692 -300 +829 3132 +529 87 +-4818 1862 +1260 1900 +-2048 2112 +-1805 4830 +1709 299 +7168 -1024 +-567 2657 +-6222 890 +-1503 -497 +-3308 452 +223 2062 +2797 2919 +-918 1339 +548 1748 +633 872 +-3652 -499 +-2518 9 +-1186 -3562 +5133 -3097 +3409 -91 +-697 -2881 +4096 0 +-697 2881 +3409 91 +5133 3097 +-1186 3562 +-2518 -9 +-3652 499 +633 -872 +548 -1748 +-918 -1339 +2797 -2919 +223 -2062 +-3308 -452 +-1503 497 +-6222 -890 +-567 -2657 +7168 1024 +1709 -299 +-1805 -4830 +-2048 -2112 +1260 -1900 +-4818 -1862 +529 -87 +829 -3132 +-6692 300 +2352 4327 +327 -766 +4217 -1008 +-862 2114 +-4479 1689 +4619 247 +2451 -1753 +-2048 1024 +3205 2038 +-1502 835 +-1233 -8050 +-3503 -1670 +-5096 1662 +-3008 -4418 +-343 1762 +2772 1748 +-2409 -2375 +-2739 2307 +-3681 3489 +4516 -1116 +3017 -1909 +-1023 3093 +2027 4261 +-3072 0 +2027 -4261 +-1023 -3093 +3017 1909 +4516 1116 +-3681 -3489 +-2739 -2307 +-2409 2375 +2772 -1748 +-343 -1762 +-3008 4418 +-5096 -1662 +-3503 1670 +-1233 8050 +-1502 -835 +3205 -2038 +-2048 -1024 +-71 -2938 +-1174 -1840 +-2699 -400 +606 222 +-1214 -2901 +4117 738 +3435 2864 +1324 300 +2894 688 +1631 -195 +-1153 4720 +-1620 -332 +474 -5286 +3699 1696 +2848 -3283 +-1024 0 +2848 3283 +3699 -1696 +474 5286 +-1620 332 +-1153 -4720 +1631 195 +2894 -688 +1324 -300 +3435 -2864 +4117 -738 +-1214 2901 +606 -222 +-2699 400 +-1174 1840 +-71 2938 +-2048 1024 +3205 2038 +-1502 835 +-1233 -8050 +-3503 -1670 +-5096 1662 +-3008 -4418 +-343 1762 +2772 1748 +-2409 -2375 +-2739 2307 +-3681 3489 +4516 -1116 +3017 -1909 +-1023 3093 +2027 4261 +0 1024 +-976 -1656 +-2372 2445 +2692 -524 +4840 4116 +-51 3943 +-6615 -2442 +-1458 778 +2772 -2596 +-2693 -568 +-4583 -1184 +1216 -1288 +-3962 3238 +-1818 1180 +628 3238 +-815 -1163 +5120 0 +-815 1163 +628 -3238 +-1818 -1180 +-3962 -3238 +1216 1288 +-4583 1184 +-2693 568 +2772 2596 +-1458 -778 +-6615 2442 +-51 -3943 +4840 -4116 +2692 524 +-2372 -2445 +-976 1656 +0 -1024 +-4112 -2233 +6117 75 +3440 -2194 +-1944 -2668 +-297 817 +-1226 4815 +3462 3244 +1324 4644 +-2208 1693 +-3258 -539 +2028 3151 +1065 -1789 +-1418 -1003 +3116 3378 +3007 170 +3072 0 +3007 -170 +3116 -3378 +-1418 1003 +1065 1789 +2028 -3151 +-3258 539 +-2208 -1693 +1324 -4644 +3462 -3244 +-1226 -4815 +-297 -817 +-1944 2668 +3440 2194 +6117 -75 +-4112 2233 +0 1024 +-976 -1656 +-2372 2445 +2692 -524 +4840 4116 +-51 3943 +-6615 -2442 +-1458 778 +2772 -2596 +-2693 -568 +-4583 -1184 +1216 -1288 +-3962 3238 +-1818 1180 +628 3238 +-815 -1163 +2048 0 +-192 -797 +732 -554 +-3609 4211 +4494 3908 +1989 1441 +-3729 -2142 +742 -377 +-3496 -3920 +-441 -2986 +-527 2497 +-3644 2020 +-74 5788 +-2104 1584 +-46 -1808 +1161 -2347 +-2048 0 +1161 2347 +-46 1808 +-2104 -1584 +-74 -5788 +-3644 -2020 +-527 -2497 +-441 2986 +-3496 3920 +742 377 +-3729 2142 +1989 -1441 +4494 -3908 +-3609 -4211 +732 554 +-192 797 +2048 0 +1076 -1938 +-4109 490 +551 3390 +-398 -3660 +-1029 -1054 +793 -910 +3546 -1104 +-600 1872 +-952 4401 +5160 4340 +-212 1263 +4170 2653 +2265 3120 +-6466 3440 +852 -3284 +6144 0 +852 3284 +-6466 -3440 +2265 -3120 +4170 -2653 +-212 -1263 +5160 -4340 +-952 -4401 +-600 -1872 +3546 1104 +793 910 +-1029 1054 +-398 3660 +551 -3390 +-4109 -490 +1076 1938 +2048 0 +-192 -797 +732 -554 +-3609 4211 +4494 3908 +1989 1441 +-3729 -2142 +742 -377 +-3496 -3920 +-441 -2986 +-527 2497 +-3644 2020 +-74 5788 +-2104 1584 +-46 -1808 +1161 -2347 +-7168 -3072 +-248 -1028 +2901 3099 +-1997 646 +-1306 1670 +-3484 671 +2141 -3523 +1907 -2034 +1748 2596 +-1092 2538 +-1297 1145 +1157 3318 +-3972 1116 +-1933 -734 +-571 1228 +580 -854 +4096 0 +580 854 +-571 -1228 +-1933 734 +-3972 -1116 +1157 -3318 +-1297 -1145 +-1092 -2538 +1748 -2596 +1907 2034 +2141 3523 +-3484 -671 +-1306 -1670 +-1997 -646 +2901 -3099 +-248 1028 +-7168 3072 +6070 -3350 +4039 -3792 +583 -2154 +2154 -222 +6130 230 +4150 -2685 +-2480 -1780 +300 -4644 +-3686 -1000 +-898 6631 +-1586 -201 +-972 332 +1130 1443 +-2274 -4319 +-1051 1827 +-2048 0 +-1051 -1827 +-2274 4319 +1130 -1443 +-972 -332 +-1586 201 +-898 -6631 +-3686 1000 +300 4644 +-2480 1780 +4150 2685 +6130 -230 +2154 222 +583 2154 +4039 3792 +6070 3350 +-7168 -3072 +-248 -1028 +2901 3099 +-1997 646 +-1306 1670 +-3484 671 +2141 -3523 +1907 -2034 +1748 2596 +-1092 2538 +-1297 1145 +1157 3318 +-3972 1116 +-1933 -734 +-571 1228 +580 -854 +-2048 -2048 +-276 -182 +-3662 -1384 +-2358 -2457 +1089 4794 +-2332 -705 +1381 1912 +3397 -2720 +-600 -5968 +-2078 -302 +578 3977 +-367 4202 +-5812 -1674 +-973 -3714 +1116 -6139 +-1594 4048 +0 0 +-1594 -4048 +1116 6139 +-973 3714 +-5812 1674 +-367 -4202 +578 -3977 +-2078 302 +-600 5968 +3397 2720 +1381 -1912 +-2332 705 +1089 -4794 +-2358 2457 +-3662 1384 +-276 182 +-2048 2048 +230 -1804 +1586 5036 +3380 1 +2656 -98 +-863 2932 +3224 -3776 +1098 -2718 +-3496 -176 +-850 -1351 +1810 -545 +-222 -3543 +-2028 -5918 +3736 -310 +2160 -1297 +72 -2249 +4096 0 +72 2249 +2160 1297 +3736 310 +-2028 5918 +-222 3543 +1810 545 +-850 1351 +-3496 176 +1098 2718 +3224 3776 +-863 -2932 +2656 98 +3380 -1 +1586 -5036 +230 1804 +-2048 -2048 +-276 -182 +-3662 -1384 +-2358 -2457 +1089 4794 +-2332 -705 +1381 1912 +3397 -2720 +-600 -5968 +-2078 -302 +578 3977 +-367 4202 +-5812 -1674 +-973 -3714 +1116 -6139 +-1594 4048 +3072 -3072 +-769 -2717 +-4138 909 +-1037 2418 +-3178 3478 +-1287 -425 +889 4036 +-1645 -2151 +-3796 -3196 +-385 -3750 +1929 -3799 +-3482 491 +-52 -1800 +5268 4823 +-339 1049 +-2222 -1119 +0 0 +-2222 1119 +-339 -1049 +5268 -4823 +-52 1800 +-3482 -491 +1929 3799 +-385 3750 +-3796 3196 +-1645 2151 +889 -4036 +-1287 425 +-3178 -3478 +-1037 -2418 +-4138 -909 +-769 2717 +3072 3072 +-1050 -6400 +1582 -1665 +518 2353 +282 18 +1374 -1374 +-2117 724 +491 236 +-2348 1148 +-2245 -694 +2195 -2529 +1827 5590 +2948 1200 +-3181 -364 +-1 3491 +7825 -2334 +6144 0 +7825 2334 +-1 -3491 +-3181 364 +2948 -1200 +1827 -5590 +2195 2529 +-2245 694 +-2348 -1148 +491 -236 +-2117 -724 +1374 1374 +282 -18 +518 -2353 +1582 1665 +-1050 6400 +3072 -3072 +-769 -2717 +-4138 909 +-1037 2418 +-3178 3478 +-1287 -425 +889 4036 +-1645 -2151 +-3796 -3196 +-385 -3750 +1929 -3799 +-3482 491 +-52 -1800 +5268 4823 +-339 1049 +-2222 -1119 +-5120 0 +1003 296 +1907 2566 +231 -2818 +2062 -3340 +-859 -5343 +1101 -593 +1056 704 +-2896 2896 +1463 3239 +-769 3331 +-6273 3415 +-170 -2232 +4842 -82 +4053 -2361 +-801 1473 +-5120 0 +-801 -1473 +4053 2361 +4842 82 +-170 2232 +-6273 -3415 +-769 -3331 +1463 -3239 +-2896 -2896 +1056 -704 +1101 593 +-859 5343 +2062 3340 +231 2818 +1907 -2566 +1003 -296 +-5120 0 +2383 -1388 +3821 590 +-5964 -4274 +-614 444 +3216 -4400 +-3842 -4131 +-1922 -1184 +2896 -2896 +2299 2311 +1813 -3959 +1020 -2694 +-1278 -664 +-2006 -2338 +108 1422 +312 -2326 +-1024 0 +312 2326 +108 -1422 +-2006 2338 +-1278 664 +1020 2694 +1813 3959 +2299 -2311 +2896 2896 +-1922 1184 +-3842 4131 +3216 4400 +-614 -444 +-5964 4274 +3821 -590 +2383 1388 +-5120 0 +1003 296 +1907 2566 +231 -2818 +2062 -3340 +-859 -5343 +1101 -593 +1056 704 +-2896 2896 +1463 3239 +-769 3331 +-6273 3415 +-170 -2232 +4842 -82 +4053 -2361 +-801 1473 +-2048 1024 +2309 -1851 +3460 -532 +3042 -70 +2768 -2948 +-2464 555 +-621 4291 +-129 300 +-1748 -3620 +4494 -2312 +-455 6612 +-1201 2001 +306 -3178 +2798 -716 +1778 -4604 +694 2524 +7168 0 +694 -2524 +1778 4604 +2798 716 +306 3178 +-1201 -2001 +-455 -6612 +4494 2312 +-1748 3620 +-129 -300 +-621 -4291 +-2464 -555 +2768 2948 +3042 70 +3460 532 +2309 1851 +-2048 -1024 +-2334 -775 +220 1471 +-3946 -3042 +-3368 52 +-4212 -416 +-4167 -3664 +-401 4499 +-300 3620 +2068 120 +-549 -1888 +-2588 -663 +-3802 282 +2778 -3595 +334 1447 +-907 1843 +9216 0 +-907 -1843 +334 -1447 +2778 3595 +-3802 -282 +-2588 663 +-549 1888 +2068 -120 +-300 -3620 +-401 -4499 +-4167 3664 +-4212 416 +-3368 -52 +-3946 3042 +220 -1471 +-2334 775 +-2048 1024 +2309 -1851 +3460 -532 +3042 -70 +2768 -2948 +-2464 555 +-621 4291 +-129 300 +-1748 -3620 +4494 -2312 +-455 6612 +-1201 2001 +306 -3178 +2798 -716 +1778 -4604 +694 2524 +-3072 -1024 +273 1391 +124 -5972 +-4522 1443 +1390 -1142 +1877 -3800 +-955 1873 +-1088 -26 +-300 -1748 +-296 4446 +-1658 2443 +2952 -3150 +273 1919 +364 193 +5358 -478 +4223 119 +4096 0 +4223 -119 +5358 478 +364 -193 +273 -1919 +2952 3150 +-1658 -2443 +-296 -4446 +-300 1748 +-1088 26 +-955 -1873 +1877 3800 +1390 1142 +-4522 -1443 +124 5972 +273 -1391 +-3072 1024 +-1809 -132 +697 -4529 +366 1188 +-4286 -5251 +725 1127 +2663 1966 +159 -2177 +-1748 -300 +-3240 32 +1150 5989 +1127 1806 +2624 -4216 +2903 -2025 +814 2762 +-4017 2027 +-10240 0 +-4017 -2027 +814 -2762 +2903 2025 +2624 4216 +1127 -1806 +1150 -5989 +-3240 -32 +-1748 300 +159 2177 +2663 -1966 +725 -1127 +-4286 5251 +366 -1188 +697 4529 +-1809 132 +-3072 -1024 +273 1391 +124 -5972 +-4522 1443 +1390 -1142 +1877 -3800 +-955 1873 +-1088 -26 +-300 -1748 +-296 4446 +-1658 2443 +2952 -3150 +273 1919 +364 193 +5358 -478 +4223 119 +1024 -1024 +-2128 -1090 +824 -16 +-776 -2105 +-2524 -3792 +-1081 1150 +455 -1086 +5315 970 +-900 900 +-5469 3959 +173 2615 +1465 -117 +706 1330 +-2841 -2020 +20 4301 +3080 -2753 +0 0 +3080 2753 +20 -4301 +-2841 2020 +706 -1330 +1465 117 +173 -2615 +-5469 -3959 +-900 -900 +5315 -970 +455 1086 +-1081 -1150 +-2524 3792 +-776 2105 +824 16 +-2128 1090 +1024 1024 +1928 381 +1224 1687 +3631 5918 +476 2344 +-3880 3229 +1593 -1486 +2765 -69 +-5244 5244 +964 -842 +1875 606 +-7648 -856 +-2754 -2778 +-454 482 +2028 3163 +5129 4261 +2048 0 +5129 -4261 +2028 -3163 +-454 -482 +-2754 2778 +-7648 856 +1875 -606 +964 842 +-5244 -5244 +2765 69 +1593 1486 +-3880 -3229 +476 -2344 +3631 -5918 +1224 -1687 +1928 -381 +1024 -1024 +-2128 -1090 +824 -16 +-776 -2105 +-2524 -3792 +-1081 1150 +455 -1086 +5315 970 +-900 900 +-5469 3959 +173 2615 +1465 -117 +706 1330 +-2841 -2020 +20 4301 +3080 -2753 +3072 2048 +-1458 1881 +-3908 -1295 +-468 -2067 +-1988 -738 +4353 1013 +1041 -397 +-972 245 +2648 4944 +1173 4499 +5074 3021 +-3759 2558 +568 -2942 +-497 -3337 +-4670 2217 +158 2213 +-5120 0 +158 -2213 +-4670 -2217 +-497 3337 +568 2942 +-3759 -2558 +5074 -3021 +1173 -4499 +2648 -4944 +-972 -245 +1041 397 +4353 -1013 +-1988 738 +-468 2067 +-3908 1295 +-1458 -1881 +3072 -2048 +1425 732 +2904 -1601 +2304 -1591 +-3556 1938 +1799 -1373 +2639 -2499 +-3211 548 +5544 -848 +3010 390 +-2961 -125 +-2394 -7014 +-3216 -4050 +-1338 3775 +-119 679 +-125 -3696 +-1024 0 +-125 3696 +-119 -679 +-1338 -3775 +-3216 4050 +-2394 7014 +-2961 125 +3010 -390 +5544 848 +-3211 -548 +2639 2499 +1799 1373 +-3556 -1938 +2304 1591 +2904 1601 +1425 -732 +3072 2048 +-1458 1881 +-3908 -1295 +-468 -2067 +-1988 -738 +4353 1013 +1041 -397 +-972 245 +2648 4944 +1173 4499 +5074 3021 +-3759 2558 +568 -2942 +-497 -3337 +-4670 2217 +158 2213 +2048 1024 +-1061 -924 +-2967 1419 +-1232 -1056 +-18 1102 +-229 -1066 +-787 3913 +-989 2070 +-1148 2772 +1963 951 +2002 -5220 +-581 -1749 +1200 -2440 +1947 330 +3287 -1403 +4305 -782 +3072 0 +4305 782 +3287 1403 +1947 -330 +1200 2440 +-581 1749 +2002 5220 +1963 -951 +-1148 -2772 +-989 -2070 +-787 -3913 +-229 1066 +-18 -1102 +-1232 1056 +-2967 -1419 +-1061 924 +2048 -1024 +-2251 -2223 +-2761 6370 +-1209 750 +-3478 2994 +2803 -471 +3528 -5260 +-281 32 +3196 1324 +-693 1152 +-3046 -1919 +-1993 211 +-1800 -1656 +494 -636 +-7448 3400 +-993 -2365 +13312 0 +-993 2365 +-7448 -3400 +494 636 +-1800 1656 +-1993 -211 +-3046 1919 +-693 -1152 +3196 -1324 +-281 -32 +3528 5260 +2803 471 +-3478 -2994 +-1209 -750 +-2761 -6370 +-2251 2223 +2048 1024 +-1061 -924 +-2967 1419 +-1232 -1056 +-18 1102 +-229 -1066 +-787 3913 +-989 2070 +-1148 2772 +1963 951 +2002 -5220 +-581 -1749 +1200 -2440 +1947 330 +3287 -1403 +4305 -782 +-2048 -1024 +3336 -1627 +1023 -3806 +-5061 -330 +2126 -138 +1723 -2829 +-1969 2418 +3027 3895 +2172 -900 +-316 4401 +-2732 4071 +-536 -2061 +3464 4032 +-2610 2073 +-1341 -831 +-119 1191 +-5120 0 +-119 -1191 +-1341 831 +-2610 -2073 +3464 -4032 +-536 2061 +-2732 -4071 +-316 -4401 +2172 900 +3027 -3895 +-1969 -2418 +1723 2829 +2126 138 +-5061 330 +1023 3806 +3336 1627 +-2048 1024 +-943 -4550 +2161 -3539 +6009 -1200 +4018 -462 +-396 1675 +40 -3303 +-1403 -1982 +-2172 -5244 +-2876 1297 +-2332 3733 +2993 -660 +2680 -536 +-2123 -5172 +-3043 2175 +-707 -3583 +1024 0 +-707 3583 +-3043 -2175 +-2123 5172 +2680 536 +2993 660 +-2332 -3733 +-2876 -1297 +-2172 5244 +-1403 1982 +40 3303 +-396 -1675 +4018 462 +6009 1200 +2161 3539 +-943 4550 +-2048 -1024 +3336 -1627 +1023 -3806 +-5061 -330 +2126 -138 +1723 -2829 +-1969 2418 +3027 3895 +2172 -900 +-316 4401 +-2732 4071 +-536 -2061 +3464 4032 +-2610 2073 +-1341 -831 +-119 1191 +-1024 2048 +2763 772 +-2576 -1379 +776 -330 +6980 -1762 +4892 -5026 +3978 -135 +-3114 2641 +-2048 -2048 +-1799 184 +5 -952 +649 -315 +-2716 -1918 +629 -3416 +5639 3604 +2780 -2841 +-3072 0 +2780 2841 +5639 -3604 +629 3416 +-2716 1918 +649 315 +5 952 +-1799 -184 +-2048 2048 +-3114 -2641 +3978 135 +4892 5026 +6980 1762 +776 330 +-2576 1379 +2763 -772 +-1024 -2048 +3032 -1888 +-2331 -2117 +-4807 3608 +-588 914 +-617 -2095 +471 735 +-1505 -1758 +-2048 -2048 +-5615 -4286 +-1557 352 +-1378 1755 +419 -3026 +5650 1269 +-3627 -108 +-2335 -860 +5120 0 +-2335 860 +-3627 108 +5650 -1269 +419 3026 +-1378 -1755 +-1557 -352 +-5615 4286 +-2048 2048 +-1505 1758 +471 -735 +-617 2095 +-588 -914 +-4807 -3608 +-2331 2117 +3032 1888 +-1024 2048 +2763 772 +-2576 -1379 +776 -330 +6980 -1762 +4892 -5026 +3978 -135 +-3114 2641 +-2048 -2048 +-1799 184 +5 -952 +649 -315 +-2716 -1918 +629 -3416 +5639 3604 +2780 -2841 +3072 1024 +151 4264 +-2752 -484 +1818 -409 +-3576 -2960 +2091 2582 +552 574 +-3217 -3397 +2596 300 +1149 -312 +-869 -2533 +-1012 1525 +4118 737 +1543 -5264 +-1548 1991 +-688 1251 +-4096 0 +-688 -1251 +-1548 -1991 +1543 5264 +4118 -737 +-1012 -1525 +-869 2533 +1149 312 +2596 -300 +-3217 3397 +552 -574 +2092 -2582 +-3576 2960 +1818 409 +-2752 484 +151 -4264 +3072 -1024 +-5224 3259 +-744 -3796 +3931 -5079 +4776 -7528 +-926 -6650 +-1151 -1867 +1987 3874 +-4644 1748 +-1249 -99 +269 41 +-1041 -1129 +2875 1062 +-611 -1553 +-1948 721 +1297 -408 +2048 0 +1297 408 +-1948 -721 +-611 1553 +2875 -1062 +-1041 1129 +269 -41 +-1249 99 +-4644 -1748 +1987 -3874 +-1151 1867 +-926 6650 +4776 7528 +3931 5079 +-744 3796 +-5224 -3259 +3072 1024 +151 4264 +-2752 -484 +1818 -409 +-3576 -2960 +2091 2582 +552 574 +-3217 -3397 +2596 300 +1149 -312 +-869 -2533 +-1012 1525 +4118 737 +1543 -5264 +-1548 1991 +-688 1251 +3072 1024 +746 -3055 +2713 -2317 +6562 4615 +3248 -5200 +-746 -1986 +-823 1304 +3231 2231 +-1924 -724 +-4562 -339 +1117 -500 +-127 -2194 +-1430 403 +-1621 -5290 +355 897 +-184 2325 +-4096 0 +-184 -2325 +355 -897 +-1621 5290 +-1430 -403 +-127 2194 +1117 500 +-4562 339 +-1924 724 +3231 -2231 +-823 -1304 +-746 1986 +3248 5200 +6562 -4615 +2713 2317 +746 3055 +3072 -1024 +-2499 6644 +-2269 -1179 +448 1572 +248 3152 +3160 -3636 +3054 -704 +121 1428 +-6268 724 +-5471 1231 +-453 -100 +-958 -219 +2030 1645 +-925 701 +-3695 2600 +2824 896 +6144 0 +2824 -896 +-3695 -2600 +-925 -701 +2030 -1645 +-958 219 +-453 100 +-5471 -1231 +-6268 -724 +121 -1428 +3054 704 +3160 3636 +248 -3152 +448 -1572 +-2269 1179 +-2499 -6644 +3072 1024 +746 -3055 +2713 -2317 +6562 4615 +3248 -5200 +-746 -1986 +-823 1304 +3231 2231 +-1924 -724 +-4562 -339 +1117 -500 +-127 -2194 +-1430 403 +-1621 -5290 +355 897 +-184 2325 +1024 -3072 +3554 -1113 +2266 1592 +741 -960 +2804 632 +-3298 -15 +417 -3222 +1128 2491 +-2172 2172 +2857 229 +-2093 -2123 +-2601 -1170 +-2094 -78 +-4343 -767 +-524 5787 +-1620 -4582 +-4096 0 +-1620 4582 +-524 -5787 +-4343 767 +-2094 78 +-2601 1170 +-2093 2123 +2857 -229 +-2172 -2172 +1128 -2491 +417 3222 +-3298 15 +2804 -632 +741 960 +2266 -1592 +3554 1113 +1024 3072 +402 -4961 +-974 -2152 +1258 3850 +3588 1416 +2365 1240 +-3129 -2690 +-289 -343 +2172 -2172 +-1688 351 +-2187 4900 +-3826 -1388 +-202 -1970 +-1880 -127 +-1968 2342 +7240 -3060 +10240 0 +7240 3060 +-1968 -2342 +-1880 127 +-202 1970 +-3826 1388 +-2187 -4900 +-1688 -351 +2172 2172 +-289 343 +-3129 2690 +2365 -1240 +3588 -1416 +1258 -3850 +-974 2152 +402 4961 +1024 -3072 +3554 -1113 +2266 1592 +741 -960 +2804 632 +-3298 -15 +417 -3222 +1128 2491 +-2172 2172 +2857 229 +-2093 -2123 +-2601 -1170 +-2094 -78 +-4343 -767 +-524 5787 +-1620 -4582 +2048 -1024 +-3680 -2630 +-1483 -936 +-1887 -1514 +-4227 -966 +-11 -628 +88 -5936 +-2392 -1860 +-3196 2172 +-947 4561 +3452 290 +-775 -3281 +5240 2745 +2086 758 +-1441 -3917 +3258 -5254 +-1024 0 +3258 5254 +-1441 3917 +2086 -758 +5240 -2745 +-775 3281 +3452 -290 +-947 -4561 +-3196 -2172 +-2392 1860 +88 5936 +-11 628 +-4227 966 +-1887 1514 +-1483 936 +-3680 2630 +2048 1024 +3563 3895 +-3186 -1768 +968 -2426 +-118 4710 +-1910 784 +-312 -1825 +100 -972 +1148 -2172 +-545 -1729 +5461 3037 +1129 3125 +-895 5096 +401 3182 +-2579 -4083 +643 3990 +1024 0 +643 -3990 +-2579 4083 +401 -3182 +-895 -5096 +1129 -3125 +5461 -3037 +-545 1729 +1148 2172 +100 972 +-312 1825 +-1910 -784 +-118 -4710 +968 2426 +-3186 1768 +3563 -3895 +2048 -1024 +-3680 -2630 +-1483 -936 +-1887 -1514 +-4227 -966 +-11 -628 +88 -5936 +-2392 -1860 +-3196 2172 +-947 4561 +3452 290 +-775 -3281 +5240 2745 +2086 758 +-1441 -3917 +3258 -5254 +2048 2048 +-1074 -2272 +-669 -971 +668 953 +-314 -3900 +146 -5769 +-2183 -960 +2401 -2587 +-1448 -6568 +-4779 -206 +-1096 2041 +-1313 825 +470 1785 +4006 -645 +1556 -1987 +-324 -2346 +2048 0 +-324 2346 +1556 1987 +4006 645 +470 -1785 +-1313 -825 +-1096 -2041 +-4779 206 +-1448 6568 +2401 2587 +-2183 960 +146 5769 +-314 3900 +668 -953 +-669 971 +-1074 2272 +2048 -2048 +-818 -33 +69 1636 +-1452 2319 +2362 2101 +638 -2998 +-1313 516 +-508 -2303 +1448 -3672 +6671 2676 +-2400 -5382 +2097 -15 +1578 8704 +-4789 1909 +-2156 -245 +-1568 -4183 +2048 0 +-1568 4183 +-2156 245 +-4789 -1909 +1578 -8704 +2097 15 +-2400 5382 +6671 -2676 +1448 3672 +-508 2303 +-1313 -516 +638 2998 +2362 -2101 +-1452 -2319 +69 -1636 +-818 33 +2048 2048 +-1074 -2272 +-669 -971 +668 953 +-314 -3900 +146 -5769 +-2183 -960 +2401 -2587 +-1448 -6568 +-4779 -206 +-1096 2041 +-1313 825 +470 1785 +4006 -645 +1556 -1987 +-324 -2346 +5120 0 +3192 2639 +2810 970 +659 3257 +-5812 1842 +-2030 797 +348 1742 +-1310 -1003 +1024 2472 +2523 -1365 +-1691 -2947 +1430 -4204 +2656 -3835 +2118 449 +-5823 -5116 +-3792 -438 +9216 0 +-3792 438 +-5823 5116 +2118 -449 +2656 3835 +1430 4204 +-1691 2947 +2523 1365 +1024 -2472 +-1310 1003 +348 -1742 +-2030 -797 +-5812 -1842 +659 -3257 +2810 -970 +3192 -2639 +5120 0 +-3027 -928 +-1074 1143 +-1959 -1677 +-2028 -6186 +-687 1017 +-829 -738 +4551 -1171 +1024 -424 +-413 -6160 +-1925 -1842 +-929 3801 +1089 -510 +1399 -1086 +-9 1436 +-1724 -3202 +1024 0 +-1724 3202 +-9 -1436 +1399 1086 +1089 510 +-929 -3801 +-1925 1842 +-413 6160 +1024 424 +4551 1171 +-829 738 +-687 -1017 +-2028 6186 +-1959 1677 +-1074 -1143 +-3027 928 +5120 0 +3192 2639 +2810 970 +659 3257 +-5812 1842 +-2030 797 +348 1742 +-1310 -1003 +1024 2472 +2523 -1365 +-1691 -2947 +1430 -4204 +2656 -3835 +2118 449 +-5823 -5116 +-3792 -438 +-3072 -2048 +-5066 -869 +-1054 -1776 +-358 1124 +2832 -5982 +3667 -2775 +-523 5915 +1226 -663 +4520 3072 +-776 1625 +-565 -588 +-1049 98 +3940 -2042 +4202 2703 +-111 -1635 +1153 -3336 +-1024 0 +1153 3336 +-111 1635 +4202 -2703 +3940 2042 +-1049 -98 +-565 588 +-776 -1625 +4520 -3072 +1226 663 +-523 -5915 +3667 2775 +2832 5982 +-358 -1124 +-1054 1776 +-5066 869 +-3072 2048 +3901 -475 +-3330 -936 +-251 135 +1264 -3306 +-2438 -1703 +-4540 -3423 +-6975 -1170 +1624 3072 +-156 1007 +-1364 1881 +1149 2105 +156 -3151 +871 -556 +3295 5915 +900 662 +-5120 0 +900 -662 +3295 -5915 +871 556 +156 3151 +1149 -2105 +-1364 -1881 +-156 -1007 +1624 -3072 +-6975 1170 +-4540 3423 +-2438 1703 +1264 3306 +-251 -135 +-3330 936 +3901 475 +-3072 -2048 +-5066 -869 +-1054 -1776 +-358 1124 +2832 -5982 +3667 -2775 +-523 5915 +1226 -663 +4520 3072 +-776 1625 +-565 -588 +-1049 98 +3940 -2042 +4202 2703 +-111 -1635 +1153 -3336 +1024 -3072 +-3757 -607 +-1623 -1566 +1427 -4783 +1430 3078 +1201 114 +1952 -380 +4208 -3266 +1324 -2172 +-1156 1885 +394 -3288 +2352 -1180 +-248 2044 +136 2783 +1995 1941 +267 4360 +0 0 +267 -4360 +1995 -1941 +136 -2783 +-248 -2044 +2352 1180 +394 3288 +-1156 -1885 +1324 2172 +4208 3266 +1952 380 +1201 -114 +1430 -3078 +1427 4783 +-1623 1566 +-3757 607 +1024 3072 +-4865 -1752 +-1717 -601 +2916 -1878 +-2030 -1030 +5952 2492 +-1288 92 +-6903 -4310 +2772 2172 +4739 874 +1838 -3993 +-5041 -2557 +-3248 -4092 +-3150 -1803 +-1551 -2908 +1675 1217 +-2048 0 +1675 -1217 +-1551 2908 +-3150 1803 +-3248 4092 +-5041 2557 +1838 3993 +4739 -874 +2772 -2172 +-6903 4310 +-1288 -92 +5952 -2492 +-2030 1030 +2916 1878 +-1717 601 +-4865 1752 +1024 -3072 +-3757 -607 +-1623 -1566 +1427 -4783 +1430 3078 +1201 114 +1952 -380 +4208 -3266 +1324 -2172 +-1156 1885 +394 -3288 +2352 -1180 +-248 2044 +136 2783 +1995 1941 +267 4360 +-1024 -1024 +-1111 2741 +192 -6484 +2578 -7820 +-2284 3692 +-2590 1063 +-293 235 +1086 -815 +1748 900 +-727 1759 +-1272 950 +-167 1857 +-162 765 +-6116 4161 +-686 -469 +601 -35 +-8192 0 +601 35 +-686 469 +-6116 -4161 +-162 -765 +-167 -1857 +-1272 -950 +-727 -1759 +1748 -900 +1086 815 +-293 -235 +-2590 -1063 +-2284 -3692 +2578 7820 +192 6484 +-1111 -2741 +-1024 1024 +2557 1641 +5041 468 +3276 -499 +2284 -3092 +-3562 -2445 +412 -2008 +1596 -1308 +300 5244 +5405 -435 +-1743 173 +-3258 1801 +162 -4262 +-1746 752 +-1650 -2651 +2178 -329 +6144 0 +2178 329 +-1650 2651 +-1746 -752 +162 4262 +-3258 -1801 +-1743 -173 +5405 435 +300 -5244 +1596 1308 +412 2008 +-3562 2445 +2284 3092 +3276 499 +5041 -468 +2557 -1641 +-1024 -1024 +-1111 2741 +192 -6484 +2578 -7820 +-2284 3692 +-2590 1063 +-293 235 +1086 -815 +1748 900 +-727 1759 +-1272 950 +-167 1857 +-162 765 +-6116 4161 +-686 -469 +601 -35 +-2048 -3072 +42 -2383 +-6453 -710 +-392 -3527 +3732 2500 +1067 969 +1571 -1612 +-2119 -5966 +5668 -3796 +5706 -2086 +-1955 895 +3953 -1100 +-1286 -5610 +-6042 4003 +-1209 311 +-921 794 +-1024 0 +-921 -794 +-1209 -311 +-6042 -4003 +-1286 5610 +3953 1100 +-1955 -895 +5706 2086 +5668 3796 +-2119 5966 +1571 1612 +1067 -969 +3732 -2500 +-392 3527 +-6453 710 +42 2383 +-2048 3072 +-1324 -2412 +3777 2602 +196 48 +-836 148 +504 426 +-463 829 +-3325 827 +-1572 -2348 +1954 601 +847 -1679 +-172 -5177 +-1610 66 +886 4623 +3885 1581 +-14 1459 +-3072 0 +-14 -1459 +3885 -1581 +886 -4623 +-1610 -66 +-172 5177 +847 1679 +1954 -601 +-1572 2348 +-3325 -827 +-463 -829 +504 -426 +-836 -148 +196 -48 +3777 -2602 +-1324 2412 +-2048 -3072 +42 -2383 +-6453 -710 +-392 -3527 +3732 2500 +1067 969 +1571 -1612 +-2119 -5966 +5668 -3796 +5706 -2086 +-1955 895 +3953 -1100 +-1286 -5610 +-6042 4003 +-1209 311 +-921 794 +5120 1024 +4927 911 +461 -672 +-3236 -1867 +-2719 -2250 +-1596 1532 +-3831 -1073 +-3618 -3070 +-2348 1748 +7482 -1568 +2223 -2239 +-1075 -143 +6408 -756 +-1940 -899 +38 -1316 +4356 2325 +0 0 +4356 -2325 +38 1316 +-1940 899 +6408 756 +-1075 143 +2223 2239 +7482 1568 +-2348 -1748 +-3618 3070 +-3831 1073 +-1596 -1532 +-2719 2250 +-3236 1867 +461 672 +4927 -911 +5120 -1024 +117 -2410 +987 1337 +-2219 5970 +-177 -4142 +1590 -6108 +2383 629 +-1127 652 +-3796 300 +1489 -1162 +-3671 -1101 +-927 -1905 +-3512 -1540 +-2182 -662 +1410 -916 +-2040 4056 +2048 0 +-2040 -4056 +1410 916 +-2182 662 +-3512 1540 +-927 1905 +-3671 1101 +1489 1162 +-3796 -300 +-1127 -652 +2383 -629 +1590 6108 +-177 4142 +-2219 -5970 +987 -1337 +117 2410 +5120 1024 +4927 911 +461 -672 +-3236 -1867 +-2719 -2250 +-1596 1532 +-3831 -1073 +-3618 -3070 +-2348 1748 +7482 -1568 +2223 -2239 +-1075 -143 +6408 -756 +-1940 -899 +38 -1316 +4356 2325 +6144 -4096 +2158 -4153 +-3221 -1063 +1072 -2592 +1892 -1278 +4103 4376 +2500 3692 +-569 419 +-1448 2472 +-3364 -472 +2798 -242 +-2417 -3095 +-784 -2062 +2538 5471 +-2155 1036 +2216 1984 +4096 0 +2216 -1984 +-2155 -1036 +2538 -5471 +-784 2062 +-2417 3095 +2798 242 +-3364 472 +-1448 -2472 +-569 -419 +2500 -3692 +4103 -4376 +1892 1278 +1072 2592 +-3221 1063 +2158 4153 +6144 4096 +-81 -3015 +-615 907 +2437 4150 +-1892 -170 +1332 -3195 +1024 -2428 +-285 690 +1448 -424 +-6246 -1315 +-4625 -2590 +-3257 1380 +784 614 +-16 -1017 +-3897 2904 +379 -6256 +0 0 +379 6256 +-3897 -2904 +-16 1017 +784 -614 +-3257 -1380 +-4625 2590 +-6246 1315 +1448 424 +-285 -690 +1024 2428 +1332 3195 +-1892 170 +2437 -4150 +-615 -907 +-81 3015 +6144 -4096 +2158 -4153 +-3221 -1063 +1072 -2592 +1892 -1278 +4103 4376 +2500 3692 +-569 419 +-1448 2472 +-3364 -472 +2798 -242 +-2417 -3095 +-784 -2062 +2538 5471 +-2155 1036 +2216 1984 +2048 2048 +1346 3062 +-1970 4032 +1343 -1058 +1629 -5462 +-3116 -2187 +-1416 -1313 +1375 2027 +-1024 2048 +-3298 1095 +-632 1296 +3405 2715 +-4932 214 +1086 -2906 +-78 2428 +-5249 213 +4096 0 +-5249 -213 +-78 -2428 +1086 2906 +-4932 -214 +3405 -2715 +-632 -1296 +-3298 -1095 +-1024 -2048 +1375 -2027 +-1416 1313 +-3116 2187 +1629 5462 +1343 1058 +-1970 -4032 +1346 -3062 +2048 -2048 +-2910 1019 +-1970 -5361 +-2393 -3792 +4764 2566 +5376 -57 +-1416 2200 +-589 3531 +-1024 2048 +-1064 2246 +-632 5385 +5479 393 +2636 -3111 +405 3408 +-78 2036 +-1197 1652 +4096 0 +-1197 -1652 +-78 -2036 +405 -3408 +2636 3111 +5479 -393 +-632 -5385 +-1064 -2246 +-1024 -2048 +-589 -3531 +-1416 -2200 +5376 57 +4764 -2566 +-2393 3792 +-1970 5361 +-2910 -1019 +2048 2048 +1346 3062 +-1970 4032 +1343 -1058 +1629 -5462 +-3116 -2187 +-1416 -1313 +1375 2027 +-1024 2048 +-3298 1095 +-632 1296 +3405 2715 +-4932 214 +1086 -2906 +-78 2428 +-5249 213 +4096 -1024 +435 -445 +-3764 6899 +-412 1806 +-932 -3308 +-1028 -813 +5178 2009 +734 4600 +300 724 +-2223 438 +-4492 4346 +-629 3293 +-1826 1186 +-1499 1908 +2743 3819 +3310 2124 +1024 0 +3310 -2124 +2743 -3819 +-1499 -1908 +-1826 -1186 +-629 -3293 +-4492 -4346 +-2223 -438 +300 -724 +734 -4600 +5178 -2009 +-1028 813 +-932 3308 +-412 -1806 +-3764 -6899 +435 445 +4096 1024 +893 -522 +-1144 -99 +3633 -1149 +-1716 1260 +1259 -18 +-730 1656 +-3858 5 +1748 -724 +-3789 3856 +2939 -3578 +1047 -1597 +-3718 862 +-2371 -6914 +-731 85 +4498 4790 +3072 0 +4498 -4790 +-731 -85 +-2371 6914 +-3718 -862 +1047 1597 +2939 3578 +-3789 -3856 +1748 724 +-3858 -5 +-730 -1656 +1259 18 +-1716 -1260 +3633 1149 +-1144 99 +893 522 +4096 -1024 +435 -445 +-3764 6899 +-412 1806 +-932 -3308 +-1028 -813 +5178 2009 +734 4600 +300 724 +-2223 438 +-4492 4346 +-629 3293 +-1826 1186 +-1499 1908 +2743 3819 +3310 2124 +-2048 -2048 +-922 901 +130 -1769 +944 -3180 +0 834 +189 -3119 +3810 -3536 +-1830 470 +-2896 1024 +-3339 -31 +1134 -3698 +3178 2185 +0 2726 +4279 -4320 +-978 228 +-5107 1487 +-2048 0 +-5107 -1487 +-978 -228 +4279 4320 +0 -2726 +3178 -2185 +1134 3698 +-3339 31 +-2896 -1024 +-1830 -470 +3810 3536 +189 3119 +0 -834 +944 3180 +130 1769 +-922 -901 +-2048 2048 +1052 -26 +130 -3739 +3982 1011 +0 3510 +-988 -1137 +3810 2584 +4051 2626 +2896 1024 +1356 6023 +1134 -1351 +-7052 -3545 +0 1618 +1259 -746 +-978 -1640 +-1055 -3508 +-10240 0 +-1055 3508 +-978 1640 +1259 746 +0 -1618 +-7052 3545 +1134 1351 +1356 -6023 +2896 -1024 +4051 -2626 +3810 -2584 +-988 1137 +0 -3510 +3982 -1011 +130 3739 +1052 26 +-2048 -2048 +-922 901 +130 -1769 +944 -3180 +0 834 +189 -3119 +3810 -3536 +-1830 470 +-2896 1024 +-3339 -31 +1134 -3698 +3178 2185 +0 2726 +4279 -4320 +-978 228 +-5107 1487 +-1024 1024 +7 4894 +-4233 -1651 +-3634 -42 +3368 -1260 +2638 -801 +-2435 -1125 +-6048 723 +-1324 -3620 +5205 -2019 +619 -121 +1109 -4057 +3802 -862 +-2226 -2423 +536 990 +2303 -1287 +-2048 0 +2303 1287 +536 -990 +-2226 2423 +3802 862 +1109 4057 +619 121 +5205 2019 +-1324 3620 +-6048 -723 +-2435 1125 +2638 801 +3368 1260 +-3634 42 +-4233 1651 +7 -4894 +-1024 -1024 +1581 2006 +2460 -5629 +-2076 1955 +-2768 3308 +-2334 5689 +-685 3292 +-545 1571 +-2772 3620 +2717 -1406 +5397 1089 +-524 -4049 +-306 -1186 +1255 5327 +-1660 -1278 +573 68 +4096 0 +573 -68 +-1660 1278 +1255 -5327 +-306 1186 +-524 4049 +5397 -1089 +2717 1406 +-2772 -3620 +-545 -1571 +-685 -3292 +-2334 -5689 +-2768 -3308 +-2076 -1955 +2460 5629 +1581 -2006 +-1024 1024 +7 4894 +-4233 -1651 +-3634 -42 +3368 -1260 +2638 -801 +-2435 -1125 +-6048 723 +-1324 -3620 +5205 -2019 +619 -121 +1109 -4057 +3802 -862 +-2226 -2423 +536 990 +2303 -1287 +0 2048 +3231 5024 +-48 3644 +56 -2465 +14 1024 +-6066 517 +225 2998 +31 1176 +-1872 1448 +-489 608 +-4148 -2484 +-472 2510 +-2218 -1024 +-365 -365 +-1490 1308 +-534 -537 +8192 0 +-534 537 +-1490 -1308 +-365 365 +-2218 1024 +-472 -2510 +-4148 2484 +-489 -608 +-1872 -1448 +31 -1176 +225 -2998 +-6066 -517 +14 -1024 +56 2465 +-48 -3644 +3231 -5024 +0 -2048 +-3050 759 +492 6052 +2308 677 +-2662 1024 +3468 5996 +2007 3563 +-4097 -2563 +3920 -1448 +6122 -1684 +4812 356 +-715 1475 +-3326 -1024 +1786 4241 +-1850 -301 +-1214 -1560 +4096 0 +-1214 1560 +-1850 301 +1786 -4241 +-3326 1024 +-715 -1475 +4812 -356 +6122 1684 +3920 1448 +-4097 2563 +2007 -3563 +3468 -5996 +-2662 -1024 +2308 -677 +492 -6052 +-3050 -759 +0 2048 +3231 5024 +-48 3644 +56 -2465 +14 1024 +-6066 517 +225 2998 +31 1176 +-1872 1448 +-489 608 +-4148 -2484 +-472 2510 +-2218 -1024 +-365 -365 +-1490 1308 +-534 -537 +-2048 2048 +-2087 3665 +-1552 -5281 +3503 1382 +540 -978 +-5138 -4482 +-2261 3256 +1365 795 +3072 -2896 +-1832 -4251 +696 1602 +1316 -1584 +880 -3810 +530 582 +-1473 -5845 +-3546 647 +-8192 0 +-3546 -647 +-1473 5845 +530 -582 +880 3810 +1316 1584 +696 -1602 +-1832 4251 +3072 2896 +1365 -795 +-2261 -3256 +-5138 4482 +540 978 +3503 -1382 +-1552 5281 +-2087 -3665 +-2048 -2048 +194 978 +-2728 -4675 +105 -1455 +2108 130 +1688 -1461 +3553 -2197 +3691 -1222 +3072 2896 +-4553 2937 +-3188 -1743 +1246 104 +4664 -1134 +2543 -1984 +-1239 2881 +976 -2684 +0 0 +976 2684 +-1239 -2881 +2543 1984 +4664 1134 +1246 -104 +-3188 1743 +-4553 -2937 +3072 -2896 +3691 1222 +3553 2197 +1688 1461 +2108 -130 +105 1455 +-2728 4675 +194 -978 +-2048 2048 +-2087 3665 +-1552 -5281 +3503 1382 +540 -978 +-5138 -4482 +-2261 3256 +1365 795 +3072 -2896 +-1832 -4251 +696 1602 +1316 -1584 +880 -3810 +530 582 +-1473 -5845 +-3546 647 +-2048 -1024 +-1278 -1762 +1401 12 +1994 -5106 +-2360 -992 +-2669 7046 +2808 -2217 +-86 -5010 +-548 -1324 +1475 -1988 +-101 1145 +206 1556 +2759 4442 +-1794 3349 +-252 -4311 +3107 -1393 +-1024 0 +3107 1393 +-252 4311 +-1794 -3349 +2759 -4442 +206 -1556 +-101 -1145 +1475 1988 +-548 1324 +-86 5010 +2808 2217 +-2669 -7046 +-2360 992 +1994 5106 +1401 -12 +-1278 1762 +-2048 1024 +-2123 1797 +-2405 4933 +-2737 3219 +-6928 -208 +1692 -1142 +872 3065 +570 1569 +6692 -2772 +937 -252 +2214 -1994 +-2125 -2644 +2434 2550 +-359 1757 +-4536 -633 +3190 228 +1024 0 +3190 -228 +-4536 633 +-359 -1757 +2434 -2550 +-2125 2644 +2214 1994 +937 252 +6692 2772 +570 -1569 +872 -3065 +1692 1142 +-6928 208 +-2737 -3219 +-2405 -4933 +-2123 -1797 +-2048 -1024 +-1278 -1762 +1401 12 +1994 -5106 +-2360 -992 +-2669 7046 +2808 -2217 +-86 -5010 +-548 -1324 +1475 -1988 +-101 1145 +206 1556 +2759 4442 +-1794 3349 +-252 -4311 +3107 -1393 +0 2048 +-1753 3186 +-4275 -6 +-1011 -3214 +-1652 -2302 +6166 391 +938 987 +-6064 412 +1448 1024 +-1297 1425 +493 -284 +-1916 -1963 +-84 -1038 +-1432 787 +309 811 +2662 -64 +-6144 0 +2662 64 +309 -811 +-1432 -787 +-84 1038 +-1916 1963 +493 284 +-1297 -1425 +1448 -1024 +-6064 -412 +938 -987 +6166 -391 +-1652 2302 +-1011 3214 +-4275 6 +-1753 -3186 +0 -2048 +1217 1009 +-737 1298 +344 -666 +3700 -1194 +-4810 313 +-2845 1725 +3117 2018 +-1448 1024 +-1996 -1579 +-1482 -3996 +-1687 -2758 +2132 1638 +-1446 3892 +7599 1681 +9906 -725 +-2048 0 +9906 725 +7599 -1681 +-1446 -3892 +2132 -1638 +-1687 2758 +-1482 3996 +-1996 1579 +-1448 -1024 +3117 -2018 +-2845 -1725 +-4810 -313 +3700 1194 +344 666 +-737 -1298 +1217 -1009 +0 2048 +-1753 3186 +-4275 -6 +-1011 -3214 +-1652 -2302 +6166 391 +938 987 +-6064 412 +1448 1024 +-1297 1425 +493 -284 +-1916 -1963 +-84 -1038 +-1432 787 +309 811 +2662 -64 +0 -3072 +1242 -2260 +-2369 -5539 +417 1907 +-776 632 +-564 -2895 +-919 2930 +1551 -859 +4644 -724 +-1413 2140 +3693 -672 +-859 501 +1006 -78 +4585 -3392 +-1116 -3480 +251 -1444 +-1024 0 +251 1444 +-1116 3480 +4585 3392 +1006 78 +-859 -501 +3693 672 +-1413 -2140 +4644 724 +1551 859 +-919 -2930 +-564 2895 +-776 -632 +417 -1907 +-2369 5539 +1242 2260 +0 3072 +1050 -1179 +-972 -6245 +-771 760 +2224 1416 +1483 3742 +1583 1965 +-5452 -2501 +-2596 724 +1530 -3596 +-1462 -5521 +-1627 -2478 +-2454 -1970 +-2663 -4956 +1560 -3008 +1242 8101 +-3072 0 +1242 -8101 +1560 3008 +-2663 4956 +-2454 1970 +-1627 2478 +-1462 5521 +1530 3596 +-2596 -724 +-5452 2501 +1583 -1965 +1483 -3742 +2224 -1416 +-771 -760 +-972 6245 +1050 1179 +0 -3072 +1242 -2260 +-2369 -5539 +417 1907 +-776 632 +-564 -2895 +-919 2930 +1551 -859 +4644 -724 +-1413 2140 +3693 -672 +-859 501 +1006 -78 +4585 -3392 +-1116 -3480 +251 -1444 +-1024 0 +-1077 5843 +-3939 -406 +-5716 -2557 +-1533 1553 +-592 607 +255 3515 +-2007 -977 +-2472 -424 +5110 -1902 +-34 5686 +-1287 5794 +5148 -6002 +-4401 2600 +-4113 -700 +797 -834 +-3072 0 +797 834 +-4113 700 +-4401 -2600 +5148 6002 +-1287 -5794 +-34 -5686 +5110 1902 +-2472 424 +-2007 977 +255 -3515 +-592 -607 +-1533 -1553 +-5716 2557 +-3939 406 +-1077 -5843 +-1024 0 +1244 2307 +3718 2129 +4072 724 +684 1094 +715 557 +1533 -995 +-1989 -1505 +424 2472 +3998 4196 +4038 930 +3620 -478 +-204 458 +-2203 2120 +-1459 -1672 +-284 -225 +1024 0 +-284 225 +-1459 1672 +-2203 -2120 +-204 -458 +3620 478 +4038 -930 +3998 -4196 +424 -2472 +-1989 1505 +1533 995 +715 -557 +684 -1094 +4072 -724 +3718 -2129 +1244 -2307 +-1024 0 +-1077 5843 +-3939 -406 +-5716 -2557 +-1533 1553 +-592 607 +255 3515 +-2007 -977 +-2472 -424 +5110 -1902 +-34 5686 +-1287 5794 +5148 -6002 +-4401 2600 +-4113 -700 +797 -834 +-6144 3072 +-6480 -1195 +853 -2705 +-416 3183 +3078 3322 +3924 1024 +2654 877 +3506 -1595 +1324 -1748 +-2252 5889 +-2805 465 +677 -2281 +-2044 1032 +1360 -174 +3552 4836 +-1202 -6182 +-1024 0 +-1202 6182 +3552 -4836 +1360 174 +-2044 -1032 +677 2281 +-2805 -465 +-2252 -5889 +1324 1748 +3506 1595 +2654 -877 +3924 -1024 +3078 -3322 +-416 -3183 +853 2705 +-6480 1195 +-6144 -3072 +-571 -3086 +-2081 1101 +-222 -1293 +-1030 -3922 +-822 2810 +-2994 -1060 +-2323 -891 +2772 -300 +1958 -2951 +248 -1849 +684 1131 +4092 2465 +607 -520 +572 552 +1573 -6658 +-3072 0 +1573 6658 +572 -552 +607 520 +4092 -2465 +684 -1131 +248 1849 +1958 2951 +2772 300 +-2323 891 +-2994 1060 +-822 -2810 +-1030 3922 +-222 1293 +-2081 -1101 +-571 3086 +-6144 3072 +-6480 -1195 +853 -2705 +-416 3183 +3078 3322 +3924 1024 +2654 877 +3506 -1595 +1324 -1748 +-2252 5889 +-2805 465 +677 -2281 +-2044 1032 +1360 -174 +3552 4836 +-1202 -6182 +2048 2048 +-4288 2027 +-1217 -2509 +-292 -2149 +-3241 -1038 +-1998 -3949 +673 -211 +115 -2026 +-2896 3072 +-3 -1056 +2878 -594 +1939 3953 +4328 -1194 +3828 2788 +1306 -500 +1742 5315 +2048 0 +1742 -5315 +1306 500 +3828 -2788 +4328 1194 +1939 -3953 +2878 594 +-3 1056 +-2896 -3072 +115 2026 +673 211 +-1998 3949 +-3241 1038 +-292 2149 +-1217 2509 +-4288 -2027 +2048 -2048 +3288 -4134 +-2448 -1771 +-1617 453 +1193 1638 +510 -122 +-4576 -1082 +-2623 1098 +2896 3072 +1182 55 +3922 -1898 +-1339 -824 +-6376 -2302 +4761 318 +-537 3212 +-5206 6490 +2048 0 +-5206 -6490 +-537 -3212 +4761 -318 +-6376 2302 +-1339 824 +3922 1898 +1182 -55 +2896 -3072 +-2623 -1098 +-4576 1082 +510 122 +1193 -1638 +-1617 -453 +-2448 1771 +3288 4134 +2048 2048 +-4288 2027 +-1217 -2509 +-292 -2149 +-3241 -1038 +-1998 -3949 +673 -211 +115 -2026 +-2896 3072 +-3 -1056 +2878 -594 +1939 3953 +4328 -1194 +3828 2788 +1306 -500 +1742 5315 +-1024 -4096 +774 1880 +1725 2533 +2179 2888 +2486 1652 +1272 5545 +1681 6128 +-821 -1031 +1448 -2048 +1484 -175 +-1298 -1134 +1057 -1426 +-2642 -84 +-2665 -3231 +284 1319 +-2163 6383 +-3072 0 +-2163 -6383 +284 -1319 +-2665 3231 +-2642 84 +1057 1426 +-1298 1134 +1484 175 +1448 2048 +-821 1031 +1681 -6128 +1272 -5545 +2486 -1652 +2179 -2888 +1725 -2533 +774 -1880 +-1024 4096 +1754 1452 +987 1591 +2154 -112 +-190 -3700 +-5738 -561 +811 -3571 +2875 3776 +-1448 -2048 +-1970 -2223 +6 795 +-375 -8518 +-3750 2132 +2116 2664 +3996 -92 +-1933 3390 +-3072 0 +-1933 -3390 +3996 92 +2116 -2664 +-3750 -2132 +-375 8518 +6 -795 +-1970 2223 +-1448 2048 +2875 -3776 +811 3571 +-5738 561 +-190 3700 +2154 112 +987 -1591 +1754 -1452 +-1024 -4096 +774 1880 +1725 2533 +2179 2888 +2486 1652 +1272 5545 +1681 6128 +-821 -1031 +1448 -2048 +1484 -175 +-1298 -1134 +1057 -1426 +-2642 -84 +-2665 -3231 +284 1319 +-2163 6383 +0 3072 +-1186 2461 +-6961 -1802 +3883 -1574 +2584 -3444 +-1137 -1091 +2678 1718 +-5078 -1513 +-3196 2772 +-679 3727 +1804 4171 +3415 -777 +1910 881 +1336 7494 +2522 -1292 +501 1220 +-5120 0 +501 -1220 +2522 1292 +1336 -7494 +1910 -881 +3415 777 +1804 -4171 +-679 -3727 +-3196 -2772 +-5078 1513 +2678 -1718 +-1137 1091 +2584 3444 +3883 1574 +-6961 1802 +-1186 -2461 +0 -3072 +-1900 -1059 +-503 2742 +-591 -2496 +-1984 -6445 +75 -1235 +543 -1090 +-1590 3558 +1148 1324 +2233 -2698 +768 553 +-4808 -3190 +1586 -2578 +3620 780 +-851 -1865 +1906 -3234 +1024 0 +1906 3234 +-851 1865 +3620 -780 +1586 2578 +-4808 3190 +768 -553 +2233 2698 +1148 -1324 +-1590 -3558 +543 1090 +75 1235 +-1984 6445 +-591 2496 +-503 -2742 +-1900 1059 +0 3072 +-1186 2461 +-6961 -1802 +3883 -1574 +2584 -3444 +-1137 -1091 +2678 1718 +-5078 -1513 +-3196 2772 +-679 3727 +1804 4171 +3415 -777 +1910 881 +1336 7494 +2522 -1292 +501 1220 +1024 -2048 +-2407 2192 +-2297 3417 +1013 235 +230 6980 +3087 2616 +571 -1465 +-2624 -3171 +-3920 7416 +-3561 2911 +-45 -6148 +1466 3523 +3230 2716 +1235 2234 +327 -1195 +835 -1165 +-1024 0 +835 1165 +327 1195 +1235 -2234 +3230 -2716 +1466 -3523 +-45 6148 +-3561 -2911 +-3920 -7416 +-2624 3171 +571 1465 +3087 -2616 +230 -6980 +1013 -235 +-2297 -3417 +-2407 -2192 +1024 2048 +-3112 -5042 +849 144 +790 -1161 +-230 -588 +5719 5936 +877 3917 +1220 -1036 +1872 -1272 +-2395 762 +1493 -89 +-695 -635 +-3230 -419 +-1030 -631 +-1775 -3934 +458 -1997 +3072 0 +458 1997 +-1775 3934 +-1030 631 +-3230 419 +-695 635 +1493 89 +-2395 -762 +1872 1272 +1220 1036 +877 -3917 +5719 -5936 +-230 588 +790 1161 +849 -144 +-3112 5042 +1024 -2048 +-2407 2192 +-2297 3417 +1013 235 +230 6980 +3087 2616 +571 -1465 +-2624 -3171 +-3920 7416 +-3561 2911 +-45 -6148 +1466 3523 +3230 2716 +1235 2234 +327 -1195 +835 -1165 +1024 -3072 +51 1436 +-2244 5566 +-1681 6783 +2624 -1200 +1608 -4814 +599 -2399 +2182 1232 +-300 300 +-3535 -799 +3157 -1976 +2149 -4392 +1390 -3478 +417 -3628 +807 -2457 +2518 -1228 +-2048 0 +2518 1228 +807 2457 +417 3628 +1390 3478 +2149 4392 +3157 1976 +-3535 799 +-300 -300 +2182 -1232 +599 2399 +1608 4814 +2624 1200 +-1681 -6783 +-2244 -5566 +51 -1436 +1024 3072 +2579 1766 +-3209 -3794 +1594 5035 +273 1800 +-3695 -2289 +1070 -721 +-362 -5173 +-1748 1748 +-2069 -3014 +3863 -4040 +-1629 3394 +-4286 -18 +1238 1774 +-4043 1334 +-1364 1167 +4096 0 +-1364 -1167 +-4043 -1334 +1238 -1774 +-4286 18 +-1629 -3394 +3863 4040 +-2069 3014 +-1748 -1748 +-362 5173 +1070 721 +-3695 2289 +273 -1800 +1594 -5035 +-3209 3794 +2579 -1766 +1024 -3072 +51 1436 +-2244 5566 +-1681 6783 +2624 -1200 +1608 -4814 +599 -2399 +2182 1232 +-300 300 +-3535 -799 +3157 -1976 +2149 -4392 +1390 -3478 +417 -3628 +807 -2457 +2518 -1228 +2048 1024 +-425 -3268 +3258 -3580 +-1538 4299 +-1441 1860 +8835 -1532 +-513 126 +-1995 -1899 +1148 -724 +3743 -1396 +1190 -3343 +194 -456 +4346 -2634 +-4920 -1057 +-1570 3062 +3841 -1683 +-1024 0 +3841 1683 +-1570 -3062 +-4920 1057 +4346 2634 +194 456 +1190 3343 +3743 1396 +1148 724 +-1995 1899 +-513 -126 +8835 1532 +-1441 -1860 +-1538 -4299 +3258 3580 +-425 3268 +2048 -1024 +-3079 466 +-1026 -1744 +-889 -3506 +-8 -2708 +348 -726 +-2827 4309 +-3966 4425 +-3196 724 +2866 -1742 +-746 -3310 +-241 -1490 +-2898 -2310 +-1789 -6029 +2235 -3090 +-986 1410 +1024 0 +-986 -1410 +2235 3090 +-1789 6029 +-2898 2310 +-241 1490 +-746 3310 +2866 1742 +-3196 -724 +-3966 -4425 +-2827 -4309 +348 726 +-8 2708 +-889 3506 +-1026 1744 +-3079 -466 +2048 1024 +-425 -3268 +3258 -3580 +-1538 4299 +-1441 1860 +8835 -1532 +-513 126 +-1995 -1899 +1148 -724 +3743 -1396 +1190 -3343 +194 -456 +4346 -2634 +-4920 -1057 +-1570 3062 +3841 -1683 +3072 7168 +3768 -3555 +-5107 -946 +-1409 -728 +862 -2114 +2092 1369 +2522 3524 +-1470 582 +1148 300 +1087 -700 +-131 -305 +1937 -1058 +3308 -452 +1266 5074 +-387 -307 +324 1755 +0 0 +324 -1755 +-387 307 +1266 -5074 +3308 452 +1937 1058 +-131 305 +1087 700 +1148 -300 +-1470 -582 +2522 -3524 +2092 -1369 +862 2114 +-1409 728 +-5107 946 +3768 3555 +3072 -7168 +-3768 -4526 +-2972 1729 +1409 -1409 +1186 3562 +-2092 -2830 +5895 -1631 +1470 3554 +-3196 1748 +-1087 2179 +-6589 2197 +-1937 1374 +-1260 1900 +-1266 6150 +-1423 1090 +-324 -909 +6144 0 +-324 909 +-1423 -1090 +-1266 -6150 +-1260 -1900 +-1937 -1374 +-6589 -2197 +-1087 -2179 +-3196 -1748 +1470 -3554 +5895 1631 +-2092 2830 +1186 -3562 +1409 1409 +-2972 -1729 +-3768 4526 +3072 7168 +3768 -3555 +-5107 -946 +-1409 -728 +862 -2114 +2092 1369 +2522 3524 +-1470 582 +1148 300 +1087 -700 +-131 -305 +1937 -1058 +3308 -452 +1266 5074 +-387 -307 +324 1755 +1024 -1024 +-563 -1821 +-1983 -535 +-4421 -2365 +-1056 -3212 +3411 -1588 +-272 3106 +-463 -639 +300 -1148 +2590 4906 +716 -440 +-339 -1362 +2394 971 +-5583 4155 +-214 6829 +3820 3735 +-4096 0 +3820 -3735 +-214 -6829 +-5583 -4155 +2394 -971 +-339 1362 +716 440 +2590 -4906 +300 1148 +-463 639 +-272 -3106 +3411 1588 +-1056 3212 +-4421 2365 +-1983 535 +-563 1821 +1024 1024 +-695 3649 +3431 -441 +2662 863 +-1840 6708 +3496 729 +-1176 -134 +-4904 -3425 +1748 3196 +1209 2045 +-2164 -1883 +-2784 2408 +502 -1571 +3559 4440 +1662 3283 +-994 917 +-2048 0 +-994 -917 +1662 -3283 +3559 -4440 +502 1571 +-2784 -2408 +-2164 1883 +1209 -2045 +1748 -3196 +-4904 3425 +-1176 134 +3496 -729 +-1840 -6708 +2662 -863 +3431 441 +-695 -3649 +1024 -1024 +-563 -1821 +-1983 -535 +-4421 -2365 +-1056 -3212 +3411 -1588 +-272 3106 +-463 -639 +300 -1148 +2590 4906 +716 -440 +-339 -1362 +2394 971 +-5583 4155 +-214 6829 +3820 3735 +3072 3072 +2884 -2125 +-4258 329 +-658 -608 +-2708 -112 +-541 2228 +1398 1694 +-2513 4765 +300 -300 +241 -2007 +4488 5686 +908 5495 +2310 2335 +-291 3368 +-4026 2487 +571 -942 +-2048 0 +571 942 +-4026 -2487 +-291 -3368 +2310 -2335 +908 -5495 +4488 -5686 +241 2007 +300 300 +-2513 -4765 +1398 -1694 +-541 -2228 +-2708 112 +-658 608 +-4258 -329 +2884 2125 +3072 -3072 +1156 793 +-687 1654 +-1117 -3339 +1860 4456 +2647 -1592 +-550 -6795 +-7021 -1082 +1748 -1748 +3941 3472 +-3640 3198 +-797 492 +2634 2010 +-151 -1962 +-918 -2903 +741 -2608 +0 0 +741 2608 +-918 2903 +-151 1962 +2634 -2010 +-797 -492 +-3640 -3198 +3941 -3472 +1748 1748 +-7021 1082 +-550 6795 +2647 1592 +1860 -4456 +-1117 3339 +-687 -1654 +1156 -793 +3072 3072 +2884 -2125 +-4258 329 +-658 -608 +-2708 -112 +-541 2228 +1398 1694 +-2513 4765 +300 -300 +241 -2007 +4488 5686 +908 5495 +2310 2335 +-291 3368 +-4026 2487 +571 -942 +1024 3072 +-2382 3775 +-4843 -359 +-1997 -5172 +-2694 522 +-4280 -6453 +-1122 -248 +863 4606 +724 -2772 +179 3866 +-1874 1444 +-1860 -432 +92 -2080 +79 -411 +131 5644 +1436 -1788 +2048 0 +1436 1788 +131 -5644 +79 411 +92 2080 +-1860 432 +-1874 -1444 +179 -3866 +724 2772 +863 -4606 +-1122 248 +-4280 6453 +-2694 -522 +-1997 5172 +-4843 359 +-2382 -3775 +1024 -3072 +-236 611 +-65 2215 +-638 -1605 +-802 -1370 +5196 76 +5571 3064 +-1163 -4937 +-724 -1324 +1450 2146 +322 173 +1833 1992 +-692 -2864 +-4125 3969 +1880 3203 +5645 -1468 +4096 0 +5645 1468 +1880 -3203 +-4125 -3969 +-692 2864 +1833 -1992 +322 -173 +1450 -2146 +-724 1324 +-1163 4937 +5571 -3064 +5196 -76 +-802 1370 +-638 1605 +-65 -2215 +-236 -611 +1024 3072 +-2382 3775 +-4843 -359 +-1997 -5172 +-2694 522 +-4280 -6453 +-1122 -248 +863 4606 +724 -2772 +179 3866 +-1874 1444 +-1860 -432 +92 -2080 +79 -411 +131 5644 +1436 -1788 +4096 -1024 +-2351 -152 +-4701 399 +1393 -2534 +703 1306 +2026 3367 +-2790 497 +-1975 -2484 +2596 124 +-704 2412 +-3142 -4326 +-579 -3368 +-1404 -3972 +-1046 -7263 +-865 -1038 +-1501 -1279 +1024 0 +-1501 1279 +-865 1038 +-1046 7263 +-1404 3972 +-579 3368 +-3142 4326 +-704 -2412 +2596 -124 +-1975 2484 +-2790 -497 +2026 -3367 +703 -1306 +1393 2534 +-4701 -399 +-2351 152 +4096 1024 +-1388 -2067 +642 -998 +409 584 +1945 -2154 +-1497 -2720 +2294 2999 +4427 -967 +-4644 -4220 +-861 3035 +-3354 829 +4514 -8 +6948 -972 +573 -4503 +3725 1638 +-1439 2165 +-5120 0 +-1439 -2165 +3725 -1638 +573 4503 +6948 972 +4514 8 +-3354 -829 +-861 -3035 +-4644 4220 +4427 967 +2294 -2999 +-1497 2720 +1945 2154 +409 -584 +642 998 +-1388 2067 +4096 -1024 +-2351 -152 +-4701 399 +1393 -2534 +703 1306 +2026 3367 +-2790 497 +-1975 -2484 +2596 124 +-704 2412 +-3142 -4326 +-579 -3368 +-1404 -3972 +-1046 -7263 +-865 -1038 +-1501 -1279 +4096 -1024 +3232 -868 +-313 1423 +-181 3106 +52 -332 +239 472 +-2958 2053 +569 549 +4220 2596 +130 -3926 +4246 338 +-549 5496 +-282 -1670 +857 737 +-2273 42 +475 -2043 +-1024 0 +475 2043 +-2273 -42 +857 -737 +-282 1670 +-549 -5496 +4246 -338 +130 3926 +4220 -2596 +569 -549 +-2958 -2053 +239 -472 +52 332 +-181 -3106 +-313 -1423 +3232 868 +4096 1024 +-3393 -3098 +-9745 -2688 +-4108 -754 +-2948 -1116 +-1122 959 +1351 1887 +-1529 -3873 +-124 -4644 +3726 3498 +4850 -494 +-1464 -1169 +3178 222 +535 -1282 +-3350 2789 +2582 -4819 +1024 0 +2582 4819 +-3350 -2789 +535 1282 +3178 -222 +-1464 1169 +4850 494 +3726 -3498 +-124 4644 +-1529 3873 +1351 -1887 +-1122 -959 +-2948 1116 +-4108 754 +-9745 2688 +-3393 3098 +4096 -1024 +3232 -868 +-313 1423 +-181 3106 +52 -332 +239 472 +-2958 2053 +569 549 +4220 2596 +130 -3926 +4246 338 +-549 5496 +-282 -1670 +857 737 +-2273 42 +475 -2043 +-1024 3072 +2273 -2721 +1758 2500 +-2209 -105 +1150 886 +-381 -847 +-719 -3535 +-1290 -130 +-2348 300 +-1221 1183 +-2887 788 +-59 2307 +1141 3008 +-957 -1879 +1005 -6096 +230 -1474 +-2048 0 +230 1474 +1005 6096 +-957 1879 +1141 -3008 +-59 -2307 +-2887 -788 +-1221 -1183 +-2348 -300 +-1290 130 +-719 3535 +-381 847 +1150 -886 +-2209 105 +1758 -2500 +2273 2721 +-1024 -3072 +4475 -1963 +290 3709 +-6556 699 +-6094 562 +-1164 -1399 +2767 443 +-2664 -2146 +-3796 1748 +-177 1892 +4935 -1480 +3821 -2337 +-292 -1560 +7506 4690 +1043 -1680 +-1627 2142 +8192 0 +-1627 -2142 +1043 1680 +7506 -4690 +-292 1560 +3821 2337 +4935 1480 +-177 -1892 +-3796 -1748 +-2664 2146 +2767 -443 +-1164 1399 +-6094 -562 +-6556 -699 +290 -3709 +4475 1963 +-1024 3072 +2273 -2721 +1758 2500 +-2209 -105 +1150 886 +-381 -847 +-719 -3535 +-1290 -130 +-2348 300 +-1221 1183 +-2887 788 +-59 2307 +1141 3008 +-957 -1879 +1005 -6096 +230 -1474 +1024 -1024 +27 2962 +-422 -2524 +-3616 -6321 +-4696 32 +-506 819 +-1685 1578 +-2762 -2122 +4220 -3021 +3879 -2097 +-1184 -5564 +2953 972 +2878 3418 +-2445 67 +666 -936 +1317 586 +-2048 0 +1317 -586 +666 936 +-2445 -67 +2878 -3418 +2953 -972 +-1184 5564 +3879 2097 +4220 3021 +-2762 2122 +-1685 -1578 +-506 -819 +-4696 -32 +-3616 6321 +-422 2524 +27 -2962 +1024 1024 +761 294 +-22 -3428 +182 2762 +-1696 816 +-2596 -2379 +-547 -298 +186 -3891 +-124 7117 +26 2428 +519 -149 +1037 5404 +-582 1526 +-801 6710 +2674 -3816 +2358 -4972 +0 0 +2358 4972 +2674 3816 +-801 -6710 +-582 -1526 +1037 -5404 +519 149 +26 -2428 +-124 -7117 +186 3891 +-547 298 +-2596 2379 +-1696 -816 +182 -2762 +-22 3428 +761 -294 +1024 -1024 +27 2962 +-422 -2524 +-3616 -6321 +-4696 32 +-506 819 +-1685 1578 +-2762 -2122 +4220 -3021 +3879 -2097 +-1184 -5564 +2953 972 +2878 3418 +-2445 67 +666 -936 +1317 586 +-4096 -2048 +-3949 -914 +-4870 -4684 +230 -3156 +240 3870 +898 1413 +5253 -232 +1095 949 +-2048 -3072 +2518 -1024 +505 1394 +-747 -3930 +-868 -2746 +1844 1001 +2345 -971 +878 -1128 +4096 0 +878 1128 +2345 971 +1844 -1001 +-868 2746 +-747 3930 +505 -1394 +2518 1024 +-2048 3072 +1095 -949 +5253 232 +898 -1413 +240 -3870 +230 3156 +-4870 4684 +-3949 914 +-4096 2048 +829 -778 +5639 -3380 +-1354 -694 +1808 -374 +-2671 1164 +1547 507 +4921 -5450 +-2048 -3072 +3498 1507 +-4408 -2318 +-1026 -2053 +2916 2146 +-2967 574 +-6010 -100 +-3998 2020 +4096 0 +-3998 -2020 +-6010 100 +-2967 -574 +2916 -2146 +-1026 2053 +-4408 2318 +3498 -1507 +-2048 3072 +4921 5450 +1547 -507 +-2671 -1164 +1808 374 +-1354 694 +5639 3380 +829 778 +-4096 -2048 +-3949 -914 +-4870 -4684 +230 -3156 +240 3870 +898 1413 +5253 -232 +1095 949 +-2048 -3072 +2518 -1024 +505 1394 +-747 -3930 +-868 -2746 +1844 1001 +2345 -971 +878 -1128 +1024 0 +-3300 933 +712 -1902 +1199 -2627 +2832 374 +3857 -1947 +-2895 -1807 +1249 17 +-2472 -2472 +1566 -199 +3033 295 +-2250 -4587 +3940 -2146 +-2443 719 +-3337 -50 +-503 1835 +-5120 0 +-503 -1835 +-3337 50 +-2443 -719 +3940 2146 +-2250 4587 +3033 -295 +1566 199 +-2472 2472 +1249 -17 +-2895 1807 +3857 1947 +2832 -374 +1199 2627 +712 1902 +-3300 -933 +1024 0 +1315 -1700 +1144 -1698 +-3133 -1349 +1264 -3870 +2605 2909 +78 8763 +1025 1980 +424 424 +5057 3084 +-1416 -331 +-188 1084 +156 2746 +-5438 -3366 +-5511 -2351 +-617 4079 +7168 0 +-617 -4079 +-5511 2351 +-5438 3366 +156 -2746 +-188 -1084 +-1416 331 +5057 -3084 +424 -424 +1025 -1980 +78 -8763 +2605 -2909 +1264 3870 +-3133 1349 +1144 1698 +1315 1700 +1024 0 +-3300 933 +712 -1902 +1199 -2627 +2832 374 +3857 -1947 +-2895 -1807 +1249 17 +-2472 -2472 +1566 -199 +3033 295 +-2250 -4587 +3940 -2146 +-2443 719 +-3337 -50 +-503 1835 +4096 2048 +2545 5114 +-2993 2578 +-621 1418 +-1618 -240 +1554 -917 +-2579 2627 +-7728 -4572 +1872 -3496 +1031 2811 +1403 3455 +2598 1551 +834 -868 +-2699 -670 +623 -2887 +4678 -472 +0 0 +4678 472 +623 2887 +-2699 670 +834 868 +2598 -1551 +1403 -3455 +1031 -2811 +1872 3496 +-7728 4572 +-2579 -2627 +1554 917 +-1618 240 +-621 -1418 +-2993 -2578 +2545 -5114 +4096 -2048 +-723 -5773 +-1456 -2238 +-535 1518 +-2726 -1808 +-1064 1724 +568 1497 +-951 -3002 +-3920 -600 +-1488 3287 +3505 -2227 +-2439 -616 +3510 2916 +3207 342 +929 331 +2637 -6291 +-4096 0 +2637 6291 +929 -331 +3207 -342 +3510 -2916 +-2439 616 +3505 2227 +-1488 -3287 +-3920 600 +-951 3002 +568 -1497 +-1064 -1724 +-2726 1808 +-535 -1518 +-1456 2238 +-723 5773 +4096 2048 +2545 5114 +-2993 2578 +-621 1418 +-1618 -240 +1554 -917 +-2579 2627 +-7728 -4572 +1872 -3496 +1031 2811 +1403 3455 +2598 1551 +834 -868 +-2699 -670 +623 -2887 +4678 -472 +0 -1024 +-2023 1659 +-168 1275 +-5668 -1242 +-4213 -607 +1525 1948 +1385 -1207 +1080 544 +4820 -4396 +895 -2876 +-3111 -2318 +5040 -5689 +3022 6394 +708 4321 +1066 -2247 +-998 -2546 +1024 0 +-998 2546 +1066 2247 +708 -4321 +3022 -6394 +5040 5689 +-3111 2318 +895 2876 +4820 4396 +1080 -544 +1385 1207 +1525 -1948 +-4213 607 +-5668 1242 +-168 -1275 +-2023 -1659 +0 1024 +1653 2360 +1276 617 +2121 1266 +-2780 -2040 +-153 -3641 +1291 424 +3740 -388 +3372 -5844 +-3498 1777 +435 1534 +-1061 -2317 +-4222 -850 +-2513 -2417 +-2174 4139 +-849 -2883 +-1024 0 +-849 2883 +-2174 -4139 +-2513 2417 +-4222 850 +-1061 2317 +435 -1534 +-3498 -1777 +3372 5844 +3740 388 +1291 -424 +-153 3641 +-2780 2040 +2121 -1266 +1276 -617 +1653 -2360 +0 -1024 +-2023 1659 +-168 1275 +-5668 -1242 +-4213 -607 +1525 1948 +1385 -1207 +1080 544 +4820 -4396 +895 -2876 +-3111 -2318 +5040 -5689 +3022 6394 +708 4321 +1066 -2247 +-998 -2546 +-2048 -4096 +1319 -3481 +5075 -400 +-1920 -1928 +-724 2806 +-932 -1478 +-3181 1663 +734 1233 +-3920 -1448 +-6001 4942 +14 3044 +3321 -2448 +724 -2243 +2076 -206 +5029 -3774 +-84 301 +-4096 0 +-84 -301 +5029 3774 +2076 206 +724 2243 +3321 2448 +14 -3044 +-6001 -4942 +-3920 1448 +734 -1233 +-3181 -1663 +-932 1478 +-724 -2806 +-1920 1928 +5075 400 +1319 3481 +-2048 4096 +-302 511 +2101 4355 +534 -2283 +-724 -3654 +4404 2338 +-1007 4198 +470 3143 +1872 1448 +-1883 -1895 +-418 4016 +-5464 4195 +724 -2702 +3773 2675 +579 737 +-45 1192 +-4096 0 +-45 -1192 +579 -737 +3773 -2675 +724 2702 +-5464 -4195 +-418 -4016 +-1883 1895 +1872 -1448 +470 -3143 +-1007 -4198 +4404 -2338 +-724 3654 +534 2283 +2101 -4355 +-302 -511 +-2048 -4096 +1319 -3481 +5075 -400 +-1920 -1928 +-724 2806 +-932 -1478 +-3181 1663 +734 1233 +-3920 -1448 +-6001 4942 +14 3044 +3321 -2448 +724 -2243 +2076 -206 +5029 -3774 +-84 301 +1024 4096 +-2163 -6557 +-1441 -3718 +-825 952 +-2376 -1578 +-1069 861 +1274 633 +2568 -6373 +0 -8440 +1563 -2741 +-321 760 +488 -1044 +640 -314 +-2731 643 +409 -610 +554 265 +-1024 0 +554 -265 +409 610 +-2731 -643 +640 314 +488 1044 +-321 -760 +1563 2741 +0 8440 +2568 6373 +1274 -633 +-1069 -861 +-2376 1578 +-825 -952 +-1441 3718 +-2163 6557 +1024 -4096 +436 -3880 +1166 2269 +2788 -1999 +2976 -470 +-2258 1278 +-202 -2081 +-1508 252 +0 248 +1161 -37 +-7744 688 +4407 -1960 +2856 2362 +-800 4752 +-1333 2058 +-2612 4226 +7168 0 +-2612 -4226 +-1333 -2058 +-800 -4752 +2856 -2362 +4407 1960 +-7744 -688 +1161 37 +0 -248 +-1508 -252 +-202 2081 +-2258 -1278 +2976 470 +2788 1999 +1166 -2269 +436 3880 +1024 4096 +-2163 -6557 +-1441 -3718 +-825 952 +-2376 -1578 +-1069 861 +1274 633 +2568 -6373 +0 -8440 +1563 -2741 +-321 760 +488 -1044 +640 -314 +-2731 643 +409 -610 +554 265 +-1024 2048 +1780 -695 +-4029 -796 +-2313 3163 +-1349 2506 +-4474 3079 +1924 2401 +3734 3927 +1024 -1872 +-1392 328 +-2191 -1566 +517 -6264 +3544 -495 +6822 -309 +-1692 3138 +-4064 -5321 +3072 0 +-4064 5321 +-1692 -3138 +6822 309 +3544 495 +517 6264 +-2191 1566 +-1392 -328 +1024 1872 +3734 -3927 +1924 -2401 +-4474 -3079 +-1349 -2506 +-2313 -3163 +-4029 796 +1780 695 +-1024 -2048 +-2826 -2293 +1353 -3144 +1426 -1478 +-699 -3954 +-209 1527 +-815 431 +-1746 -457 +1024 3920 +-357 1206 +1082 302 +-506 -3691 +-5592 -954 +4530 1418 +4367 -2982 +-921 -2002 +3072 0 +-921 2002 +4367 2982 +4530 -1418 +-5592 954 +-506 3691 +1082 -302 +-357 -1206 +1024 -3920 +-1746 457 +-815 -431 +-209 -1527 +-699 3954 +1426 1478 +1353 3144 +-2826 2293 +-1024 2048 +1780 -695 +-4029 -796 +-2313 3163 +-1349 2506 +-4474 3079 +1924 2401 +3734 3927 +1024 -1872 +-1392 328 +-2191 -1566 +517 -6264 +3544 -495 +6822 -309 +-1692 3138 +-4064 -5321 +-5120 -2048 +-1354 -1084 +7145 -2594 +-3183 -627 +-3170 1108 +-333 1480 +-372 -1139 +-731 -1426 +-2896 -1448 +5029 -3864 +139 -534 +3735 466 +2846 2676 +-4166 522 +423 -4736 +-2609 2998 +-5120 0 +-2609 -2998 +423 4736 +-4166 -522 +2846 -2676 +3735 -466 +139 534 +5029 3864 +-2896 1448 +-731 1426 +-372 1139 +-333 -1480 +-3170 -1108 +-3183 627 +7145 2594 +-1354 1084 +-5120 2048 +-1304 2477 +5043 858 +6376 1588 +1722 -1108 +2079 -1641 +-1909 658 +626 2309 +2896 1448 +-252 1850 +445 4150 +551 -3524 +-1398 -2676 +735 3334 +-2723 -1096 +-5198 1291 +-1024 0 +-5198 -1291 +-2723 1096 +735 -3334 +-1398 2676 +551 3524 +445 -4150 +-252 -1850 +2896 -1448 +626 -2309 +-1909 -658 +2079 1641 +1722 1108 +6376 -1588 +5043 -858 +-1304 -2477 +-5120 -2048 +-1354 -1084 +7145 -2594 +-3183 -627 +-3170 1108 +-333 1480 +-372 -1139 +-731 -1426 +-2896 -1448 +5029 -3864 +139 -534 +3735 466 +2846 2676 +-4166 522 +423 -4736 +-2609 2998 +-1024 -4096 +-3684 -3243 +-1434 987 +1037 -787 +-594 5408 +-4511 4624 +-795 272 +784 54 +-2048 0 +1204 -2030 +922 -1686 +-949 1638 +1858 -2953 +-233 -3849 +-1674 -1560 +1638 -77 +3072 0 +1638 77 +-1674 1560 +-233 3849 +1858 2953 +-949 -1638 +922 1686 +1204 2030 +-2048 0 +784 -54 +-795 -272 +-4511 -4624 +-594 -5408 +1037 787 +-1434 -987 +-3684 3243 +-1024 4096 +6052 -4812 +4553 -4262 +-993 2242 +-1702 -2160 +-838 -1726 +1919 2115 +311 -4437 +-2048 0 +2166 -5562 +851 -2918 +-382 892 +4534 -6088 +1077 2537 +-4342 -516 +-2677 2798 +-1024 0 +-2677 -2798 +-4342 516 +1077 -2537 +4534 6088 +-382 -892 +851 2918 +2166 5562 +-2048 0 +311 4437 +1919 -2115 +-838 1726 +-1702 2160 +-993 -2242 +4553 4262 +6052 4812 +-1024 -4096 +-3684 -3243 +-1434 987 +1037 -787 +-594 5408 +-4511 4624 +-795 272 +784 54 +-2048 0 +1204 -2030 +922 -1686 +-949 1638 +1858 -2953 +-233 -3849 +-1674 -1560 +1638 -77 +4096 -3072 +1098 228 +2421 -3106 +2767 486 +2154 765 +-1015 244 +-3353 -1859 +724 1046 +-724 3796 +289 -3486 +6179 -150 +2121 -666 +-972 -3092 +512 -2104 +-1672 380 +-1189 6699 +1024 0 +-1189 -6699 +-1672 -380 +512 2104 +-972 3092 +2121 666 +6179 150 +289 3486 +-724 -3796 +724 -1046 +-3353 1859 +-1015 -244 +2154 -765 +2767 -486 +2421 3106 +1098 -228 +4096 3072 +1120 -4784 +-2302 -4070 +1006 5008 +-1306 -4262 +-1603 -2807 +1017 -2330 +1585 393 +724 2348 +-3927 1716 +-946 555 +-390 -2265 +-3972 3692 +2396 4831 +-1344 5229 +-5492 -479 +-1024 0 +-5492 479 +-1344 -5229 +2396 -4831 +-3972 -3692 +-390 2265 +-946 -555 +-3927 -1716 +724 -2348 +1585 -393 +1017 2330 +-1603 2807 +-1306 4262 +1006 -5008 +-2302 4070 +1120 4784 +4096 -3072 +1098 228 +2421 -3106 +2767 486 +2154 765 +-1015 244 +-3353 -1859 +724 1046 +-724 3796 +289 -3486 +6179 -150 +2121 -666 +-972 -3092 +512 -2104 +-1672 380 +-1189 6699 +6144 1024 +1205 1612 +-486 5131 +-202 -489 +1176 932 +252 -989 +-3113 3533 +-2220 3668 +724 -3796 +1064 2650 +-3485 2069 +-1746 578 +2838 -1826 +-1917 -3851 +-553 548 +1931 -514 +-1024 0 +1931 514 +-553 -548 +-1917 3851 +2838 1826 +-1746 -578 +-3485 -2069 +1064 -2650 +724 3796 +-2220 -3668 +-3113 -3533 +252 989 +1176 -932 +-202 489 +-486 -5131 +1205 -1612 +6144 -1024 +1679 -1302 +-1782 -823 +4074 -71 +-1176 1716 +-3370 -859 +2853 4412 +-475 -3413 +-724 -2348 +4528 501 +5442 -4012 +1967 471 +-2838 -3718 +-4852 394 +-7067 2063 +-1918 -2072 +5120 0 +-1918 2072 +-7067 -2063 +-4852 -394 +-2838 3718 +1967 -471 +5442 4012 +4528 -501 +-724 2348 +-475 3413 +2853 -4412 +-3370 859 +-1176 -1716 +4074 71 +-1782 823 +1679 1302 +6144 1024 +1205 1612 +-486 5131 +-202 -489 +1176 932 +252 -989 +-3113 3533 +-2220 3668 +724 -3796 +1064 2650 +-3485 2069 +-1746 578 +2838 -1826 +-1917 -3851 +-553 548 +1931 -514 +-2048 3072 +2728 -614 +1408 5479 +-3847 2013 +-1610 -66 +-1808 1653 +-232 -3015 +1000 382 +1324 5244 +4161 6127 +4183 -3636 +-1695 -6349 +3732 -2500 +974 -103 +-2523 4408 +1862 -5388 +-1024 0 +1862 5388 +-2523 -4408 +974 103 +3732 2500 +-1695 6349 +4183 3636 +4161 -6127 +1324 -5244 +1000 -382 +-232 3015 +-1808 -1653 +-1610 66 +-3847 -2013 +1408 -5479 +2728 614 +-2048 -3072 +4946 -1193 +1268 197 +-1695 -553 +-1286 5610 +-285 3236 +-876 664 +-3926 573 +2772 900 +-794 1140 +-3075 1285 +213 1790 +-836 -148 +-3441 307 +-153 1269 +1607 1701 +-3072 0 +1607 -1701 +-153 -1269 +-3441 -307 +-836 148 +213 -1790 +-3075 -1285 +-794 -1140 +2772 -900 +-3926 -573 +-876 -664 +-285 -3236 +-1286 -5610 +-1695 553 +1268 -197 +4946 1193 +-2048 3072 +2728 -614 +1408 5479 +-3847 2013 +-1610 -66 +-1808 1653 +-232 -3015 +1000 382 +1324 5244 +4161 6127 +4183 -3636 +-1695 -6349 +3732 -2500 +974 -103 +-2523 4408 +1862 -5388 +5120 -2048 +-611 3378 +-6565 1268 +2543 190 +-1482 -2472 +-7310 -129 +-1174 -2116 +-598 2951 +1448 8440 +467 -988 +-5520 681 +-2560 265 +529 -424 +668 445 +1426 -2211 +56 2050 +-1024 0 +56 -2050 +1426 2211 +668 -445 +529 424 +-2560 -265 +-5520 -681 +467 988 +1448 -8440 +-598 -2951 +-1174 2116 +-7310 129 +-1482 2472 +2543 -190 +-6565 -1268 +-611 -3378 +5120 2048 +-202 -492 +1398 271 +4034 3239 +4978 -2472 +2342 1715 +-1998 -752 +-1429 979 +-1448 -248 +911 3350 +1699 7539 +-1609 -2463 +70 -424 +1892 -800 +2542 -1545 +1406 -731 +-1024 0 +1406 731 +2542 1545 +1892 800 +70 424 +-1609 2463 +1699 -7539 +911 -3350 +-1448 248 +-1429 -979 +-1998 752 +2342 -1715 +4978 2472 +4034 -3239 +1398 -271 +-202 492 +5120 -2048 +-611 3378 +-6565 1268 +2543 190 +-1482 -2472 +-7310 -129 +-1174 -2116 +-598 2951 +1448 8440 +467 -988 +-5520 681 +-2560 265 +529 -424 +668 445 +1426 -2211 +56 2050 +-3072 4096 +2579 8511 +4048 3055 +3339 3285 +-554 300 +-1039 -994 +1880 2040 +-505 1394 +-1024 -1024 +-3272 -2757 +529 -3192 +945 943 +1338 -1748 +1197 -2183 +-1223 5890 +-1857 1077 +-5120 0 +-1857 -1077 +-1223 -5890 +1197 2183 +1338 1748 +945 -943 +529 3192 +-3272 2757 +-1024 1024 +-505 -1394 +1880 -2040 +-1039 994 +-554 -300 +3339 -3285 +4048 -3055 +2579 -8511 +-3072 -4096 +-526 1177 +336 -2871 +1734 5007 +554 300 +1139 -4486 +3183 3349 +-427 995 +-1024 -1024 +-2477 -1093 +1400 1588 +284 -4176 +-1338 -1748 +-1806 6929 +-1961 -4506 +692 -3420 +-1024 0 +692 3420 +-1961 4506 +-1806 -6929 +-1338 1748 +284 4176 +1400 -1588 +-2477 1093 +-1024 1024 +-427 -995 +3183 -3349 +1139 4486 +554 -300 +1734 -5007 +336 2871 +-526 -1177 +-3072 4096 +2579 8511 +4048 3055 +3339 3285 +-554 300 +-1039 -994 +1880 2040 +-505 1394 +-1024 -1024 +-3272 -2757 +529 -3192 +945 943 +1338 -1748 +1197 -2183 +-1223 5890 +-1857 1077 +0 -3072 +2489 -697 +-923 51 +-1201 -1481 +-986 -3778 +1059 3749 +2004 3327 +2831 493 +300 2772 +523 -266 +4498 204 +164 848 +912 3548 +2428 4039 +-4737 1654 +-550 823 +7168 0 +-550 -823 +-4737 -1654 +2428 -4039 +912 -3548 +164 -848 +4498 -204 +523 266 +300 -2772 +2831 -493 +2004 -3327 +1059 -3749 +-986 3778 +-1201 1481 +-923 -51 +2489 697 +0 3072 +-4592 -262 +546 -1159 +-1308 -1177 +-1311 -318 +641 1559 +-1481 -651 +4388 8791 +1748 1324 +-2391 -1594 +-3325 2472 +-4080 -3549 +5480 548 +2298 -3122 +-4776 -2763 +-2698 -1340 +-3072 0 +-2698 1340 +-4776 2763 +2298 3122 +5480 -548 +-4080 3549 +-3325 -2472 +-2391 1594 +1748 -1324 +4388 -8791 +-1481 651 +641 -1559 +-1311 318 +-1308 1177 +546 1159 +-4592 262 +0 -3072 +2489 -697 +-923 51 +-1201 -1481 +-986 -3778 +1059 3749 +2004 3327 +2831 493 +300 2772 +523 -266 +4498 204 +164 848 +912 3548 +2428 4039 +-4737 1654 +-550 823 +4096 1024 +4050 438 +-3786 3042 +-3314 -1852 +-816 -3876 +1137 -1755 +3539 642 +1496 -1290 +-3372 1148 +1165 7331 +5050 1142 +-142 -3074 +1526 -2369 +3130 -399 +536 -910 +816 -2346 +1024 0 +816 2346 +536 910 +3130 399 +1526 2369 +-142 3074 +5050 -1142 +1165 -7331 +-3372 -1148 +1496 1290 +3539 -642 +1137 1755 +-816 3876 +-3314 1852 +-3786 -3042 +4050 -438 +4096 -1024 +-2884 831 +-1446 -2546 +-1800 -546 +-32 4476 +-396 -3083 +-3658 2218 +-1508 250 +-4820 -3196 +-2041 190 +-2034 2917 +-5063 820 +3418 -1127 +655 2985 +1800 -5586 +4699 -1810 +-1024 0 +4699 1810 +1800 5586 +655 -2985 +3418 1127 +-5063 -820 +-2034 -2917 +-2041 -190 +-4820 3196 +-1508 -250 +-3658 -2218 +-396 3083 +-32 -4476 +-1800 546 +-1446 2546 +-2884 -831 +4096 1024 +4050 438 +-3786 3042 +-3314 -1852 +-816 -3876 +1137 -1755 +3539 642 +1496 -1290 +-3372 1148 +1165 7331 +5050 1142 +-142 -3074 +1526 -2369 +3130 -399 +536 -910 +816 -2346 +1024 2048 +5361 248 +-5082 2977 +127 3530 +2942 -928 +-1892 -1145 +2770 113 +-3124 -321 +1624 -5368 +1576 -1949 +-3476 -1296 +1588 -3277 +1938 808 +178 -495 +2657 -2222 +-2772 -4406 +-11264 0 +-2772 4406 +2657 2222 +178 495 +1938 -808 +1588 3277 +-3476 1296 +1576 1949 +1624 5368 +-3124 321 +2770 -113 +-1892 1145 +2942 928 +127 -3530 +-5082 -2977 +5361 -248 +1024 -2048 +1690 3121 +239 2412 +512 1819 +4050 4424 +-1210 1988 +-1374 -1496 +1941 -2046 +4520 3320 +-1282 910 +-4912 1112 +-2949 3233 +-738 -1408 +-2146 -837 +987 618 +2401 3312 +-3072 0 +2401 -3312 +987 -618 +-2146 837 +-738 1408 +-2949 -3233 +-4912 -1112 +-1282 -910 +4520 -3320 +1941 2046 +-1374 1496 +-1210 -1988 +4050 -4424 +512 -1819 +239 -2412 +1690 -3121 +1024 2048 +5361 248 +-5082 2977 +127 3530 +2942 -928 +-1892 -1145 +2770 113 +-3124 -321 +1624 -5368 +1576 -1949 +-3476 -1296 +1588 -3277 +1938 808 +178 -495 +2657 -2222 +-2772 -4406 +1024 5120 +-7620 -2111 +288 -2323 +-4684 4497 +-4977 -1116 +826 -2690 +3060 934 +4583 -2870 +-2596 300 +1265 1532 +-3210 -3057 +-1018 1487 +4267 222 +-3315 -4872 +-4066 314 +282 2939 +4096 0 +282 -2939 +-4066 -314 +-3315 4872 +4267 -222 +-1018 -1487 +-3210 3057 +1265 -1532 +-2596 -300 +4583 2870 +3060 -934 +826 2690 +-4977 1116 +-4684 -4497 +288 2323 +-7620 2111 +1024 -5120 +1572 -3411 +820 -1617 +365 -1442 +-5760 -332 +165 362 +-384 1898 +1388 1389 +4644 1748 +3229 3355 +534 1793 +266 43 +2374 -1670 +1603 -2201 +2958 -158 +1093 307 +-2048 0 +1093 -307 +2958 158 +1603 2201 +2374 1670 +266 -43 +534 -1793 +3229 -3355 +4644 -1748 +1388 -1389 +-384 -1898 +165 -362 +-5760 332 +365 1442 +820 1617 +1572 3411 +1024 5120 +-7620 -2111 +288 -2323 +-4684 4497 +-4977 -1116 +826 -2690 +3060 934 +4583 -2870 +-2596 300 +1265 1532 +-3210 -3057 +-1018 1487 +4267 222 +-3315 -4872 +-4066 314 +282 2939 +-3072 -1024 +1322 -7188 +3371 402 +-208 3515 +2224 2284 +-1314 -16 +745 -3686 +3943 392 +4820 724 +2904 -2915 +-628 -2623 +-4366 -861 +-2454 -162 +1475 686 +-1428 1329 +-3649 -1060 +-4096 0 +-3649 1060 +-1428 -1329 +1475 -686 +-2454 162 +-4366 861 +-628 2623 +2904 2915 +4820 -724 +3943 -392 +745 3686 +-1314 16 +2224 -2284 +-208 -3515 +3371 -402 +1322 7188 +-3072 1024 +3583 1122 +-1479 7166 +-985 1939 +-776 -2284 +-445 -4307 +39 551 +-647 2455 +3372 -724 +-407 1666 +-156 -512 +332 633 +1006 162 +-6074 672 +-464 6239 +4536 -909 +-6144 0 +4536 909 +-464 -6239 +-6074 -672 +1006 -162 +332 -633 +-156 512 +-407 -1666 +3372 724 +-647 -2455 +39 -551 +-445 4307 +-776 2284 +-985 -1939 +-1479 -7166 +3583 -1122 +-3072 -1024 +1322 -7188 +3371 402 +-208 3515 +2224 2284 +-1314 -16 +745 -3686 +3943 392 +4820 724 +2904 -2915 +-628 -2623 +-4366 -861 +-2454 -162 +1475 686 +-1428 1329 +-3649 -1060 +-2048 3072 +-1261 -2220 +-321 4272 +5733 -665 +3962 -2454 +-1755 -3417 +-291 -3522 +2382 -782 +1324 -3796 +-77 -413 +-1690 1178 +-3175 998 +1944 776 +3835 -1097 +-4018 -4229 +1335 -5168 +11264 0 +1335 5168 +-4018 4229 +3835 1097 +1944 -776 +-3175 -998 +-1690 -1178 +-77 413 +1324 3796 +2382 782 +-291 3522 +-1755 3417 +3962 2454 +5733 665 +-321 -4272 +-1261 2220 +-2048 -3072 +-3857 -1445 +949 -332 +1037 -3115 +-1065 1006 +-276 -5056 +-2865 690 +884 -2139 +2772 -2348 +-2950 3523 +751 87 +533 994 +-4840 -2224 +-140 1990 +-706 4073 +-2248 1741 +1024 0 +-2248 -1741 +-706 -4073 +-140 -1990 +-4840 2224 +533 -994 +751 -87 +-2950 -3523 +2772 2348 +884 2139 +-2865 -690 +-276 5056 +-1065 -1006 +1037 3115 +949 332 +-3857 1445 +-2048 3072 +-1261 -2220 +-321 4272 +5733 -665 +3962 -2454 +-1755 -3417 +-291 -3522 +2382 -782 +1324 -3796 +-77 -413 +-1690 1178 +-3175 998 +1944 776 +3835 -1097 +-4018 -4229 +1335 -5168 +-3072 1024 +-5816 1861 +-3181 -3971 +-2124 -940 +4756 5904 +4041 676 +-1113 -3077 +1808 -537 +2596 300 +-689 2732 +-2300 -1763 +4504 1429 +-262 3458 +273 -2170 +2997 2127 +-1087 -1524 +2048 0 +-1087 1524 +2997 -2127 +273 2170 +-262 -3458 +4504 -1429 +-2300 1763 +-689 -2732 +2596 -300 +1808 537 +-1113 3077 +4041 -676 +4756 -5904 +-2124 940 +-3181 3971 +-5816 -1861 +-3072 -1024 +3259 -641 +4509 -5541 +896 370 +188 1336 +83 518 +2001 1904 +-2148 -3626 +-4644 1748 +349 5449 +-4380 2287 +-380 782 +-586 3783 +-1501 5016 +1467 -1750 +-1470 1103 +0 0 +-1470 -1103 +1467 1750 +-1501 -5016 +-586 -3783 +-380 -782 +-4380 -2287 +349 -5449 +-4644 -1748 +-2148 3626 +2001 -1904 +83 -518 +188 -1336 +896 -370 +4509 5541 +3259 641 +-3072 1024 +-5816 1861 +-3181 -3971 +-2124 -940 +4756 5904 +4041 676 +-1113 -3077 +1808 -537 +2596 300 +-689 2732 +-2300 -1763 +4504 1429 +-262 3458 +273 -2170 +2997 2127 +-1087 -1524 +1024 5120 +-3697 -2455 +-4587 -579 +3759 -3505 +-1260 2224 +-1271 1967 +4798 -1190 +-22 36 +-1148 300 +-1617 2208 +-3009 -3282 +-983 334 +862 2454 +4266 -2131 +469 -2055 +-1583 -3113 +2048 0 +-1583 3113 +469 2055 +4266 2131 +862 -2454 +-983 -334 +-3009 3282 +-1617 -2208 +-1148 -300 +-22 -36 +4798 1190 +-1271 -1967 +-1260 -2224 +3759 3505 +-4587 579 +-3697 2455 +1024 -5120 +-1876 -2517 +-357 1124 +246 -5125 +3308 -776 +3058 -3127 +-3950 -1590 +-199 193 +3196 1748 +1396 -4195 +3857 -5291 +2771 3857 +1186 -1006 +-261 -1147 +-5413 -3193 +-3989 -4076 +0 0 +-3989 4076 +-5413 3193 +-261 1147 +1186 1006 +2771 -3857 +3857 5291 +1396 4195 +3196 -1748 +-199 -193 +-3950 1590 +3058 3127 +3308 776 +246 5125 +-357 -1124 +-1876 2517 +1024 5120 +-3697 -2455 +-4587 -579 +3759 -3505 +-1260 2224 +-1271 1967 +4798 -1190 +-22 36 +-1148 300 +-1617 2208 +-3009 -3282 +-983 334 +862 2454 +4266 -2131 +469 -2055 +-1583 -3113 +2048 3072 +-3621 2629 +1940 -392 +502 -1821 +-1456 1860 +1177 1255 +-1215 -394 +-3895 4286 +-3796 724 +3660 -6149 +395 -5283 +-1909 -4896 +-1450 -2634 +3373 -132 +352 -1857 +-5941 -1150 +-1024 0 +-5941 1150 +352 1857 +3373 132 +-1450 2634 +-1909 4896 +395 5283 +3660 6149 +-3796 -724 +-3895 -4286 +-1215 394 +1177 -1255 +-1456 -1860 +502 1821 +1940 392 +-3621 -2629 +2048 -3072 +-58 1794 +2340 4997 +-104 -41 +-2889 -2708 +4061 -3194 +-77 -2882 +-1382 859 +-2348 -724 +2504 -738 +2097 3207 +1135 -588 +5794 -2310 +-2442 517 +2361 -531 +2940 -666 +-7168 0 +2940 666 +2361 531 +-2442 -517 +5794 2310 +1135 588 +2097 -3207 +2504 738 +-2348 724 +-1382 -859 +-77 2882 +4061 3194 +-2889 2708 +-104 41 +2340 -4997 +-58 -1794 +2048 3072 +-3621 2629 +1940 -392 +502 -1821 +-1456 1860 +1177 1255 +-1215 -394 +-3895 4286 +-3796 724 +3660 -6149 +395 -5283 +-1909 -4896 +-1450 -2634 +3373 -132 +352 -1857 +-5941 -1150 +-5120 -1024 +-2409 1040 +4357 2703 +3232 2340 +-1970 222 +-1206 223 +3052 1578 +1544 1552 +3796 1148 +-4904 -531 +-5954 1335 +-439 -3888 +-632 -332 +1796 6771 +2236 -1853 +2348 1301 +2048 0 +2348 -1301 +2236 1853 +1796 -6771 +-632 332 +-439 3888 +-5954 -1335 +-4904 531 +3796 -1148 +1544 -1552 +3052 -1578 +-1206 -223 +-1970 -222 +3232 -2340 +4357 -2703 +-2409 -1040 +-5120 1024 +5233 957 +-3028 129 +-3678 -3334 +-78 -1670 +-4650 -1882 +-2164 -1734 +-2270 524 +2348 -3196 +959 3806 +-727 2605 +264 -4763 +-1416 -1116 +-1112 -773 +2228 588 +5293 -504 +4096 0 +5293 504 +2228 -588 +-1112 773 +-1416 1116 +264 4763 +-727 -2605 +959 -3806 +2348 3196 +-2270 -524 +-2164 1734 +-4650 1882 +-78 1670 +-3678 3334 +-3028 -129 +5233 -957 +-5120 -1024 +-2409 1040 +4357 2703 +3232 2340 +-1970 222 +-1206 223 +3052 1578 +1544 1552 +3796 1148 +-4904 -531 +-5954 1335 +-439 -3888 +-632 -332 +1796 6771 +2236 -1853 +2348 1301 +3072 -4096 +970 -1679 +-2638 -990 +-2106 -9 +1168 398 +-339 2909 +691 -518 +380 5490 +-2048 3496 +2089 -1602 +2316 969 +-1113 2355 +-60 4170 +520 -342 +2299 97 +2793 -4676 +1024 0 +2793 4676 +2299 -97 +520 342 +-60 -4170 +-1113 -2355 +2316 -969 +2089 1602 +-2048 -3496 +380 -5490 +691 518 +-339 -2909 +1168 -398 +-2106 9 +-2638 990 +970 1679 +3072 4096 +5536 439 +3421 -119 +-790 5513 +-2616 -4494 +-3836 2271 +-2583 3194 +-3747 -2736 +-2048 600 +4854 -5092 +-424 1707 +-5856 4704 +1508 -74 +1935 -467 +-3083 -1206 +-1290 2180 +1024 0 +-1290 -2180 +-3083 1206 +1935 467 +1508 74 +-5856 -4704 +-424 -1707 +4854 5092 +-2048 -600 +-3747 2736 +-2583 -3194 +-3836 -2271 +-2616 4494 +-790 -5513 +3421 119 +5536 -439 +3072 -4096 +970 -1679 +-2638 -990 +-2106 -9 +1168 398 +-339 2909 +691 -518 +380 5490 +-2048 3496 +2089 -1602 +2316 969 +-1113 2355 +-60 4170 +520 -342 +2299 97 +2793 -4676 +0 1024 +-2076 3773 +-2842 -1351 +91 1009 +946 -1826 +1301 5903 +1392 965 +-432 -6108 +-2172 3796 +-1080 3421 +3706 1680 +3658 -1339 +-392 1716 +342 776 +1028 -3519 +1504 5344 +3072 0 +1504 -5344 +1028 3519 +342 -776 +-392 -1716 +3658 1339 +3706 -1680 +-1080 -3421 +-2172 -3796 +-432 6108 +1392 -965 +1301 -5903 +946 1826 +91 -1009 +-2842 1351 +-2076 -3773 +0 -1024 +912 4424 +1514 2134 +-2279 -241 +-946 -3718 +2662 1190 +-2280 927 +-791 3596 +2172 2348 +-1273 380 +2975 212 +3524 -1016 +392 932 +2287 -1264 +-5492 4303 +-8349 974 +-3072 0 +-8349 -974 +-5492 -4303 +2287 1264 +392 -932 +3524 1016 +2975 -212 +-1273 -380 +2172 -2348 +-791 -3596 +-2280 -927 +2662 -1190 +-946 3718 +-2279 241 +1514 -2134 +912 -4424 +0 1024 +-2076 3773 +-2842 -1351 +91 1009 +946 -1826 +1301 5903 +1392 965 +-432 -6108 +-2172 3796 +-1080 3421 +3706 1680 +3658 -1339 +-392 1716 +342 776 +1028 -3519 +1504 5344 +2048 -1024 +103 -4378 +-511 -4616 +3327 848 +-188 4372 +1739 5248 +-1098 881 +3029 -3144 +124 -300 +-5219 230 +404 -1190 +-189 -322 +586 -1690 +3241 104 +-3694 4318 +-2437 2740 +5120 0 +-2437 -2740 +-3694 -4318 +3241 -104 +586 1690 +-189 322 +404 1190 +-5219 -230 +124 300 +3029 3144 +-1098 -881 +1739 -5248 +-188 -4372 +3327 -848 +-511 4616 +103 4378 +2048 1024 +-2900 2920 +7311 1903 +-1595 -813 +-4756 2021 +225 3143 +-2567 1611 +-853 -180 +-4220 -1748 +1714 1430 +365 2482 +-2663 153 +262 3986 +1708 5356 +-209 -38 +770 -1614 +7168 0 +770 1614 +-209 38 +1708 -5356 +262 -3986 +-2663 -153 +365 -2482 +1714 -1430 +-4220 1748 +-853 180 +-2567 -1611 +225 -3143 +-4756 -2021 +-1595 813 +7311 -1903 +-2900 -2920 +2048 -1024 +103 -4378 +-511 -4616 +3327 848 +-188 4372 +1739 5248 +-1098 881 +3029 -3144 +124 -300 +-5219 230 +404 -1190 +-189 -322 +586 -1690 +3241 104 +-3694 4318 +-2437 2740 +1024 0 +-450 1951 +1460 -6612 +-581 -2150 +-2422 3072 +3432 651 +2375 3445 +-2571 -1337 +-2896 2048 +-1707 1051 +3745 -3275 +-3839 1842 +-4194 -3072 +-308 -622 +61 6589 +-895 2286 +-7168 0 +-895 -2286 +61 -6589 +-308 622 +-4194 3072 +-3839 -1842 +3745 3275 +-1707 -1051 +-2896 -2048 +-2571 1337 +2375 -3445 +3432 -651 +-2422 -3072 +-581 2150 +1460 6612 +-450 -1951 +1024 0 +5323 -296 +-77 -1608 +-1688 331 +1822 3072 +1432 1980 +-771 -1905 +3613 3424 +2896 2048 +-3119 -532 +1643 -481 +-2593 -2996 +698 -3072 +4145 -4982 +-245 -3721 +-194 -2199 +-3072 0 +-194 2199 +-245 3721 +4145 4982 +698 3072 +-2593 2996 +1643 481 +-3119 532 +2896 -2048 +3613 -3424 +-771 1905 +1432 -1980 +1822 -3072 +-1688 -331 +-77 1608 +5323 296 +1024 0 +-450 1951 +1460 -6612 +-581 -2150 +-2422 3072 +3432 651 +2375 3445 +-2571 -1337 +-2896 2048 +-1707 1051 +3745 -3275 +-3839 1842 +-4194 -3072 +-308 -622 +61 6589 +-895 2286 +-4096 -2048 +-5582 925 +-1707 1454 +1074 -1621 +1638 -156 +-15 1100 +-1441 3041 +-151 3 +1624 -3496 +-3787 -323 +-4276 2476 +-1518 4213 +2302 2832 +2331 2082 +-31 -155 +4155 -6576 +6144 0 +4155 6576 +-31 155 +2331 -2082 +2302 -2832 +-1518 -4213 +-4276 -2476 +-3787 323 +1624 3496 +-151 -3 +-1441 -3041 +-15 -1100 +1638 156 +1074 1621 +-1707 -1454 +-5582 -925 +-4096 2048 +686 -3528 +442 -2563 +-1061 249 +-1038 -3940 +5596 -2905 +-2499 -365 +166 367 +4520 -600 +-7373 -1524 +4120 200 +3946 -667 +1194 1264 +1232 1897 +-2800 -954 +301 1756 +-2048 0 +301 -1756 +-2800 954 +1232 -1897 +1194 -1264 +3946 667 +4120 -200 +-7373 1524 +4520 600 +166 -367 +-2499 365 +5596 2905 +-1038 3940 +-1061 -249 +442 2563 +686 3528 +-4096 -2048 +-5582 925 +-1707 1454 +1074 -1621 +1638 -156 +-15 1100 +-1441 3041 +-151 3 +1624 -3496 +-3787 -323 +-4276 2476 +-1518 4213 +2302 2832 +2331 2082 +-31 -155 +4155 -6576 +1024 2048 +2355 -4029 +3811 -609 +-1290 3826 +-280 -2278 +696 2361 +4230 3352 +2647 1842 +-2648 -2896 +-1004 2050 +-644 1520 +1039 -3456 +1388 5278 +-1079 2564 +1384 -48 +2435 -4799 +1024 0 +2435 4799 +1384 48 +-1079 -2564 +1388 -5278 +1039 3456 +-644 -1520 +-1004 -2050 +-2648 2896 +2647 -1842 +4230 -3352 +696 -2361 +-280 2278 +-1290 -3826 +3811 609 +2355 4029 +1024 -2048 +4776 -136 +-1438 129 +-928 243 +-4064 -1818 +-6756 2328 +2386 2481 +-4141 906 +-5544 2896 +44 19 +-1876 216 +4342 -103 +2956 -1182 +-1816 -951 +340 3663 +-1317 5746 +-3072 0 +-1317 -5746 +340 -3663 +-1816 951 +2956 1182 +4342 103 +-1876 -216 +44 -19 +-5544 -2896 +-4141 -906 +2386 -2481 +-6756 -2328 +-4064 1818 +-928 -243 +-1438 -129 +4776 136 +1024 2048 +2355 -4029 +3811 -609 +-1290 3826 +-280 -2278 +696 2361 +4230 3352 +2647 1842 +-2648 -2896 +-1004 2050 +-644 1520 +1039 -3456 +1388 5278 +-1079 2564 +1384 -48 +2435 -4799 +6144 -2048 +-94 2079 +2052 3131 +1658 4067 +-2532 2630 +3829 -3184 +3174 -4770 +-3746 -915 +-5968 0 +-2735 -534 +-727 -364 +-2065 -679 +-2192 3726 +-4003 -1391 +1133 -5691 +1837 -956 +-4096 0 +1837 956 +1133 5691 +-4003 1391 +-2192 -3726 +-2065 679 +-727 364 +-2735 534 +-5968 0 +-3746 915 +3174 4770 +3829 3184 +-2532 -2630 +1658 -4067 +2052 -3131 +-94 -2079 +6144 2048 +2676 -4058 +-788 2073 +474 2320 +-964 -3830 +-1277 5122 +766 -2001 +4616 -1497 +-176 0 +-3487 339 +882 1785 +1730 -2735 +1592 3267 +-345 2427 +1698 2704 +933 1193 +-4096 0 +933 -1193 +1698 -2704 +-345 -2427 +1592 -3267 +1730 2735 +882 -1785 +-3487 -339 +-176 0 +4616 1497 +766 2001 +-1277 -5122 +-964 3830 +474 -2320 +-788 -2073 +2676 4058 +6144 -2048 +-94 2079 +2052 3131 +1658 4067 +-2532 2630 +3829 -3184 +3174 -4770 +-3746 -915 +-5968 0 +-2735 -534 +-727 -364 +-2065 -679 +-2192 3726 +-4003 -1391 +1133 -5691 +1837 -956 +0 0 +-1611 3173 +2043 1253 +-4107 400 +-614 -1180 +3920 6687 +-6304 205 +-3541 -1839 +3072 -848 +685 -1074 +2859 1128 +1876 821 +-1278 3856 +1097 -2510 +1590 -2659 +145 -1363 +0 0 +145 1363 +1590 2659 +1097 2510 +-1278 -3856 +1876 -821 +2859 -1128 +685 1074 +3072 848 +-3541 1839 +-6304 -205 +3920 -6687 +-614 1180 +-4107 -400 +2043 -1253 +-1611 -3173 +0 0 +1040 3314 +-4076 -3329 +423 570 +2062 -4964 +-2023 -7381 +-4436 -4810 +-2237 180 +3072 4944 +629 -1473 +889 1260 +2908 2948 +-170 2288 +1700 2152 +-757 -617 +-903 1170 +4096 0 +-903 -1170 +-757 617 +1700 -2152 +-170 -2288 +2908 -2948 +889 -1260 +629 1473 +3072 -4944 +-2237 -180 +-4436 4810 +-2023 7381 +2062 4964 +423 -570 +-4076 3329 +1040 -3314 +0 0 +-1611 3173 +2043 1253 +-4107 400 +-614 -1180 +3920 6687 +-6304 205 +-3541 -1839 +3072 -848 +685 -1074 +2859 1128 +1876 821 +-1278 3856 +1097 -2510 +1590 -2659 +145 -1363 +-4096 -3072 +-231 1626 +1226 -609 +3672 -2958 +-2330 3118 +-3980 709 +2844 136 +-330 2978 +724 -4045 +-2974 1592 +-2739 4969 +-3433 1800 +-4996 2564 +1383 630 +234 3734 +443 1524 +3072 0 +443 -1524 +234 -3734 +1383 -630 +-4996 -2564 +-3433 -1800 +-2739 -4969 +-2974 -1592 +724 4045 +-330 -2978 +2844 -136 +-3980 -709 +-2330 -3118 +3672 2958 +1226 609 +-231 -1626 +-4096 3072 +-537 1037 +1931 -3331 +6274 1345 +1130 1226 +-2026 1571 +1880 2696 +-3029 1217 +-724 6093 +-997 -293 +2111 -6234 +1632 -2416 +-1996 1780 +2270 652 +705 -3578 +1863 4035 +5120 0 +1863 -4035 +705 3578 +2270 -652 +-1996 -1780 +1632 2416 +2111 6234 +-997 293 +-724 -6093 +-3029 -1217 +1880 -2696 +-2026 -1571 +1130 -1226 +6274 -1345 +1931 3331 +-537 -1037 +-4096 -3072 +-231 1626 +1226 -609 +3672 -2958 +-2330 3118 +-3980 709 +2844 136 +-330 2978 +724 -4045 +-2974 1592 +-2739 4969 +-3433 1800 +-4996 2564 +1383 630 +234 3734 +443 1524 +-2048 0 +-755 406 +3480 335 +2241 3096 +4488 -4170 +4319 -3687 +1254 -251 +3377 -2052 +424 3496 +1968 3428 +0 -4917 +-3948 2642 +-5428 4494 +20 -4824 +3002 1389 +43 4175 +2048 0 +43 -4175 +3002 -1389 +20 4824 +-5428 -4494 +-3948 -2642 +0 4917 +1968 -3428 +424 -3496 +3377 2052 +1254 251 +4319 3687 +4488 4170 +2241 -3096 +3480 -335 +-755 -406 +-2048 0 +-1216 2961 +2248 -3010 +-168 -1003 +704 74 +-578 1219 +-3995 -857 +-1172 -5622 +-2472 600 +1179 42 +1044 3809 +-2010 2900 +-3861 -398 +124 3342 +1159 -4065 +-3424 -1249 +-2048 0 +-3424 1249 +1159 4065 +124 -3342 +-3861 398 +-2010 -2900 +1044 -3809 +1179 -42 +-2472 -600 +-1172 5622 +-3995 857 +-578 -1219 +704 -74 +-168 1003 +2248 3010 +-1216 -2961 +-2048 0 +-755 406 +3480 335 +2241 3096 +4488 -4170 +4319 -3687 +1254 -251 +3377 -2052 +424 3496 +1968 3428 +0 -4917 +-3948 2642 +-5428 4494 +20 -4824 +3002 1389 +43 4175 +2048 -5120 +2271 -5004 +-2492 -2652 +-1383 -1529 +-148 -4312 +-125 -648 +-1269 198 +976 -1111 +7292 2772 +-724 -2701 +-3137 2145 +-3241 2117 +66 -926 +4746 3726 +-410 -1886 +-3642 3310 +-5120 0 +-3642 -3310 +-410 1886 +4746 -3726 +66 926 +-3241 -2117 +-3137 -2145 +-724 2701 +7292 -2772 +976 1111 +-1269 -198 +-125 648 +-148 4312 +-1383 1529 +-2492 2652 +2271 5004 +2048 5120 +3167 -1539 +3156 95 +723 2988 +-2500 -3528 +2581 -2421 +1712 1030 +-2774 1637 +2948 1324 +-1262 698 +-203 1979 +-782 2694 +-5610 -2818 +-2519 -2579 +2642 2225 +1988 -4190 +-3072 0 +1988 4190 +2642 -2225 +-2519 2579 +-5610 2818 +-782 -2694 +-203 -1979 +-1262 -698 +2948 -1324 +-2774 -1637 +1712 -1030 +2581 2421 +-2500 3528 +723 -2988 +3156 -95 +3167 1539 +2048 -5120 +2271 -5004 +-2492 -2652 +-1383 -1529 +-148 -4312 +-125 -648 +-1269 198 +976 -1111 +7292 2772 +-724 -2701 +-3137 2145 +-3241 2117 +66 -926 +4746 3726 +-410 -1886 +-3642 3310 +2048 0 +-2469 -157 +-1938 -705 +-1640 -2051 +-1773 5883 +1183 1781 +1979 -2087 +-2245 -1853 +-1448 -2472 +2918 2242 +-2412 -357 +-260 3621 +6016 4138 +-2004 3574 +-1036 7468 +3211 1020 +0 0 +3211 -1020 +-1036 -7468 +-2004 -3574 +6016 -4138 +-260 -3621 +-2412 357 +2918 -2242 +-1448 2472 +-2245 1853 +1979 2087 +1183 -1781 +-1773 -5883 +-1640 2051 +-1938 705 +-2469 157 +2048 0 +860 2647 +-3414 -3287 +-1201 -5484 +-1124 2558 +-618 -2379 +238 -172 +-163 -2162 +1448 424 +-510 974 +195 -4302 +-305 -747 +-3120 -3890 +4844 555 +6387 2525 +-1602 508 +-4096 0 +-1602 -508 +6387 -2525 +4844 -555 +-3120 3890 +-305 747 +195 4302 +-510 -974 +1448 -424 +-163 2162 +238 172 +-618 2379 +-1124 -2558 +-1201 5484 +-3414 3287 +860 -2647 +2048 0 +-2469 -157 +-1938 -705 +-1640 -2051 +-1773 5883 +1183 1781 +1979 -2087 +-2245 -1853 +-1448 -2472 +2918 2242 +-2412 -357 +-260 3621 +6016 4138 +-2004 3574 +-1036 7468 +3211 1020 +4096 -2048 +2210 -4730 +-2750 -408 +-2162 -650 +-1124 170 +3957 -2314 +-126 -1684 +-5138 3256 +2048 -3072 +-5939 1601 +-3366 1207 +35 -4551 +-3120 -614 +2835 2455 +796 4524 +-1281 -3386 +0 0 +-1281 3386 +796 -4524 +2835 -2455 +-3120 614 +35 4551 +-3366 -1207 +-5939 -1601 +2048 3072 +-5138 -3256 +-126 1684 +3957 2314 +-1124 -170 +-2162 650 +-2750 408 +2210 4730 +4096 2048 +4534 -570 +702 -2424 +-1014 -139 +-1773 1278 +-1902 -2624 +-1922 1840 +-1097 -176 +2048 -3072 +-1426 -1418 +1318 -5147 +5239 -3283 +6016 2062 +-1196 -349 +-2844 -3260 +2344 983 +0 0 +2344 -983 +-2844 3260 +-1196 349 +6016 -2062 +5239 3283 +1318 5147 +-1426 1418 +2048 3072 +-1097 176 +-1922 -1840 +-1902 2624 +-1773 -1278 +-1014 139 +702 2424 +4534 570 +4096 -2048 +2210 -4730 +-2750 -408 +-2162 -650 +-1124 170 +3957 -2314 +-126 -1684 +-5138 3256 +2048 -3072 +-5939 1601 +-3366 1207 +35 -4551 +-3120 -614 +2835 2455 +796 4524 +-1281 -3386 +-1024 2048 +258 450 +3312 -2917 +-1728 -2180 +-1448 3086 +-3736 -180 +-6665 -6732 +1817 61 +1024 -1024 +-1518 -3700 +5752 -502 +-168 469 +1448 -854 +-3017 -1041 +-6791 1377 +686 -3303 +-1024 0 +686 3303 +-6791 -1377 +-3017 1041 +1448 854 +-168 -469 +5752 502 +-1518 3700 +1024 1024 +1817 -61 +-6665 6732 +-3736 180 +-1448 -3086 +-1728 2180 +3312 2917 +258 -450 +-1024 -2048 +5269 721 +-517 -4887 +-1256 -5001 +-1448 410 +-1248 1779 +3221 -613 +2899 -6439 +1024 -1024 +-1190 2986 +589 1846 +-2209 819 +1448 254 +1776 1741 +1100 -492 +3364 1945 +-1024 0 +3364 -1945 +1100 492 +1776 -1741 +1448 -254 +-2209 -819 +589 -1846 +-1190 -2986 +1024 1024 +2899 6439 +3221 613 +-1248 -1779 +-1448 -410 +-1256 5001 +-517 4887 +5269 -721 +-1024 2048 +258 450 +3312 -2917 +-1728 -2180 +-1448 3086 +-3736 -180 +-6665 -6732 +1817 61 +1024 -1024 +-1518 -3700 +5752 -502 +-168 469 +1448 -854 +-3017 -1041 +-6791 1377 +686 -3303 +-1024 3072 +1572 -2884 +-561 -3553 +-258 -1172 +248 -4600 +-475 -3823 +-124 2975 +-5233 3398 +124 -2172 +-1296 -1901 +-2257 339 +2213 4436 +2030 -3094 +-40 -5261 +-1526 3771 +66 -6668 +0 0 +66 6668 +-1526 -3771 +-40 5261 +2030 3094 +2213 -4436 +-2257 -339 +-1296 1901 +124 2172 +-5233 -3398 +-124 -2975 +-475 3823 +248 4600 +-258 1172 +-561 3553 +1572 2884 +-1024 -3072 +4860 -2376 +2114 -1051 +3823 2780 +3248 3752 +-326 2024 +5031 300 +487 -1080 +-4220 2172 +-5990 -764 +245 1737 +2133 2323 +-1430 -1851 +-1278 1444 +-2923 -1384 +-258 -1176 +2048 0 +-258 1176 +-2923 1384 +-1278 -1444 +-1430 1851 +2133 -2323 +245 -1737 +-5990 764 +-4220 -2172 +487 1080 +5031 -300 +-326 -2024 +3248 -3752 +3823 -2780 +2114 1051 +4860 2376 +-1024 3072 +1572 -2884 +-561 -3553 +-258 -1172 +248 -4600 +-475 -3823 +-124 2975 +-5233 3398 +124 -2172 +-1296 -1901 +-2257 339 +2213 4436 +2030 -3094 +-40 -5261 +-1526 3771 +66 -6668 +-7168 -3072 +-3322 2325 +-2130 1984 +-1612 -4406 +-1885 273 +2317 -2809 +1766 734 +145 -1776 +-724 -1572 +-4109 938 +-573 -7855 +2887 1014 +3682 4286 +-316 962 +1365 2124 +2772 -1556 +-2048 0 +2772 1556 +1365 -2124 +-316 -962 +3682 -4286 +2887 -1014 +-573 7855 +-4109 -938 +-724 1572 +145 1776 +1766 -734 +2317 2809 +-1885 -273 +-1612 4406 +-2130 -1984 +-3322 -2325 +-7168 3072 +-1808 796 +2286 1081 +-2928 1569 +3333 2624 +3078 -3723 +-502 -1142 +1957 4277 +724 5668 +1328 2243 +3405 -2441 +-33 702 +-5130 -1390 +2400 -1343 +2575 -756 +-2755 -436 +0 0 +-2755 436 +2575 756 +2400 1343 +-5130 1390 +-33 -702 +3405 2441 +1328 -2243 +724 -5668 +1957 -4277 +-502 1142 +3078 3723 +3333 -2624 +-2928 -1569 +2286 -1081 +-1808 -796 +-7168 -3072 +-3322 2325 +-2130 1984 +-1612 -4406 +-1885 273 +2317 -2809 +1766 734 +145 -1776 +-724 -1572 +-4109 938 +-573 -7855 +2887 1014 +3682 4286 +-316 962 +1365 2124 +2772 -1556 +-3072 -4096 +243 247 +3001 -2771 +-3178 -2015 +-1508 -1374 +-119 -192 +-4192 1463 +3065 -61 +4344 1448 +1578 2641 +48 1735 +-5368 -551 +-1168 -1846 +-1321 -1119 +-4671 1047 +1414 -2209 +5120 0 +1414 2209 +-4671 -1047 +-1321 1119 +-1168 1846 +-5368 551 +48 -1735 +1578 -2641 +4344 -1448 +3065 61 +-4192 -1463 +-119 192 +-1508 1374 +-3178 2015 +3001 2771 +243 -247 +-3072 4096 +-658 -1112 +-650 -1234 +-2160 -2177 +60 -5618 +-203 4545 +-1484 -1683 +-1439 -4468 +-4344 -1448 +2589 4494 +5628 3837 +-102 -2327 +2616 3046 +866 -2112 +2320 741 +4794 4816 +1024 0 +4794 -4816 +2320 -741 +866 2112 +2616 -3046 +-102 2327 +5628 -3837 +2589 -4494 +-4344 1448 +-1439 4468 +-1484 1683 +-203 -4545 +60 5618 +-2160 2177 +-650 1234 +-658 1112 +-3072 -4096 +243 247 +3001 -2771 +-3178 -2015 +-1508 -1374 +-119 -192 +-4192 1463 +3065 -61 +4344 1448 +1578 2641 +48 1735 +-5368 -551 +-1168 -1846 +-1321 -1119 +-4671 1047 +1414 -2209 +1024 1024 +871 1310 +-3247 -1959 +2215 -7911 +-1546 -174 +15 -7 +-2350 402 +-5471 1441 +2348 -2348 +-2065 1101 +492 -2301 +-1443 -2327 +-3104 1631 +-1786 -157 +544 544 +3105 -2781 +-2048 0 +3105 2781 +544 -544 +-1786 157 +-3104 -1631 +-1443 2327 +492 2301 +-2065 -1101 +2348 2348 +-5471 -1441 +-2350 -402 +15 7 +-1546 174 +2215 7911 +-3247 1959 +871 -1310 +1024 -1024 +-2558 -3591 +-877 5299 +1848 2527 +346 -7418 +2113 -3078 +4907 262 +-698 -4179 +3796 -3796 +4450 1824 +-152 69 +-2253 -1069 +-3888 3064 +-709 2654 +684 -100 +2366 -2030 +4096 0 +2366 2030 +684 100 +-709 -2654 +-3888 -3064 +-2253 1069 +-152 -69 +4450 -1824 +3796 3796 +-698 4179 +4907 -262 +2113 3078 +346 7418 +1848 -2527 +-877 -5299 +-2558 3591 +1024 1024 +871 1310 +-3247 -1959 +2215 -7911 +-1546 -174 +15 -7 +-2350 402 +-5471 1441 +2348 -2348 +-2065 1101 +492 -2301 +-1443 -2327 +-3104 1631 +-1786 -157 +544 544 +3105 -2781 +-1024 -2048 +2545 339 +1345 -704 +-1120 1041 +1748 7490 +-3889 -3313 +-5157 -3334 +-519 -1070 +600 -2896 +-38 -2422 +-2200 2409 +-1214 2551 +300 874 +875 3586 +1423 -2321 +2033 6408 +3072 0 +2033 -6408 +1423 2321 +875 -3586 +300 -874 +-1214 -2551 +-2200 -2409 +-38 2422 +600 2896 +-519 1070 +-5157 3334 +-3889 3313 +1748 -7490 +-1120 -1041 +1345 704 +2545 -339 +-1024 2048 +1050 415 +168 3572 +1504 213 +1748 3247 +1097 3524 +657 -422 +-2510 592 +3496 2896 +-717 3512 +-6085 -870 +2439 1443 +300 5767 +309 1453 +1657 -5899 +-1844 -4087 +-1024 0 +-1844 4087 +1657 5899 +309 -1453 +300 -5767 +2439 -1443 +-6085 870 +-717 -3512 +3496 -2896 +-2510 -592 +657 422 +1097 -3524 +1748 -3247 +1504 -213 +168 -3572 +1050 -415 +-1024 -2048 +2545 339 +1345 -704 +-1120 1041 +1748 7490 +-3889 -3313 +-5157 -3334 +-519 -1070 +600 -2896 +-38 -2422 +-2200 2409 +-1214 2551 +300 874 +875 3586 +1423 -2321 +2033 6408 +-2048 -2048 +2554 5704 +1154 775 +-2508 2489 +484 398 +5351 -2980 +1354 19 +-2739 3798 +424 -848 +1619 1091 +1000 4975 +284 2613 +144 4170 +-3018 539 +-1209 1141 +923 245 +-2048 0 +923 -245 +-1209 -1141 +-3018 -539 +144 -4170 +284 -2613 +1000 -4975 +1619 -1091 +424 848 +-2739 -3798 +1354 -19 +5351 2980 +484 -398 +-2508 -2489 +1154 -775 +2554 -5704 +-2048 2048 +-52 3644 +110 -4780 +-167 -1232 +-1084 -4494 +-3954 -4198 +2586 -240 +-2417 3077 +-2472 4944 +3536 4008 +-844 597 +-1681 -863 +-3640 -74 +5694 -1940 +4040 647 +-3425 -4258 +2048 0 +-3425 4258 +4040 -647 +5694 1940 +-3640 74 +-1681 863 +-844 -597 +3536 -4008 +-2472 -4944 +-2417 -3077 +2586 240 +-3954 4198 +-1084 4494 +-167 1232 +110 4780 +-52 -3644 +-2048 -2048 +2554 5704 +1154 775 +-2508 2489 +484 398 +5351 -2980 +1354 19 +-2739 3798 +424 -848 +1619 1091 +1000 4975 +284 2613 +144 4170 +-3018 539 +-1209 1141 +923 245 +0 -2048 +3196 5315 +2381 -568 +292 -5651 +1618 -2408 +-3211 -296 +132 -1988 +-945 -2583 +-1024 -6392 +1960 804 +-3482 3556 +-1073 302 +-834 6412 +1540 -2365 +-301 -3216 +-2044 4445 +4096 0 +-2044 -4445 +-301 3216 +1540 2365 +-834 -6412 +-1073 -302 +-3482 -3556 +1960 -804 +-1024 6392 +-945 2583 +132 1988 +-3211 296 +1618 2408 +292 5651 +2381 568 +3196 -5315 +0 2048 +-1971 2642 +-3505 -568 +3303 -587 +2726 -840 +2457 3836 +5885 -1988 +2129 1628 +-1024 2296 +-1576 -1447 +362 3556 +-1957 709 +-3510 2628 +-1351 1791 +-1472 -3216 +-748 -4368 +0 0 +-748 4368 +-1472 3216 +-1351 -1791 +-3510 -2628 +-1957 -709 +362 -3556 +-1576 1447 +-1024 -2296 +2129 -1628 +5885 1988 +2457 -3836 +2726 840 +3303 587 +-3505 568 +-1971 -2642 +0 -2048 +3196 5315 +2381 -568 +292 -5651 +1618 -2408 +-3211 -296 +132 -1988 +-945 -2583 +-1024 -6392 +1960 804 +-3482 3556 +-1073 302 +-834 6412 +1540 -2365 +-301 -3216 +-2044 4445 +4096 1024 +-5609 286 +-2259 -4389 +-1072 201 +-148 3972 +990 -696 +411 781 +4518 -1517 +3196 -2772 +2864 3177 +-3508 -112 +-1293 -1007 +66 2154 +1458 -1351 +5327 -1215 +1147 1195 +1024 0 +1147 -1195 +5327 1215 +1458 1351 +66 -2154 +-1293 1007 +-3508 112 +2864 -3177 +3196 2772 +4518 1517 +411 -781 +990 696 +-148 -3972 +-1072 -201 +-2259 4389 +-5609 -286 +4096 -1024 +978 -131 +927 -843 +-1724 -1466 +-2500 972 +3781 3528 +-7106 -662 +-3426 -2423 +-1148 -1324 +-5524 763 +1515 3128 +305 -1825 +-5610 -1306 +-2446 2615 +4694 -1121 +5051 -1350 +3072 0 +5051 1350 +4694 1121 +-2446 -2615 +-5610 1306 +305 1825 +1515 -3128 +-5524 -763 +-1148 1324 +-3426 2423 +-7106 662 +3781 -3528 +-2500 -972 +-1724 1466 +927 843 +978 131 +4096 1024 +-5609 286 +-2259 -4389 +-1072 201 +-148 3972 +990 -696 +411 781 +4518 -1517 +3196 -2772 +2864 3177 +-3508 -112 +-1293 -1007 +66 2154 +1458 -1351 +5327 -1215 +1147 1195 +0 -2048 +-4200 1614 +363 -887 +628 242 +-1892 614 +475 -5283 +-7931 1421 +-3505 4038 +1448 -1024 +2985 201 +1378 -51 +-3570 62 +784 -1278 +168 -813 +-1468 2287 +1217 -1477 +2048 0 +1217 1477 +-1468 -2287 +168 813 +784 1278 +-3570 -62 +1378 51 +2985 -201 +1448 1024 +-3505 -4038 +-7931 -1421 +475 5283 +-1892 -614 +628 -242 +363 887 +-4200 -1614 +0 2048 +-1193 -1886 +-1012 -2425 +-5036 1941 +1892 -2062 +1658 530 +-1205 4567 +4881 2193 +-1448 -1024 +3208 -5634 +7757 -2153 +4573 2416 +-784 -170 +1105 2035 +2117 2593 +-3392 -2268 +-2048 0 +-3392 2268 +2117 -2593 +1105 -2035 +-784 170 +4573 -2416 +7757 2153 +3208 5634 +-1448 1024 +4881 -2193 +-1205 -4567 +1658 -530 +1892 2062 +-5036 -1941 +-1012 2425 +-1193 1886 +0 -2048 +-4200 1614 +363 -887 +628 242 +-1892 614 +475 -5283 +-7931 1421 +-3505 4038 +1448 -1024 +2985 201 +1378 -51 +-3570 62 +784 -1278 +168 -813 +-1468 2287 +1217 -1477 +-3072 -2048 +1542 -1578 +4892 -3774 +235 1643 +-1988 -110 +3121 -2022 +3597 -2414 +-3157 -3233 +1448 -2896 +2594 -3251 +-6055 -478 +-1884 -2519 +568 -2002 +-1484 1828 +2893 3395 +1146 1673 +-3072 0 +1146 -1673 +2893 -3395 +-1484 -1828 +568 2002 +-1884 2519 +-6055 478 +2594 3251 +1448 2896 +-3157 3233 +3597 2414 +3121 2022 +-1988 110 +235 -1643 +4892 3774 +1542 1578 +-3072 2048 +-1452 325 +1486 -62 +2113 -1153 +-3556 -2786 +-964 2434 +2798 -1110 +-2669 2702 +-1448 2896 +-5015 2040 +-2037 -1349 +4840 -5317 +-3216 -894 +-185 -3794 +618 2657 +1219 2187 +9216 0 +1219 -2187 +618 -2657 +-185 3794 +-3216 894 +4840 5317 +-2037 1349 +-5015 -2040 +-1448 -2896 +-2669 -2702 +2798 1110 +-964 -2434 +-3556 2786 +2113 1153 +1486 62 +-1452 -325 +-3072 -2048 +1542 -1578 +4892 -3774 +235 1643 +-1988 -110 +3121 -2022 +3597 -2414 +-3157 -3233 +1448 -2896 +2594 -3251 +-6055 -478 +-1884 -2519 +568 -2002 +-1484 1828 +2893 3395 +1146 1673 +3072 5120 +-1096 -1335 +488 -2631 +1706 -2763 +-7118 4078 +-1991 4227 +1701 -2581 +2678 -3820 +6692 -300 +1278 246 +-242 -2476 +1573 -1260 +-1316 -5296 +-1501 -3983 +1077 -700 +2197 -3193 +2048 0 +2197 3193 +1077 700 +-1501 3983 +-1316 5296 +1573 1260 +-242 2476 +1278 -246 +6692 300 +2678 3820 +1701 2581 +-1991 -4227 +-7118 -4078 +1706 2763 +488 2631 +-1096 1335 +3072 -5120 +-1760 -1749 +960 -489 +1086 -2047 +126 618 +2087 -1489 +-3149 3704 +-9 -1564 +-548 -1748 +-5514 2251 +-1206 703 +2116 -1666 +117 -2296 +-5075 1702 +371 -5316 +2226 -203 +-4096 0 +2226 203 +371 5316 +-5075 -1702 +117 2296 +2116 1666 +-1206 -703 +-5514 -2251 +-548 1748 +-9 1564 +-3149 -3704 +2087 1489 +126 -618 +1086 2047 +960 489 +-1760 1749 +3072 5120 +-1096 -1335 +488 -2631 +1706 -2763 +-7118 4078 +-1991 4227 +1701 -2581 +2678 -3820 +6692 -300 +1278 246 +-242 -2476 +1573 -1260 +-1316 -5296 +-1501 -3983 +1077 -700 +2197 -3193 +4096 4096 +-15 1968 +859 707 +-2487 693 +-2642 325 +-2448 -1438 +-848 3277 +65 745 +-2472 4096 +2953 3306 +-944 -3676 +-3287 4654 +-190 4568 +-4185 -2594 +2330 -1844 +6244 5707 +2048 0 +6244 -5707 +2330 1844 +-4185 2594 +-190 -4568 +-3287 -4654 +-944 3676 +2953 -3306 +-2472 -4096 +65 -745 +-848 -3277 +-2448 1438 +-2642 -325 +-2487 -693 +859 -707 +-15 -1968 +4096 -4096 +899 -2270 +1033 142 +3476 -479 +-3750 -325 +2015 -2734 +1632 1668 +151 1629 +424 4096 +-5624 3219 +161 -1268 +3041 383 +2486 -4568 +-1918 -1968 +-4222 996 +1121 542 +2048 0 +1121 -542 +-4222 -996 +-1918 1968 +2486 4568 +3041 -383 +161 1268 +-5624 -3219 +424 -4096 +151 -1629 +1632 -1668 +2015 2734 +-3750 325 +3476 479 +1033 -142 +899 2270 +4096 4096 +-15 1968 +859 707 +-2487 693 +-2642 325 +-2448 -1438 +-848 3277 +65 745 +-2472 4096 +2953 3306 +-944 -3676 +-3287 4654 +-190 4568 +-4185 -2594 +2330 -1844 +6244 5707 +-5120 -4096 +4667 -639 +456 1997 +-5307 18 +-2616 2786 +-2695 -189 +-3028 2319 +1648 7647 +0 600 +-3123 -1451 +2880 -1703 +-2930 -1624 +1508 894 +-238 -517 +-3186 627 +1943 856 +-3072 0 +1943 -856 +-3186 -627 +-238 517 +1508 -894 +-2930 1624 +2880 1703 +-3123 1451 +0 -600 +1648 -7647 +-3028 -2319 +-2695 189 +-2616 -2786 +-5307 -18 +456 -1997 +4667 639 +-5120 4096 +1334 2000 +3484 -1149 +-129 -4940 +1168 110 +1451 4787 +5860 2625 +2539 1492 +0 3496 +-3519 2342 +-1616 -3241 +3494 1109 +-60 2002 +561 -3726 +3341 -1475 +305 -1951 +-3072 0 +305 1951 +3341 1475 +561 3726 +-60 -2002 +3494 -1109 +-1616 3241 +-3519 -2342 +0 -3496 +2539 -1492 +5860 -2625 +1451 -4787 +1168 -110 +-129 4940 +3484 1149 +1334 -2000 +-5120 -4096 +4667 -639 +456 1997 +-5307 18 +-2616 2786 +-2695 -189 +-3028 2319 +1648 7647 +0 600 +-3123 -1451 +2880 -1703 +-2930 -1624 +1508 894 +-238 -517 +-3186 627 +1943 856 +2048 2048 +754 1124 +-5686 -700 +1115 6570 +2232 -458 +-228 -3290 +-1239 2632 +1893 1243 +848 3072 +306 714 +3013 -464 +2564 2265 +444 -1553 +1871 -4597 +98 -4628 +-1277 -2425 +2048 0 +-1277 2425 +98 4628 +1871 4597 +444 1553 +2564 -2265 +3013 464 +306 -714 +848 -3072 +1893 -1243 +-1239 -2632 +-228 3290 +2232 458 +1115 -6570 +-5686 700 +754 -1124 +2048 -2048 +-4967 -1290 +4578 -4976 +3140 4290 +664 6002 +-2983 -422 +-1437 -281 +1089 346 +-4944 3072 +2064 4789 +-337 2815 +-1570 -1440 +-3340 -1094 +-3910 217 +1010 -1049 +139 2779 +2048 0 +139 -2779 +1010 1049 +-3910 -217 +-3340 1094 +-1570 1440 +-337 -2815 +2064 -4789 +-4944 -3072 +1089 -346 +-1437 281 +-2983 422 +664 -6002 +3140 -4290 +4578 4976 +-4967 1290 +2048 2048 +754 1124 +-5686 -700 +1115 6570 +2232 -458 +-228 -3290 +-1239 2632 +1893 1243 +848 3072 +306 714 +3013 -464 +2564 2265 +444 -1553 +1871 -4597 +98 -4628 +-1277 -2425 +-3072 -3072 +-93 -2681 +-2013 -2348 +-1510 1270 +-3308 268 +-170 -1122 +-74 51 +-229 4004 +3796 5244 +1973 928 +3160 4112 +-5085 597 +-1186 -5166 +-414 2112 +-4928 4582 +-25 2092 +-2048 0 +-25 -2092 +-4928 -4582 +-414 -2112 +-1186 5166 +-5085 -597 +3160 -4112 +1973 -928 +3796 -5244 +-229 -4004 +-74 -51 +-170 1122 +-3308 -268 +-1510 -1270 +-2013 2348 +-93 2681 +-3072 3072 +-617 -721 +1792 -2921 +2087 2570 +1260 -516 +315 -921 +1862 3669 +2492 3038 +2348 900 +-7133 -878 +845 1304 +7837 -1441 +-862 -3274 +2733 529 +-644 38 +-2161 1498 +4096 0 +-2161 -1498 +-644 -38 +2733 -529 +-862 3274 +7837 1441 +845 -1304 +-7133 878 +2348 -900 +2492 -3038 +1862 -3669 +315 921 +1260 516 +2087 -2570 +1792 2921 +-617 721 +-3072 -3072 +-93 -2681 +-2013 -2348 +-1510 1270 +-3308 268 +-170 -1122 +-74 51 +-229 4004 +3796 5244 +1973 928 +3160 4112 +-5085 597 +-1186 -5166 +-414 2112 +-4928 4582 +-25 2092 +2048 -3072 +6178 141 +-232 84 +2691 534 +2310 582 +1881 -1018 +344 -1834 +-4793 927 +1324 -1148 +-1747 -1847 +-4645 297 +-1401 -1714 +1860 -4696 +1301 -1965 +1053 1199 +37 -4237 +-5120 0 +37 4237 +1053 -1199 +1301 1965 +1860 4696 +-1401 1714 +-4645 -297 +-1747 1847 +1324 1148 +-4793 -927 +344 1834 +1881 1018 +2310 -582 +2691 -534 +-232 -84 +6178 -141 +2048 3072 +-6506 2583 +-1935 -748 +6638 2793 +2634 -2878 +2005 -2513 +-56 2277 +-3278 799 +2772 3196 +-1976 -210 +-2636 3044 +-3612 -249 +-2708 -1696 +2081 6860 +-85 1033 +499 3177 +1024 0 +499 -3177 +-85 -1033 +2081 -6860 +-2708 1696 +-3612 249 +-2636 -3044 +-1976 210 +2772 -3196 +-3278 -799 +-56 -2277 +2005 2513 +2634 2878 +6638 -2793 +-1935 748 +-6506 -2583 +2048 -3072 +6178 141 +-232 84 +2691 534 +2310 582 +1881 -1018 +344 -1834 +-4793 927 +1324 -1148 +-1747 -1847 +-4645 297 +-1401 -1714 +1860 -4696 +1301 -1965 +1053 1199 +37 -4237 +-1024 5120 +-4051 -1179 +3154 4254 +1810 4551 +-4286 2250 +1025 2987 +1838 1758 +761 487 +-900 -2348 +305 -722 +-2042 1219 +-1516 752 +2624 756 +800 -1220 +1763 503 +-444 2892 +-4096 0 +-444 -2892 +1763 -503 +800 1220 +2624 -756 +-1516 -752 +-2042 -1219 +305 722 +-900 2348 +761 -487 +1838 -1758 +1025 -2987 +-4286 -2250 +1810 -4551 +3154 -4254 +-4051 1179 +-1024 -5120 +2070 -6582 +1451 -1394 +-896 -98 +1390 4142 +-3792 4494 +1438 4739 +2885 -769 +-5244 -3796 +2729 3545 +-34 -1715 +2954 -3086 +273 1540 +-6179 1650 +625 3556 +1537 -1756 +2048 0 +1537 1756 +625 -3556 +-6179 -1650 +273 -1540 +2954 3086 +-34 1715 +2729 -3545 +-5244 3796 +2885 769 +1438 -4739 +-3792 -4494 +1390 -4142 +-896 98 +1451 1394 +2070 6582 +-1024 5120 +-4051 -1179 +3154 4254 +1810 4551 +-4286 2250 +1025 2987 +1838 1758 +761 487 +-900 -2348 +305 -722 +-2042 1219 +-1516 752 +2624 756 +800 -1220 +1763 503 +-444 2892 +-1024 2048 +2326 -2375 +1221 5554 +-3932 2473 +-784 150 +7 -4030 +2270 -6749 +4390 -2487 +-4520 -1872 +-4240 1711 +4373 1724 +972 2565 +-1892 2523 +1172 -657 +-316 -707 +-757 959 +1024 0 +-757 -959 +-316 707 +1172 657 +-1892 -2523 +972 -2565 +4373 -1724 +-4240 -1711 +-4520 1872 +4390 2487 +2270 6749 +7 4030 +-784 -150 +-3932 -2473 +1221 -5554 +2326 2375 +-1024 -2048 +2181 763 +-622 942 +-3426 -2888 +784 5043 +1236 1284 +1226 2690 +-319 -412 +-1624 3920 +1498 5205 +-876 -4583 +-1327 3586 +1892 6766 +-495 3347 +916 211 +713 1452 +-3072 0 +713 -1452 +916 -211 +-495 -3347 +1892 -6766 +-1327 -3586 +-876 4583 +1498 -5205 +-1624 -3920 +-319 412 +1226 -2690 +1236 -1284 +784 -5043 +-3426 2888 +-622 -942 +2181 -763 +-1024 2048 +2326 -2375 +1221 5554 +-3932 2473 +-784 150 +7 -4030 +2270 -6749 +4390 -2487 +-4520 -1872 +-4240 1711 +4373 1724 +972 2565 +-1892 2523 +1172 -657 +-316 -707 +-757 959 +-2048 0 +2677 -1929 +821 599 +-1187 -5270 +614 -4364 +-539 1496 +1049 -4993 +3450 -4072 +-1024 0 +-1751 82 +-83 -1836 +-4799 -1265 +1278 -1208 +-2073 -3153 +2097 -268 +5472 -2913 +-6144 0 +5472 2913 +2097 268 +-2073 3153 +1278 1208 +-4799 1265 +-83 1836 +-1751 -82 +-1024 0 +3450 4072 +1049 4993 +-539 -1496 +614 4364 +-1187 5270 +821 -599 +2677 1929 +-2048 0 +-1039 -2116 +562 -2675 +922 -958 +-2062 -580 +315 921 +555 388 +-2756 -5324 +-1024 0 +170 2553 +5471 4223 +559 7228 +170 360 +1009 -5322 +-2281 -3007 +-429 5107 +-2048 0 +-429 -5107 +-2281 3007 +1009 5322 +170 -360 +559 -7228 +5471 -4223 +170 -2553 +-1024 0 +-2756 5324 +555 -388 +315 -921 +-2062 580 +922 958 +562 2675 +-1039 2116 +-2048 0 +2677 -1929 +821 599 +-1187 -5270 +614 -4364 +-539 1496 +1049 -4993 +3450 -4072 +-1024 0 +-1751 82 +-83 -1836 +-4799 -1265 +1278 -1208 +-2073 -3153 +2097 -268 +5472 -2913 +-3072 -3072 +343 1102 +2295 699 +2926 -2297 +-1306 -222 +-1700 -2702 +106 2532 +308 3676 +2348 1748 +-1565 -706 +2542 -1752 +-1146 3792 +-3972 332 +667 2266 +-2671 5544 +-2929 -3087 +-2048 0 +-2929 3087 +-2671 -5544 +667 -2266 +-3972 -332 +-1146 -3792 +2542 1752 +-1565 706 +2348 -1748 +308 -3676 +106 -2532 +-1700 2702 +-1306 222 +2926 2297 +2295 -699 +343 -1102 +-3072 3072 +-2046 -2525 +-2295 -1075 +1865 -251 +2154 1670 +-525 -1279 +-106 -3056 +3994 5778 +3796 300 +-7850 951 +-2542 2925 +916 -1221 +-972 1116 +2790 -661 +2671 3968 +3954 6440 +4096 0 +3954 -6440 +2671 -3968 +2790 661 +-972 -1116 +916 1221 +-2542 -2925 +-7850 -951 +3796 -300 +3994 -5778 +-106 3056 +-525 1279 +2154 -1670 +1865 251 +-2295 1075 +-2046 2525 +-3072 -3072 +343 1102 +2295 699 +2926 -2297 +-1306 -222 +-1700 -2702 +106 2532 +308 3676 +2348 1748 +-1565 -706 +2542 -1752 +-1146 3792 +-3972 332 +667 2266 +-2671 5544 +-2929 -3087 +1024 3072 +-6141 1991 +3141 98 +600 -1277 +-366 -2898 +-137 -1344 +-4732 -2508 +1872 471 +1748 -1748 +593 -1548 +-62 1871 +2392 -1628 +751 1441 +-2096 572 +1365 -3194 +516 645 +0 0 +516 -645 +1365 3194 +-2096 -572 +751 -1441 +2392 1628 +-62 -1871 +593 1548 +1748 1748 +1872 -471 +-4732 2508 +-137 1344 +-366 2898 +600 1277 +3141 -98 +-6141 -1991 +1024 -3072 +2995 1987 +-4289 2106 +-294 5694 +5310 4346 +-1401 134 +-7352 -805 +-3974 -2739 +300 -300 +1509 -720 +-3536 3009 +-855 419 +-1600 8 +1790 3845 +7272 -2794 +2631 3333 +2048 0 +2631 -3333 +7272 2794 +1790 -3845 +-1600 -8 +-855 -419 +-3536 -3009 +1509 720 +300 300 +-3974 2739 +-7352 805 +-1401 -134 +5310 -4346 +-294 -5694 +-4289 -2106 +2995 -1987 +1024 3072 +-6141 1991 +3141 98 +600 -1277 +-366 -2898 +-137 -1344 +-4732 -2508 +1872 471 +1748 -1748 +593 -1548 +-62 1871 +2392 -1628 +751 1441 +-2096 572 +1365 -3194 +516 645 +1024 3072 +2453 -1131 +1488 1842 +2669 1138 +2100 -5260 +-1831 1455 +-4492 674 +-365 -1682 +300 1748 +-3476 539 +713 -3532 +-3881 804 +1766 3019 +5222 -3037 +-1283 -2219 +510 -2153 +0 0 +510 2153 +-1283 2219 +5222 3037 +1766 -3019 +-3881 -804 +713 3532 +-3476 -539 +300 -1748 +-365 1682 +-4492 -674 +-1831 -1455 +2100 5260 +2669 -1138 +1488 -1842 +2453 1131 +1024 -3072 +2174 1087 +-521 -1658 +-4054 2231 +-900 4660 +4025 -416 +-2789 4714 +-4696 350 +1748 300 +-361 4809 +-425 1928 +-2335 1564 +5226 477 +5978 1943 +-884 3603 +-2032 2997 +-6144 0 +-2032 -2997 +-884 -3603 +5978 -1943 +5226 -477 +-2335 -1564 +-425 -1928 +-361 -4809 +1748 -300 +-4696 -350 +-2789 -4714 +4025 416 +-900 -4660 +-4054 -2231 +-521 1658 +2174 -1087 +1024 3072 +2453 -1131 +1488 1842 +2669 1138 +2100 -5260 +-1831 1455 +-4492 674 +-365 -1682 +300 1748 +-3476 539 +713 -3532 +-3881 804 +1766 3019 +5222 -3037 +-1283 -2219 +510 -2153 +-3072 3072 +1719 720 +3202 -3622 +-810 3285 +-1042 1610 +-1028 -524 +-2254 1663 +-2682 -5564 +3372 -3620 +-201 3173 +179 2739 +4548 3026 +176 3732 +-338 2768 +792 1616 +2508 1743 +4096 0 +2508 -1743 +792 -1616 +-338 -2768 +176 -3732 +4548 -3026 +179 -2739 +-201 -3173 +3372 3620 +-2682 5564 +-2254 -1663 +-1028 524 +-1042 -1610 +-810 -3285 +3202 3622 +1719 -720 +-3072 -3072 +-181 -1066 +1366 -382 +1710 3209 +-4502 1286 +1737 -2139 +1929 -1883 +-1697 4676 +4820 3620 +-772 -2804 +146 2833 +-3040 624 +-2824 -836 +-2779 1847 +-5360 172 +1305 7359 +2048 0 +1305 -7359 +-5360 -172 +-2779 -1847 +-2824 836 +-3040 -624 +146 -2833 +-772 2804 +4820 -3620 +-1697 -4676 +1929 1883 +1737 2139 +-4502 -1286 +1710 -3209 +1366 382 +-181 1066 +-3072 3072 +1719 720 +3202 -3622 +-810 3285 +-1042 1610 +-1028 -524 +-2254 1663 +-2682 -5564 +3372 -3620 +-201 3173 +179 2739 +4548 3026 +176 3732 +-338 2768 +792 1616 +2508 1743 +-1024 2048 +-276 -5761 +-1285 1368 +598 3963 +-1567 1298 +1308 -3016 +979 -6291 +-2702 409 +-1872 -1872 +-6931 903 +-1862 3181 +-1289 -3802 +-3784 -1075 +-2900 228 +1124 -1463 +5731 -1817 +3072 0 +5731 1817 +1124 1463 +-2900 -228 +-3784 1075 +-1289 3802 +-1862 -3181 +-6931 -903 +-1872 1872 +-2702 -409 +979 6291 +1308 3016 +-1567 -1298 +598 -3963 +-1285 -1368 +-276 5761 +-1024 -2048 +1438 -2596 +2733 4280 +-124 1137 +1567 -3595 +3034 -2227 +-2427 -2712 +409 -1463 +3920 3920 +88 -2269 +414 -1096 +-2403 1088 +3784 -5318 +1776 -792 +325 1816 +2243 1340 +-5120 0 +2243 -1340 +325 -1816 +1776 792 +3784 5318 +-2403 -1088 +414 1096 +88 2269 +3920 -3920 +409 1463 +-2427 2712 +3034 2227 +1567 3595 +-124 -1137 +2733 -4280 +1438 2596 +-1024 2048 +-276 -5761 +-1285 1368 +598 3963 +-1567 1298 +1308 -3016 +979 -6291 +-2702 409 +-1872 -1872 +-6931 903 +-1862 3181 +-1289 -3802 +-3784 -1075 +-2900 228 +1124 -1463 +5731 -1817 +-1024 6144 +-1189 -3599 +450 -1284 +-2925 -552 +-868 -3954 +1106 -691 +942 1601 +2664 3309 +1872 -1024 +6488 2584 +2604 1778 +2743 -2681 +1808 -954 +-2571 -2505 +994 980 +202 34 +-1024 0 +202 -34 +994 -980 +-2571 2505 +1808 954 +2743 2681 +2604 -1778 +6488 -2584 +1872 1024 +2664 -3309 +942 -1601 +1106 691 +-868 3954 +-2925 552 +450 1284 +-1189 3599 +-1024 -6144 +-2756 -463 +-4234 20 +-2931 -2892 +2916 2506 +-422 -199 +-2510 2339 +160 -1612 +-3920 -1024 +1153 7881 +-1036 -1934 +-3189 -8337 +240 -495 +2396 2918 +2790 1851 +-929 2272 +-1024 0 +-929 -2272 +2790 -1851 +2396 -2918 +240 495 +-3189 8337 +-1036 1934 +1153 -7881 +-3920 1024 +160 1612 +-2510 -2339 +-422 199 +2916 -2506 +-2931 2892 +-4234 -20 +-2756 463 +-1024 6144 +-1189 -3599 +450 -1284 +-2925 -552 +-868 -3954 +1106 -691 +942 1601 +2664 3309 +1872 -1024 +6488 2584 +2604 1778 +2743 -2681 +1808 -954 +-2571 -2505 +994 980 +202 34 +-1024 1024 +-367 2652 +-7394 -258 +3019 -3642 +836 -1200 +1023 -2119 +809 -4706 +-3550 -1419 +1748 -2596 +459 -1868 +994 3683 +-3243 -165 +1610 -3478 +608 129 +-846 1961 +4586 2359 +0 0 +4586 -2359 +-846 -1961 +608 -129 +1610 3478 +-3243 165 +994 -3683 +459 1868 +1748 2596 +-3550 1419 +809 4706 +1023 2119 +836 1200 +3019 3642 +-7394 258 +-367 -2652 +-1024 -1024 +-4293 1436 +3270 -2195 +-1130 -6201 +-3732 1800 +673 5438 +1748 -422 +1832 1822 +300 4644 +-2524 2142 +-654 -122 +-21 -2620 +1286 -18 +-929 3701 +2074 4276 +3859 4993 +-2048 0 +3859 -4993 +2074 -4276 +-929 -3701 +1286 18 +-21 2620 +-654 122 +-2524 -2142 +300 -4644 +1832 -1822 +1748 422 +673 -5438 +-3732 -1800 +-1130 6201 +3270 2195 +-4293 -1436 +-1024 1024 +-367 2652 +-7394 -258 +3019 -3642 +836 -1200 +1023 -2119 +809 -4706 +-3550 -1419 +1748 -2596 +459 -1868 +994 3683 +-3243 -165 +1610 -3478 +608 129 +-846 1961 +4586 2359 +-1024 0 +-2595 5098 +1850 511 +-2865 -456 +-4764 2362 +1907 -1254 +3282 -1026 +-136 -704 +600 600 +-375 1106 +919 606 +-500 5371 +-2636 -1578 +-3728 -6513 +-2215 2308 +595 1808 +-1024 0 +595 -1808 +-2215 -2308 +-3728 6513 +-2636 1578 +-500 -5371 +919 -606 +-375 -1106 +600 -600 +-136 704 +3282 1026 +1907 1254 +-4764 -2362 +-2865 456 +1850 -511 +-2595 -5098 +-1024 0 +1676 -815 +-2034 -3068 +1373 -141 +-1629 -314 +1994 2720 +2106 2253 +490 -1172 +3496 3496 +-1547 6595 +685 3518 +384 320 +4932 -470 +1436 -1443 +3599 -1968 +1891 467 +-13312 0 +1891 -467 +3599 1968 +1436 1443 +4932 470 +384 -320 +685 -3518 +-1547 -6595 +3496 -3496 +490 1172 +2106 -2253 +1994 -2720 +-1629 314 +1373 141 +-2034 3068 +1676 815 +-1024 0 +-2595 5098 +1850 511 +-2865 -456 +-4764 2362 +1907 -1254 +3282 -1026 +-136 -704 +600 600 +-375 1106 +919 606 +-500 5371 +-2636 -1578 +-3728 -6513 +-2215 2308 +595 1808 +-4096 1024 +1140 4984 +3518 2153 +1683 -2865 +1006 -4202 +2015 2700 +3544 4048 +-2173 187 +-4644 1572 +-1788 805 +-453 -2627 +-826 -2411 +2224 1076 +73 1295 +-360 -948 +1880 366 +-1024 0 +1880 -366 +-360 948 +73 -1295 +2224 -1076 +-826 2411 +-453 2627 +-1788 -805 +-4644 -1572 +-2173 -187 +3544 -4048 +2015 -2700 +1006 4202 +1683 2865 +3518 -2153 +1140 -4984 +-4096 -1024 +-3702 2263 +-1026 144 +210 4164 +-2454 -742 +4369 -6838 +736 2345 +-900 -984 +2596 -5668 +-1819 -273 +3165 -3765 +-4230 -2615 +-776 -1924 +2497 -6676 +-933 -1348 +1570 2417 +-3072 0 +1570 -2417 +-933 1348 +2497 6676 +-776 1924 +-4230 2615 +3165 3765 +-1819 273 +2596 5668 +-900 984 +736 -2345 +4369 6838 +-2454 742 +210 -4164 +-1026 -144 +-3702 -2263 +-4096 1024 +1140 4984 +3518 2153 +1683 -2865 +1006 -4202 +2015 2700 +3544 4048 +-2173 187 +-4644 1572 +-1788 805 +-453 -2627 +-826 -2411 +2224 1076 +73 1295 +-360 -948 +1880 366 +4096 3072 +-3858 34 +-2021 -431 +926 -1262 +392 -2224 +2240 -1969 +962 2877 +-1977 2939 +-1324 1748 +4277 8916 +-663 -847 +-25 -4899 +946 -2454 +283 319 +3735 8058 +1565 -6012 +1024 0 +1565 6012 +3735 -8058 +283 -319 +946 2454 +-25 4899 +-663 847 +4277 -8916 +-1324 -1748 +-1977 -2939 +962 -2877 +2240 1969 +392 2224 +926 1262 +-2021 431 +-3858 -34 +4096 -3072 +-664 -168 +-3551 -205 +-1623 -1563 +-392 776 +631 1774 +3043 4219 +2050 1965 +-2772 300 +3219 84 +2451 -249 +290 608 +-946 1006 +-2722 952 +-3955 -503 +-4610 1782 +-1024 0 +-4610 -1782 +-3955 503 +-2722 -952 +-946 -1006 +290 -608 +2451 249 +3219 -84 +-2772 -300 +2050 -1965 +3043 -4219 +631 -1774 +-392 -776 +-1623 1563 +-3551 205 +-664 168 +4096 3072 +-3858 34 +-2021 -431 +926 -1262 +392 -2224 +2240 -1969 +962 2877 +-1977 2939 +-1324 1748 +4277 8916 +-663 -847 +-25 -4899 +946 -2454 +283 319 +3735 8058 +1565 -6012 +-3072 -1024 +-5438 -586 +-3331 1804 +3239 1769 +-1500 92 +-3174 -526 +2824 532 +-967 -80 +1748 900 +5687 -4298 +4644 -2992 +314 5179 +1730 802 +1036 -3617 +590 -3638 +1936 -2550 +-2048 0 +1936 2550 +590 3638 +1036 3617 +1730 -802 +314 -5179 +4644 2992 +5687 4298 +1748 -900 +-967 80 +2824 -532 +-3174 526 +-1500 -92 +3239 -1769 +-3331 -1804 +-5438 586 +-3072 1024 +1508 -652 +-2122 -31 +-1041 -2025 +1500 -692 +-256 -3992 +-1155 -3651 +-352 3391 +300 5244 +-2801 5522 +2376 -3025 +-669 1758 +-1730 2694 +550 -4960 +-3826 2515 +427 -4169 +4096 0 +427 4169 +-3826 -2515 +550 4960 +-1730 -2694 +-669 -1758 +2376 3025 +-2801 -5522 +300 -5244 +-352 -3391 +-1155 3651 +-256 3992 +1500 692 +-1041 2025 +-2122 31 +1508 652 +-3072 -1024 +-5438 -586 +-3331 1804 +3239 1769 +-1500 92 +-3174 -526 +2824 532 +-967 -80 +1748 900 +5687 -4298 +4644 -2992 +314 5179 +1730 802 +1036 -3617 +590 -3638 +1936 -2550 +-1024 1024 +-55 744 +-1823 -1852 +-204 -4418 +5226 -5067 +4316 -577 +-5228 -3706 +-1045 -2026 +3196 1748 +-1922 -1362 +-2313 -4456 +-1505 37 +2100 -2612 +-2214 -5699 +-903 5422 +3723 -2273 +0 0 +3723 2273 +-903 -5422 +-2214 5699 +2100 2612 +-1505 -37 +-2313 4456 +-1922 1362 +3196 -1748 +-1045 2026 +-5228 3706 +4316 577 +5226 5067 +-204 4418 +-1823 1852 +-55 -744 +-1024 -1024 +-1347 227 +114 -1320 +631 -2175 +1766 -2525 +328 -1010 +-944 -262 +-4898 2329 +-1148 300 +3402 778 +1492 -712 +3542 2840 +-900 7308 +899 -2223 +1411 -1601 +-3650 -3437 +-2048 0 +-3650 3437 +1411 1601 +899 2223 +-900 -7308 +3542 -2840 +1492 712 +3402 -778 +-1148 -300 +-4898 -2329 +-944 262 +328 1010 +1766 2525 +631 2175 +114 1320 +-1347 -227 +-1024 1024 +-55 744 +-1823 -1852 +-204 -4418 +5226 -5067 +4316 -577 +-5228 -3706 +-1045 -2026 +3196 1748 +-1922 -1362 +-2313 -4456 +-1505 37 +2100 -2612 +-2214 -5699 +-903 5422 +3723 -2273 +-6144 6144 +-426 1664 +1564 300 +-3332 2951 +664 339 +148 2056 +298 -1135 +4620 -3343 +0 -1872 +-2550 -2793 +5785 -5463 +134 -1635 +-3340 6786 +-2667 2167 +-403 -3173 +2352 -345 +-2048 0 +2352 345 +-403 3173 +-2667 -2167 +-3340 -6786 +134 1635 +5785 5463 +-2550 2793 +0 1872 +4620 3343 +298 1135 +148 -2056 +664 -339 +-3332 -2951 +1564 -300 +-426 -1664 +-6144 -6144 +1874 -1236 +-845 -1803 +1884 -1520 +2232 -2986 +-1596 -1511 +863 403 +-3171 -623 +0 3920 +3998 -4070 +2944 -5157 +-1582 -716 +444 -1242 +1219 2161 +-2012 -27 +-904 3670 +-2048 0 +-904 -3670 +-2012 27 +1219 -2161 +444 1242 +-1582 716 +2944 5157 +3998 4070 +0 -3920 +-3171 623 +863 -403 +-1596 1511 +2232 2986 +1884 1520 +-845 1803 +1874 1236 +-6144 6144 +-426 1664 +1564 300 +-3332 2951 +664 339 +148 2056 +298 -1135 +4620 -3343 +0 -1872 +-2550 -2793 +5785 -5463 +134 -1635 +-3340 6786 +-2667 2167 +-403 -3173 +2352 -345 +1024 1024 +-1772 -2412 +-2803 -425 +1569 1273 +-2813 678 +49 -2566 +3664 -3346 +-2450 890 +-1324 2772 +-938 -454 +331 643 +1946 -50 +-5140 -4912 +-1893 898 +4085 329 +-1803 -3345 +-6144 0 +-1803 3345 +4085 -329 +-1893 -898 +-5140 4912 +1946 50 +331 -643 +-938 454 +-1324 -2772 +-2450 -890 +3664 3346 +49 2566 +-2813 -678 +1569 -1273 +-2803 425 +-1772 2412 +1024 -1024 +-1305 1331 +-56 306 +-1036 117 +2214 2570 +5445 1349 +2832 1010 +3619 -3448 +-2772 1324 +-7591 -5888 +165 -5876 +2137 401 +1644 -4128 +3369 2060 +-26 -3344 +655 -1521 +4096 0 +655 1521 +-26 3344 +3369 -2060 +1644 4128 +2137 -401 +165 5876 +-7591 5888 +-2772 -1324 +3619 3448 +2832 -1010 +5445 -1349 +2214 -2570 +-1036 -117 +-56 -306 +-1305 -1331 +1024 1024 +-1772 -2412 +-2803 -425 +1569 1273 +-2813 678 +49 -2566 +3664 -3346 +-2450 890 +-1324 2772 +-938 -454 +331 643 +1946 -50 +-5140 -4912 +-1893 898 +4085 329 +-1803 -3345 +5120 -3072 +-2459 2536 +-547 -2258 +-1496 644 +-4807 1630 +5074 1378 +2674 3372 +-3081 -1671 +-1324 724 +2384 1517 +-22 -2682 +102 -462 +4880 596 +2542 1928 +-1184 1555 +1825 -2268 +6144 0 +1825 2268 +-1184 -1555 +2542 -1928 +4880 -596 +102 462 +-22 2682 +2384 -1517 +-1324 -724 +-3081 1671 +2674 -3372 +5074 -1378 +-4807 -1630 +-1496 -644 +-547 2258 +-2459 -2536 +5120 3072 +2109 4890 +-1685 550 +-3976 954 +-4482 -2479 +-7182 2651 +666 2800 +2875 -3144 +-2772 -724 +4502 -907 +-422 1862 +678 -493 +312 -5540 +-1533 -2914 +519 -2063 +-2363 1135 +0 0 +-2363 -1135 +519 2063 +-1533 2914 +312 5540 +678 493 +-422 -1862 +4502 907 +-2772 724 +2875 3144 +666 -2800 +-7182 -2651 +-4482 2479 +-3976 -954 +-1685 -550 +2109 -4890 +5120 -3072 +-2459 2536 +-547 -2258 +-1496 644 +-4807 1630 +5074 1378 +2674 3372 +-3081 -1671 +-1324 724 +2384 1517 +-22 -2682 +102 -462 +4880 596 +2542 1928 +-1184 1555 +1825 -2268 +2048 1024 +4777 1814 +4149 -2360 +2173 3285 +862 -1586 +-1908 -2253 +-2135 -2333 +-406 161 +-3620 900 +337 -3510 +980 -2917 +-4873 -3061 +3308 2584 +-343 1951 +-3023 -3912 +2029 -5056 +-1024 0 +2029 5056 +-3023 3912 +-343 -1951 +3308 -2584 +-4873 3061 +980 2917 +337 3510 +-3620 -900 +-406 -161 +-2135 2333 +-1908 2253 +862 1586 +2173 -3285 +4149 2360 +4777 -1814 +2048 -1024 +5261 3586 +603 128 +-6128 1151 +1186 -1910 +-540 -169 +-3578 -1007 +366 4241 +3620 5244 +1711 -1223 +-2260 2473 +-39 -10 +-1260 -1984 +73 1835 +-2929 4577 +-2489 1320 +5120 0 +-2489 -1320 +-2929 -4577 +73 -1835 +-1260 1984 +-39 10 +-2260 -2473 +1711 1223 +3620 -5244 +366 -4241 +-3578 1007 +-540 169 +1186 1910 +-6128 -1151 +603 -128 +5261 -3586 +2048 1024 +4777 1814 +4149 -2360 +2173 3285 +862 -1586 +-1908 -2253 +-2135 -2333 +-406 161 +-3620 900 +337 -3510 +980 -2917 +-4873 -3061 +3308 2584 +-343 1951 +-3023 -3912 +2029 -5056 +1024 -2048 +-3420 3493 +-1212 394 +-2954 -4656 +-2146 -3920 +-1495 -2307 +-598 2274 +-1173 2497 +-7841 600 +83 -1298 +637 -28 +2810 4824 +3870 -1872 +664 -1596 +1573 4290 +-2352 -4240 +-3072 0 +-2352 4240 +1573 -4290 +664 1596 +3870 1872 +2810 -4824 +637 28 +83 1298 +-7841 -600 +-1173 -2497 +-598 -2274 +-1495 2307 +-2146 3920 +-2954 4656 +-1212 -394 +-3420 -3493 +1024 2048 +-4031 -222 +-341 -1215 +3453 981 +2746 -3920 +2895 -3911 +-4310 -566 +4364 2407 +3745 3496 +-2386 -999 +1375 537 +254 2870 +-374 -1872 +166 -2152 +2876 1882 +3123 2710 +1024 0 +3123 -2710 +2876 -1882 +166 2152 +-374 1872 +254 -2870 +1375 -537 +-2386 999 +3745 -3496 +4364 -2407 +-4310 566 +2895 3911 +2746 3920 +3453 -981 +-341 1215 +-4031 222 +1024 -2048 +-3420 3493 +-1212 394 +-2954 -4656 +-2146 -3920 +-1495 -2307 +-598 2274 +-1173 2497 +-7841 600 +83 -1298 +637 -28 +2810 4824 +3870 -1872 +664 -1596 +1573 4290 +-2352 -4240 +-2048 -3072 +3436 -4616 +-1361 -4626 +3063 1190 +188 2584 +-3200 -2578 +760 6220 +2274 7713 +2172 300 +3542 -1568 +4118 3129 +-2362 1322 +-586 -1910 +2247 2114 +-586 -1468 +475 4501 +1024 0 +475 -4501 +-586 1468 +2247 -2114 +-586 1910 +-2362 -1322 +4118 -3129 +3542 1568 +2172 -300 +2274 -7713 +760 -6220 +-3200 2578 +188 -2584 +3063 -1190 +-1361 4626 +3436 4616 +-2048 3072 +-6185 430 +-1196 -83 +-640 758 +4756 -1984 +55 -1357 +-1987 3412 +-1077 -1454 +-2172 1748 +5077 2402 +6 -489 +-3390 -274 +-262 -1586 +-1566 2418 +246 -2041 +-1749 -127 +-5120 0 +-1749 127 +246 2041 +-1566 -2418 +-262 1586 +-3390 274 +6 489 +5077 -2402 +-2172 -1748 +-1077 1454 +-1987 -3412 +55 1357 +4756 1984 +-640 -758 +-1196 83 +-6185 -430 +-2048 -3072 +3436 -4616 +-1361 -4626 +3063 1190 +188 2584 +-3200 -2578 +760 6220 +2274 7713 +2172 300 +3542 -1568 +4118 3129 +-2362 1322 +-586 -1910 +2247 2114 +-586 -1468 +475 4501 +6144 -1024 +2868 1111 +282 1420 +-736 2422 +-1950 406 +1604 3679 +-1236 368 +-2587 708 +2772 300 +2665 -3538 +-4419 2872 +-3143 -195 +2504 1272 +-221 3588 +5227 -2303 +3042 -518 +-7168 0 +3042 518 +5227 2303 +-221 -3588 +2504 -1272 +-3143 195 +-4419 -2872 +2665 3538 +2772 -300 +-2587 -708 +-1236 -368 +1604 -3679 +-1950 -406 +-736 -2422 +282 -1420 +2868 -1111 +6144 1024 +-353 2126 +-3218 -156 +-4662 -4464 +-3842 -3054 +-1379 15 +-5276 -4308 +-1049 3017 +1324 1748 +-1484 -985 +1042 -2716 +2239 -1224 +3288 4272 +506 -4951 +-595 -529 +2691 1299 +3072 0 +2691 -1299 +-595 529 +506 4951 +3288 -4272 +2239 1224 +1042 2716 +-1484 985 +1324 -1748 +-1049 -3017 +-5276 4308 +-1379 -15 +-3842 3054 +-4662 4464 +-3218 156 +-353 -2126 +6144 -1024 +2868 1111 +282 1420 +-736 2422 +-1950 406 +1604 3679 +-1236 368 +-2587 708 +2772 300 +2665 -3538 +-4419 2872 +-3143 -195 +2504 1272 +-221 3588 +5227 -2303 +3042 -518 +2048 -3072 +1180 -1046 +-2010 1048 +84 3331 +4392 -216 +2065 -262 +1628 2983 +308 1724 +-3796 -724 +1430 3568 +-2793 1359 +1439 -5593 +4826 -5022 +-781 -1835 +2654 -133 +-2620 -3308 +-9216 0 +-2620 3308 +2654 133 +-781 1835 +4826 5022 +1439 5593 +-2793 -1359 +1430 -3568 +-3796 724 +308 -1724 +1628 -2983 +2065 262 +4392 216 +84 -3331 +-2010 -1048 +1180 1046 +2048 3072 +-2673 1470 +-1 -6281 +-2006 -2922 +-1744 568 +421 1362 +-75 -2864 +-425 -4597 +-2348 724 +2471 -3913 +-1655 1657 +-2358 -1188 +718 -6914 +1136 2556 +2254 -2203 +328 -1932 +1024 0 +328 1932 +2254 2203 +1136 -2556 +718 6914 +-2358 1188 +-1655 -1657 +2471 3913 +-2348 -724 +-425 4597 +-75 2864 +421 -1362 +-1744 -568 +-2006 2922 +-1 6281 +-2673 -1470 +2048 -3072 +1180 -1046 +-2010 1048 +84 3331 +4392 -216 +2065 -262 +1628 2983 +308 1724 +-3796 -724 +1430 3568 +-2793 1359 +1439 -5593 +4826 -5022 +-781 -1835 +2654 -133 +-2620 -3308 +1024 -3072 +2012 -2503 +-3953 -3931 +-3530 1964 +-3104 2465 +4257 -1290 +1792 4019 +-703 2878 +7292 300 +421 3645 +1242 1855 +-805 -672 +346 3322 +3145 762 +962 -3330 +538 2518 +-4096 0 +538 -2518 +962 3330 +3145 -762 +346 -3322 +-805 672 +1242 -1855 +421 -3645 +7292 -300 +-703 -2878 +1792 -4019 +4257 1290 +-3104 -2465 +-3530 -1964 +-3953 3931 +2012 2503 +1024 3072 +1612 -2214 +2505 -518 +-770 7819 +-3888 1032 +-3974 -2156 +-344 -2007 +485 -480 +2948 1748 +-1770 -1558 +206 3053 +4306 -246 +-1546 -3922 +-2629 3357 +-2410 1778 +-2595 645 +-2048 0 +-2595 -645 +-2410 -1778 +-2629 -3357 +-1546 3922 +4306 246 +206 -3053 +-1770 1558 +2948 -1748 +485 480 +-344 2007 +-3974 2156 +-3888 -1032 +-770 -7819 +2505 518 +1612 2214 +1024 -3072 +2012 -2503 +-3953 -3931 +-3530 1964 +-3104 2465 +4257 -1290 +1792 4019 +-703 2878 +7292 300 +421 3645 +1242 1855 +-805 -672 +346 3322 +3145 762 +962 -3330 +538 2518 +-2048 -4096 +-2765 -190 +-347 59 +1792 -3123 +710 2772 +454 2447 +2021 -54 +-1906 -6322 +-3496 -3072 +-2763 3918 +2196 1249 +887 1170 +1494 -1324 +586 -381 +-890 797 +2977 -4618 +2048 0 +2977 4618 +-890 -797 +586 381 +1494 1324 +887 -1170 +2196 -1249 +-2763 -3918 +-3496 3072 +-1906 6322 +2021 54 +454 -2447 +710 -2772 +1792 3123 +-347 -59 +-2765 190 +-2048 4096 +1465 -267 +-6334 4509 +318 1249 +3386 2772 +-5971 7432 +-693 379 +2407 491 +-600 -3072 +46 -3437 +2268 -925 +-723 -739 +2602 -1324 +2655 -2749 +1778 3771 +539 2281 +-6144 0 +539 -2281 +1778 -3771 +2655 2749 +2602 1324 +-723 739 +2268 925 +46 3437 +-600 3072 +2407 -491 +-693 -379 +-5971 -7432 +3386 -2772 +318 -1249 +-6334 -4509 +1465 267 +-2048 -4096 +-2765 -190 +-347 59 +1792 -3123 +710 2772 +454 2447 +2021 -54 +-1906 -6322 +-3496 -3072 +-2763 3918 +2196 1249 +887 1170 +1494 -1324 +586 -381 +-890 797 +2977 -4618 +-3072 1024 +491 3431 +-2710 940 +2661 -853 +3972 3164 +-3238 -2080 +-506 414 +2355 3156 +3796 -900 +-76 361 +-2092 3320 +-6219 850 +-2154 -2270 +1852 -169 +-4253 823 +-1483 1263 +2048 0 +-1483 -1263 +-4253 -823 +1852 169 +-2154 2270 +-6219 -850 +-2092 -3320 +-76 -361 +3796 900 +2355 -3156 +-506 -414 +-3238 2080 +3972 -3164 +2661 853 +-2710 -940 +491 -3431 +-3072 -1024 +2949 -3197 +662 1411 +-4491 691 +972 2380 +74 6500 +-1542 5263 +2274 2094 +2348 -5244 +-976 -463 +44 2356 +-1762 1354 +1306 -378 +-464 -2210 +2205 1528 +6052 -6380 +0 0 +6052 6380 +2205 -1528 +-464 2210 +1306 378 +-1762 -1354 +44 -2356 +-976 463 +2348 5244 +2274 -2094 +-1542 -5263 +74 -6500 +972 -2380 +-4491 -691 +662 -1411 +2949 3197 +-3072 1024 +491 3431 +-2710 940 +2661 -853 +3972 3164 +-3238 -2080 +-506 414 +2355 3156 +3796 -900 +-76 361 +-2092 3320 +-6219 850 +-2154 -2270 +1852 -169 +-4253 823 +-1483 1263 +1024 -6144 +-277 -1378 +2542 4430 +-1708 -2693 +-424 1618 +812 2451 +-837 3014 +596 -2187 +1272 1024 +4704 2999 +1975 -1879 +-1354 1410 +2472 834 +-540 2705 +-533 -652 +1438 -567 +-1024 0 +1438 567 +-533 652 +-540 -2705 +2472 -834 +-1354 -1410 +1975 1879 +4704 -2999 +1272 -1024 +596 2187 +-837 -3014 +812 -2451 +-424 -1618 +-1708 2693 +2542 -4430 +-277 1378 +1024 6144 +4228 -3445 +134 -1690 +1632 -1079 +-424 2726 +-2257 102 +-272 1146 +-8376 3022 +-7416 1024 +621 4387 +-866 -3849 +2120 -3633 +2472 3510 +-4496 2731 +-2142 1696 +2859 -103 +3072 0 +2859 103 +-2142 -1696 +-4496 -2731 +2472 -3510 +2120 3633 +-866 3849 +621 -4387 +-7416 -1024 +-8376 -3022 +-272 -1146 +-2257 -102 +-424 -2726 +1632 1079 +134 1690 +4228 3445 +1024 -6144 +-277 -1378 +2542 4430 +-1708 -2693 +-424 1618 +812 2451 +-837 3014 +596 -2187 +1272 1024 +4704 2999 +1975 -1879 +-1354 1410 +2472 834 +-540 2705 +-533 -652 +1438 -567 +0 -2048 +1438 3354 +-1072 2253 +-3131 2581 +-954 3920 +-406 1934 +-799 5532 +-1773 1292 +5968 -5544 +1207 -590 +-5172 -844 +-3933 1505 +-2506 1872 +2167 -5192 +-2514 -2562 +-1982 -473 +4096 0 +-1982 473 +-2514 2562 +2167 5192 +-2506 -1872 +-3933 -1505 +-5172 844 +1207 590 +5968 5544 +-1773 -1292 +-799 -5532 +-406 -1934 +-954 -3920 +-3131 -2581 +-1072 -2253 +1438 -3354 +0 2048 +2302 5071 +-532 -1285 +1329 -1373 +-495 3920 +-123 -217 +983 1749 +-680 3437 +176 -2648 +358 -921 +3788 1132 +-2 2459 +3954 1872 +-1694 2854 +-2874 4729 +4922 -3134 +0 0 +4922 3134 +-2874 -4729 +-1694 -2854 +3954 -1872 +-2 -2459 +3788 -1132 +358 921 +176 2648 +-680 -3437 +983 -1749 +-123 217 +-495 -3920 +1329 1373 +-532 1285 +2302 -5071 +0 -2048 +1438 3354 +-1072 2253 +-3131 2581 +-954 3920 +-406 1934 +-799 5532 +-1773 1292 +5968 -5544 +1207 -590 +-5172 -844 +-3933 1505 +-2506 1872 +2167 -5192 +-2514 -2562 +-1982 -473 +6144 -6144 +933 -3739 +-126 -3797 +3822 1193 +1482 -1892 +1316 716 +-849 3738 +-3225 577 +-3920 -5544 +-416 -5068 +1936 5325 +-2418 1317 +-529 -784 +99 1983 +-2099 277 +568 1597 +2048 0 +568 -1597 +-2099 -277 +99 -1983 +-529 784 +-2418 -1317 +1936 -5325 +-416 5068 +-3920 5544 +-3225 -577 +-849 -3738 +1316 -716 +1482 1892 +3822 -1193 +-126 3797 +933 3739 +6144 6144 +2304 2918 +3586 -3364 +-1780 515 +-4978 1892 +2378 -208 +-2152 765 +-499 5595 +1872 -2648 +-4107 -1104 +1065 875 +3836 -1826 +-70 784 +-1462 -3691 +-1361 2451 +-1349 -777 +-2048 0 +-1349 777 +-1361 -2451 +-1462 3691 +-70 -784 +3836 1826 +1065 -875 +-4107 1104 +1872 2648 +-499 -5595 +-2152 -765 +2378 208 +-4978 -1892 +-1780 -515 +3586 3364 +2304 -2918 +6144 -6144 +933 -3739 +-126 -3797 +3822 1193 +1482 -1892 +1316 716 +-849 3738 +-3225 577 +-3920 -5544 +-416 -5068 +1936 5325 +-2418 1317 +-529 -784 +99 1983 +-2099 277 +568 1597 +6144 -2048 +960 444 +-4894 -879 +-1461 -3138 +-744 398 +-84 2858 +-1828 679 +-5068 -2350 +-424 -848 +2193 1630 +-470 951 +-1216 4728 +-2412 4170 +-2662 -1283 +-356 2939 +1461 -559 +2048 0 +1461 559 +-356 -2939 +-2662 1283 +-2412 -4170 +-1216 -4728 +-470 -951 +2193 -1630 +-424 848 +-5068 2350 +-1828 -679 +-84 -2858 +-744 -398 +-1461 3138 +-4894 879 +960 -444 +6144 2048 +-2098 -4023 +-3051 658 +3470 -141 +3040 -4494 +-316 54 +-784 -2467 +3365 6626 +2472 4944 +-490 2647 +4778 3054 +1616 -1815 +-3980 -74 +654 -1996 +-1588 2633 +-324 -3020 +6144 0 +-324 3020 +-1588 -2633 +654 1996 +-3980 74 +1616 1815 +4778 -3054 +-490 -2647 +2472 -4944 +3365 -6626 +-784 2467 +-316 -54 +3040 4494 +3470 141 +-3051 -658 +-2098 4023 +6144 -2048 +960 444 +-4894 -879 +-1461 -3138 +-744 398 +-84 2858 +-1828 679 +-5068 -2350 +-424 -848 +2193 1630 +-470 951 +-1216 4728 +-2412 4170 +-2662 -1283 +-356 2939 +1461 -559 +-1024 -5120 +1232 -89 +-1969 1376 +-4763 -4705 +886 3658 +1854 8342 +1239 3022 +-984 -59 +-724 2172 +-383 -1059 +-2616 -463 +-979 1110 +-3008 1684 +1422 2819 +-228 -4427 +-3341 3611 +2048 0 +-3341 -3611 +-228 4427 +1422 -2819 +-3008 -1684 +-979 -1110 +-2616 463 +-383 1059 +-724 -2172 +-984 59 +1239 -3022 +1854 -8342 +886 -3658 +-4763 4705 +-1969 -1376 +1232 89 +-1024 5120 +-95 446 +2753 412 +2755 2678 +562 3334 +-1454 4956 +-3131 2550 +2687 -6211 +724 -2172 +-1320 661 +4509 242 +580 -835 +1560 -2884 +587 1907 +-556 422 +2204 6011 +0 0 +2204 -6011 +-556 -422 +587 -1907 +1560 2884 +580 835 +4509 -242 +-1320 -661 +724 2172 +2687 6211 +-3131 -2550 +-1454 -4956 +562 -3334 +2755 -2678 +2753 -412 +-95 -446 +-1024 -5120 +1232 -89 +-1969 1376 +-4763 -4705 +886 3658 +1854 8342 +1239 3022 +-984 -59 +-724 2172 +-383 -1059 +-2616 -463 +-979 1110 +-3008 1684 +1422 2819 +-228 -4427 +-3341 3611 +4096 0 +1110 -217 +552 -1686 +1145 -1882 +-868 -410 +3356 -1371 +-813 -1070 +787 2656 +0 2472 +-3220 733 +-1522 3269 +876 2855 +1808 -254 +-87 2487 +2090 4694 +-2057 1462 +-8192 0 +-2057 -1462 +2090 -4694 +-87 -2487 +1808 254 +876 -2855 +-1522 -3269 +-3220 -733 +0 -2472 +787 -2656 +-813 1070 +3356 1371 +-868 410 +1145 1882 +552 1686 +1110 217 +4096 0 +-1248 -1105 +1117 -3702 +-1275 -5515 +2916 -3086 +-874 2576 +-2423 2454 +4091 -2337 +0 -424 +5023 2354 +-3931 -3085 +-4687 -4859 +240 854 +-4248 892 +4930 -3090 +1307 -2416 +-8192 0 +1307 2416 +4930 3090 +-4248 -892 +240 -854 +-4687 4859 +-3931 3085 +5023 -2354 +0 424 +4091 2337 +-2423 -2454 +-874 -2576 +2916 3086 +-1275 5515 +1117 3702 +-1248 1105 +4096 0 +1110 -217 +552 -1686 +1145 -1882 +-868 -410 +3356 -1371 +-813 -1070 +787 2656 +0 2472 +-3220 733 +-1522 3269 +876 2855 +1808 -254 +-87 2487 +2090 4694 +-2057 1462 +4096 0 +3350 709 +-1084 -68 +1079 5397 +360 -854 +-1959 -585 +144 3509 +-42 963 +-1448 -424 +-1384 -3242 +-3640 1486 +-2185 1411 +4364 410 +4539 4123 +484 2151 +2779 -1444 +6144 0 +2779 1444 +484 -2151 +4539 -4123 +4364 -410 +-2185 -1411 +-3640 -1486 +-1384 3242 +-1448 424 +-42 -963 +144 -3509 +-1959 585 +360 854 +1079 -5397 +-1084 68 +3350 -709 +4096 0 +-3026 -1267 +-1084 3500 +3489 5056 +-1208 254 +-2609 2703 +144 -7161 +-283 -777 +1448 2472 +1059 1861 +-3640 5950 +-2383 -3077 +580 3086 +29 2545 +484 -4015 +-2454 -682 +-6144 0 +-2454 682 +484 4015 +29 -2545 +580 -3086 +-2383 3077 +-3640 -5950 +1059 -1861 +1448 -2472 +-283 777 +144 7161 +-2609 -2703 +-1208 -254 +3489 -5056 +-1084 -3500 +-3026 1267 +4096 0 +3350 709 +-1084 -68 +1079 5397 +360 -854 +-1959 -585 +144 3509 +-42 963 +-1448 -424 +-1384 -3242 +-3640 1486 +-2185 1411 +4364 410 +4539 4123 +484 2151 +2779 -1444 +3072 -2048 +-393 -3323 +5313 746 +682 1379 +-3146 -1508 +3533 2405 +-3803 -1253 +-2721 -4670 +-1024 1624 +-2428 -2660 +-746 -4526 +-2575 694 +-3470 1168 +-1260 -2308 +1418 -5446 +1237 -1280 +1024 0 +1237 1280 +1418 5446 +-1260 2308 +-3470 -1168 +-2575 -694 +-746 4526 +-2428 2660 +-1024 -1624 +-2721 4670 +-3803 1253 +3533 -2405 +-3146 1508 +682 -1379 +5313 -746 +-393 3323 +3072 2048 +-1055 -4866 +-2416 -4834 +766 1747 +1098 60 +-2084 -2020 +906 -4904 +1272 -2799 +-1024 4520 +980 1561 +-2151 2465 +4023 3549 +1422 -2616 +2708 -4692 +1479 -2738 +-2685 1859 +5120 0 +-2685 -1859 +1479 2738 +2708 4692 +1422 2616 +4023 -3549 +-2151 -2465 +980 -1561 +-1024 -4520 +1272 2799 +906 4904 +-2084 2020 +1098 -60 +766 -1747 +-2416 4834 +-1055 4866 +3072 -2048 +-393 -3323 +5313 746 +682 1379 +-3146 -1508 +3533 2405 +-3803 -1253 +-2721 -4670 +-1024 1624 +-2428 -2660 +-746 -4526 +-2575 694 +-3470 1168 +-1260 -2308 +1418 -5446 +1237 -1280 +-5120 0 +2395 -1387 +5747 -2797 +-3316 -2904 +-4848 -110 +-486 -3041 +6410 -1386 +2635 -3264 +-1448 1448 +1537 -2258 +-4451 -1947 +-3815 5071 +1064 -2002 +-1803 1236 +-230 338 +-1126 237 +-5120 0 +-1126 -237 +-230 -338 +-1803 -1236 +1064 2002 +-3815 -5071 +-4451 1947 +1537 2258 +-1448 -1448 +2635 3264 +6410 1386 +-486 3041 +-4848 110 +-3316 2904 +5747 2797 +2395 1387 +-5120 0 +756 -3166 +631 -1927 +1468 -5475 +504 -2786 +-2971 -1398 +-15 2326 +-2325 2839 +1448 -1448 +1049 2072 +-3641 -1209 +4376 -4837 +3281 -894 +754 850 +3741 -966 +872 1241 +-1024 0 +872 -1241 +3741 966 +754 -850 +3281 894 +4376 4837 +-3641 1209 +1049 -2072 +1448 1448 +-2325 -2839 +-15 -2326 +-2971 1398 +504 2786 +1468 5475 +631 1927 +756 3166 +-5120 0 +2395 -1387 +5747 -2797 +-3316 -2904 +-4848 -110 +-486 -3041 +6410 -1386 +2635 -3264 +-1448 1448 +1537 -2258 +-4451 -1947 +-3815 5071 +1064 -2002 +-1803 1236 +-230 338 +-1126 237 +-2048 1024 +-860 2164 +-1283 5762 +2015 -643 +-247 -646 +-2813 1745 +3139 3412 +694 -1892 +-2772 -2348 +1452 -684 +-580 -2479 +440 -2044 +5984 -2140 +-3652 1809 +-3688 -3876 +2337 -6068 +-1024 0 +2337 6068 +-3688 3876 +-3652 -1809 +5984 2140 +440 2044 +-580 2479 +1452 684 +-2772 2348 +694 1892 +3139 -3412 +-2813 -1745 +-247 646 +2015 643 +-1283 -5762 +-860 -2164 +-2048 -1024 +1555 -4671 +-624 -1262 +-2209 992 +2295 1246 +1154 -1193 +4770 -332 +277 -6243 +-1324 -3796 +-1093 3325 +-4432 966 +2107 -171 +-3936 -1356 +-2835 -1828 +2699 -4408 +1432 353 +5120 0 +1432 -353 +2699 4408 +-2835 1828 +-3936 1356 +2107 171 +-4432 -966 +-1093 -3325 +-1324 3796 +277 6243 +4770 332 +1154 1193 +2295 -1246 +-2209 -992 +-624 1262 +1555 4671 +-2048 1024 +-860 2164 +-1283 5762 +2015 -643 +-247 -646 +-2813 1745 +3139 3412 +694 -1892 +-2772 -2348 +1452 -684 +-580 -2479 +440 -2044 +5984 -2140 +-3652 1809 +-3688 -3876 +2337 -6068 +-3072 2048 +-2893 -2166 +-512 -971 +591 -4258 +2716 -6642 +-1306 -565 +1085 -5798 +2596 -766 +-600 0 +282 171 +1274 927 +3478 -483 +588 4070 +-3642 -5714 +-896 -4635 +-866 41 +-3072 0 +-866 -41 +-896 4635 +-3642 5714 +588 -4070 +3478 483 +1274 -927 +282 -171 +-600 0 +2596 766 +1085 5798 +-1306 565 +2716 6642 +591 4258 +-512 971 +-2893 2166 +-3072 -2048 +4581 -1264 +1896 943 +-607 1895 +-419 -2398 +-2216 -754 +520 -855 +-500 -1431 +-3496 0 +-3945 1415 +4114 3509 +3829 -2403 +-6980 -822 +-127 1783 +713 -689 +746 314 +9216 0 +746 -314 +713 689 +-127 -1783 +-6980 822 +3829 2403 +4114 -3509 +-3945 -1415 +-3496 0 +-500 1431 +520 855 +-2216 754 +-419 2398 +-607 -1895 +1896 -943 +4581 1264 +-3072 2048 +-2893 -2166 +-512 -971 +591 -4258 +2716 -6642 +-1306 -565 +1085 -5798 +2596 -766 +-600 0 +282 171 +1274 927 +3478 -483 +588 4070 +-3642 -5714 +-896 -4635 +-866 41 +-1024 -3072 +1073 433 +2017 -1080 +-1047 -1337 +-4286 -462 +563 2012 +1814 2058 +-454 118 +548 -300 +-2937 -2840 +1277 -2786 +7663 1632 +2624 -536 +1563 -2771 +1140 3510 +-5 -799 +2048 0 +-5 799 +1140 -3510 +1563 2771 +2624 536 +7663 -1632 +1277 2786 +-2937 2840 +548 300 +-454 -118 +1814 -2058 +563 -2012 +-4286 462 +-1047 1337 +2017 1080 +1073 -433 +-1024 3072 +-2842 -1636 +-2526 -3420 +777 -807 +1390 -138 +-3775 -626 +-994 -5138 +702 -247 +-6692 -1748 +-1775 1823 +4895 4299 +2230 4218 +273 4032 +-2180 -701 +568 4775 +445 -7085 +-4096 0 +445 7085 +568 -4775 +-2180 701 +273 -4032 +2230 -4218 +4895 -4299 +-1775 -1823 +-6692 1748 +702 247 +-994 5138 +-3775 626 +1390 138 +777 807 +-2526 3420 +-2842 1636 +-1024 -3072 +1073 433 +2017 -1080 +-1047 -1337 +-4286 -462 +563 2012 +1814 2058 +-454 118 +548 -300 +-2937 -2840 +1277 -2786 +7663 1632 +2624 -536 +1563 -2771 +1140 3510 +-5 -799 +1024 5120 +1606 457 +-1289 4014 +3538 3016 +1056 -2584 +1679 -6175 +-799 487 +-5008 -1777 +-1748 -1748 +-1415 -1583 +2435 -4607 +1492 6559 +-2394 1910 +-3735 -3408 +-4204 3554 +-1260 3630 +0 0 +-1260 -3630 +-4204 -3554 +-3735 3408 +-2394 -1910 +1492 -6559 +2435 4607 +-1415 1583 +-1748 1748 +-5008 1777 +-799 -487 +1679 6175 +1056 2584 +3538 -3016 +-1289 -4014 +1606 -457 +1024 -5120 +49 -753 +2516 3110 +-1719 -4877 +1840 1984 +951 2514 +1138 -1390 +2921 -4468 +-300 -300 +1935 3954 +121 807 +-338 5670 +-502 1586 +-1869 -2341 +80 674 +1173 1296 +2048 0 +1173 -1296 +80 -674 +-1869 2341 +-502 -1586 +-338 -5670 +121 -807 +1935 -3954 +-300 300 +2921 4468 +1138 1390 +951 -2514 +1840 -1984 +-1719 4877 +2516 -3110 +49 753 +1024 5120 +1606 457 +-1289 4014 +3538 3016 +1056 -2584 +1679 -6175 +-799 487 +-5008 -1777 +-1748 -1748 +-1415 -1583 +2435 -4607 +1492 6559 +-2394 1910 +-3735 -3408 +-4204 3554 +-1260 3630 +0 -1024 +3873 7168 +2302 482 +-2005 -4190 +3498 4830 +1725 -2564 +-2577 -2428 +2789 836 +1748 124 +86 2439 +-3427 -1240 +3286 -1704 +4937 -136 +-2380 -848 +-1881 -107 +-2668 894 +-3072 0 +-2668 -894 +-1881 107 +-2380 848 +4937 136 +3286 1704 +-3427 1240 +86 -2439 +1748 -124 +2789 -836 +-2577 2428 +1725 2564 +3498 -4830 +-2005 4190 +2302 -482 +3873 -7168 +0 1024 +-2984 -1653 +-1010 1447 +-4173 405 +-3746 -3981 +-3025 -2641 +-136 -1956 +4233 41 +300 -4220 +1789 -2451 +-853 -1945 +2037 962 +3504 5081 +-1258 -4266 +-611 -4956 +-1325 -2060 +-1024 0 +-1325 2060 +-611 4956 +-1258 4266 +3504 -5081 +2037 -962 +-853 1945 +1789 2451 +300 4220 +4233 -41 +-136 1956 +-3025 2641 +-3746 3981 +-4173 -405 +-1010 -1447 +-2984 1653 +0 -1024 +3873 7168 +2302 482 +-2005 -4190 +3498 4830 +1725 -2564 +-2577 -2428 +2789 836 +1748 124 +86 2439 +-3427 -1240 +3286 -1704 +4937 -136 +-2380 -848 +-1881 -107 +-2668 894 +3072 -7168 +2207 -471 +-1780 -841 +-4488 987 +1984 -476 +-527 1950 +-1602 -556 +5731 -682 +-124 -2772 +-3298 -2445 +437 787 +-597 -4931 +-1586 -2754 +2270 899 +2424 2067 +-1395 -401 +-2048 0 +-1395 401 +2424 -2067 +2270 -899 +-1586 2754 +-597 4931 +437 -787 +-3298 2445 +-124 2772 +5731 682 +-1602 556 +-527 -1950 +1984 476 +-4488 -987 +-1780 841 +2207 471 +3072 7168 +-970 8165 +228 -1547 +1314 2284 +-2584 2524 +-6 347 +-3305 -1520 +1045 -3066 +4220 -1324 +987 -1230 +1575 -4062 +-5551 27 +-1910 706 +1792 -2429 +2025 2538 +1487 -5817 +-4096 0 +1487 5817 +2025 -2538 +1792 2429 +-1910 -706 +-5551 -27 +1575 4062 +987 1230 +4220 1324 +1045 3066 +-3305 1520 +-6 -347 +-2584 -2524 +1314 -2284 +228 1547 +-970 -8165 +3072 -7168 +2207 -471 +-1780 -841 +-4488 987 +1984 -476 +-527 1950 +-1602 -556 +5731 -682 +-124 -2772 +-3298 -2445 +437 787 +-597 -4931 +-1586 -2754 +2270 899 +2424 2067 +-1395 -401 +3072 -3072 +720 -3053 +751 2009 +426 5082 +-2160 2624 +-1835 -1709 +-3195 -952 +-609 -562 +124 -724 +3370 -3117 +2629 -846 +98 -792 +-4383 -1390 +-3367 1586 +3388 550 +1663 5101 +0 0 +1663 -5101 +3388 -550 +-3367 -1586 +-4383 1390 +98 792 +2629 846 +3370 3117 +124 724 +-609 562 +-3195 952 +-1835 1709 +-2160 -2624 +426 -5082 +751 -2009 +720 3053 +3072 3072 +-974 -642 +2760 2715 +-1474 -1817 +2408 273 +2395 6827 +-4897 12 +3195 -1469 +-4220 724 +-3060 5759 +3767 4003 +-3555 -121 +-4058 4286 +1519 1441 +2989 78 +1488 1669 +2048 0 +1488 -1669 +2989 -78 +1519 -1441 +-4058 -4286 +-3555 121 +3767 -4003 +-3060 -5759 +-4220 -724 +3195 1469 +-4897 -12 +2395 -6827 +2408 -273 +-1474 1817 +2760 -2715 +-974 642 +3072 -3072 +720 -3053 +751 2009 +426 5082 +-2160 2624 +-1835 -1709 +-3195 -952 +-609 -562 +124 -724 +3370 -3117 +2629 -846 +98 -792 +-4383 -1390 +-3367 1586 +3388 550 +1663 5101 +-2048 1024 +1109 -3083 +-3103 -1970 +-1133 2331 +1102 5610 +-825 1704 +357 -1716 +-800 -2521 +2772 -2348 +2993 -835 +-5791 65 +-3545 -1058 +2440 -148 +684 4297 +560 -561 +1525 -2105 +1024 0 +1525 2105 +560 561 +684 -4297 +2440 148 +-3545 1058 +-5791 -65 +2993 835 +2772 2348 +-800 2521 +357 1716 +-825 -1704 +1102 -5610 +-1133 -2331 +-3103 1970 +1109 3083 +-2048 -1024 +-769 -3182 +1055 -4646 +-2991 1398 +2994 -66 +2052 -4544 +-2405 3439 +3356 -3322 +1324 -3796 +-437 -2553 +3743 -2437 +4773 -2461 +1656 -2500 +-4808 4545 +-2608 -1958 +-1185 4087 +-5120 0 +-1185 -4087 +-2608 1958 +-4808 -4545 +1656 2500 +4773 2461 +3743 2437 +-437 2553 +1324 3796 +3356 3322 +-2405 -3439 +2052 4544 +2994 66 +-2991 -1398 +1055 4646 +-769 3182 +-2048 1024 +1109 -3083 +-3103 -1970 +-1133 2331 +1102 5610 +-825 1704 +357 -1716 +-800 -2521 +2772 -2348 +2993 -835 +-5791 65 +-3545 -1058 +2440 -148 +684 4297 +560 -561 +1525 -2105 +1024 -2048 +-1409 -1728 +2476 -2504 +-3471 4891 +-2178 724 +3203 -1469 +2812 4010 +2452 -1977 +2472 -2472 +4230 1917 +-1319 464 +1086 11 +-3182 724 +-3325 344 +-1647 -1061 +-2313 -728 +5120 0 +-2313 728 +-1647 1061 +-3325 -344 +-3182 -724 +1086 -11 +-1319 -464 +4230 -1917 +2472 2472 +2452 1977 +2812 -4010 +3203 1469 +-2178 -724 +-3471 -4891 +2476 2504 +-1409 1728 +1024 2048 +-1546 4097 +-1536 2180 +-1009 2366 +-1070 724 +3452 824 +-3440 558 +-3947 2117 +-424 424 +1698 -1777 +6043 4104 +2963 -655 +-5858 724 +-2898 6914 +4804 736 +835 3097 +-3072 0 +835 -3097 +4804 -736 +-2898 -6914 +-5858 -724 +2963 655 +6043 -4104 +1698 1777 +-424 -424 +-3947 -2117 +-3440 -558 +3452 -824 +-1070 -724 +-1009 -2366 +-1536 -2180 +-1546 -4097 +1024 -2048 +-1409 -1728 +2476 -2504 +-3471 4891 +-2178 724 +3203 -1469 +2812 4010 +2452 -1977 +2472 -2472 +4230 1917 +-1319 464 +1086 11 +-3182 724 +-3325 344 +-1647 -1061 +-2313 -728 +1024 1024 +-856 4942 +2189 7419 +-937 -950 +-6988 332 +4602 1404 +3512 -1431 +-3279 33 +-300 -1748 +950 1377 +1477 3248 +4113 1890 +-182 1670 +-1191 -2351 +1691 -3308 +-1054 -1775 +-2048 0 +-1054 1775 +1691 3308 +-1191 2351 +-182 -1670 +4113 -1890 +1477 -3248 +950 -1377 +-300 1748 +-3279 -33 +3512 1431 +4602 -1404 +-6988 -332 +-937 950 +2189 -7419 +-856 -4942 +1024 -1024 +-558 613 +79 1465 +-3841 -3356 +-853 1116 +-5073 1581 +-3252 -552 +2850 3546 +-1748 -300 +1695 -3149 +-3433 -2832 +1709 -1123 +3927 -222 +618 -4172 +5929 -1792 +252 1979 +-4096 0 +252 -1979 +5929 1792 +618 4172 +3927 222 +1709 1123 +-3433 2832 +1695 3149 +-1748 300 +2850 -3546 +-3252 552 +-5073 -1581 +-853 -1116 +-3841 3356 +79 -1465 +-558 -613 +1024 1024 +-856 4942 +2189 7419 +-937 -950 +-6988 332 +4602 1404 +3512 -1431 +-3279 33 +-300 -1748 +950 1377 +1477 3248 +4113 1890 +-182 1670 +-1191 -2351 +1691 -3308 +-1054 -1775 +-2048 -1024 +609 -2455 +454 3883 +3470 -4923 +-1586 -2838 +-239 -3289 +772 -3323 +78 1736 +2596 2172 +2455 -1610 +4977 -3849 +-2135 814 +-2584 -1176 +3985 -3930 +-2723 -4008 +-4601 -602 +-1024 0 +-4601 602 +-2723 4008 +3985 3930 +-2584 1176 +-2135 -814 +4977 3849 +2455 1610 +2596 -2172 +78 -1736 +772 3323 +-239 3289 +-1586 2838 +3470 4923 +454 -3883 +609 2455 +-2048 1024 +-2320 -1686 +2157 5326 +-1890 404 +-1910 2838 +-1575 -619 +1172 -3229 +-2251 -1672 +-4644 -2172 +5071 -542 +2968 -303 +1732 631 +1984 1176 +-3348 4762 +-1585 -768 +960 -5756 +1024 0 +960 5756 +-1585 768 +-3348 -4762 +1984 -1176 +1732 -631 +2968 303 +5071 542 +-4644 2172 +-2251 1672 +1172 3229 +-1575 619 +-1910 -2838 +-1890 -404 +2157 -5326 +-2320 1686 +-2048 -1024 +609 -2455 +454 3883 +3470 -4923 +-1586 -2838 +-239 -3289 +772 -3323 +78 1736 +2596 2172 +2455 -1610 +4977 -3849 +-2135 814 +-2584 -1176 +3985 -3930 +-2723 -4008 +-4601 -602 +-4096 -1024 +-540 1476 +2953 -131 +4224 416 +660 692 +-1977 -4945 +-3114 -1598 +-1372 -5173 +2772 -5244 +-2464 -266 +1023 -2092 +-1640 381 +-4358 -2694 +1987 -3153 +1913 1906 +1561 -569 +1024 0 +1561 569 +1913 -1906 +1987 3153 +-4358 2694 +-1640 -381 +1023 2092 +-2464 266 +2772 5244 +-1372 5173 +-3114 1598 +-1977 4945 +660 -692 +4224 -416 +2953 131 +-540 -1476 +-4096 1024 +2237 888 +3219 575 +-1502 -6049 +-3908 -92 +2229 3196 +2606 -634 +-2500 6360 +1324 -900 +335 -2331 +685 2757 +-5531 -562 +-4682 -802 +2211 -913 +-1093 1434 +2743 -852 +7168 0 +2743 852 +-1093 -1434 +2211 913 +-4682 802 +-5531 562 +685 -2757 +335 2331 +1324 900 +-2500 -6360 +2606 634 +2229 -3196 +-3908 92 +-1502 6049 +3219 -575 +2237 -888 +-4096 -1024 +-540 1476 +2953 -131 +4224 416 +660 692 +-1977 -4945 +-3114 -1598 +-1372 -5173 +2772 -5244 +-2464 -266 +1023 -2092 +-1640 381 +-4358 -2694 +1987 -3153 +1913 1906 +1561 -569 +-1024 0 +-1551 3783 +-4085 -1856 +-82 3460 +190 1024 +-1436 -902 +4887 2877 +708 -2349 +3496 -2048 +1729 3225 +-6182 4248 +-2388 497 +3750 -1024 +4371 1013 +-1275 315 +-1678 1439 +1024 0 +-1678 -1439 +-1275 -315 +4371 -1013 +3750 1024 +-2388 -497 +-6182 -4248 +1729 -3225 +3496 2048 +708 2349 +4887 -2877 +-1436 902 +190 -1024 +-82 -3460 +-4085 1856 +-1551 -3783 +-1024 0 +2972 4316 +-195 -3597 +-3984 258 +-2486 1024 +1616 -3958 +-3595 -4546 +-3305 -3998 +600 -2048 +-2915 -2212 +3690 2772 +640 4220 +2642 -1024 +1262 697 +-1437 2921 +4042 2436 +1024 0 +4042 -2436 +-1437 -2921 +1262 -697 +2642 1024 +640 -4220 +3690 -2772 +-2915 2212 +600 2048 +-3305 3998 +-3595 4546 +1616 3958 +-2486 -1024 +-3984 -258 +-195 3597 +2972 -4316 +-1024 0 +-1551 3783 +-4085 -1856 +-82 3460 +190 1024 +-1436 -902 +4887 2877 +708 -2349 +3496 -2048 +1729 3225 +-6182 4248 +-2388 497 +3750 -1024 +4371 1013 +-1275 315 +-1678 1439 +-1024 -2048 +3125 -4692 +804 65 +-2515 3869 +-1304 470 +-34 -1507 +-198 -1041 +-816 -1561 +-848 2048 +101 -6928 +-1649 1534 +-3527 6171 +364 -2362 +2571 7224 +-494 -13 +1446 211 +5120 0 +1446 -211 +-494 13 +2571 -7224 +364 2362 +-3527 -6171 +-1649 -1534 +101 6928 +-848 -2048 +-816 1561 +-198 1041 +-34 1507 +-1304 -470 +-2515 -3869 +804 -65 +3125 4692 +-1024 2048 +-1322 2493 +3645 3211 +950 -3081 +-5088 1578 +-2907 850 +2210 -1347 +4751 2847 +4944 2048 +428 572 +-3258 3071 +-213 3508 +1932 314 +-118 1500 +-1059 2089 +-1919 3933 +-3072 0 +-1919 -3933 +-1059 -2089 +-118 -1500 +1932 -314 +-213 -3508 +-3258 -3071 +428 -572 +4944 -2048 +4751 -2847 +2210 1347 +-2907 -850 +-5088 -1578 +950 3081 +3645 -3211 +-1322 -2493 +-1024 -2048 +3125 -4692 +804 65 +-2515 3869 +-1304 470 +-34 -1507 +-198 -1041 +-816 -1561 +-848 2048 +101 -6928 +-1649 1534 +-3527 6171 +364 -2362 +2571 7224 +-494 -13 +1446 211 +0 3072 +-2214 -7025 +-20 -1817 +2479 1210 +4057 -4116 +2299 -2827 +-1875 -665 +2042 -722 +1324 -300 +-4496 -171 +-455 1638 +-3164 -2019 +-5854 -3238 +2416 2804 +-1224 207 +-2867 2160 +3072 0 +-2867 -2160 +-1224 -207 +2416 -2804 +-5854 3238 +-3164 2019 +-455 -1638 +-4496 171 +1324 300 +2042 722 +-1875 665 +2299 2827 +4057 4116 +2479 -1210 +-20 1817 +-2214 7025 +0 -3072 +201 -4757 +-2028 -4798 +-2640 -998 +-1160 2668 +1557 488 +-173 2388 +-649 -7231 +2772 -1748 +207 24 +-1593 -4011 +2204 1218 +2957 1789 +641 4738 +-824 -2727 +1984 -342 +5120 0 +1984 342 +-824 2727 +641 -4738 +2957 -1789 +2204 -1218 +-1593 4011 +207 -24 +2772 1748 +-649 7231 +-173 -2388 +1557 -488 +-1160 -2668 +-2640 998 +-2028 4798 +201 4757 +0 3072 +-2214 -7025 +-20 -1817 +2479 1210 +4057 -4116 +2299 -2827 +-1875 -665 +2042 -722 +1324 -300 +-4496 -171 +-455 1638 +-3164 -2019 +-5854 -3238 +2416 2804 +-1224 207 +-2867 2160 +2048 0 +4473 5267 +679 -447 +2454 -2126 +3046 -2447 +-2449 -1880 +2939 -290 +-3364 -2248 +-4944 1024 +3371 129 +879 -3037 +-562 2710 +1374 5892 +-3089 699 +-3054 -1279 +-3314 2755 +-8192 0 +-3314 -2755 +-3054 1279 +-3089 -699 +1374 -5892 +-562 -2710 +879 3037 +3371 -129 +-4944 -1024 +-3364 2248 +2939 290 +-2449 1880 +3046 2447 +2454 2126 +679 447 +4473 -5267 +2048 0 +347 3044 +-2467 -1445 +1525 -3994 +-1846 -3097 +-1098 3927 +2633 1074 +1517 -1194 +848 1024 +-3741 -1691 +-658 3821 +-1243 593 +5618 -3244 +4461 2628 +-951 -613 +710 -756 +-4096 0 +710 756 +-951 613 +4461 -2628 +5618 3244 +-1243 -593 +-658 -3821 +-3741 1691 +848 -1024 +1517 1194 +2633 -1074 +-1098 -3927 +-1846 3097 +1525 3994 +-2467 1445 +347 -3044 +2048 0 +4473 5267 +679 -447 +2454 -2126 +3046 -2447 +-2449 -1880 +2939 -290 +-3364 -2248 +-4944 1024 +3371 129 +879 -3037 +-562 2710 +1374 5892 +-3089 699 +-3054 -1279 +-3314 2755 +1024 -1024 +-1840 -2342 +2142 378 +493 -3164 +3862 -5102 +2271 957 +-4629 -6902 +2026 -2240 +1748 300 +1993 -4872 +2256 -3812 +-3927 3373 +-152 6320 +-2725 -3775 +-2392 610 +2357 112 +0 0 +2357 -112 +-2392 -610 +-2725 3775 +-152 -6320 +-3927 -3373 +2256 3812 +1993 4872 +1748 -300 +2026 2240 +-4629 6902 +2271 -957 +3862 5102 +493 3164 +2142 -378 +-1840 2342 +1024 1024 +1331 -1463 +-4190 -2729 +-2590 1228 +-1814 -1642 +-1150 1357 +2581 1226 +3145 -2044 +300 1748 +-1373 588 +-4304 -1864 +-2987 -1058 +2200 3320 +-971 1838 +344 -2961 +3945 -3917 +2048 0 +3945 3917 +344 2961 +-971 -1838 +2200 -3320 +-2987 1058 +-4304 1864 +-1373 -588 +300 -1748 +3145 2044 +2581 -1226 +-1150 -1357 +-1814 1642 +-2590 -1228 +-4190 2729 +1331 1463 +1024 -1024 +-1840 -2342 +2142 378 +493 -3164 +3862 -5102 +2271 957 +-4629 -6902 +2026 -2240 +1748 300 +1993 -4872 +2256 -3812 +-3927 3373 +-152 6320 +-2725 -3775 +-2392 610 +2357 112 +3072 4096 +-4814 -876 +-4821 718 +1052 4436 +928 2546 +-2165 114 +1381 -3 +2702 -1643 +-848 -3496 +-58 -2952 +4573 -1722 +2988 -674 +808 26 +-4176 -161 +-2364 246 +1124 1004 +-3072 0 +1124 -1004 +-2364 -246 +-4176 161 +808 -26 +2988 674 +4573 1722 +-58 2952 +-848 3496 +2702 1643 +1381 3 +-2165 -114 +928 -2546 +1052 -4436 +-4821 -718 +-4814 876 +3072 -4096 +909 -4590 +-1415 1211 +2050 2018 +-4424 -1698 +5411 -3259 +2180 -4381 +-3490 -4099 +4944 -600 +-3618 -206 +555 -1463 +446 2953 +-1408 4918 +186 -1944 +-88 -5309 +1452 -1485 +-3072 0 +1452 1485 +-88 5309 +186 1944 +-1408 -4918 +446 -2953 +555 1463 +-3618 206 +4944 600 +-3490 4099 +2180 4381 +5411 3259 +-4424 1698 +2050 -2018 +-1415 -1211 +909 4590 +3072 4096 +-4814 -876 +-4821 718 +1052 4436 +928 2546 +-2165 114 +1381 -3 +2702 -1643 +-848 -3496 +-58 -2952 +4573 -1722 +2988 -674 +808 26 +-4176 -161 +-2364 246 +1124 1004 +3072 2048 +-6656 -127 +-2670 -637 +-3860 47 +119 1638 +3999 3090 +-822 517 +-485 -2494 +-1024 -3920 +4198 -4248 +-2924 -943 +-1424 345 +5232 -2302 +739 -1377 +-1132 -1603 +-92 -4465 +3072 0 +-92 4465 +-1132 1603 +739 1377 +5232 2302 +-1424 -345 +-2924 943 +4198 4248 +-1024 3920 +-485 2494 +-822 -517 +3999 -3090 +119 -1638 +-3860 -47 +-2670 637 +-6656 127 +3072 -2048 +-1699 962 +-827 4917 +2483 791 +-3016 -1038 +2815 2509 +222 776 +796 -846 +-1024 1872 +-3622 2237 +2325 3435 +-926 4367 +-2336 -1194 +1967 -4465 +-2364 -1110 +1767 836 +11264 0 +1767 -836 +-2364 1110 +1967 4465 +-2336 1194 +-926 -4367 +2325 -3435 +-3622 -2237 +-1024 -1872 +796 846 +222 -776 +2815 -2509 +-3016 1038 +2483 -791 +-827 -4917 +-1699 -962 +3072 2048 +-6656 -127 +-2670 -637 +-3860 47 +119 1638 +3999 3090 +-822 517 +-485 -2494 +-1024 -3920 +4198 -4248 +-2924 -943 +-1424 345 +5232 -2302 +739 -1377 +-1132 -1603 +-92 -4465 +0 0 +2985 1261 +170 1007 +-1923 247 +-2726 1688 +-2421 -1331 +60 -3393 +-1554 2961 +-2472 -4096 +1481 1834 +4045 -290 +5447 -6591 +3510 2316 +-429 -3040 +1139 -371 +792 -3037 +-2048 0 +792 3037 +1139 371 +-429 3040 +3510 -2316 +5447 6591 +4045 290 +1481 -1834 +-2472 4096 +-1554 -2961 +60 3393 +-2421 1331 +-2726 -1688 +-1923 -247 +170 -1007 +2985 -1261 +0 0 +-498 2710 +2950 -1035 +-369 -2441 +-1618 3256 +2304 4277 +1063 -3260 +-368 -1855 +424 -4096 +-1127 -3552 +-2273 4725 +-1546 -560 +834 -1468 +-1063 -1059 +-7155 -4953 +-1711 -4008 +6144 0 +-1711 4008 +-7155 4953 +-1063 1059 +834 1468 +-1546 560 +-2273 -4725 +-1127 3552 +424 4096 +-368 1855 +1063 3260 +2304 -4277 +-1618 -3256 +-369 2441 +2950 1035 +-498 -2710 +0 0 +2985 1261 +170 1007 +-1923 247 +-2726 1688 +-2421 -1331 +60 -3393 +-1554 2961 +-2472 -4096 +1481 1834 +4045 -290 +5447 -6591 +3510 2316 +-429 -3040 +1139 -371 +792 -3037 +2048 -1024 +-1161 3511 +-5289 644 +-1908 1203 +-162 4346 +1312 4246 +3935 679 +-6360 2473 +-7716 -1748 +942 755 +1814 357 +2340 -1576 +2284 8 +4178 -4322 +3021 3590 +-1048 -1585 +-1024 0 +-1048 1585 +3021 -3590 +4178 4322 +2284 -8 +2340 1576 +1814 -357 +942 -755 +-7716 1748 +-6360 -2473 +3935 -679 +1312 -4246 +-162 -4346 +-1908 -1203 +-5289 -644 +-1161 -3511 +2048 1024 +-593 643 +-3586 -800 +1254 6038 +162 -2898 +-3010 -1510 +4334 585 +3375 -4057 +-476 -300 +-853 -2577 +-195 -3189 +2255 -361 +-2284 1441 +-627 1099 +4159 350 +-95 -293 +-3072 0 +-95 293 +4159 -350 +-627 -1099 +-2284 -1441 +2255 361 +-195 3189 +-853 2577 +-476 300 +3375 4057 +4334 -585 +-3010 1510 +162 2898 +1254 -6038 +-3586 800 +-593 -643 +2048 -1024 +-1161 3511 +-5289 644 +-1908 1203 +-162 4346 +1312 4246 +3935 679 +-6360 2473 +-7716 -1748 +942 755 +1814 357 +2340 -1576 +2284 8 +4178 -4322 +3021 3590 +-1048 -1585 +-1024 -1024 +986 2017 +-1814 1989 +-4048 252 +-3588 -1232 +-1757 83 +419 2558 +-1422 -4119 +-124 -476 +2475 -660 +2356 -7330 +-3422 1664 +202 3574 +-163 245 +-1670 2744 +1330 1554 +-2048 0 +1330 -1554 +-1670 -2744 +-163 -245 +202 -3574 +-3422 -1664 +2356 7330 +2475 660 +-124 476 +-1422 4119 +419 -2558 +-1757 -83 +-3588 1232 +-4048 -252 +-1814 -1989 +986 -2017 +-1024 1024 +-393 1001 +-417 87 +2551 -4846 +-2804 -2016 +-310 1771 +2921 2046 +-3133 -1698 +4220 -7716 +1192 3402 +-2800 4942 +1025 2775 +2094 5466 +330 144 +1005 531 +4757 -3961 +4096 0 +4757 3961 +1005 -531 +330 -144 +2094 -5466 +1025 -2775 +-2800 -4942 +1192 -3402 +4220 7716 +-3133 1698 +2921 -2046 +-310 -1771 +-2804 2016 +2551 4846 +-417 -87 +-393 -1001 +-1024 -1024 +986 2017 +-1814 1989 +-4048 252 +-3588 -1232 +-1757 83 +419 2558 +-1422 -4119 +-124 -476 +2475 -660 +2356 -7330 +-3422 1664 +202 3574 +-163 245 +-1670 2744 +1330 1554 +0 -2048 +-1984 1876 +-2866 1358 +-2350 -2204 +-3626 808 +-437 -3359 +302 -9323 +-435 868 +2648 424 +1983 1538 +986 -4808 +280 -4542 +-1734 4424 +1644 -4907 +2999 1740 +-1632 1076 +-4096 0 +-1632 -1076 +2999 -1740 +1644 4907 +-1734 -4424 +280 4542 +986 4808 +1983 -1538 +2648 -424 +-435 -868 +302 9323 +-437 3359 +-3626 -808 +-2350 2204 +-2866 -1358 +-1984 -1876 +0 2048 +-647 2220 +3686 1303 +2437 1655 +-2518 -1408 +2524 2677 +1407 1740 +-1384 -1354 +5544 -2472 +3621 -3592 +-1494 121 +-799 76 +-4410 -928 +-3299 574 +3173 3817 +479 1452 +-4096 0 +479 -1452 +3173 -3817 +-3299 -574 +-4410 928 +-799 -76 +-1494 -121 +3621 3592 +5544 2472 +-1384 1354 +1407 -1740 +2524 -2677 +-2518 1408 +2437 -1655 +3686 -1303 +-647 -2220 +0 -2048 +-1984 1876 +-2866 1358 +-2350 -2204 +-3626 808 +-437 -3359 +302 -9323 +-435 868 +2648 424 +1983 1538 +986 -4808 +280 -4542 +-1734 4424 +1644 -4907 +2999 1740 +-1632 1076 +-4096 -3072 +1920 -235 +-520 879 +-4247 -1732 +2284 2224 +-1166 -2428 +2602 -28 +451 -2431 +-724 -3796 +3488 741 +-4305 572 +-238 3698 +162 2454 +-1728 3498 +2651 3303 +224 2235 +-1024 0 +224 -2235 +2651 -3303 +-1728 -3498 +162 -2454 +-238 -3698 +-4305 -572 +3488 -741 +-724 3796 +451 2431 +2602 28 +-1166 2428 +2284 -2224 +-4247 1732 +-520 -879 +1920 235 +-4096 3072 +2369 -4357 +3897 -3711 +391 1176 +-2284 -776 +-1891 -5495 +334 184 +1562 537 +724 -2348 +-2605 -2396 +-327 -4512 +399 -6950 +-162 -1006 +2688 6411 +3861 -2039 +-1617 -795 +-7168 0 +-1617 795 +3861 2039 +2688 -6411 +-162 1006 +399 6950 +-327 4512 +-2605 2396 +724 2348 +1562 -537 +334 -184 +-1891 5495 +-2284 776 +391 -1176 +3897 3711 +2369 4357 +-4096 -3072 +1920 -235 +-520 879 +-4247 -1732 +2284 2224 +-1166 -2428 +2602 -28 +451 -2431 +-724 -3796 +3488 741 +-4305 572 +-238 3698 +162 2454 +-1728 3498 +2651 3303 +224 2235 +-2048 -1024 +3399 1819 +1313 5116 +-913 -2053 +802 5395 +-1375 1495 +-1159 -2373 +-3332 3971 +-2596 1324 +1664 347 +2340 647 +1428 4337 +692 5300 +2261 -295 +-2828 -4218 +-1952 -2198 +5120 0 +-1952 2198 +-2828 4218 +2261 295 +692 -5300 +1428 -4337 +2340 -647 +1664 -347 +-2596 -1324 +-3332 -3971 +-1159 2373 +-1375 -1495 +802 -5395 +-913 2053 +1313 -5116 +3399 -1819 +-2048 1024 +-2269 -2320 +-2798 1876 +85 2218 +2694 -2498 +-2048 -3606 +-993 1173 +1724 -455 +4644 2772 +5297 953 +1508 553 +-222 -1096 +-92 -2403 +784 5812 +-5575 -2774 +-4529 -520 +3072 0 +-4529 520 +-5575 2774 +784 -5812 +-92 2403 +-222 1096 +1508 -553 +5297 -953 +4644 -2772 +1724 455 +-993 -1173 +-2048 3606 +2694 2498 +85 -2218 +-2798 -1876 +-2269 2320 +-2048 -1024 +3399 1819 +1313 5116 +-913 -2053 +802 5395 +-1375 1495 +-1159 -2373 +-3332 3971 +-2596 1324 +1664 347 +2340 647 +1428 4337 +692 5300 +2261 -295 +-2828 -4218 +-1952 -2198 +-2048 -1024 +-1429 2975 +-207 4980 +-2667 1192 +-2898 -4371 +80 339 +-1753 -190 +-4085 -3555 +-300 124 +-958 -2775 +3190 3313 +-308 -726 +-1441 -6324 +414 -1280 +-4255 -3377 +-363 6712 +3072 0 +-363 -6712 +-4255 3377 +414 1280 +-1441 6324 +-308 726 +3190 -3313 +-958 2775 +-300 -124 +-4085 3555 +-1753 190 +80 -339 +-2898 4371 +-2667 -1192 +-207 -4980 +-1429 -2975 +-2048 1024 +3721 -354 +-678 564 +2313 3925 +4346 3522 +839 -261 +3097 -2458 +185 573 +-1748 -4220 +1074 -5871 +4155 -665 +-2179 1116 +-8 1379 +1507 -1484 +-3549 -2167 +1855 -1563 +5120 0 +1855 1563 +-3549 2167 +1507 1484 +-8 -1379 +-2179 -1116 +4155 665 +1074 5871 +-1748 4220 +185 -573 +3097 2458 +839 261 +4346 -3522 +2313 -3925 +-678 -564 +3721 354 +-2048 -1024 +-1429 2975 +-207 4980 +-2667 1192 +-2898 -4371 +80 339 +-1753 -190 +-4085 -3555 +-300 124 +-958 -2775 +3190 3313 +-308 -726 +-1441 -6324 +414 -1280 +-4255 -3377 +-363 6712 +0 3072 +-4047 -2697 +-1 -3215 +897 8767 +-776 3152 +-554 3773 +-75 -827 +1450 -2752 +1748 724 +1048 -1799 +-1655 -5906 +-438 -4040 +1006 1645 +-2429 -550 +2254 587 +1599 -5018 +-5120 0 +1599 5018 +2254 -587 +-2429 550 +1006 -1645 +-438 4040 +-1655 5906 +1048 1799 +1748 -724 +1450 2752 +-75 827 +-554 -3773 +-776 -3152 +897 -8767 +-1 3215 +-4047 2697 +0 -3072 +23 1447 +-2010 -4785 +4541 -4073 +2224 -5200 +-1432 2410 +1628 4155 +777 -4070 +300 -724 +-1707 -279 +-2793 -1855 +-1360 -3008 +-2454 403 +775 204 +2654 -3290 +857 322 +1024 0 +857 -322 +2654 3290 +775 -204 +-2454 -403 +-1360 3008 +-2793 1855 +-1707 279 +300 724 +777 4070 +1628 -4155 +-1432 -2410 +2224 5200 +4541 4073 +-2010 4785 +23 -1447 +0 3072 +-4047 -2697 +-1 -3215 +897 8767 +-776 3152 +-554 3773 +-75 -827 +1450 -2752 +1748 724 +1048 -1799 +-1655 -5906 +-438 -4040 +1006 1645 +-2429 -550 +2254 587 +1599 -5018 +-1024 1024 +-3749 4795 +2053 -1858 +1716 1094 +-2080 332 +687 313 +-3437 4190 +-6191 -1788 +-2596 3196 +224 -930 +-2582 -7945 +2415 -2182 +1370 1670 +-2017 3996 +-760 -275 +1221 -759 +6144 0 +1221 759 +-760 275 +-2017 -3996 +1370 -1670 +2415 2182 +-2582 7945 +224 930 +-2596 -3196 +-6191 1788 +-3437 -4190 +687 -313 +-2080 -332 +1716 -1094 +2053 1858 +-3749 -4795 +-1024 -1024 +-3491 2765 +843 1922 +509 -1168 +-2864 1116 +-203 6228 +541 -1138 +4055 59 +4644 -1148 +1233 -4951 +-314 1109 +5348 -486 +-522 -222 +-2663 706 +3657 -1357 +906 1767 +0 0 +906 -1767 +3657 1357 +-2663 -706 +-522 222 +5348 486 +-314 -1109 +1233 4951 +4644 1148 +4055 -59 +541 1138 +-203 -6228 +-2864 -1116 +509 1168 +843 -1922 +-3491 -2765 +-1024 1024 +-3749 4795 +2053 -1858 +1716 1094 +-2080 332 +687 313 +-3437 4190 +-6191 -1788 +-2596 3196 +224 -930 +-2582 -7945 +2415 -2182 +1370 1670 +-2017 3996 +-760 -275 +1221 -759 +2048 1024 +3938 -743 +-1384 726 +3716 2592 +2694 -1167 +-250 468 +-522 1401 +-5456 111 +300 724 +2131 -9727 +3407 -3221 +603 1657 +-92 -4397 +3445 1763 +268 -3068 +311 -5521 +1024 0 +311 5521 +268 3068 +3445 -1763 +-92 4397 +603 -1657 +3407 3221 +2131 9727 +300 -724 +-5456 -111 +-522 -1401 +-250 -468 +2694 1167 +3716 -2592 +-1384 -726 +3938 743 +2048 -1024 +-1865 -2451 +-3885 2170 +-569 -2205 +802 -4626 +-3132 3104 +-3197 1495 +-502 1012 +1748 -724 +1610 -295 +2010 325 +-2574 -6094 +692 -1396 +-1241 2199 +-4887 172 +-167 2768 +-1024 0 +-167 -2768 +-4887 -172 +-1241 -2199 +692 1396 +-2574 6094 +2010 -325 +1610 295 +1748 724 +-502 -1012 +-3197 -1495 +-3132 -3104 +802 4626 +-569 2205 +-3885 -2170 +-1865 2451 +2048 1024 +3938 -743 +-1384 726 +3716 2592 +2694 -1167 +-250 468 +-522 1401 +-5456 111 +300 724 +2131 -9727 +3407 -3221 +603 1657 +-92 -4397 +3445 1763 +268 -3068 +311 -5521 +-4096 -2048 +-4492 -1710 +-1188 1005 +-2786 -1969 +2172 -6302 +3151 3128 +3228 2780 +-222 3143 +-424 848 +1067 -20 +1460 2686 +6137 -2239 +-2172 2842 +-960 -1071 +3286 -3939 +806 3711 +4096 0 +806 -3711 +3286 3939 +-960 1071 +-2172 -2842 +6137 2239 +1460 -2686 +1067 20 +-424 -848 +-222 -3143 +3228 -2780 +3151 -3128 +2172 6302 +-2786 1969 +-1188 -1005 +-4492 1710 +-4096 2048 +-946 1261 +-4828 -665 +3446 1709 +2172 158 +-5608 -1258 +-1456 1344 +2020 3323 +2472 -4944 +919 -4970 +-337 -1458 +-2113 -1372 +-2172 3302 +-1267 -1276 +-166 1382 +848 4161 +0 0 +848 -4161 +-166 -1382 +-1267 1276 +-2172 -3302 +-2113 1372 +-337 1458 +919 4970 +2472 4944 +2020 -3323 +-1456 -1344 +-5608 1258 +2172 -158 +3446 -1709 +-4828 665 +-946 -1261 +-4096 -2048 +-4492 -1710 +-1188 1005 +-2786 -1969 +2172 -6302 +3151 3128 +3228 2780 +-222 3143 +-424 848 +1067 -20 +1460 2686 +6137 -2239 +-2172 2842 +-960 -1071 +3286 -3939 +806 3711 +-1024 -3072 +-1047 4209 +1473 -2971 +-2336 -3863 +-1390 -4142 +1239 -1745 +-1026 1675 +3086 1706 +5244 -1148 +-158 -4314 +-128 2443 +786 530 +-273 -1540 +999 3092 +-347 3604 +861 2696 +4096 0 +861 -2696 +-347 -3604 +999 -3092 +-273 1540 +786 -530 +-128 -2443 +-158 4314 +5244 1148 +3086 -1706 +-1026 -1675 +1239 1745 +-1390 4142 +-2336 3863 +1473 2971 +-1047 -4209 +-1024 3072 +1147 2693 +-2073 -109 +1171 -504 +4286 -2250 +-2171 1373 +-2470 -9960 +1986 -1738 +900 3196 +-451 -2399 +-3368 2058 +-6535 -2230 +-2624 -756 +1055 -2995 +-253 -2091 +368 3319 +2048 0 +368 -3319 +-253 2091 +1055 2995 +-2624 756 +-6535 2230 +-3368 -2058 +-451 2399 +900 -3196 +1986 1738 +-2470 9960 +-2171 -1373 +4286 2250 +1171 504 +-2073 109 +1147 -2693 +-1024 -3072 +-1047 4209 +1473 -2971 +-2336 -3863 +-1390 -4142 +1239 -1745 +-1026 1675 +3086 1706 +5244 -1148 +-158 -4314 +-128 2443 +786 530 +-273 -1540 +999 3092 +-347 3604 +861 2696 +-1024 -3072 +-523 -1371 +-1221 -4370 +-3079 -1053 +-2694 -1667 +843 -2640 +1945 -2868 +-1910 -262 +-724 -1324 +-2955 -1168 +-1984 3312 +3621 -6168 +92 -2996 +2976 4774 +3391 -1684 +-2206 -3000 +-2048 0 +-2206 3000 +3391 1684 +2976 -4774 +92 2996 +3621 6168 +-1984 -3312 +-2955 1168 +-724 1324 +-1910 262 +1945 2868 +843 2640 +-2694 1667 +-3079 1053 +-1221 4370 +-523 1371 +-1024 3072 +2954 549 +3934 246 +1276 2937 +-802 -4477 +327 -4130 +547 311 +-2343 2082 +724 -2772 +-152 -796 +691 -2973 +4785 964 +-692 9140 +836 -1323 +889 457 +-4450 -1606 +-4096 0 +-4450 1606 +889 -457 +836 1323 +-692 -9140 +4785 -964 +691 2973 +-152 796 +724 2772 +-2343 -2082 +547 -311 +327 4130 +-802 4477 +1276 -2937 +3934 -246 +2954 -549 +-1024 -3072 +-523 -1371 +-1221 -4370 +-3079 -1053 +-2694 -1667 +843 -2640 +1945 -2868 +-1910 -262 +-724 -1324 +-2955 -1168 +-1984 3312 +3621 -6168 +92 -2996 +2976 4774 +3391 -1684 +-2206 -3000 +4096 8192 +-1177 4019 +189 56 +-1511 -1299 +-4050 -1796 +-393 1812 +1742 904 +394 361 +-600 -1872 +3702 -678 +-1048 -2282 +-181 -744 +738 -999 +-2558 -4052 +-1636 4113 +382 1661 +4096 0 +382 -1661 +-1636 -4113 +-2558 4052 +738 999 +-181 744 +-1048 2282 +3702 678 +-600 1872 +394 -361 +1742 -904 +-393 -1812 +-4050 1796 +-1511 1299 +189 -56 +-1177 -4019 +4096 -8192 +2082 5086 +1859 2464 +-1004 -21 +-2942 7340 +-787 -581 +306 1469 +-678 4917 +-3496 3920 +1695 3500 +3096 559 +3817 2654 +-1938 -1649 +-3175 -2381 +3684 2503 +-607 -803 +-4096 0 +-607 803 +3684 -2503 +-3175 2381 +-1938 1649 +3817 -2654 +3096 -559 +1695 -3500 +-3496 -3920 +-678 -4917 +306 -1469 +-787 581 +-2942 -7340 +-1004 21 +1859 -2464 +2082 -5086 +4096 8192 +-1177 4019 +189 56 +-1511 -1299 +-4050 -1796 +-393 1812 +1742 904 +394 361 +-600 -1872 +3702 -678 +-1048 -2282 +-181 -744 +738 -999 +-2558 -4052 +-1636 4113 +382 1661 +0 -3072 +862 -3911 +-2194 767 +-5912 1088 +-248 -2765 +1716 2225 +1625 1452 +3667 1790 +-1148 -4820 +-134 -2917 +2691 2696 +871 -7438 +-2030 -1574 +-1977 -95 +502 -2701 +1985 4188 +3072 0 +1985 -4188 +502 2701 +-1977 95 +-2030 1574 +871 7438 +2691 -2696 +-134 2917 +-1148 4820 +3667 -1790 +1625 -1452 +1716 -2225 +-248 2765 +-5912 -1088 +-2194 -767 +862 3911 +0 3072 +-5937 -694 +-2594 -936 +885 2187 +-3248 -1331 +2391 -149 +487 1852 +765 598 +3196 -3372 +814 529 +989 4704 +-2523 5362 +1430 5670 +-1243 -3181 +-1506 -1563 +3769 416 +1024 0 +3769 -416 +-1506 1563 +-1243 3181 +1430 -5670 +-2523 -5362 +989 -4704 +814 -529 +3196 3372 +765 -598 +487 -1852 +2391 149 +-3248 1331 +885 -2187 +-2594 936 +-5937 694 +0 -3072 +862 -3911 +-2194 767 +-5912 1088 +-248 -2765 +1716 2225 +1625 1452 +3667 1790 +-1148 -4820 +-134 -2917 +2691 2696 +871 -7438 +-2030 -1574 +-1977 -95 +502 -2701 +1985 4188 +3072 -1024 +6616 -308 +2979 951 +1295 -4436 +-632 -2344 +-2937 -922 +1691 -5733 +2237 -2349 +-1148 3796 +3120 2002 +-1574 -778 +-6597 -176 +-78 2778 +-1035 3771 +-1036 -1214 +1630 2518 +0 0 +1630 -2518 +-1036 1214 +-1035 -3771 +-78 -2778 +-6597 176 +-1574 778 +3120 -2002 +-1148 -3796 +2237 2349 +1691 5733 +-2937 922 +-632 2344 +1295 4436 +2979 -951 +6616 308 +3072 1024 +-5865 3319 +-1870 1422 +4753 1572 +-1416 3792 +-1558 -576 +985 -883 +-524 -2086 +3196 2348 +1199 -2103 +-1102 -1742 +626 -745 +-1970 -1330 +-340 7926 +-72 -509 +-2620 2429 +-2048 0 +-2620 -2429 +-72 509 +-340 -7926 +-1970 1330 +626 745 +-1102 1742 +1199 2103 +3196 -2348 +-524 2086 +985 883 +-1558 576 +-1416 -3792 +4753 -1572 +-1870 -1422 +-5865 -3319 +3072 -1024 +6616 -308 +2979 951 +1295 -4436 +-632 -2344 +-2937 -922 +1691 -5733 +2237 -2349 +-1148 3796 +3120 2002 +-1574 -778 +-6597 -176 +-78 2778 +-1035 3771 +-1036 -1214 +1630 2518 +0 0 +-454 -1042 +1038 -2671 +6657 -1455 +-3880 130 +-2452 -3768 +-2589 2073 +-3054 -865 +3920 -5544 +2538 -242 +1219 1690 +-2051 -108 +1352 -1134 +1701 2056 +-3661 -662 +-4557 1325 +-6144 0 +-4557 -1325 +-3661 662 +1701 -2056 +1352 1134 +-2051 108 +1219 -1690 +2538 242 +3920 5544 +-3054 865 +-2589 -2073 +-2452 3768 +-3880 -130 +6657 1455 +1038 2671 +-454 1042 +0 0 +-2916 1222 +4810 -1933 +-1308 -5299 +-1664 -978 +1609 -3063 +-2487 1202 +687 1767 +-1872 -2648 +-1499 -2402 +5057 386 +2006 -484 +-4000 -3810 +-369 3222 +4806 3050 +3463 1102 +2048 0 +3463 -1102 +4806 -3050 +-369 -3222 +-4000 3810 +2006 484 +5057 -386 +-1499 2402 +-1872 2648 +687 -1767 +-2487 -1202 +1609 3063 +-1664 978 +-1308 5299 +4810 1933 +-2916 -1222 +0 0 +-454 -1042 +1038 -2671 +6657 -1455 +-3880 130 +-2452 -3768 +-2589 2073 +-3054 -865 +3920 -5544 +2538 -242 +1219 1690 +-2051 -108 +1352 -1134 +1701 2056 +-3661 -662 +-4557 1325 +1024 1024 +399 -1065 +481 6743 +-252 -2484 +2214 -1970 +1019 6258 +-383 2836 +-249 272 +-724 2772 +2483 -629 +-999 1395 +-945 2788 +1644 632 +-1806 3888 +-1324 -2463 +264 -646 +0 0 +264 646 +-1324 2463 +-1806 -3888 +1644 -632 +-945 -2788 +-999 -1395 +2483 629 +-724 -2772 +-249 -272 +-383 -2836 +1019 -6258 +2214 1970 +-252 2484 +481 -6743 +399 1065 +1024 -1024 +-1602 -4439 +1751 2326 +2395 1239 +-2813 -78 +367 -3218 +-2957 568 +377 14 +724 1324 +-3500 3682 +1443 -2583 +-4905 -2956 +-5140 1416 +-1666 5644 +1988 -1254 +7620 -4491 +6144 0 +7620 4491 +1988 1254 +-1666 -5644 +-5140 -1416 +-4905 2956 +1443 2583 +-3500 -3682 +724 -1324 +377 -14 +-2957 -568 +367 3218 +-2813 78 +2395 -1239 +1751 -2326 +-1602 4439 +1024 1024 +399 -1065 +481 6743 +-252 -2484 +2214 -1970 +1019 6258 +-383 2836 +-249 272 +-724 2772 +2483 -629 +-999 1395 +-945 2788 +1644 632 +-1806 3888 +-1324 -2463 +264 -646 +2048 -1024 +-77 -2157 +-670 887 +-901 1548 +-4600 -3536 +1566 -2993 +-1645 838 +-5922 3318 +-1924 -300 +-1633 -1046 +4459 4628 +558 -776 +3094 -3597 +-64 2997 +937 609 +3466 -2968 +-7168 0 +3466 2968 +937 -609 +-64 -2997 +3094 3597 +558 776 +4459 -4628 +-1633 1046 +-1924 300 +-5922 -3318 +-1645 -838 +1566 2993 +-4600 3536 +-901 -1548 +-670 -887 +-77 2157 +2048 1024 +3907 737 +162 -2659 +1447 109 +3752 7033 +62 4783 +2466 2282 +-2357 -155 +-6268 -1748 +776 425 +1713 1388 +-1537 4134 +1851 2997 +-1131 228 +771 515 +1840 -2236 +-5120 0 +1840 2236 +771 -515 +-1131 -228 +1851 -2997 +-1537 -4134 +1713 -1388 +776 -425 +-6268 1748 +-2357 155 +2466 -2282 +62 -4783 +3752 -7033 +1447 -109 +162 2659 +3907 -737 +2048 -1024 +-77 -2157 +-670 887 +-901 1548 +-4600 -3536 +1566 -2993 +-1645 838 +-5922 3318 +-1924 -300 +-1633 -1046 +4459 4628 +558 -776 +3094 -3597 +-64 2997 +937 609 +3466 -2968 +4096 1024 +588 -2309 +2393 -555 +-3081 119 +32 -92 +-2494 653 +-1132 851 +3750 -485 +-5069 1148 +-1544 1048 +-3495 -1259 +-4433 3972 +-3418 -802 +-239 -4166 +2756 556 +-825 -6728 +1024 0 +-825 6728 +2756 -556 +-239 4166 +-3418 802 +-4433 -3972 +-3495 1259 +-1544 -1048 +-5069 -1148 +3750 485 +-1132 -851 +-2494 -653 +32 92 +-3081 -119 +2393 555 +588 2309 +4096 -1024 +1920 -1248 +384 1627 +2481 1888 +816 692 +4403 -4462 +571 74 +-286 3942 +5069 -3196 +2544 -2575 +-4633 984 +-4156 779 +-1526 -2694 +1727 748 +3156 7508 +-354 587 +-1024 0 +-354 -587 +3156 -7508 +1727 -748 +-1526 2694 +-4156 -779 +-4633 -984 +2544 2575 +5069 3196 +-286 -3942 +571 -74 +4403 4462 +816 -692 +2481 -1888 +384 -1627 +1920 1248 +4096 1024 +588 -2309 +2393 -555 +-3081 119 +32 -92 +-2494 653 +-1132 851 +3750 -485 +-5069 1148 +-1544 1048 +-3495 -1259 +-4433 3972 +-3418 -802 +-239 -4166 +2756 556 +-825 -6728 +-4096 3072 +22 1878 +2277 852 +2828 -5587 +5010 -862 +2165 4391 +1033 4860 +-1501 -304 +-1748 2172 +268 2517 +748 416 +-354 -1479 +-3348 3308 +51 7205 +-297 -4068 +-873 6135 +3072 0 +-873 -6135 +-297 4068 +51 -7205 +-3348 -3308 +-354 1479 +748 -416 +268 -2517 +-1748 -2172 +-1501 304 +1033 -4860 +2165 -4391 +5010 862 +2828 5587 +2277 -852 +22 -1878 +-4096 -3072 +1161 -3777 +-1834 2163 +-5858 -2923 +-666 -1186 +1429 394 +1199 372 +-1292 -698 +-300 -2172 +957 1703 +-84 1920 +543 2376 +-997 -1260 +-805 175 +-3044 4187 +1257 581 +9216 0 +1257 -581 +-3044 -4187 +-805 -175 +-997 1260 +543 -2376 +-84 -1920 +957 -1703 +-300 2172 +-1292 698 +1199 -372 +1429 -394 +-666 1186 +-5858 2923 +-1834 -2163 +1161 3777 +-4096 3072 +22 1878 +2277 852 +2828 -5587 +5010 -862 +2165 4391 +1033 4860 +-1501 -304 +-1748 2172 +268 2517 +748 416 +-354 -1479 +-3348 3308 +51 7205 +-297 -4068 +-873 6135 +0 -3072 +-1434 -3163 +-1195 4266 +-1689 4150 +332 -816 +1713 76 +-5467 -4729 +-678 1951 +3796 -724 +-3114 1037 +-1152 5526 +-432 -3686 +-1670 -1526 +946 2079 +-1008 4208 +-97 -2740 +3072 0 +-97 2740 +-1008 -4208 +946 -2079 +-1670 1526 +-432 3686 +-1152 -5526 +-3114 -1037 +3796 724 +-678 -1951 +-5467 4729 +1713 -76 +332 816 +-1689 -4150 +-1195 -4266 +-1434 3163 +0 3072 +-211 1084 +-2405 -990 +-5701 1072 +1116 -32 +3713 2793 +-1489 2341 +2913 710 +2348 724 +2208 -623 +1116 -921 +-4106 -5476 +222 -3418 +-236 -3097 +3409 -2132 +6206 4207 +1024 0 +6206 -4207 +3409 2132 +-236 3097 +222 3418 +-4106 5476 +1116 921 +2208 623 +2348 -724 +2913 -710 +-1489 -2341 +3713 -2793 +1116 32 +-5701 -1072 +-2405 990 +-211 -1084 +0 -3072 +-1434 -3163 +-1195 4266 +-1689 4150 +332 -816 +1713 76 +-5467 -4729 +-678 1951 +3796 -724 +-3114 1037 +-1152 5526 +-432 -3686 +-1670 -1526 +946 2079 +-1008 4208 +-97 -2740 +2048 -1024 +-488 869 +-2221 3469 +-2939 -2770 +1610 -4346 +-770 -2189 +1128 3359 +-5323 -507 +-4820 5244 +4122 8702 +-284 -612 +1512 1736 +-3732 -8 +-4213 -1090 +4551 128 +-478 55 +-5120 0 +-478 -55 +4551 -128 +-4213 1090 +-3732 8 +1512 -1736 +-284 612 +4122 -8702 +-4820 -5244 +-5323 507 +1128 -3359 +-770 2189 +1610 4346 +-2939 2770 +-2221 -3469 +-488 -869 +2048 1024 +5177 -1132 +-1083 2259 +1991 2638 +1286 2898 +-1978 -1073 +3137 -619 +1255 1232 +-3372 900 +-2509 271 +115 1656 +557 115 +836 -1441 +47 279 +2848 -4289 +4037 2137 +1024 0 +4037 -2137 +2848 4289 +47 -279 +836 1441 +557 -115 +115 -1656 +-2509 -271 +-3372 -900 +1255 -1232 +3137 619 +-1978 1073 +1286 -2898 +1991 -2638 +-1083 -2259 +5177 1132 +2048 -1024 +-488 869 +-2221 3469 +-2939 -2770 +1610 -4346 +-770 -2189 +1128 3359 +-5323 -507 +-4820 5244 +4122 8702 +-284 -612 +1512 1736 +-3732 -8 +-4213 -1090 +4551 128 +-478 55 +0 -3072 +-1794 2766 +-3068 -3592 +-5435 -4448 +-2284 3008 +2345 2894 +-325 -2144 +-2650 -5814 +-724 300 +-4779 -1434 +1401 -3293 +2037 -2542 +-162 562 +792 6929 +-2170 1430 +-1076 1212 +-1024 0 +-1076 -1212 +-2170 -1430 +792 -6929 +-162 -562 +2037 2542 +1401 3293 +-4779 1434 +-724 -300 +-2650 5814 +-325 2144 +2345 -2894 +-2284 -3008 +-5435 4448 +-3068 3592 +-1794 -2766 +0 3072 +6745 -630 +172 -1655 +310 -293 +2284 -1560 +-438 -1530 +3221 -6428 +3379 2356 +724 1748 +-1303 -5937 +1495 513 +-1728 -630 +162 886 +2117 3570 +-726 -885 +1476 404 +1024 0 +1476 -404 +-726 885 +2117 -3570 +162 -886 +-1728 630 +1495 -513 +-1303 5937 +724 -1748 +3379 -2356 +3221 6428 +-438 1530 +2284 1560 +310 293 +172 1655 +6745 630 +0 -3072 +-1794 2766 +-3068 -3592 +-5435 -4448 +-2284 3008 +2345 2894 +-325 -2144 +-2650 -5814 +-724 300 +-4779 -1434 +1401 -3293 +2037 -2542 +-162 562 +792 6929 +-2170 1430 +-1076 1212 +1024 3072 +-746 4358 +-802 173 +-2327 458 +-5421 1560 +2790 -1372 +3103 2656 +205 -3131 +1148 -1148 +-2477 1346 +-1117 870 +697 5215 +3602 -886 +84 724 +-4397 799 +-1035 -1414 +0 0 +-1035 1414 +-4397 -799 +84 -724 +3602 886 +697 -5215 +-1117 -870 +-2477 -1346 +1148 1148 +205 3131 +3103 -2656 +2790 1372 +-5421 -1560 +-2327 -458 +-802 -173 +-746 -4358 +1024 -3072 +3349 -3000 +4846 831 +955 3339 +-2420 -3008 +-985 -2233 +6084 1024 +166 -4144 +-3196 3196 +4324 2523 +1818 -2982 +2850 -811 +143 -562 +-4063 -504 +-1344 -5588 +-3785 2331 +-6144 0 +-3785 -2331 +-1344 5588 +-4063 504 +143 562 +2850 811 +1818 2982 +4324 -2523 +-3196 -3196 +166 4144 +6084 -1024 +-985 2233 +-2420 3008 +955 -3339 +4846 -831 +3349 3000 +1024 3072 +-746 4358 +-802 173 +-2327 458 +-5421 1560 +2790 -1372 +3103 2656 +205 -3131 +1148 -1148 +-2477 1346 +-1117 870 +697 5215 +3602 -886 +84 724 +-4397 799 +-1035 -1414 +-3072 4096 +-73 -5798 +579 -3568 +-1009 1027 +1278 -4944 +-157 1870 +4669 -397 +-487 -297 +-4096 0 +2140 -168 +985 7460 +1288 2874 +-2062 -848 +2584 -831 +4437 859 +1225 590 +5120 0 +1225 -590 +4437 -859 +2584 831 +-2062 848 +1288 -2874 +985 -7460 +2140 168 +-4096 0 +-487 297 +4669 397 +-157 -1870 +1278 4944 +-1009 -1027 +579 3568 +-73 5798 +-3072 -4096 +-2819 1509 +-3320 4287 +2363 -4884 +170 -4944 +-1940 1187 +-508 -763 +-4201 2310 +-4096 0 +-348 -715 +4743 1269 +3706 -2713 +614 -848 +-1043 -129 +-3393 1557 +-1230 -1982 +1024 0 +-1230 1982 +-3393 -1557 +-1043 129 +614 848 +3706 2713 +4743 -1269 +-348 715 +-4096 0 +-4201 -2310 +-508 763 +-1940 -1187 +170 4944 +2363 4884 +-3320 -4287 +-2819 -1509 +-3072 4096 +-73 -5798 +579 -3568 +-1009 1027 +1278 -4944 +-157 1870 +4669 -397 +-487 -297 +-4096 0 +2140 -168 +985 7460 +1288 2874 +-2062 -848 +2584 -831 +4437 859 +1225 590 +0 0 +-3139 3656 +5563 2298 +2091 3889 +-640 2158 +1435 -147 +-2216 3235 +261 -97 +2472 -3496 +2862 -206 +-1458 931 +97 919 +-2976 -46 +493 -1425 +4285 -1990 +669 -3103 +4096 0 +669 3103 +4285 1990 +493 1425 +-2976 46 +97 -919 +-1458 -931 +2862 206 +2472 3496 +261 97 +-2216 -3235 +1435 147 +-640 -2158 +2091 -3889 +5563 -2298 +-3139 -3656 +0 0 +-1328 -348 +793 -2778 +1115 5965 +-2856 4834 +-4085 -3285 +-3681 2598 +5307 5216 +-424 -600 +-182 -1227 +1562 805 +-2559 425 +2376 -1154 +-4378 2069 +-4848 5606 +1343 2258 +0 0 +1343 -2258 +-4848 -5606 +-4378 -2069 +2376 1154 +-2559 -425 +1562 -805 +-182 1227 +-424 600 +5307 -5216 +-3681 -2598 +-4085 3285 +-2856 -4834 +1115 -5965 +793 2778 +-1328 348 +0 0 +-3139 3656 +5563 2298 +2091 3889 +-640 2158 +1435 -147 +-2216 3235 +261 -97 +2472 -3496 +2862 -206 +-1458 931 +97 919 +-2976 -46 +493 -1425 +4285 -1990 +669 -3103 +0 6144 +3800 4719 +-1203 2520 +-112 -2638 +314 -1748 +980 -926 +4315 -406 +2431 -1548 +-2048 -2472 +-2203 3065 +-3028 3436 +1847 -809 +-470 300 +-4280 2409 +1337 272 +400 -2796 +-2048 0 +400 2796 +1337 -272 +-4280 -2409 +-470 -300 +1847 809 +-3028 -3436 +-2203 -3065 +-2048 2472 +2431 1548 +4315 406 +980 926 +314 1748 +-112 2638 +-1203 -2520 +3800 -4719 +0 -6144 +-2515 -7512 +5349 911 +-1615 2449 +-2362 -1748 +3023 -2104 +5420 -3246 +301 1164 +-2048 424 +119 -1881 +-5508 4001 +3286 1564 +-1578 300 +-3129 1186 +1510 -2136 +-2334 1571 +2048 0 +-2334 -1571 +1510 2136 +-3129 -1186 +-1578 -300 +3286 -1564 +-5508 -4001 +119 1881 +-2048 -424 +301 -1164 +5420 3246 +3023 2104 +-2362 1748 +-1615 -2449 +5349 -911 +-2515 7512 +0 6144 +3800 4719 +-1203 2520 +-112 -2638 +314 -1748 +980 -926 +4315 -406 +2431 -1548 +-2048 -2472 +-2203 3065 +-3028 3436 +1847 -809 +-470 300 +-4280 2409 +1337 272 +400 -2796 +2048 2048 +2549 -1304 +1604 -3549 +217 2850 +3186 868 +-179 -1879 +-1100 2556 +-2065 -2190 +-3072 -1448 +4784 -2313 +-3843 -1685 +-4663 3909 +2950 1808 +4067 2053 +2634 -163 +-27 -2547 +2048 0 +-27 2547 +2634 163 +4067 -2053 +2950 -1808 +-4663 -3909 +-3843 1685 +4784 2313 +-3072 1448 +-2065 2190 +-1100 -2556 +-179 1879 +3186 -868 +217 -2850 +1604 3549 +2549 1304 +2048 -2048 +-525 -2258 +168 901 +1102 -2385 +1159 -2916 +2831 3065 +4220 2988 +-769 3855 +-3072 1448 +-383 -3902 +-2173 -3859 +-1773 2940 +-7294 240 +-1602 -4116 +-1510 2811 +-3564 -703 +6144 0 +-3564 703 +-1510 -2811 +-1602 4116 +-7294 -240 +-1773 -2940 +-2173 3859 +-383 3902 +-3072 -1448 +-769 -3855 +4220 -2988 +2831 -3065 +1159 2916 +1102 2385 +168 -901 +-525 2258 +2048 2048 +2549 -1304 +1604 -3549 +217 2850 +3186 868 +-179 -1879 +-1100 2556 +-2065 -2190 +-3072 -1448 +4784 -2313 +-3843 -1685 +-4663 3909 +2950 1808 +4067 2053 +2634 -163 +-27 -2547 +2048 -2048 +2913 -1455 +-2269 948 +3863 -3176 +1398 868 +-3629 1371 +3127 -2560 +-3022 4836 +-1024 6392 +1682 5232 +-1462 221 +-159 -1981 +3170 1808 +3281 367 +2307 -14 +1229 -3894 +-2048 0 +1229 3894 +2307 14 +3281 -367 +3170 -1808 +-159 1981 +-1462 -221 +1682 -5232 +-1024 -6392 +-3022 -4836 +3127 2560 +-3629 -1371 +1398 -868 +3863 3176 +-2269 -948 +2913 1455 +2048 2048 +1790 640 +-1531 3488 +-803 2879 +-2846 -2916 +-1839 -1079 +3998 2588 +-1117 -482 +-1024 -2296 +-3545 -566 +-2766 5103 +-1292 -256 +-1722 240 +578 5000 +-1404 -6638 +69 -4802 +2048 0 +69 4802 +-1404 6638 +578 -5000 +-1722 -240 +-1292 256 +-2766 -5103 +-3545 566 +-1024 2296 +-1117 482 +3998 -2588 +-1839 1079 +-2846 2916 +-803 -2879 +-1531 -3488 +1790 -640 +2048 -2048 +2913 -1455 +-2269 948 +3863 -3176 +1398 868 +-3629 1371 +3127 -2560 +-3022 4836 +-1024 6392 +1682 5232 +-1462 221 +-159 -1981 +3170 1808 +3281 367 +2307 -14 +1229 -3894 +1024 4096 +-2334 4505 +502 2379 +520 -1523 +1952 110 +-307 4248 +4120 -1819 +5078 -1098 +-1448 -1448 +2357 1029 +2322 1717 +2186 -2293 +1832 2002 +-1922 4571 +-1955 6212 +-438 -2140 +1024 0 +-438 2140 +-1955 -6212 +-1922 -4571 +1832 -2002 +2186 2293 +2322 -1717 +2357 -1029 +-1448 1448 +5078 1098 +4120 1819 +-307 -4248 +1952 -110 +520 1523 +502 -2379 +-2334 -4505 +1024 -4096 +-711 2321 +-4182 5281 +1088 2121 +-3400 2786 +-822 -1397 +668 -5632 +-1655 4872 +1448 1448 +-3563 1490 +-1318 4817 +4295 -1169 +-384 894 +-5038 -2094 +-158 -952 +1267 -482 +-3072 0 +1267 482 +-158 952 +-5038 2094 +-384 -894 +4295 1169 +-1318 -4817 +-3563 -1490 +1448 -1448 +-1655 -4872 +668 5632 +-822 1397 +-3400 -2786 +1088 -2121 +-4182 -5281 +-711 -2321 +1024 4096 +-2334 4505 +502 2379 +520 -1523 +1952 110 +-307 4248 +4120 -1819 +5078 -1098 +-1448 -1448 +2357 1029 +2322 1717 +2186 -2293 +1832 2002 +-1922 4571 +-1955 6212 +-438 -2140 +3072 2048 +-1564 1674 +-1646 -1541 +-2105 -500 +1748 2362 +1840 -4313 +-3278 -1331 +-304 3233 +0 0 +982 8406 +-3059 2491 +-4588 -2358 +300 -1578 +4922 -4347 +-1363 2187 +-5124 -4877 +1024 0 +-5124 4877 +-1363 -2187 +4922 4347 +300 1578 +-4588 2358 +-3059 -2491 +982 -8406 +0 0 +-304 -3233 +-3278 1331 +1840 4313 +1748 -2362 +-2105 500 +-1646 1541 +-1564 -1674 +3072 -2048 +72 -40 +-846 -1236 +183 1127 +1748 -314 +647 -4726 +-1002 771 +188 1177 +0 0 +2919 3364 +347 5638 +3669 2895 +300 -470 +-4568 2965 +2655 3725 +2833 2285 +1024 0 +2833 -2285 +2655 -3725 +-4568 -2965 +300 470 +3669 -2895 +347 -5638 +2919 -3364 +0 0 +188 -1177 +-1002 -771 +647 4726 +1748 314 +183 -1127 +-846 1236 +72 40 +3072 2048 +-1564 1674 +-1646 -1541 +-2105 -500 +1748 2362 +1840 -4313 +-3278 -1331 +-304 3233 +0 0 +982 8406 +-3059 2491 +-4588 -2358 +300 -1578 +4922 -4347 +-1363 2187 +-5124 -4877 +-2048 -2048 +4323 -6665 +-1312 295 +629 330 +84 -3086 +2035 576 +1408 2942 +-3171 3414 +4944 1024 +602 -1722 +3981 611 +3111 2919 +-3700 854 +-2296 -5105 +-4264 -4382 +-815 3005 +2048 0 +-815 -3005 +-4264 4382 +-2296 5105 +-3700 -854 +3111 -2919 +3981 -611 +602 1722 +4944 -1024 +-3171 -3414 +1408 -2942 +2035 -576 +84 3086 +629 -330 +-1312 -295 +4323 6665 +-2048 2048 +11 -859 +-1924 2876 +-5506 -2319 +-2132 -410 +-1897 6067 +5613 1027 +688 -773 +-848 1024 +3209 1154 +-2312 4557 +-2362 3355 +1652 -254 +493 349 +-1189 561 +945 248 +2048 0 +945 -248 +-1189 -561 +493 -349 +1652 254 +-2362 -3355 +-2312 -4557 +3209 -1154 +-848 -1024 +688 773 +5613 -1027 +-1897 -6067 +-2132 410 +-5506 2319 +-1924 -2876 +11 859 +-2048 -2048 +4323 -6665 +-1312 295 +629 330 +84 -3086 +2035 576 +1408 2942 +-3171 3414 +4944 1024 +602 -1722 +3981 611 +3111 2919 +-3700 854 +-2296 -5105 +-4264 -4382 +-815 3005 +-7168 -2048 +-1048 -4039 +-128 1905 +-7295 258 +3496 -854 +4716 -996 +-1659 1353 +1473 3319 +1624 -1024 +-4536 1993 +-950 1031 +2117 -2965 +600 410 +-589 15 +-1666 -1069 +1473 -372 +3072 0 +1473 372 +-1666 1069 +-589 -15 +600 -410 +2117 2965 +-950 -1031 +-4536 -1993 +1624 1024 +1473 -3319 +-1659 -1353 +4716 996 +3496 854 +-7295 -258 +-128 -1905 +-1048 4039 +-7168 2048 +-1719 1736 +-693 5051 +8388 -1658 +3496 254 +-1070 1826 +-50 1047 +-558 4132 +4520 -1024 +-842 -1222 +1458 2569 +918 2466 +600 3086 +-1392 3049 +-4506 1033 +-35 -2819 +-1024 0 +-35 2819 +-4506 -1033 +-1392 -3049 +600 -3086 +918 -2466 +1458 -2569 +-842 1222 +4520 1024 +-558 -4132 +-50 -1047 +-1070 -1826 +3496 -254 +8388 1658 +-693 -5051 +-1719 -1736 +-7168 -2048 +-1048 -4039 +-128 1905 +-7295 258 +3496 -854 +4716 -996 +-1659 1353 +1473 3319 +1624 -1024 +-4536 1993 +-950 1031 +2117 -2965 +600 410 +-589 15 +-1666 -1069 +1473 -372 +-2048 -2048 +7070 -4048 +264 597 +-3333 4916 +2786 -724 +-1500 -2180 +2484 941 +4720 5917 +2048 -424 +3740 446 +556 -1194 +-1248 -5731 +-894 -724 +552 -575 +1113 3970 +-2343 -4104 +-4096 0 +-2343 4104 +1113 -3970 +552 575 +-894 724 +-1248 5731 +556 1194 +3740 -446 +2048 424 +4720 -5917 +2484 -941 +-1500 2180 +2786 724 +-3333 -4916 +264 -597 +7070 4048 +-2048 2048 +1813 -1588 +3741 -2489 +-2277 2313 +110 -724 +-1468 1241 +-2704 -158 +844 200 +2048 2472 +-3511 -1560 +-6128 1978 +-1577 1319 +-2002 -724 +-734 -3860 +675 -5862 +-748 -570 +0 0 +-748 570 +675 5862 +-734 3860 +-2002 724 +-1577 -1319 +-6128 -1978 +-3511 1560 +2048 -2472 +844 -200 +-2704 158 +-1468 -1241 +110 724 +-2277 -2313 +3741 2489 +1813 1588 +-2048 -2048 +7070 -4048 +264 597 +-3333 4916 +2786 -724 +-1500 -2180 +2484 941 +4720 5917 +2048 -424 +3740 446 +556 -1194 +-1248 -5731 +-894 -724 +552 -575 +1113 3970 +-2343 -4104 +3072 -1024 +-5131 -4525 +3593 3859 +2314 835 +-3778 1910 +823 6842 +-536 -1710 +-1765 -2282 +-2348 -3796 +-1063 -3659 +238 -2099 +808 178 +-3548 1984 +-1422 -1406 +-1917 2172 +-3726 699 +2048 0 +-3726 -699 +-1917 -2172 +-1422 1406 +-3548 -1984 +808 -178 +238 2099 +-1063 3659 +-2348 3796 +-1765 2282 +-536 1710 +823 -6842 +-3778 -1910 +2314 -835 +3593 -3859 +-5131 4525 +3072 1024 +5307 -213 +3687 -2787 +1591 -4001 +-318 1586 +1574 545 +2704 2635 +-508 -837 +-3796 -2348 +2008 1428 +-1206 1824 +-4093 2745 +-548 -2584 +4197 -432 +1629 5892 +-914 1244 +4096 0 +-914 -1244 +1629 -5892 +4197 432 +-548 2584 +-4093 -2745 +-1206 -1824 +2008 -1428 +-3796 2348 +-508 837 +2704 -2635 +1574 -545 +-318 -1586 +1591 4001 +3687 2787 +5307 213 +3072 -1024 +-5131 -4525 +3593 3859 +2314 835 +-3778 1910 +823 6842 +-536 -1710 +-1765 -2282 +-2348 -3796 +-1063 -3659 +238 -2099 +808 178 +-3548 1984 +-1422 -1406 +-1917 2172 +-3726 699 +2048 -3072 +1888 3241 +-474 711 +-279 -4782 +-2948 1900 +-265 1889 +760 -5544 +493 -1050 +2772 1148 +-2508 -7049 +-3264 -2903 +-2057 -2813 +3178 -2114 +-1773 1819 +-3249 -5259 +4661 307 +3072 0 +4661 -307 +-3249 5259 +-1773 -1819 +3178 2114 +-2057 2813 +-3264 2903 +-2508 7049 +2772 -1148 +493 1050 +760 5544 +-265 -1889 +-2948 -1900 +-279 4782 +-474 -711 +1888 -3241 +2048 3072 +-1034 1842 +1102 1661 +5953 935 +52 -452 +-3244 -2174 +-3916 -1072 +-3088 2172 +1324 -3196 +431 -2294 +2324 383 +-465 2767 +-282 3562 +-3663 364 +-1475 3536 +4949 104 +1024 0 +4949 -104 +-1475 -3536 +-3663 -364 +-282 -3562 +-465 -2767 +2324 -383 +431 2294 +1324 3196 +-3088 -2172 +-3916 1072 +-3244 2174 +52 452 +5953 -935 +1102 -1661 +-1034 -1842 +2048 -3072 +1888 3241 +-474 711 +-279 -4782 +-2948 1900 +-265 1889 +760 -5544 +493 -1050 +2772 1148 +-2508 -7049 +-3264 -2903 +-2057 -2813 +3178 -2114 +-1773 1819 +-3249 -5259 +4661 307 +1024 6144 +2175 -2785 +-121 -1173 +-2482 4008 +1618 -1892 +679 1068 +-475 491 +1257 250 +0 3496 +-2041 2706 +-4991 -686 +2839 -954 +-834 -784 +-4005 958 +1585 4587 +6367 -1402 +13312 0 +6367 1402 +1585 -4587 +-4005 -958 +-834 784 +2839 954 +-4991 686 +-2041 -2706 +0 -3496 +1257 -250 +-475 -491 +679 -1068 +1618 1892 +-2482 -4008 +-121 1173 +2175 2785 +1024 -6144 +-1096 2929 +185 1801 +1534 -1822 +2726 1892 +-2149 1196 +-2577 2665 +938 -827 +0 600 +-393 -387 +-1845 -254 +3302 6113 +-3510 784 +-5511 -1668 +47 137 +-1414 -1350 +1024 0 +-1414 1350 +47 -137 +-5511 1668 +-3510 -784 +3302 -6113 +-1845 254 +-393 387 +0 -600 +938 827 +-2577 -2665 +-2149 -1196 +2726 -1892 +1534 1822 +185 -1801 +-1096 -2929 +1024 6144 +2175 -2785 +-121 -1173 +-2482 4008 +1618 -1892 +679 1068 +-475 491 +1257 250 +0 3496 +-2041 2706 +-4991 -686 +2839 -954 +-834 -784 +-4005 958 +1585 4587 +6367 -1402 +-4096 1024 +-394 -1265 +597 -1114 +-3084 -1141 +1794 -1670 +-2809 -1629 +-1648 -993 +6441 2179 +3372 -3196 +-260 -8383 +-444 -2719 +5458 -1286 +-5336 -1116 +-2686 -1770 +879 -2012 +-3187 4444 +5120 0 +-3187 -4444 +879 2012 +-2686 1770 +-5336 1116 +5458 1286 +-444 2719 +-260 8383 +3372 3196 +6441 -2179 +-1648 993 +-2809 1629 +1794 1670 +-3084 1141 +597 1114 +-394 1265 +-4096 -1024 +-4189 -1183 +2300 330 +3792 2051 +-98 222 +971 -2122 +-1248 -899 +1361 -2140 +4820 1148 +27 4326 +-2452 827 +-485 1631 +-4552 -332 +-1157 -1416 +2017 1228 +202 -2796 +3072 0 +202 2796 +2017 -1228 +-1157 1416 +-4552 332 +-485 -1631 +-2452 -827 +27 -4326 +4820 -1148 +1361 2140 +-1248 899 +971 2122 +-98 -222 +3792 -2051 +2300 -330 +-4189 1183 +-4096 1024 +-394 -1265 +597 -1114 +-3084 -1141 +1794 -1670 +-2809 -1629 +-1648 -993 +6441 2179 +3372 -3196 +-260 -8383 +-444 -2719 +5458 -1286 +-5336 -1116 +-2686 -1770 +879 -2012 +-3187 4444 +4096 0 +5886 -1397 +143 -9942 +1684 -1999 +1988 1918 +-2746 -2307 +1539 -177 +2177 -64 +-2472 1448 +3808 -2386 +1084 492 +107 1362 +-568 -914 +-2993 1446 +-2611 -3992 +-2933 47 +0 0 +-2933 -47 +-2611 3992 +-2993 -1446 +-568 914 +107 -1362 +1084 -492 +3808 2386 +-2472 -1448 +2177 64 +1539 177 +-2746 2307 +1988 -1918 +1684 1999 +143 9942 +5886 1397 +4096 0 +-3018 -1706 +41 -2911 +-1475 2370 +3556 3026 +-493 1125 +-6928 -1660 +2434 -575 +424 -1448 +-1738 418 +-2688 2263 +1802 -1656 +3216 1762 +-1680 5606 +1227 3924 +-823 1315 +-4096 0 +-823 -1315 +1227 -3924 +-1680 -5606 +3216 -1762 +1802 1656 +-2688 -2263 +-1738 -418 +424 1448 +2434 575 +-6928 1660 +-493 -1125 +3556 -3026 +-1475 -2370 +41 2911 +-3018 1706 +4096 0 +5886 -1397 +143 -9942 +1684 -1999 +1988 1918 +-2746 -2307 +1539 -177 +2177 -64 +-2472 1448 +3808 -2386 +1084 492 +107 1362 +-568 -914 +-2993 1446 +-2611 -3992 +-2933 47 +4096 3072 +1423 480 +767 2265 +509 1737 +-5056 -1924 +-1534 4693 +-1706 -2527 +-261 -5302 +-300 5668 +-1117 809 +-291 -213 +-1029 -1399 +-1486 -4202 +-2284 4318 +-4235 3729 +398 -369 +7168 0 +398 369 +-4235 -3729 +-2284 -4318 +-1486 4202 +-1029 1399 +-291 213 +-1117 -809 +-300 -5668 +-261 5302 +-1706 2527 +-1534 -4693 +-5056 1924 +509 -1737 +767 -2265 +1423 -480 +4096 -3072 +-2041 -2498 +4140 -2146 +4130 1449 +-488 1076 +-4469 1112 +-2742 4863 +213 929 +-1748 -1572 +2732 2699 +1844 5446 +3248 1540 +-1162 -742 +1429 1396 +2223 -713 +-1347 -1961 +5120 0 +-1347 1961 +2223 713 +1429 -1396 +-1162 742 +3248 -1540 +1844 -5446 +2732 -2699 +-1748 1572 +213 -929 +-2742 -4863 +-4469 -1112 +-488 -1076 +4130 -1449 +4140 2146 +-2041 2498 +4096 3072 +1423 480 +767 2265 +509 1737 +-5056 -1924 +-1534 4693 +-1706 -2527 +-261 -5302 +-300 5668 +-1117 809 +-291 -213 +-1029 -1399 +-1486 -4202 +-2284 4318 +-4235 3729 +398 -369 +-3072 3072 +-306 1703 +-3374 -2199 +490 2597 +-236 -1356 +-5497 -558 +-480 114 +3117 2243 +3196 -1148 +-469 -2993 +-4271 -1745 +-806 -5944 +1886 -646 +-3189 -2623 +-1176 -4290 +307 417 +-4096 0 +307 -417 +-1176 4290 +-3189 2623 +1886 646 +-806 5944 +-4271 1745 +-469 2993 +3196 1148 +3117 -2243 +-480 -114 +-5497 558 +-236 1356 +490 -2597 +-3374 2199 +-306 -1703 +-3072 -3072 +3841 4185 +3883 -1860 +-3092 -5095 +4332 -2140 +2230 25 +-340 381 +-1582 4235 +-1148 3196 +262 -344 +-1901 -4751 +4961 -3487 +2210 1246 +-890 -2979 +-532 1430 +621 1449 +6144 0 +621 -1449 +-532 -1430 +-890 2979 +2210 -1246 +4961 3487 +-1901 4751 +262 344 +-1148 -3196 +-1582 -4235 +-340 -381 +2230 -25 +4332 2140 +-3092 5095 +3883 1860 +3841 -4185 +-3072 3072 +-306 1703 +-3374 -2199 +490 2597 +-236 -1356 +-5497 -558 +-480 114 +3117 2243 +3196 -1148 +-469 -2993 +-4271 -1745 +-806 -5944 +1886 -646 +-3189 -2623 +-1176 -4290 +307 417 +-1024 1024 +-167 -2105 +3828 -4110 +-4251 586 +502 1586 +6528 -2007 +-3393 -359 +-2651 -626 +1148 1748 +124 3960 +-3459 4717 +-1497 3132 +-1056 -2584 +1178 -2008 +1537 -1252 +8 -1513 +4096 0 +8 1513 +1537 1252 +1178 2008 +-1056 2584 +-1497 -3132 +-3459 -4717 +124 -3960 +1148 -1748 +-2651 626 +-3393 359 +6528 2007 +502 -1586 +-4251 -586 +3828 4110 +-167 2105 +-1024 -1024 +1184 2026 +516 7230 +-1526 4671 +2394 1910 +1178 -2859 +-952 -764 +691 2735 +-3196 300 +269 3629 +-885 -2944 +-2425 323 +-1840 1984 +815 -4192 +2807 7268 +542 3521 +2048 0 +542 -3521 +2807 -7268 +815 4192 +-1840 -1984 +-2425 -323 +-885 2944 +269 -3629 +-3196 -300 +691 -2735 +-952 764 +1178 2859 +2394 -1910 +-1526 -4671 +516 -7230 +1184 -2026 +-1024 1024 +-167 -2105 +3828 -4110 +-4251 586 +502 1586 +6528 -2007 +-3393 -359 +-2651 -626 +1148 1748 +124 3960 +-3459 4717 +-1497 3132 +-1056 -2584 +1178 -2008 +1537 -1252 +8 -1513 +-3072 2048 +3098 3522 +-779 -2140 +-1395 -1733 +2446 -484 +2319 951 +3632 -646 +-740 -3565 +-2472 -2472 +755 865 +2545 -1246 +-3926 -1702 +-2122 144 +-1042 -74 +-3004 1356 +-862 -1928 +-3072 0 +-862 1928 +-3004 -1356 +-1042 74 +-2122 -144 +-3926 1702 +2545 1246 +755 -865 +-2472 2472 +-740 3565 +3632 646 +2319 -951 +2446 484 +-1395 1733 +-779 2140 +3098 -3522 +-3072 -2048 +-67 2204 +-1517 -2140 +-4221 3180 +-2446 1084 +1530 -5208 +2761 -646 +-2613 3766 +424 424 +3927 -7344 +3848 -1246 +964 -3884 +2122 -3640 +-22 5985 +708 1356 +2295 6765 +-3072 0 +2295 -6765 +708 -1356 +-22 -5985 +2122 3640 +964 3884 +3848 1246 +3927 7344 +424 -424 +-2613 -3766 +2761 646 +1530 5208 +-2446 -1084 +-4221 -3180 +-1517 2140 +-67 -2204 +-3072 2048 +3098 3522 +-779 -2140 +-1395 -1733 +2446 -484 +2319 951 +3632 -646 +-740 -3565 +-2472 -2472 +755 865 +2545 -1246 +-3926 -1702 +-2122 144 +-1042 -74 +-3004 1356 +-862 -1928 +-2048 -2048 +-1333 -3216 +3094 2893 +649 -762 +-275 614 +-461 1401 +608 1732 +-646 3196 +600 -3072 +2468 -4272 +2978 -2996 +-3734 -2725 +-8064 -1278 +-1972 433 +2100 558 +-470 -4234 +-4096 0 +-470 4234 +2100 -558 +-1972 -433 +-8064 1278 +-3734 2725 +2978 2996 +2468 4272 +600 3072 +-646 -3196 +608 -1732 +-461 -1401 +-275 -614 +649 762 +3094 -2893 +-1333 3216 +-2048 2048 +254 -3451 +-2155 3631 +4346 2155 +-924 -2062 +536 1891 +-1235 861 +-5623 -5603 +3496 -3072 +-1313 1185 +1746 -4299 +1203 -2231 +1072 -170 +5225 -1495 +1056 4270 +869 2680 +0 0 +869 -2680 +1056 -4270 +5225 1495 +1072 170 +1203 2231 +1746 4299 +-1313 -1185 +3496 3072 +-5623 5603 +-1235 -861 +536 -1891 +-924 2062 +4346 -2155 +-2155 -3631 +254 3451 +-2048 -2048 +-1333 -3216 +3094 2893 +649 -762 +-275 614 +-461 1401 +608 1732 +-646 3196 +600 -3072 +2468 -4272 +2978 -2996 +-3734 -2725 +-8064 -1278 +-1972 433 +2100 558 +-470 -4234 +0 -1024 +2417 -3884 +669 727 +-93 4133 +-5421 3404 +-3188 1209 +-83 3981 +1012 352 +4220 -1148 +610 4117 +-2527 419 +3199 194 +3602 -1402 +-3814 -3212 +68 -964 +5115 -427 +3072 0 +5115 427 +68 964 +-3814 3212 +3602 1402 +3199 -194 +-2527 -419 +610 -4117 +4220 1148 +1012 -352 +-83 -3981 +-3188 -1209 +-5421 -3404 +-93 -4133 +669 -727 +2417 3884 +0 1024 +-2460 1792 +6130 -543 +770 1285 +-2420 4188 +2833 -2218 +-3582 1407 +-3754 364 +-124 3196 +3460 -816 +3295 -2023 +-1956 4222 +143 -3294 +-3544 70 +-3971 2348 +-607 3319 +-3072 0 +-607 -3319 +-3971 -2348 +-3544 -70 +143 3294 +-1956 -4222 +3295 2023 +3460 816 +-124 -3196 +-3754 -364 +-3582 -1407 +2833 2218 +-2420 -4188 +770 -1285 +6130 543 +-2460 -1792 +0 -1024 +2417 -3884 +669 727 +-93 4133 +-5421 3404 +-3188 1209 +-83 3981 +1012 352 +4220 -1148 +610 4117 +-2527 419 +3199 194 +3602 -1402 +-3814 -3212 +68 -964 +5115 -427 +-4096 -1024 +-2546 -1875 +1752 -312 +2669 220 +-476 536 +-2416 -328 +-953 -4247 +-1965 -2208 +4220 900 +5775 996 +981 -2734 +-2001 -2950 +2754 138 +-699 -1982 +-4404 -1366 +-2069 -898 +-5120 0 +-2069 898 +-4404 1366 +-699 1982 +2754 -138 +-2001 2950 +981 2734 +5775 -996 +4220 -900 +-1965 2208 +-953 4247 +-2416 328 +-476 -536 +2669 -220 +1752 312 +-2546 1875 +-4096 1024 +6184 -1991 +2152 -1072 +-51 380 +2524 -4032 +-492 5878 +185 5851 +-252 -2725 +-124 5244 +907 -946 +2684 -2654 +-1772 -59 +-706 462 +-1032 8007 +-2396 1182 +-240 -383 +-3072 0 +-240 383 +-2396 -1182 +-1032 -8007 +-706 -462 +-1772 59 +2684 2654 +907 946 +-124 -5244 +-252 2725 +185 -5851 +-492 -5878 +2524 4032 +-51 -380 +2152 1072 +6184 1991 +-4096 -1024 +-2546 -1875 +1752 -312 +2669 220 +-476 536 +-2416 -328 +-953 -4247 +-1965 -2208 +4220 900 +5775 996 +981 -2734 +-2001 -2950 +2754 138 +-699 -1982 +-4404 -1366 +-2069 -898 +1024 1024 +481 -4706 +-1923 1424 +2002 61 +3672 -2609 +2791 -2029 +3768 -2897 +1716 1294 +-724 1324 +1319 1178 +2558 3957 +-217 -4227 +-3902 -4406 +-4300 896 +-1472 -4853 +-932 2328 +-2048 0 +-932 -2328 +-1472 4853 +-4300 -896 +-3902 4406 +-217 4227 +2558 -3957 +1319 -1178 +-724 -1324 +1716 -1294 +3768 2897 +2791 2029 +3672 2609 +2002 -61 +-1923 -1424 +481 4706 +1024 -1024 +-3958 -889 +-1757 2893 +2580 4591 +672 2609 +530 -1866 +1021 589 +-467 -3225 +724 2772 +-351 -1853 +-1553 -3866 +2248 6645 +-442 4406 +-5633 1876 +-640 -4815 +2193 1525 +0 0 +2193 -1525 +-640 4815 +-5633 -1876 +-442 -4406 +2248 -6645 +-1553 3866 +-351 1853 +724 -2772 +-467 3225 +1021 -589 +530 1866 +672 -2609 +2580 -4591 +-1757 -2893 +-3958 889 +1024 1024 +481 -4706 +-1923 1424 +2002 61 +3672 -2609 +2791 -2029 +3768 -2897 +1716 1294 +-724 1324 +1319 1178 +2558 3957 +-217 -4227 +-3902 -4406 +-4300 896 +-1472 -4853 +-932 2328 +-3072 -1024 +3051 -693 +2020 1573 +-2155 -957 +971 -2230 +-3076 2448 +-773 -2081 +1551 -2364 +-724 124 +-840 969 +-3284 -1348 +-3597 278 +-6708 2901 +-951 -463 +3556 5190 +-457 80 +0 0 +-457 -80 +3556 -5190 +-951 463 +-6708 -2901 +-3597 -278 +-3284 1348 +-840 -969 +-724 -124 +1551 2364 +-773 2081 +-3076 -2448 +971 2230 +-2155 957 +2020 -1573 +3051 693 +-3072 1024 +1808 -894 +6045 -1912 +1983 -362 +-1571 1879 +-1878 1482 +1048 -2043 +6240 -1065 +724 -4220 +409 -614 +4208 121 +-1026 2084 +3212 9036 +-885 -2423 +-4628 -2633 +-178 2118 +-2048 0 +-178 -2118 +-4628 2633 +-885 2423 +3212 -9036 +-1026 -2084 +4208 -121 +409 614 +724 4220 +6240 1065 +1048 2043 +-1878 -1482 +-1571 -1879 +1983 362 +6045 1912 +1808 894 +-3072 -1024 +3051 -693 +2020 1573 +-2155 -957 +971 -2230 +-3076 2448 +-773 -2081 +1551 -2364 +-724 124 +-840 969 +-3284 -1348 +-3597 278 +-6708 2901 +-951 -463 +3556 5190 +-457 80 +0 0 +3588 932 +1924 4081 +2879 -1258 +-2036 -110 +4549 -1636 +5218 -3561 +-3437 -2968 +424 600 +-2054 -1296 +-824 -537 +1633 3294 +1867 -2002 +5159 1737 +-5425 2022 +-3447 -725 +8192 0 +-3447 725 +-5425 -2022 +5159 -1737 +1867 2002 +1633 -3294 +-824 537 +-2054 1296 +424 -600 +-3437 2968 +5218 3561 +4549 1636 +-2036 110 +2879 1258 +1924 -4081 +3588 -932 +0 0 +-4476 -2500 +-2760 -297 +1720 -2526 +5532 -2786 +-515 -2148 +1766 1994 +940 1401 +-2472 3496 +-1242 2864 +-4463 -1030 +126 490 +-1268 -894 +-3966 2047 +-3628 1762 +-1458 2293 +4096 0 +-1458 -2293 +-3628 -1762 +-3966 -2047 +-1268 894 +126 -490 +-4463 1030 +-1242 -2864 +-2472 -3496 +940 -1401 +1766 -1994 +-515 2148 +5532 2786 +1720 2526 +-2760 297 +-4476 2500 +0 0 +3588 932 +1924 4081 +2879 -1258 +-2036 -110 +4549 -1636 +5218 -3561 +-3437 -2968 +424 600 +-2054 -1296 +-824 -537 +1633 3294 +1867 -2002 +5159 1737 +-5425 2022 +-3447 -725 +-5120 2048 +-2587 -3499 +3494 -979 +5197 -6134 +2786 -1592 +281 2189 +542 -2356 +74 2981 +2472 -424 +2058 458 +1867 2443 +222 -167 +-894 -2532 +-5838 -6068 +-669 -840 +729 -1909 +-9216 0 +729 1909 +-669 840 +-5838 6068 +-894 2532 +222 167 +1867 -2443 +2058 -458 +2472 424 +74 -2981 +542 2356 +281 -2189 +2786 1592 +5197 6134 +3494 979 +-2587 3499 +-5120 -2048 +2794 198 +-218 3471 +-1930 -2353 +110 2192 +3798 3528 +1846 -1924 +-3610 -1957 +-424 2472 +-2987 1455 +2738 269 +2380 1420 +-2002 -964 +1683 -1091 +-1407 2133 +-2265 5288 +-1024 0 +-2265 -5288 +-1407 -2133 +1683 1091 +-2002 964 +2380 -1420 +2738 -269 +-2987 -1455 +-424 -2472 +-3610 1957 +1846 1924 +3798 -3528 +110 -2192 +-1930 2353 +-218 -3471 +2794 -198 +-5120 2048 +-2587 -3499 +3494 -979 +5197 -6134 +2786 -1592 +281 2189 +542 -2356 +74 2981 +2472 -424 +2058 458 +1867 2443 +222 -167 +-894 -2532 +-5838 -6068 +-669 -840 +729 -1909 +-3072 3072 +-221 -2060 +-313 -922 +-693 -59 +3178 2030 +-749 571 +-350 -2168 +4064 451 +-2596 2348 +-1979 3860 +2587 5572 +-516 6 +52 -3248 +3407 714 +6500 -2616 +1153 -724 +-6144 0 +1153 724 +6500 2616 +3407 -714 +52 3248 +-516 -6 +2587 -5572 +-1979 -3860 +-2596 -2348 +4064 -451 +-350 2168 +-749 -571 +3178 -2030 +-693 59 +-313 922 +-221 2060 +-3072 -3072 +-844 227 +25 1418 +-2660 -2143 +-282 -1430 +3780 687 +-617 5028 +-215 2222 +4644 3796 +-982 -2516 +-419 -1512 +1949 2141 +-2948 -248 +1275 3764 +780 -3881 +-6769 3356 +-8192 0 +-6769 -3356 +780 3881 +1275 -3764 +-2948 248 +1949 -2141 +-419 1512 +-982 2516 +4644 -3796 +-215 -2222 +-617 -5028 +3780 -687 +-282 1430 +-2660 2143 +25 -1418 +-844 -227 +-3072 3072 +-221 -2060 +-313 -922 +-693 -59 +3178 2030 +-749 571 +-350 -2168 +4064 451 +-2596 2348 +-1979 3860 +2587 5572 +-516 6 +52 -3248 +3407 714 +6500 -2616 +1153 -724 +-2048 -4096 +-722 -337 +2383 2395 +-1119 -3116 +3066 -1808 +-259 -5289 +585 -2151 +4113 -2017 +-424 -2048 +641 1091 +-2156 512 +-2910 6176 +-2282 2916 +-2724 1697 +818 1113 +988 -4184 +0 0 +988 4184 +818 -1113 +-2724 -1697 +-2282 -2916 +-2910 -6176 +-2156 -512 +641 -1091 +-424 2048 +4113 2017 +585 2151 +-259 5289 +3066 1808 +-1119 3116 +2383 -2395 +-722 337 +-2048 4096 +1412 2381 +-151 1729 +2467 -219 +4175 -240 +3595 -3485 +-3925 4707 +-1351 2797 +2472 -2048 +-4970 736 +2600 -852 +3358 4074 +-4958 -868 +-2408 -5784 +-154 115 +-111 3882 +0 0 +-111 -3882 +-154 -115 +-2408 5784 +-4958 868 +3358 -4074 +2600 852 +-4970 -736 +2472 2048 +-1351 -2797 +-3925 -4707 +3595 3485 +4175 240 +2467 219 +-151 -1729 +1412 -2381 +-2048 -4096 +-722 -337 +2383 2395 +-1119 -3116 +3066 -1808 +-259 -5289 +585 -2151 +4113 -2017 +-424 -2048 +641 1091 +-2156 512 +-2910 6176 +-2282 2916 +-2724 1697 +818 1113 +988 -4184 +0 0 +-456 -5616 +-3105 -1975 +-407 806 +580 854 +2878 655 +4597 -38 +-2557 2910 +-3496 -424 +-1874 -1195 +618 -1497 +-1954 -4715 +360 -410 +-490 -332 +-4951 -2941 +2223 -2035 +6144 0 +2223 2035 +-4951 2941 +-490 332 +360 410 +-1954 4715 +618 1497 +-1874 1195 +-3496 424 +-2557 -2910 +4597 38 +2878 -655 +580 -854 +-407 -806 +-3105 1975 +-456 5616 +0 0 +1206 -3382 +-131 3579 +4741 6301 +4364 -254 +933 2778 +2423 222 +754 -1810 +-600 2472 +-3003 -6264 +1051 2881 +-528 5564 +-1208 -3086 +620 2455 +-502 -2447 +-2085 -1538 +-6144 0 +-2085 1538 +-502 2447 +620 -2455 +-1208 3086 +-528 -5564 +1051 -2881 +-3003 6264 +-600 -2472 +754 1810 +2423 -222 +933 -2778 +4364 254 +4741 -6301 +-131 -3579 +1206 3382 +0 0 +-456 -5616 +-3105 -1975 +-407 806 +580 854 +2878 655 +4597 -38 +-2557 2910 +-3496 -424 +-1874 -1195 +618 -1497 +-1954 -4715 +360 -410 +-490 -332 +-4951 -2941 +2223 -2035 +2048 4096 +4138 3726 +2879 2523 +-242 -1316 +-1252 894 +-614 726 +-711 57 +3430 792 +2472 -600 +-3805 1612 +-1103 -465 +-5518 -1184 +3759 110 +4566 -515 +-1043 1907 +4485 30 +2048 0 +4485 -30 +-1043 -1907 +4566 515 +3759 -110 +-5518 1184 +-1103 465 +-3805 -1612 +2472 600 +3430 -792 +-711 -57 +-614 -726 +-1252 -894 +-242 1316 +2879 -2523 +4138 -3726 +2048 -4096 +2851 -1317 +-6819 2829 +-182 751 +4749 2002 +-8538 2114 +-2121 2160 +2664 817 +-424 -3496 +-930 -3 +-161 2682 +-1827 4025 +-3160 2786 +769 -50 +887 3445 +-1248 2378 +-2048 0 +-1248 -2378 +887 -3445 +769 50 +-3160 -2786 +-1827 -4025 +-161 -2682 +-930 3 +-424 3496 +2664 -817 +-2121 -2160 +-8538 -2114 +4749 -2002 +-182 -751 +-6819 -2829 +2851 1317 +2048 4096 +4138 3726 +2879 2523 +-242 -1316 +-1252 894 +-614 726 +-711 57 +3430 792 +2472 -600 +-3805 1612 +-1103 -465 +-5518 -1184 +3759 110 +4566 -515 +-1043 1907 +4485 30 +2048 2048 +-1751 -4156 +-4644 2924 +-2516 3767 +954 1208 +282 1681 +-3280 -2670 +4874 2911 +4520 3496 +-1569 3325 +1971 2364 +-516 -1794 +2506 580 +658 -2192 +-4717 -822 +-1013 -3324 +0 0 +-1013 3324 +-4717 822 +658 2192 +2506 -580 +-516 1794 +1971 -2364 +-1569 -3325 +4520 -3496 +4874 -2911 +-3280 2670 +282 -1681 +954 -1208 +-2516 -3767 +-4644 -2924 +-1751 4156 +2048 -2048 +908 -7583 +-745 -2325 +1422 -3731 +495 -360 +-2649 7010 +1897 -827 +475 -925 +1624 600 +2901 1765 +-1787 1132 +1554 669 +-3954 4364 +-4027 -1795 +3113 222 +968 482 +0 0 +968 -482 +3113 -222 +-4027 1795 +-3954 -4364 +1554 -669 +-1787 -1132 +2901 -1765 +1624 -600 +475 925 +1897 827 +-2649 -7010 +495 360 +1422 3731 +-745 2325 +908 7583 +2048 2048 +-1751 -4156 +-4644 2924 +-2516 3767 +954 1208 +282 1681 +-3280 -2670 +4874 2911 +4520 3496 +-1569 3325 +1971 2364 +-516 -1794 +2506 580 +658 -2192 +-4717 -822 +-1013 -3324 +-4096 -3072 +2115 -2703 +1661 -1226 +-412 498 +248 717 +-1925 2314 +-2491 2844 +-2208 1137 +1748 -1572 +-587 2340 +-3528 2739 +-3514 83 +2030 3622 +1122 3970 +-368 234 +-28 -4145 +-5120 0 +-28 4145 +-368 -234 +1122 -3970 +2030 -3622 +-3514 -83 +-3528 -2739 +-587 -2340 +1748 1572 +-2208 -1137 +-2491 -2844 +-1925 -2314 +248 -717 +-412 -498 +1661 1226 +2115 2703 +-4096 3072 +2214 1434 +452 -1931 +-1345 -1647 +3248 -717 +4324 7302 +1487 1880 +-3008 -3707 +300 5668 +1131 -3710 +-1260 -2111 +-4916 2540 +-1430 -3622 +873 1874 +4048 705 +6164 1677 +1024 0 +6164 -1677 +4048 -705 +873 -1874 +-1430 3622 +-4916 -2540 +-1260 2111 +1131 3710 +300 -5668 +-3008 3707 +1487 -1880 +4324 -7302 +3248 717 +-1345 1647 +452 1931 +2214 -1434 +-4096 -3072 +2115 -2703 +1661 -1226 +-412 498 +248 717 +-1925 2314 +-2491 2844 +-2208 1137 +1748 -1572 +-587 2340 +-3528 2739 +-3514 83 +2030 3622 +1122 3970 +-368 234 +-28 -4145 +-2048 0 +1281 1825 +-2474 5173 +-1535 -1465 +-1762 144 +-876 1770 +-358 -3104 +481 -1563 +2048 -3920 +-1362 -3941 +-481 4393 +7145 3360 +1918 1084 +1661 2618 +4631 -1076 +-100 -2576 +0 0 +-100 2576 +4631 1076 +1661 -2618 +1918 -1084 +7145 -3360 +-481 -4393 +-1362 3941 +2048 3920 +481 1563 +-358 3104 +-876 -1770 +-1762 -144 +-1535 1465 +-2474 -5173 +1281 -1825 +-2048 0 +-3849 -2507 +306 4939 +-4898 3993 +914 -3640 +-114 -1283 +646 781 +4693 1014 +2048 1872 +2188 -392 +-6799 -1421 +764 -1306 +3026 -484 +-2147 1478 +-3663 100 +-3333 -1889 +4096 0 +-3333 1889 +-3663 -100 +-2147 -1478 +3026 484 +764 1306 +-6799 1421 +2188 392 +2048 -1872 +4693 -1014 +646 -781 +-114 1283 +914 3640 +-4898 -3993 +306 -4939 +-3849 2507 +-2048 0 +1281 1825 +-2474 5173 +-1535 -1465 +-1762 144 +-876 1770 +-358 -3104 +481 -1563 +2048 -3920 +-1362 -3941 +-481 4393 +7145 3360 +1918 1084 +1661 2618 +4631 -1076 +-100 -2576 +2048 1024 +-2106 4063 +-1534 -961 +3113 -6439 +692 1656 +1062 -1772 +-617 -1501 +-1334 -1474 +-900 1324 +-4222 4794 +-2247 3227 +1810 -2147 +2694 -1102 +1140 4266 +424 -4468 +121 2535 +-1024 0 +121 -2535 +424 4468 +1140 -4266 +2694 1102 +1810 2147 +-2247 -3227 +-4222 -4794 +-900 -1324 +-1334 1474 +-617 1501 +1062 1772 +692 -1656 +3113 6439 +-1534 961 +-2106 -4063 +2048 -1024 +63 -4271 +2318 -1234 +1411 -513 +-92 2440 +-281 -1960 +-1275 -5439 +-85 169 +-5244 2772 +528 451 +4139 -6072 +-5047 -6361 +802 -2994 +2584 -2009 +-1207 -1823 +1242 1409 +1024 0 +1242 -1409 +-1207 1823 +2584 2009 +802 2994 +-5047 6361 +4139 6072 +528 -451 +-5244 -2772 +-85 -169 +-1275 5439 +-281 1960 +-92 -2440 +1411 513 +2318 1234 +63 4271 +2048 1024 +-2106 4063 +-1534 -961 +3113 -6439 +692 1656 +1062 -1772 +-617 -1501 +-1334 -1474 +-900 1324 +-4222 4794 +-2247 3227 +1810 -2147 +2694 -1102 +1140 4266 +424 -4468 +121 2535 +-3072 1024 +3674 3884 +-3916 -2579 +-1821 -5306 +-222 -2394 +-1182 -2955 +2005 553 +-2257 -4726 +-124 -6268 +1490 88 +2261 3276 +-2051 3947 +-332 -1840 +3639 -2612 +-2009 -3045 +-712 -7053 +2048 0 +-712 7053 +-2009 3045 +3639 2612 +-332 1840 +-2051 -3947 +2261 -3276 +1490 -88 +-124 6268 +-2257 4726 +2005 -553 +-1182 2955 +-222 2394 +-1821 5306 +-3916 2579 +3674 -3884 +-3072 -1024 +-2536 466 +1804 1471 +-188 -967 +1670 -502 +1582 46 +-1001 2123 +3960 3825 +4220 -1924 +-3192 1332 +2528 -601 +1651 -2024 +-1116 -1056 +-1630 -2224 +-1671 1937 +-426 -6054 +-4096 0 +-426 6054 +-1671 -1937 +-1630 2224 +-1116 1056 +1651 2024 +2528 601 +-3192 -1332 +4220 1924 +3960 -3825 +-1001 -2123 +1582 -46 +1670 502 +-188 967 +1804 -1471 +-2536 -466 +-3072 1024 +3674 3884 +-3916 -2579 +-1821 -5306 +-222 -2394 +-1182 -2955 +2005 553 +-2257 -4726 +-124 -6268 +1490 88 +2261 3276 +-2051 3947 +-332 -1840 +3639 -2612 +-2009 -3045 +-712 -7053 +1024 -1024 +-633 -1023 +1127 5240 +-887 3092 +1056 -692 +-1079 -196 +-4461 -767 +-3727 4181 +-3796 1748 +2563 1343 +895 511 +-192 -1418 +-2394 2694 +513 -1796 +5308 -3333 +2727 24 +4096 0 +2727 -24 +5308 3333 +513 1796 +-2394 -2694 +-192 1418 +895 -511 +2563 -1343 +-3796 -1748 +-3727 -4181 +-4461 767 +-1079 196 +1056 692 +-887 -3092 +1127 -5240 +-633 1023 +1024 1024 +-4036 2876 +-5031 -480 +3955 -3531 +1840 92 +-1047 -1498 +5230 -3773 +-1303 -640 +-2348 300 +4035 -5683 +-4560 244 +-1466 5388 +-502 802 +202 -1171 +1492 -2995 +374 2140 +6144 0 +374 -2140 +1492 2995 +202 1171 +-502 -802 +-1466 -5388 +-4560 -244 +4035 5683 +-2348 -300 +-1303 640 +5230 3773 +-1047 1498 +1840 -92 +3955 3531 +-5031 480 +-4036 -2876 +1024 -1024 +-633 -1023 +1127 5240 +-887 3092 +1056 -692 +-1079 -196 +-4461 -767 +-3727 4181 +-3796 1748 +2563 1343 +895 511 +-192 -1418 +-2394 2694 +513 -1796 +5308 -3333 +2727 24 +1024 -1024 +-1030 -3384 +-7224 -295 +-1846 907 +1970 -1345 +4361 -6281 +1143 -4206 +-4751 1035 +300 -4644 +3147 1033 +1074 5077 +-2930 1590 +632 3452 +-3352 2497 +-749 1013 +6706 -3860 +2048 0 +6706 3860 +-749 -1013 +-3352 -2497 +632 -3452 +-2930 -1590 +1074 -5077 +3147 -1033 +300 4644 +-4751 -1035 +1143 4206 +4361 6281 +1970 1345 +-1846 -907 +-7224 295 +-1030 3384 +1024 1024 +-3584 2484 +-1504 2279 +2714 -1880 +78 -103 +531 2467 +-1863 -894 +-1463 473 +1748 2596 +-2285 -1742 +1341 3807 +256 -52 +1416 -4900 +268 1882 +-411 -1429 +3260 743 +0 0 +3260 -743 +-411 1429 +268 -1882 +1416 4900 +256 52 +1341 -3807 +-2285 1742 +1748 -2596 +-1463 -473 +-1863 894 +531 -2467 +78 103 +2714 1880 +-1504 -2279 +-3584 -2484 +1024 -1024 +-1030 -3384 +-7224 -295 +-1846 907 +1970 -1345 +4361 -6281 +1143 -4206 +-4751 1035 +300 -4644 +3147 1033 +1074 5077 +-2930 1590 +632 3452 +-3352 2497 +-749 1013 +6706 -3860 +-1024 -5120 +-3529 -447 +742 3642 +1827 -2882 +-222 3178 +1226 -482 +341 4646 +-2577 -681 +-724 -4820 +72 7478 +-3154 6640 +-3084 223 +-332 -52 +1114 2555 +2381 -1180 +2623 2918 +2048 0 +2623 -2918 +2381 1180 +1114 -2555 +-332 52 +-3084 -223 +-3154 -6640 +72 -7478 +-724 4820 +-2577 681 +341 -4646 +1226 482 +-222 -3178 +1827 2882 +742 -3642 +-3529 447 +-1024 5120 +1826 -6492 +-1526 -3183 +-1427 -5150 +1670 -282 +783 4513 +1551 1814 +3715 -111 +724 -3372 +-1210 737 +1262 -180 +1075 -1647 +-1116 2948 +-1514 3735 +-1597 1639 +-920 2544 +0 0 +-920 -2544 +-1597 -1639 +-1514 -3735 +-1116 -2948 +1075 1647 +1262 180 +-1210 -737 +724 3372 +3715 111 +1551 -1814 +783 -4513 +1670 282 +-1427 5150 +-1526 3183 +1826 6492 +-1024 -5120 +-3529 -447 +742 3642 +1827 -2882 +-222 3178 +1226 -482 +341 4646 +-2577 -681 +-724 -4820 +72 7478 +-3154 6640 +-3084 223 +-332 -52 +1114 2555 +2381 -1180 +2623 2918 +0 -2048 +-1344 1453 +-2361 -428 +-1438 -898 +-1282 3196 +2417 2195 +430 -2736 +-2854 -4128 +0 1624 +-1801 -2660 +3220 -2426 +2121 3167 +182 1148 +-1047 3110 +-536 3029 +1828 2446 +-2048 0 +1828 -2446 +-536 -3029 +-1047 -3110 +182 -1148 +2121 -3167 +3220 2426 +-1801 2660 +0 -1624 +-2854 4128 +430 2736 +2417 -2195 +-1282 -3196 +-1438 898 +-2361 428 +-1344 -1453 +0 2048 +1563 273 +-4031 1980 +-2063 2861 +4529 3196 +1883 1132 +1866 -2171 +4910 5188 +0 4520 +-1824 -64 +-924 415 +-2638 1727 +8859 1148 +764 421 +-5857 1420 +-479 -4503 +-6144 0 +-479 4503 +-5857 -1420 +764 -421 +8859 -1148 +-2638 -1727 +-924 -415 +-1824 64 +0 -4520 +4910 -5188 +1866 2171 +1883 -1132 +4529 -3196 +-2063 -2861 +-4031 -1980 +1563 -273 +0 -2048 +-1344 1453 +-2361 -428 +-1438 -898 +-1282 3196 +2417 2195 +430 -2736 +-2854 -4128 +0 1624 +-1801 -2660 +3220 -2426 +2121 3167 +182 1148 +-1047 3110 +-536 3029 +1828 2446 +-3072 3072 +-478 579 +-4667 -1387 +913 -1678 +-327 -4032 +-4483 -1524 +2232 -1183 +-53 -3346 +300 -2348 +-4457 1393 +9 -1012 +2786 391 +-7782 462 +-5432 -1682 +1316 5365 +3678 2313 +4096 0 +3678 -2313 +1316 -5365 +-5432 1682 +-7782 -462 +2786 -391 +9 1012 +-4457 -1393 +300 2348 +-53 3346 +2232 1183 +-4483 1524 +-327 4032 +913 1678 +-4667 1387 +-478 -579 +-3072 -3072 +2280 -387 +2591 -4001 +1569 360 +2024 536 +149 -2823 +2372 2567 +-85 -3940 +1748 -3796 +3708 3353 +2379 1195 +-2915 -1192 +-2106 138 +1622 -1883 +1960 -3761 +1200 4119 +-2048 0 +1200 -4119 +1960 3761 +1622 1883 +-2106 -138 +-2915 1192 +2379 -1195 +3708 -3353 +1748 3796 +-85 3940 +2372 -2567 +149 2823 +2024 -536 +1569 -360 +2591 4001 +2280 387 +-3072 3072 +-478 579 +-4667 -1387 +913 -1678 +-327 -4032 +-4483 -1524 +2232 -1183 +-53 -3346 +300 -2348 +-4457 1393 +9 -1012 +2786 391 +-7782 462 +-5432 -1682 +1316 5365 +3678 2313 +1024 -3072 +1398 714 +3845 2972 +733 -1557 +2974 1006 +33 -2535 +-4230 -671 +-2143 -255 +-1148 2596 +-2224 3239 +247 3542 +2969 1352 +-1480 -2224 +-3615 2606 +-1254 -3066 +1678 1625 +4096 0 +1678 -1625 +-1254 3066 +-3615 -2606 +-1480 2224 +2969 -1352 +247 -3542 +-2224 -3239 +-1148 -2596 +-2143 255 +-4230 671 +33 2535 +2974 -1006 +733 1557 +3845 -2972 +1398 -714 +1024 3072 +3143 -3725 +-5893 -1877 +-2343 -2134 +4866 -2454 +4184 -4796 +2182 34 +-3874 1928 +3196 -4644 +5106 1569 +-2295 4013 +383 -1114 +-2264 776 +-2343 1272 +-794 -4031 +-3084 -1501 +-2048 0 +-3084 1501 +-794 4031 +-2343 -1272 +-2264 -776 +383 1114 +-2295 -4013 +5106 -1569 +3196 4644 +-3874 -1928 +2182 -34 +4184 4796 +4866 2454 +-2343 2134 +-5893 1877 +3143 3725 +1024 -3072 +1398 714 +3845 2972 +733 -1557 +2974 1006 +33 -2535 +-4230 -671 +-2143 -255 +-1148 2596 +-2224 3239 +247 3542 +2969 1352 +-1480 -2224 +-3615 2606 +-1254 -3066 +1678 1625 +2048 2048 +-1319 1072 +4899 -175 +2574 -853 +-240 -2302 +-78 -1043 +5212 -3333 +575 1440 +-4944 1024 +-1492 -1291 +221 1627 +4667 -263 +868 -1038 +268 -3281 +-15 1545 +-1941 2769 +2048 0 +-1941 -2769 +-15 -1545 +268 3281 +868 1038 +4667 263 +221 -1627 +-1492 1291 +-4944 -1024 +575 -1440 +5212 3333 +-78 1043 +-240 2302 +2574 853 +4899 175 +-1319 -1072 +2048 -2048 +3392 -4667 +-3191 -2277 +3385 1237 +-1808 -1194 +-4804 3836 +960 -1795 +1157 -4470 +848 1024 +-4465 2045 +599 1933 +2223 1488 +-2916 1638 +3350 2097 +-494 4692 +-7493 -2580 +-6144 0 +-7493 2580 +-494 -4692 +3350 -2097 +-2916 -1638 +2223 -1488 +599 -1933 +-4465 -2045 +848 -1024 +1157 4470 +960 1795 +-4804 -3836 +-1808 1194 +3385 -1237 +-3191 2277 +3392 4667 +2048 2048 +-1319 1072 +4899 -175 +2574 -853 +-240 -2302 +-78 -1043 +5212 -3333 +575 1440 +-4944 1024 +-1492 -1291 +221 1627 +4667 -263 +868 -1038 +268 -3281 +-15 1545 +-1941 2769 +-3072 3072 +9106 2660 +-3554 859 +-8384 1471 +-3308 -1376 +-1475 -879 +1164 -2284 +-119 -2449 +1748 -300 +-861 -1739 +1073 -248 +-1502 2043 +-1186 2490 +692 -66 +1558 -2969 +580 -10 +-6144 0 +580 10 +1558 2969 +692 66 +-1186 -2490 +-1502 -2043 +1073 248 +-861 1739 +1748 300 +-119 2449 +1164 2284 +-1475 879 +-3308 1376 +-8384 -1471 +-3554 -859 +9106 -2660 +-3072 -3072 +-2302 3298 +251 -1643 +-870 -3441 +1260 1624 +-1357 -2268 +3101 392 +-1504 2593 +300 -1748 +2925 -334 +-1242 -1645 +758 162 +-862 5950 +552 3448 +5842 2186 +3761 3751 +0 0 +3761 -3751 +5842 -2186 +552 -3448 +-862 -5950 +758 -162 +-1242 1645 +2925 334 +300 1748 +-1504 -2593 +3101 -392 +-1357 2268 +1260 -1624 +-870 3441 +251 1643 +-2302 -3298 +-3072 3072 +9106 2660 +-3554 859 +-8384 1471 +-3308 -1376 +-1475 -879 +1164 -2284 +-119 -2449 +1748 -300 +-861 -1739 +1073 -248 +-1502 2043 +-1186 2490 +692 -66 +1558 -2969 +580 -10 +3072 2048 +-1291 -1999 +5358 1653 +4001 -1722 +3425 -1722 +-3051 716 +-779 -679 +4997 3548 +-1624 1024 +3057 -3871 +1162 -157 +-2270 1344 +-5932 -1398 +-536 904 +4835 2269 +-438 -2750 +1024 0 +-438 2750 +4835 -2269 +-536 -904 +-5932 1398 +-2270 -1344 +1162 157 +3057 3871 +-1624 -1024 +4997 -3548 +-779 679 +-3051 -716 +3425 1722 +4001 1722 +5358 -1653 +-1291 1999 +3072 -2048 +4794 -2851 +1153 1347 +1336 1017 +-2576 3170 +-6602 -244 +-3854 -2781 +-965 -2584 +-4520 1024 +1839 4836 +1774 -3303 +-1437 -873 +987 2846 +-3026 -1609 +-1458 732 +-407 -2099 +-3072 0 +-407 2099 +-1458 -732 +-3026 1609 +987 -2846 +-1437 873 +1774 3303 +1839 -4836 +-4520 -1024 +-965 2584 +-3854 2781 +-6602 244 +-2576 -3170 +1336 -1017 +1153 -1347 +4794 2851 +3072 2048 +-1291 -1999 +5358 1653 +4001 -1722 +3425 -1722 +-3051 716 +-779 -679 +4997 3548 +-1624 1024 +3057 -3871 +1162 -157 +-2270 1344 +-5932 -1398 +-536 904 +4835 2269 +-438 -2750 +0 1024 +-512 -2270 +2047 -5730 +1716 3399 +366 138 +809 1898 +-945 -937 +4179 -4563 +5069 2596 +384 -536 +-1708 -766 +-3116 -86 +-751 -4032 +-2085 -2375 +-317 902 +4954 -1359 +5120 0 +4954 1359 +-317 -902 +-2085 2375 +-751 4032 +-3116 86 +-1708 766 +384 536 +5069 -2596 +4179 4563 +-945 937 +809 -1898 +366 -138 +1716 -3399 +2047 5730 +-512 2270 +0 -1024 +447 2744 +3185 -1446 +-1581 -4044 +-5310 462 +977 2908 +1064 -3252 +-2347 -2434 +-5069 -4644 +-3104 -1035 +-1308 1171 +-3133 -91 +1600 536 +621 -854 +-2019 4707 +1792 -6726 +3072 0 +1792 6726 +-2019 -4707 +621 854 +1600 -536 +-3133 91 +-1308 -1171 +-3104 1035 +-5069 4644 +-2347 2434 +1064 3252 +977 -2908 +-5310 -462 +-1581 4044 +3185 1446 +447 -2744 +0 1024 +-512 -2270 +2047 -5730 +1716 3399 +366 138 +809 1898 +-945 -937 +4179 -4563 +5069 2596 +384 -536 +-1708 -766 +-3116 -86 +-751 -4032 +-2085 -2375 +-317 902 +4954 -1359 +0 1024 +1594 -1514 +1093 -147 +-1100 -653 +-5416 -1176 +-946 -1308 +-658 3370 +-3164 1625 +300 -2772 +370 -3301 +5114 -331 +-181 1316 +-5850 2838 +4606 4760 +6232 -4463 +3413 -2228 +5120 0 +3413 2228 +6232 4463 +4606 -4760 +-5850 -2838 +-181 -1316 +5114 331 +370 3301 +300 2772 +-3164 -1625 +-658 -3370 +-946 1308 +-5416 1176 +-1100 653 +1093 147 +1594 1514 +0 -1024 +1176 -524 +-717 -1850 +-3248 -2329 +720 1176 +611 -2905 +134 3770 +-3057 1586 +1748 -1324 +500 4295 +-6287 1678 +2733 -177 +-1742 -2838 +-2475 -2390 +3281 -3326 +-831 -2026 +-1024 0 +-831 2026 +3281 3326 +-2475 2390 +-1742 2838 +2733 177 +-6287 -1678 +500 -4295 +1748 1324 +-3057 -1586 +134 -3770 +611 2905 +720 -1176 +-3248 2329 +-717 1850 +1176 524 +0 1024 +1594 -1514 +1093 -147 +-1100 -653 +-5416 -1176 +-946 -1308 +-658 3370 +-3164 1625 +300 -2772 +370 -3301 +5114 -331 +-181 1316 +-5850 2838 +4606 4760 +6232 -4463 +3413 -2228 +-4096 -1024 +5350 688 +2778 -103 +-3270 -2129 +1056 2380 +95 -864 +2525 -2546 +-544 -6987 +-724 -3196 +1307 2728 +205 -456 +3090 22 +-2394 -378 +2705 -32 +-397 -3421 +-7317 -1860 +-1024 0 +-7317 1860 +-397 3421 +2705 32 +-2394 378 +3090 -22 +205 456 +1307 -2728 +-724 3196 +-544 6987 +2525 2546 +95 864 +1056 -2380 +-3270 2129 +2778 103 +5350 -688 +-4096 1024 +-556 -3619 +1946 -3837 +-1338 2069 +1840 3164 +4955 316 +-1586 5378 +-3986 1725 +724 1148 +2543 258 +2952 -809 +108 4543 +-502 -2270 +-553 -707 +-231 3577 +-2591 1385 +-7168 0 +-2591 -1385 +-231 -3577 +-553 707 +-502 2270 +108 -4543 +2952 809 +2543 -258 +724 -1148 +-3986 -1725 +-1586 -5378 +4955 -316 +1840 -3164 +-1338 -2069 +1946 3837 +-556 3619 +-4096 -1024 +5350 688 +2778 -103 +-3270 -2129 +1056 2380 +95 -864 +2525 -2546 +-544 -6987 +-724 -3196 +1307 2728 +205 -456 +3090 22 +-2394 -378 +2705 -32 +-397 -3421 +-7317 -1860 +2048 1024 +-5721 -3782 +1242 -484 +676 502 +-3562 4682 +-851 -1071 +-1473 -6772 +2087 -2855 +-548 -2172 +-2209 155 +-191 553 +-1681 -228 +1900 660 +-2542 218 +-3811 -1723 +1562 1079 +1024 0 +1562 -1079 +-3811 1723 +-2542 -218 +1900 -660 +-1681 228 +-191 -553 +-2209 -155 +-548 2172 +2087 2855 +-1473 6772 +-851 1071 +-3562 -4682 +676 -502 +1242 484 +-5721 3782 +2048 -1024 +734 -552 +-5522 -3159 +-100 -5380 +2114 4358 +3805 933 +2765 -1617 +1826 373 +6692 2172 +4976 -3966 +-2301 -1949 +-2601 978 +-452 -3908 +-2498 1585 +1099 -3120 +2538 -950 +-1024 0 +2538 950 +1099 3120 +-2498 -1585 +-452 3908 +-2601 -978 +-2301 1949 +4976 3966 +6692 -2172 +1826 -373 +2765 1617 +3805 -933 +2114 -4358 +-100 5380 +-5522 3159 +734 552 +2048 1024 +-5721 -3782 +1242 -484 +676 502 +-3562 4682 +-851 -1071 +-1473 -6772 +2087 -2855 +-548 -2172 +-2209 155 +-191 553 +-1681 -228 +1900 660 +-2542 218 +-3811 -1723 +1562 1079 +0 -2048 +1667 -415 +2967 -2913 +-4098 -4370 +-1818 1168 +-1182 4234 +-1447 -3551 +-2504 1775 +1448 4520 +5545 757 +-565 2296 +-36 -59 +1182 60 +5700 1002 +3480 3391 +-2582 -647 +0 0 +-2582 647 +3480 -3391 +5700 -1002 +1182 -60 +-36 59 +-565 -2296 +5545 -757 +1448 -4520 +-2504 -1775 +-1447 3551 +-1182 -4234 +-1818 -1168 +-4098 4370 +2967 2913 +1667 415 +0 2048 +524 -3475 +-2486 -4144 +863 1652 +-2278 -2616 +4427 526 +7279 1698 +-2397 2247 +-1448 1624 +-3541 369 +-1171 3340 +-312 1923 +-5278 -1508 +432 -824 +135 5234 +-2506 -346 +0 0 +-2506 346 +135 -5234 +432 824 +-5278 1508 +-312 -1923 +-1171 -3340 +-3541 -369 +-1448 -1624 +-2397 -2247 +7279 -1698 +4427 -526 +-2278 2616 +863 -1652 +-2486 4144 +524 3475 +0 -2048 +1667 -415 +2967 -2913 +-4098 -4370 +-1818 1168 +-1182 4234 +-1447 -3551 +-2504 1775 +1448 4520 +5545 757 +-565 2296 +-36 -59 +1182 60 +5700 1002 +3480 3391 +-2582 -647 +7168 -2048 +120 -1704 +-3642 -1499 +84 -3337 +-26 280 +-150 3891 +2249 6265 +1744 -959 +-2472 -424 +-3325 2221 +997 -1597 +1791 448 +-1698 1388 +1834 3463 +-2350 783 +-5919 963 +-1024 0 +-5919 -963 +-2350 -783 +1834 -3463 +-1698 -1388 +1791 -448 +997 1597 +-3325 -2221 +-2472 424 +1744 959 +2249 -6265 +-150 -3891 +-26 -280 +84 3337 +-3642 1499 +120 1704 +7168 2048 +-830 830 +-363 -2441 +493 -703 +-4918 4064 +295 -5622 +-2028 -3433 +520 2338 +424 2472 +-1834 3493 +4576 332 +960 -1603 +2546 2956 +485 7058 +562 -627 +3733 99 +-1024 0 +3733 -99 +562 627 +485 -7058 +2546 -2956 +960 1603 +4576 -332 +-1834 -3493 +424 -2472 +520 -2338 +-2028 3433 +295 5622 +-4918 -4064 +493 703 +-363 2441 +-830 -830 +7168 -2048 +120 -1704 +-3642 -1499 +84 -3337 +-26 280 +-150 3891 +2249 6265 +1744 -959 +-2472 -424 +-3325 2221 +997 -1597 +1791 448 +-1698 1388 +1834 3463 +-2350 783 +-5919 963 +1024 -1024 +3245 6493 +2233 6653 +-1019 -645 +2948 -3404 +-1906 -984 +-1705 1554 +2284 3336 +-6692 2596 +-1608 2118 +6472 -1530 +-1009 -3056 +-3178 1402 +-1031 -3758 +1635 -3678 +237 908 +-4096 0 +237 -908 +1635 3678 +-1031 3758 +-3178 -1402 +-1009 3056 +6472 1530 +-1608 -2118 +-6692 -2596 +2284 -3336 +-1705 -1554 +-1906 984 +2948 3404 +-1019 645 +2233 -6653 +3245 -6493 +1024 1024 +4545 -1687 +2831 1411 +787 674 +-52 -4188 +-2500 158 +-1479 -1829 +246 -1559 +548 -4644 +-1809 988 +-2088 2454 +951 1342 +282 3294 +-66 -2894 +293 4750 +-1346 -566 +-2048 0 +-1346 566 +293 -4750 +-66 2894 +282 -3294 +951 -1342 +-2088 -2454 +-1809 -988 +548 4644 +246 1559 +-1479 1829 +-2500 -158 +-52 4188 +787 -674 +2831 -1411 +4545 1687 +1024 -1024 +3245 6493 +2233 6653 +-1019 -645 +2948 -3404 +-1906 -984 +-1705 1554 +2284 3336 +-6692 2596 +-1608 2118 +6472 -1530 +-1009 -3056 +-3178 1402 +-1031 -3758 +1635 -3678 +237 908 +2048 3072 +217 714 +6627 -1839 +-1655 1794 +-3418 1155 +600 -2815 +-4151 1931 +1615 2578 +-2172 300 +245 -198 +4480 3152 +1553 6246 +816 8312 +-2280 2877 +-1844 -4263 +94 4806 +1024 0 +94 -4806 +-1844 4263 +-2280 -2877 +816 -8312 +1553 -6246 +4480 -3152 +245 198 +-2172 -300 +1615 -2578 +-4151 -1931 +600 2815 +-3418 -1155 +-1655 -1794 +6627 1839 +217 -714 +2048 -3072 +-2198 -883 +-936 3443 +2570 -3252 +-1526 -2954 +-3367 1155 +-2189 -1747 +2032 2882 +2172 1748 +2790 233 +-1036 -1769 +-115 -2922 +32 2177 +-3098 -1751 +-951 -1125 +999 3585 +3072 0 +999 -3585 +-951 1125 +-3098 1751 +32 -2177 +-115 2922 +-1036 1769 +2790 -233 +2172 -1748 +2032 -2882 +-2189 1747 +-3367 -1155 +-1526 2954 +2570 3252 +-936 -3443 +-2198 883 +2048 3072 +217 714 +6627 -1839 +-1655 1794 +-3418 1155 +600 -2815 +-4151 1931 +1615 2578 +-2172 300 +245 -198 +4480 3152 +1553 6246 +816 8312 +-2280 2877 +-1844 -4263 +94 4806 +-3072 -6144 +1187 -809 +3478 2391 +2125 489 +3040 -1134 +-2795 5074 +-1200 1760 +-643 512 +-4344 2048 +237 -961 +1800 -2384 +926 -4235 +-3980 -978 +-3266 -2952 +18 -4123 +-2678 -2533 +-3072 0 +-2678 2533 +18 4123 +-3266 2952 +-3980 978 +926 4235 +1800 2384 +237 961 +-4344 -2048 +-643 -512 +-1200 -1760 +-2795 -5074 +3040 1134 +2125 -489 +3478 -2391 +1187 809 +-3072 6144 +-761 -2412 +3478 -4727 +3745 1183 +-744 -3810 +-1365 2391 +-1200 1256 +5844 2060 +4344 2048 +-3870 -1611 +1800 2504 +-550 -3229 +-2412 130 +1179 1280 +18 -1109 +685 5754 +1024 0 +685 -5754 +18 1109 +1179 -1280 +-2412 -130 +-550 3229 +1800 -2504 +-3870 1611 +4344 -2048 +5844 -2060 +-1200 -1256 +-1365 -2391 +-744 3810 +3745 -1183 +3478 4727 +-761 2412 +-3072 -6144 +1187 -809 +3478 2391 +2125 489 +3040 -1134 +-2795 5074 +-1200 1760 +-643 512 +-4344 2048 +237 -961 +1800 -2384 +926 -4235 +-3980 -978 +-3266 -2952 +18 -4123 +-2678 -2533 +5120 -3072 +532 -922 +-6050 -5157 +-2737 22 +522 -1382 +-2140 581 +-2901 -852 +1170 -2148 +1148 -1148 +-2251 2085 +-1566 1189 +36 312 +2080 1051 +4171 -4138 +1554 553 +469 -3320 +2048 0 +469 3320 +1554 -553 +4171 4138 +2080 -1051 +36 -312 +-1566 -1189 +-2251 -2085 +1148 1148 +1170 2148 +-2901 852 +-2140 -581 +522 1382 +-2737 -22 +-6050 5157 +532 922 +5120 3072 +-2941 5827 +-4246 -740 +2172 1172 +-1370 -7058 +-701 -2339 +5966 1416 +439 -1147 +-3196 3196 +642 412 +198 5167 +2805 3722 +2864 -1300 +-3606 -461 +-1146 -657 +1940 2433 +0 0 +1940 -2433 +-1146 657 +-3606 461 +2864 1300 +2805 -3722 +198 -5167 +642 -412 +-3196 -3196 +439 1147 +5966 -1416 +-701 2339 +-1370 7058 +2172 -1172 +-4246 740 +-2941 -5827 +5120 -3072 +532 -922 +-6050 -5157 +-2737 22 +522 -1382 +-2140 581 +-2901 -852 +1170 -2148 +1148 -1148 +-2251 2085 +-1566 1189 +36 312 +2080 1051 +4171 -4138 +1554 553 +469 -3320 +3072 3072 +2118 -1710 +-2138 -4316 +-2424 -1123 +1272 -392 +2009 5870 +-31 2612 +1804 -1938 +5069 -1324 +2897 -1740 +-3743 -601 +5645 518 +3054 946 +-4957 2687 +988 5272 +-1099 2440 +-4096 0 +-1099 -2440 +988 -5272 +-4957 -2687 +3054 -946 +5645 -518 +-3743 601 +2897 1740 +5069 1324 +1804 1938 +-31 -2612 +2009 -5870 +1272 392 +-2424 1123 +-2138 4316 +2118 1710 +3072 -3072 +-2415 2844 +-694 1159 +473 1589 +4272 392 +930 -476 +-125 2111 +2566 -1557 +-5069 -2772 +62 -4651 +-197 1229 +-777 1979 +-406 -946 +-6693 676 +-2252 -4333 +-141 1590 +-2048 0 +-141 -1590 +-2252 4333 +-6693 -676 +-406 946 +-777 -1979 +-197 -1229 +62 4651 +-5069 2772 +2566 1557 +-125 -2111 +930 476 +4272 -392 +473 -1589 +-694 -1159 +-2415 -2844 +3072 3072 +2118 -1710 +-2138 -4316 +-2424 -1123 +1272 -392 +2009 5870 +-31 2612 +1804 -1938 +5069 -1324 +2897 -1740 +-3743 -601 +5645 518 +3054 946 +-4957 2687 +988 5272 +-1099 2440 +-2048 -2048 +7654 -397 +2265 -111 +252 2685 +2016 3146 +741 -3767 +1820 6 +464 2605 +5120 2896 +249 -1186 +537 -2796 +1337 -190 +-5004 -3470 +-2301 -648 +1120 1936 +1116 267 +0 0 +1116 -267 +1120 -1936 +-2301 648 +-5004 3470 +1337 190 +537 2796 +249 1186 +5120 -2896 +464 -2605 +1820 -6 +741 3767 +2016 -3146 +252 -2685 +2265 111 +7654 397 +-2048 2048 +-348 -4885 +2643 1559 +1435 4661 +-1768 -1098 +-4404 1336 +-6269 1442 +-4232 1026 +5120 -2896 +-265 -846 +1016 1348 +758 -1930 +-3436 1422 +2181 114 +-3131 -3384 +-4639 -3020 +0 0 +-4639 3020 +-3131 3384 +2181 -114 +-3436 -1422 +758 1930 +1016 -1348 +-265 846 +5120 2896 +-4232 -1026 +-6269 -1442 +-4404 -1336 +-1768 1098 +1435 -4661 +2643 -1559 +-348 4885 +-2048 -2048 +7654 -397 +2265 -111 +252 2685 +2016 3146 +741 -3767 +1820 6 +464 2605 +5120 2896 +249 -1186 +537 -2796 +1337 -190 +-5004 -3470 +-2301 -648 +1120 1936 +1116 267 +0 -3072 +-60 5041 +-337 6526 +-188 -1093 +1670 2634 +-2329 1100 +-5563 -3861 +-5493 3532 +-548 724 +-1199 -3983 +2056 1799 +2955 5301 +-1116 2708 +349 -2962 +-3276 -2858 +-1881 899 +3072 0 +-1881 -899 +-3276 2858 +349 2962 +-1116 -2708 +2955 -5301 +2056 -1799 +-1199 3983 +-548 -724 +-5493 -3532 +-5563 3861 +-2329 -1100 +1670 -2634 +-188 1093 +-337 -6526 +-60 -5041 +0 3072 +2207 4254 +-808 -354 +2021 -1410 +-222 2310 +985 1355 +-713 4369 +1015 2500 +6692 -724 +-1004 3776 +3021 -91 +-282 -599 +-332 -1860 +2283 -3087 +-2571 2038 +622 -3636 +1024 0 +622 3636 +-2571 -2038 +2283 3087 +-332 1860 +-282 599 +3021 91 +-1004 -3776 +6692 724 +1015 -2500 +-713 -4369 +985 -1355 +-222 -2310 +2021 1410 +-808 354 +2207 -4254 +0 -3072 +-60 5041 +-337 6526 +-188 -1093 +1670 2634 +-2329 1100 +-5563 -3861 +-5493 3532 +-548 724 +-1199 -3983 +2056 1799 +2955 5301 +-1116 2708 +349 -2962 +-3276 -2858 +-1881 899 +-5120 -3072 +-609 110 +5531 2829 +-616 2664 +-1396 -92 +-580 -583 +570 4547 +25 -3366 +-1748 -2596 +272 -4409 +-1556 -7499 +-2004 1829 +1167 -802 +5634 -626 +-492 -183 +-1561 -753 +6144 0 +-1561 753 +-492 183 +5634 626 +1167 802 +-2004 -1829 +-1556 7499 +272 4409 +-1748 2596 +25 3366 +570 -4547 +-580 583 +-1396 92 +-616 -2664 +5531 -2829 +-609 -110 +-5120 3072 +-2494 18 +-927 1360 +245 3185 +-4397 692 +-602 2727 +2706 1062 +614 -4518 +-300 4644 +-2239 3205 +-520 323 +2299 1644 +4626 -2694 +1418 2012 +2880 -222 +200 1770 +-8192 0 +200 -1770 +2880 222 +1418 -2012 +4626 2694 +2299 -1644 +-520 -323 +-2239 -3205 +-300 -4644 +614 4518 +2706 -1062 +-602 -2727 +-4397 -692 +245 -3185 +-927 -1360 +-2494 -18 +-5120 -3072 +-609 110 +5531 2829 +-616 2664 +-1396 -92 +-580 -583 +570 4547 +25 -3366 +-1748 -2596 +272 -4409 +-1556 -7499 +-2004 1829 +1167 -802 +5634 -626 +-492 -183 +-1561 -753 +0 6144 +3070 389 +-4092 -2807 +112 -1822 +2266 340 +-2394 -2263 +2866 -1531 +1082 1415 +-1024 1448 +1220 77 +2766 3069 +1413 1960 +1363 -1228 +-4019 1501 +-119 -310 +5421 -894 +0 0 +5421 894 +-119 310 +-4019 -1501 +1363 1228 +1413 -1960 +2766 -3069 +1220 -77 +-1024 -1448 +1082 -1415 +2866 1531 +-2394 2263 +2266 -340 +112 1822 +-4092 2807 +3070 -389 +0 -6144 +1364 -6877 +2460 -1198 +-2108 -728 +-5762 2557 +206 224 +3971 1310 +-2565 4769 +-1024 -1448 +704 3890 +286 2504 +-2802 1353 +-1962 4124 +-1995 1301 +54 2098 +1289 -7811 +-4096 0 +1289 7811 +54 -2098 +-1995 -1301 +-1962 -4124 +-2802 -1353 +286 -2504 +704 -3890 +-1024 1448 +-2565 -4769 +3971 -1310 +206 -224 +-5762 -2557 +-2108 728 +2460 1198 +1364 6877 +0 6144 +3070 389 +-4092 -2807 +112 -1822 +2266 340 +-2394 -2263 +2866 -1531 +1082 1415 +-1024 1448 +1220 77 +2766 3069 +1413 1960 +1363 -1228 +-4019 1501 +-119 -310 +5421 -894 +-2048 4096 +-934 -1763 +2730 -3138 +2153 1988 +-1528 -4834 +2028 -1608 +-1099 -1862 +-680 -5757 +-2472 600 +-2695 619 +1388 1972 +-2526 2007 +-4305 1154 +3998 1986 +4223 -81 +-4094 4312 +-6144 0 +-4094 -4312 +4223 81 +3998 -1986 +-4305 -1154 +-2526 -2007 +1388 -1972 +-2695 -619 +-2472 -600 +-680 5757 +-1099 1862 +2028 1608 +-1528 4834 +2153 -1988 +2730 3138 +-934 1763 +-2048 -4096 +-4804 3537 +322 1571 +-896 -3529 +3824 -2158 +3871 -1674 +-534 -1923 +1292 108 +424 3496 +1643 -916 +-1453 -5757 +203 -3073 +-2088 46 +2754 -1310 +2614 -1486 +-1313 2813 +6144 0 +-1313 -2813 +2614 1486 +2754 1310 +-2088 -46 +203 3073 +-1453 5757 +1643 916 +424 -3496 +1292 -108 +-534 1923 +3871 1674 +3824 2158 +-896 3529 +322 -1571 +-4804 -3537 +-2048 4096 +-934 -1763 +2730 -3138 +2153 1988 +-1528 -4834 +2028 -1608 +-1099 -1862 +-680 -5757 +-2472 600 +-2695 619 +1388 1972 +-2526 2007 +-4305 1154 +3998 1986 +4223 -81 +-4094 4312 +-1024 -2048 +-488 -3231 +-1166 1565 +-853 4 +360 -2062 +-5170 2201 +-2084 892 +403 -1838 +-424 1872 +-1037 3987 +3238 1751 +5601 1934 +4364 -170 +999 2058 +-5880 6027 +-1379 -862 +5120 0 +-1379 862 +-5880 -6027 +999 -2058 +4364 170 +5601 -1934 +3238 -1751 +-1037 -3987 +-424 -1872 +403 1838 +-2084 -892 +-5170 -2201 +360 2062 +-853 -4 +-1166 -1565 +-488 3231 +-1024 2048 +2028 -888 +-2210 -3990 +556 -1019 +-1208 614 +-1955 -6856 +-852 633 +663 2402 +2472 -3920 +-2245 1929 +1395 -2627 +-3827 -4372 +580 -1278 +4650 -856 +-631 5533 +2055 2094 +1024 0 +2055 -2094 +-631 -5533 +4650 856 +580 1278 +-3827 4372 +1395 2627 +-2245 -1929 +2472 3920 +663 -2402 +-852 -633 +-1955 6856 +-1208 -614 +556 1019 +-2210 3990 +2028 888 +-1024 -2048 +-488 -3231 +-1166 1565 +-853 4 +360 -2062 +-5170 2201 +-2084 892 +403 -1838 +-424 1872 +-1037 3987 +3238 1751 +5601 1934 +4364 -170 +999 2058 +-5880 6027 +-1379 -862 +0 0 +-6682 2252 +809 112 +1052 -1414 +-144 -3230 +-233 -2060 +-294 1968 +-594 -4259 +-424 -3496 +1236 -2617 +-3057 10 +-2118 4307 +1084 -230 +1250 -1285 +-2119 -5824 +-3229 -1251 +0 0 +-3229 1251 +-2119 5824 +1250 1285 +1084 230 +-2118 -4307 +-3057 -10 +1236 2617 +-424 3496 +-594 4259 +-294 -1968 +-233 2060 +-144 3230 +1052 1414 +809 -112 +-6682 -2252 +0 0 +515 936 +-3641 -6572 +6029 -4905 +3640 3230 +1354 -751 +138 -1509 +403 -1819 +2472 -600 +-3702 -326 +-883 449 +-779 449 +-484 230 +5031 2535 +854 -636 +468 7575 +4096 0 +468 -7575 +854 636 +5031 -2535 +-484 -230 +-779 -449 +-883 -449 +-3702 326 +2472 600 +403 1819 +138 1509 +1354 751 +3640 -3230 +6029 4905 +-3641 6572 +515 -936 +0 0 +-6682 2252 +809 112 +1052 -1414 +-144 -3230 +-233 -2060 +-294 1968 +-594 -4259 +-424 -3496 +1236 -2617 +-3057 10 +-2118 4307 +1084 -230 +1250 -1285 +-2119 -5824 +-3229 -1251 +-1024 -4096 +2515 1674 +-3054 -739 +188 -4490 +410 -2916 +465 946 +564 -2832 +4431 872 +2896 1200 +-1265 -229 +1572 2156 +-2210 -976 +-254 240 +-638 527 +-1757 1181 +-983 -2057 +-5120 0 +-983 2057 +-1757 -1181 +-638 -527 +-254 -240 +-2210 976 +1572 -2156 +-1265 229 +2896 -1200 +4431 -872 +564 2832 +465 -946 +410 2916 +188 4490 +-3054 739 +2515 -1674 +-1024 4096 +-4356 3494 +3498 -541 +82 1318 +3086 868 +4025 2875 +1668 -1920 +1584 3096 +-2896 6992 +1931 -3739 +-908 -8108 +-3610 -2844 +854 1808 +-4096 2644 +-1584 4532 +1936 -3111 +-1024 0 +1936 3111 +-1584 -4532 +-4096 -2644 +854 -1808 +-3610 2844 +-908 8108 +1931 3739 +-2896 -6992 +1584 -3096 +1668 1920 +4025 -2875 +3086 -868 +82 -1318 +3498 540 +-4356 -3494 +-1024 -4096 +2515 1674 +-3054 -739 +188 -4490 +410 -2916 +465 946 +564 -2832 +4431 872 +2896 1200 +-1265 -229 +1572 2156 +-2210 -976 +-254 240 +-638 527 +-1757 1181 +-983 -2057 +0 2048 +-2214 2692 +-1185 2906 +2473 -6992 +110 4664 +18 3970 +-497 -869 +1511 1182 +1448 -2472 +370 -95 +1019 3564 +-2802 1800 +-2002 -540 +-4545 2898 +569 2656 +5325 3084 +0 0 +5325 -3084 +569 -2656 +-4545 -2898 +-2002 540 +-2802 -1800 +1019 -3564 +370 95 +1448 2472 +1511 -1182 +-497 869 +18 -3970 +110 -4664 +2473 6992 +-1185 -2906 +-2214 -2692 +0 -2048 +-2164 -7933 +-1491 -2343 +3082 -214 +2786 880 +-511 -4465 +1605 974 +-1770 5507 +-1448 424 +-110 30 +-2127 2333 +3295 3577 +-894 -2108 +-1009 -839 +2107 3700 +-947 4698 +0 0 +-947 -4698 +2107 -3700 +-1009 839 +-894 2108 +3295 -3577 +-2127 -2333 +-110 -30 +-1448 -424 +-1770 -5507 +1605 -974 +-511 4465 +2786 -880 +3082 214 +-1491 2343 +-2164 7933 +0 2048 +-2214 2692 +-1185 2906 +2473 -6992 +110 4664 +18 3970 +-497 -869 +1511 1182 +1448 -2472 +370 -95 +1019 3564 +-2802 1800 +-2002 -540 +-4545 2898 +569 2656 +5325 3084 +1024 -3072 +-3520 2269 +-1320 -2486 +-552 -2593 +-4552 3404 +-471 -527 +-25 1996 +101 -3100 +1148 -900 +-106 -1125 +1701 -2140 +-347 3869 +1794 -1402 +5612 -679 +-422 -559 +-2563 3519 +0 0 +-2563 -3519 +-422 559 +5612 679 +1794 1402 +-347 -3869 +1701 2140 +-106 1125 +1148 900 +101 3100 +-25 -1996 +-471 527 +-4552 -3404 +-552 2593 +-1320 2486 +-3520 -2269 +1024 3072 +2011 2136 +1920 2669 +-3454 5530 +-5336 4188 +104 -608 +3521 3393 +2563 -1205 +-3196 -5244 +1905 3500 +1795 536 +-5966 -3676 +-98 -3294 +-719 -848 +1022 1943 +5402 1774 +2048 0 +5402 -1774 +1022 -1943 +-719 848 +-98 3294 +-5966 3676 +1795 -536 +1905 -3500 +-3196 5244 +2563 1205 +3521 -3393 +104 608 +-5336 -4188 +-3454 -5530 +1920 -2669 +2011 -2136 +1024 -3072 +-3520 2269 +-1320 -2486 +-552 -2593 +-4552 3404 +-471 -527 +-25 1996 +101 -3100 +1148 -900 +-106 -1125 +1701 -2140 +-347 3869 +1794 -1402 +5612 -679 +-422 -559 +-2563 3519 +1024 1024 +-180 3317 +-928 2565 +-2014 4465 +502 -1430 +1496 -1435 +673 -774 +2941 5362 +1148 4644 +-3862 -2126 +-2039 7328 +675 747 +-1056 -248 +46 4642 +2603 -3295 +404 1025 +-2048 0 +404 -1025 +2603 3295 +46 -4642 +-1056 248 +675 -747 +-2039 -7328 +-3862 2126 +1148 -4644 +2941 -5362 +673 774 +1496 1435 +502 1430 +-2014 -4465 +-928 -2565 +-180 -3317 +1024 -1024 +1936 -2377 +-3196 -242 +-4634 5432 +2394 2030 +4907 3353 +1883 -202 +-1190 851 +-3196 -2596 +543 -2676 +2378 2784 +-3294 -735 +-1840 -3248 +2818 -4842 +-1375 323 +-592 -2908 +4096 0 +-592 2908 +-1375 -323 +2818 4842 +-1840 3248 +-3294 735 +2378 -2784 +543 2676 +-3196 2596 +-1190 -851 +1883 202 +4907 -3353 +2394 -2030 +-4634 -5432 +-3196 242 +1936 2377 +1024 1024 +-180 3317 +-928 2565 +-2014 4465 +502 -1430 +1496 -1435 +673 -774 +2941 5362 +1148 4644 +-3862 -2126 +-2039 7328 +675 747 +-1056 -248 +46 4642 +2603 -3295 +404 1025 +7168 -1024 +-2140 789 +678 2921 +529 4015 +-4462 -2778 +1000 605 +-86 198 +1958 -347 +2596 300 +-1457 -5797 +2523 -3231 +-2 -5223 +-3345 -3792 +-546 3611 +-505 2422 +-1605 -1018 +-2048 0 +-1605 1018 +-505 -2422 +-546 -3611 +-3345 3792 +-2 5223 +2523 3231 +-1457 5797 +2596 -300 +1958 347 +-86 -198 +1000 -605 +-4462 2778 +529 -4015 +678 -2921 +-2140 -789 +7168 1024 +2446 1916 +-2634 2755 +1573 1778 +1214 1330 +2146 3579 +2355 -2549 +-421 212 +-4644 1748 +-80 2527 +5097 880 +-3145 1838 +-5696 2344 +-1557 -5386 +765 3254 +1299 588 +0 0 +1299 -588 +765 -3254 +-1557 5386 +-5696 -2344 +-3145 -1838 +5097 -880 +-80 -2527 +-4644 -1748 +-421 -212 +2355 2549 +2146 -3579 +1214 -1330 +1573 -1778 +-2634 -2755 +2446 -1916 +7168 -1024 +-2140 789 +678 2921 +529 4015 +-4462 -2778 +1000 605 +-86 198 +1958 -347 +2596 300 +-1457 -5797 +2523 -3231 +-2 -5223 +-3345 -3792 +-546 3611 +-505 2422 +-1605 -1018 +1024 -1024 +-402 1761 +-4473 2763 +1483 1285 +2454 -3842 +1959 -2159 +4946 5756 +-2764 -4038 +-724 -724 +7541 1411 +1273 -2727 +-1421 -4294 +776 -3288 +-27 4409 +2149 -4399 +9 -441 +-4096 0 +9 441 +2149 4399 +-27 -4409 +776 3288 +-1421 4294 +1273 2727 +7541 -1411 +-724 724 +-2764 4038 +4946 -5756 +1959 2159 +2454 3842 +1483 -1285 +-4473 -2763 +-402 -1761 +1024 1024 +857 719 +1013 3030 +-3109 -360 +-1006 -1950 +-1544 -242 +-1945 36 +3086 -184 +724 724 +-2071 -1537 +-4274 -3066 +-4787 -2204 +-2224 -2504 +-4140 612 +1311 -1393 +5328 -1174 +2048 0 +5328 1174 +1311 1393 +-4140 -612 +-2224 2504 +-4787 2204 +-4274 3066 +-2071 1537 +724 -724 +3086 184 +-1945 -36 +-1544 242 +-1006 1950 +-3109 360 +1013 -3030 +857 -719 +1024 -1024 +-402 1761 +-4473 2763 +1483 1285 +2454 -3842 +1959 -2159 +4946 5756 +-2764 -4038 +-724 -724 +7541 1411 +1273 -2727 +-1421 -4294 +776 -3288 +-27 4409 +2149 -4399 +9 -441 +-2048 2048 +-7562 1380 +-354 -4126 +1998 -1781 +938 -1024 +-1453 5593 +407 5858 +2680 1444 +2472 -1448 +230 -1348 +-4080 -1238 +-2130 -3847 +-3290 1024 +-519 -1500 +2018 -2593 +-824 992 +0 0 +-824 -992 +2018 2593 +-519 1500 +-3290 -1024 +-2130 3847 +-4080 1238 +230 1348 +2472 1448 +2680 -1444 +407 -5858 +-1453 -5593 +938 1024 +1998 1781 +-354 4126 +-7562 -1380 +-2048 -2048 +1929 899 +-1657 1790 +600 -392 +-2387 -1024 +32 8603 +1145 -2843 +-2861 -4560 +-424 1448 +1518 -2815 +-369 1358 +-234 -982 +4738 1024 +1706 80 +2889 -2639 +4889 3633 +0 0 +4889 -3633 +2889 2639 +1706 -80 +4738 -1024 +-234 982 +-369 -1358 +1518 2815 +-424 -1448 +-2861 4560 +1145 2843 +32 -8603 +-2387 1024 +600 392 +-1657 -1790 +1929 -899 +-2048 2048 +-7562 1380 +-354 -4126 +1998 -1781 +938 -1024 +-1453 5593 +407 5858 +2680 1444 +2472 -1448 +230 -1348 +-4080 -1238 +-2130 -3847 +-3290 1024 +-519 -1500 +2018 -2593 +-824 992 +-3072 0 +-5095 -1489 +4283 -928 +1861 -2780 +-600 -1638 +2427 -2100 +2587 -4754 +1345 -2233 +424 -2472 +3232 2201 +743 -1515 +2040 -5297 +-3496 2302 +-5090 -1303 +-936 -1084 +-710 4222 +3072 0 +-710 -4222 +-936 1084 +-5090 1303 +-3496 -2302 +2040 5297 +743 1515 +3232 -2201 +424 2472 +1345 2233 +2587 4754 +2427 2100 +-600 1638 +1861 2780 +4283 928 +-5095 1489 +-3072 0 +-91 -2557 +-2575 -3132 +-3002 3080 +-600 1038 +3784 -517 +3585 5250 +-3505 1318 +-2472 424 +2032 -2228 +77 -4982 +1565 -1784 +-3496 1194 +2208 2932 +428 -1776 +-3000 -1587 +7168 0 +-3000 1587 +428 1776 +2208 -2932 +-3496 -1194 +1565 1784 +77 4982 +2032 2228 +-2472 -424 +-3505 -1318 +3585 -5250 +3784 517 +-600 -1038 +-3002 -3080 +-2575 3132 +-91 2557 +-3072 0 +-5095 -1489 +4283 -928 +1861 -2780 +-600 -1638 +2427 -2100 +2587 -4754 +1345 -2233 +424 -2472 +3232 2201 +743 -1515 +2040 -5297 +-3496 2302 +-5090 -1303 +-936 -1084 +-710 4222 +-2048 0 +1275 820 +4691 2877 +-449 3050 +-2192 110 +2787 6018 +1731 585 +-2790 713 +1024 600 +-1349 -1813 +-7195 -2943 +-1839 -454 +-964 2002 +-743 -5194 +3433 1922 +-126 1497 +-4096 0 +-126 -1497 +3433 -1922 +-743 5194 +-964 -2002 +-1839 454 +-7195 2943 +-1349 1813 +1024 -600 +-2790 -713 +1731 -585 +2787 -6018 +-2192 -110 +-449 -3050 +4691 -2877 +1275 -820 +-2048 0 +-3772 3155 +-4774 -2877 +2207 169 +1592 2786 +1812 607 +4205 -585 +-2115 2464 +1024 3496 +462 1854 +-438 2943 +3032 -489 +-2532 894 +4777 845 +6539 -1922 +-3170 -657 +-4096 0 +-3170 657 +6539 1922 +4777 -845 +-2532 -894 +3032 489 +-438 -2943 +462 -1854 +1024 -3496 +-2115 -2464 +4205 585 +1812 -607 +1592 -2786 +2207 -169 +-4774 2877 +-3772 -3155 +-2048 0 +1275 820 +4691 2877 +-449 3050 +-2192 110 +2787 6018 +1731 585 +-2790 713 +1024 600 +-1349 -1813 +-7195 -2943 +-1839 -454 +-964 2002 +-743 -5194 +3433 1922 +-126 1497 +2048 -3072 +1474 4700 +-1834 278 +1698 870 +562 -678 +-4054 -1388 +1199 -1642 +2102 -501 +2596 2772 +7253 -5814 +-84 -5232 +-2443 3326 +1560 4912 +-4772 902 +-3044 -1613 +3397 -1055 +3072 0 +3397 1055 +-3044 1613 +-4772 -902 +1560 -4912 +-2443 -3326 +-84 5232 +7253 5814 +2596 -2772 +2102 501 +1199 1642 +-4054 1388 +562 678 +1698 -870 +-1834 -278 +1474 -4700 +2048 3072 +372 -2580 +2277 -3293 +3017 4412 +886 -2570 +-395 -644 +1033 -3590 +-1428 1035 +-4644 1324 +-567 -1532 +748 2896 +-2684 304 +-3008 4128 +-1953 1851 +-297 1494 +-1017 3486 +-3072 0 +-1017 -3486 +-297 -1494 +-1953 -1851 +-3008 -4128 +-2684 -304 +748 -2896 +-567 1532 +-4644 -1324 +-1428 -1035 +1033 3590 +-395 644 +886 2570 +3017 -4412 +2277 3293 +372 2580 +2048 -3072 +1474 4700 +-1834 278 +1698 870 +562 -678 +-4054 -1388 +1199 -1642 +2102 -501 +2596 2772 +7253 -5814 +-84 -5232 +-2443 3326 +1560 4912 +-4772 902 +-3044 -1613 +3397 -1055 +-1024 -2048 +-1586 4118 +2959 1329 +5430 2458 +254 2472 +-6386 -5172 +732 -418 +-1067 -2707 +-6392 -1448 +2141 -144 +3198 -1161 +642 3862 +-3086 424 +-2808 1794 +494 845 +124 -122 +1024 0 +124 122 +494 -845 +-2808 -1794 +-3086 -424 +642 -3862 +3198 1161 +2141 144 +-6392 1448 +-1067 2707 +732 418 +-6386 5172 +254 -2472 +5430 -2458 +2959 -1329 +-1586 -4118 +-1024 2048 +-1635 -36 +-2463 -2556 +582 4666 +-854 2472 +-1422 -1963 +-3591 758 +648 -2842 +2296 1448 +-2372 1956 +861 -1395 +-1970 -1421 +-410 424 +5932 3321 +6002 -4969 +3747 -20 +1024 0 +3747 20 +6002 4969 +5932 -3321 +-410 -424 +-1970 1421 +861 1395 +-2372 -1956 +2296 -1448 +648 2842 +-3591 -758 +-1422 1963 +-854 -2472 +582 -4666 +-2463 2556 +-1635 36 +-1024 -2048 +-1586 4118 +2959 1329 +5430 2458 +254 2472 +-6386 -5172 +732 -418 +-1067 -2707 +-6392 -1448 +2141 -144 +3198 -1161 +642 3862 +-3086 424 +-2808 1794 +494 845 +124 -122 +-3072 4096 +-1333 574 +-1131 330 +-3209 -654 +314 1324 +-161 6408 +2185 2233 +163 -1196 +-5368 1024 +-1097 -5841 +2237 130 +2874 2185 +-470 -2772 +289 7223 +899 1868 +1164 -124 +5120 0 +1164 124 +899 -1868 +289 -7223 +-470 2772 +2874 -2185 +2237 -130 +-1097 5841 +-5368 -1024 +163 1196 +2185 -2233 +-161 -6408 +314 -1324 +-3209 654 +-1131 -330 +-1333 -574 +-3072 -4096 +-2922 2229 +-825 1562 +839 -4706 +-2362 1324 +1300 -1104 +83 -3016 +-1157 -142 +3320 1024 +4308 -3506 +5383 -914 +1340 2678 +-1578 -2772 +-3271 -1438 +-639 24 +874 6502 +-3072 0 +874 -6502 +-639 -24 +-3271 1438 +-1578 2772 +1340 -2678 +5383 914 +4308 3506 +3320 -1024 +-1157 142 +83 3016 +1300 1104 +-2362 -1324 +839 4706 +-825 -1562 +-2922 -2229 +-3072 4096 +-1333 574 +-1131 330 +-3209 -654 +314 1324 +-161 6408 +2185 2233 +163 -1196 +-5368 1024 +-1097 -5841 +2237 130 +2874 2185 +-470 -2772 +289 7223 +899 1868 +1164 -124 +1024 2048 +1125 -460 +-6057 1928 +341 -6276 +-254 -3360 +336 -2162 +575 1007 +-4840 -1621 +-1448 -6392 +2762 374 +2486 696 +-464 -815 +3086 905 +2632 622 +-2976 -1529 +46 2973 +3072 0 +46 -2973 +-2976 1529 +2632 -622 +3086 -905 +-464 815 +2486 -696 +2762 -374 +-1448 6392 +-4840 1621 +575 -1007 +336 2162 +-254 3360 +341 6276 +-6057 -1928 +1125 460 +1024 -2048 +-986 -5331 +2237 -480 +-4602 -1230 +854 4208 +1415 -351 +-5743 442 +-3201 3898 +1448 2296 +3711 3030 +1482 -2144 +2497 -1489 +410 4040 +-2155 3666 +-196 80 +1383 3948 +3072 0 +1383 -3948 +-196 -80 +-2155 -3666 +410 -4040 +2497 1489 +1482 2144 +3711 -3030 +1448 -2296 +-3201 -3898 +-5743 -442 +1415 351 +854 -4208 +-4602 1230 +2237 480 +-986 5331 +1024 2048 +1125 -460 +-6057 1928 +341 -6276 +-254 -3360 +336 -2162 +575 1007 +-4840 -1621 +-1448 -6392 +2762 374 +2486 696 +-464 -815 +3086 905 +2632 622 +-2976 -1529 +46 2973 +1024 -7168 +1284 2106 +28 1303 +-416 -3244 +-1656 -2140 +-2047 -819 +2737 1107 +2902 2202 +-1748 2348 +-2471 -1204 +2579 2778 +-1863 442 +-1102 1246 +612 3729 +2914 -6493 +7231 430 +4096 0 +7231 -430 +2914 6493 +612 -3729 +-1102 -1246 +-1863 -442 +2579 -2778 +-2471 1204 +-1748 -2348 +2902 -2202 +2737 -1107 +-2047 819 +-1656 2140 +-416 3244 +28 -1303 +1284 -2106 +1024 7168 +756 -265 +-3212 -2375 +1863 3514 +-2440 -1356 +-5701 -3672 +-809 -2032 +-2845 3813 +-300 3796 +-690 539 +2485 -2503 +-204 -6262 +-2994 -646 +1965 1005 +1470 -1572 +-374 523 +-2048 0 +-374 -523 +1470 1572 +1965 -1005 +-2994 646 +-204 6262 +2485 2503 +-690 -539 +-300 -3796 +-2845 -3813 +-809 2032 +-5701 3672 +-2440 1356 +1863 -3514 +-3212 2375 +756 265 +1024 -7168 +1284 2106 +28 1303 +-416 -3244 +-1656 -2140 +-2047 -819 +2737 1107 +2902 2202 +-1748 2348 +-2471 -1204 +2579 2778 +-1863 442 +-1102 1246 +612 3729 +2914 -6493 +7231 430 +3072 1024 +-2367 -337 +-4705 2642 +-5399 -4995 +1670 162 +-1444 -1354 +-1371 -812 +4757 2675 +4220 -2172 +5134 -3883 +-255 -2648 +-3004 1962 +-1116 2284 +764 -2040 +2616 -4071 +1894 2384 +2048 0 +1894 -2384 +2616 4071 +764 2040 +-1116 -2284 +-3004 -1962 +-255 2648 +5134 3883 +4220 2172 +4757 -2675 +-1371 812 +-1444 1354 +1670 -162 +-5399 4995 +-4705 -2642 +-2367 337 +3072 -1024 +973 -1235 +-3699 4519 +2313 -4378 +-222 -162 +2998 1171 +5220 -3691 +199 -1962 +-124 2172 +-1842 -516 +-1897 -3552 +-3663 310 +-332 -2284 +1643 915 +-4101 1343 +-2957 -3276 +0 0 +-2957 3276 +-4101 -1343 +1643 -915 +-332 2284 +-3663 -310 +-1897 3552 +-1842 516 +-124 -2172 +199 1962 +5220 3691 +2998 -1171 +-222 162 +2313 4378 +-3699 -4519 +973 1235 +3072 1024 +-2367 -337 +-4705 2642 +-5399 -4995 +1670 162 +-1444 -1354 +-1371 -812 +4757 2675 +4220 -2172 +5134 -3883 +-255 -2648 +-3004 1962 +-1116 2284 +764 -2040 +2616 -4071 +1894 2384 +-1024 1024 +-6217 1997 +-808 -2739 +3206 -163 +-1670 282 +-1169 -983 +248 -1226 +-4272 1390 +-4220 4820 +-2083 2384 +-1845 705 +1513 -4685 +1116 -2948 +-1771 -2352 +1868 -2844 +2681 4445 +0 0 +2681 -4445 +1868 2844 +-1771 2352 +1116 2948 +1513 4685 +-1845 -705 +-2083 -2384 +-4220 -4820 +-4272 -1390 +248 1226 +-1169 983 +-1670 -282 +3206 163 +-808 2739 +-6217 -1997 +-1024 -1024 +1223 -5968 +-1712 2111 +-315 6168 +222 -3178 +-624 4636 +2124 -1931 +2730 -621 +124 3372 +729 -78 +3569 234 +3176 -5261 +332 52 +1776 551 +4748 -1880 +-584 -1086 +-6144 0 +-584 1086 +4748 1880 +1776 -551 +332 -52 +3176 5261 +3569 -234 +729 78 +124 -3372 +2730 621 +2124 1931 +-624 -4636 +222 3178 +-315 -6168 +-1712 -2111 +1223 5968 +-1024 1024 +-6217 1997 +-808 -2739 +3206 -163 +-1670 282 +-1169 -983 +248 -1226 +-4272 1390 +-4220 4820 +-2083 2384 +-1845 705 +1513 -4685 +1116 -2948 +-1771 -2352 +1868 -2844 +2681 4445 +1024 0 +3307 3051 +-4110 -2169 +-1608 -1732 +-214 484 +3291 -1258 +1066 350 +-2337 -1818 +424 -3920 +-2092 290 +3966 4545 +-1896 1844 +2566 -144 +7999 1476 +-3597 111 +-2191 166 +1024 0 +-2191 -166 +-3597 -111 +7999 -1476 +2566 144 +-1896 -1844 +3966 -4545 +-2092 -290 +424 3920 +-2337 1818 +1066 -350 +3291 1258 +-214 -484 +-1608 1732 +-4110 2169 +3307 -3051 +1024 0 +-366 -1214 +2442 -1171 +2707 1410 +3111 -1084 +3764 4222 +2170 -1014 +-4367 -5557 +-2472 1872 +-782 -2002 +1486 -2313 +-934 808 +-5462 3640 +-1737 6082 +-3424 -555 +-2758 -857 +1024 0 +-2758 857 +-3424 555 +-1737 -6082 +-5462 -3640 +-934 -808 +1486 2313 +-782 2002 +-2472 -1872 +-4367 5557 +2170 1014 +3764 -4222 +3111 1084 +2707 -1410 +2442 1171 +-366 1214 +1024 0 +3307 3051 +-4110 -2169 +-1608 -1732 +-214 484 +3291 -1258 +1066 350 +-2337 -1818 +424 -3920 +-2092 290 +3966 4545 +-1896 1844 +2566 -144 +7999 1476 +-3597 111 +-2191 166 +0 3072 +3837 -1094 +399 -296 +-1620 52 +-3418 1466 +-1168 -2795 +-1363 -1948 +-2637 3995 +5668 -6692 +637 -2230 +-1399 2552 +-1139 -1122 +816 2648 +4646 131 +-1225 1556 +-360 1415 +3072 0 +-360 -1415 +-1225 -1556 +4646 -131 +816 -2648 +-1139 1122 +-1399 -2552 +637 2230 +5668 6692 +-2637 -3995 +-1363 1948 +-1168 2795 +-3418 -1466 +-1620 -52 +399 296 +3837 1094 +0 -3072 +-568 3869 +-4523 5684 +-5032 1272 +-1526 4926 +1604 511 +3919 565 +-521 5207 +-1572 548 +1633 -4697 +1739 -2736 +-3760 -612 +32 -352 +677 -656 +2453 -3160 +3772 -784 +-3072 0 +3772 784 +2453 3160 +677 656 +32 352 +-3760 612 +1739 2736 +1633 4697 +-1572 -548 +-521 -5207 +3919 -565 +1604 -511 +-1526 -4926 +-5032 -1272 +-4523 -5684 +-568 -3869 +0 3072 +3837 -1094 +399 -296 +-1620 52 +-3418 1466 +-1168 -2795 +-1363 -1948 +-2637 3995 +5668 -6692 +637 -2230 +-1399 2552 +-1139 -1122 +816 2648 +4646 131 +-1225 1556 +-360 1415 +-3072 1024 +1318 -362 +4654 2759 +-722 1222 +1030 2595 +364 -591 +2345 3234 +1566 -720 +1148 -2348 +797 2386 +-2405 -5100 +293 -5584 +-4092 2188 +-1204 2725 +-448 -2338 +-940 2840 +6144 0 +-940 -2840 +-448 2338 +-1204 -2725 +-4092 -2188 +293 5584 +-2405 5100 +797 -2386 +1148 2348 +1566 720 +2345 -3234 +364 591 +1030 -2595 +-722 -1222 +4654 -2759 +1318 362 +-3072 -1024 +-15 -4184 +-1498 2029 +1461 822 +-3078 53 +-4075 -1403 +2378 -1122 +-695 -1204 +-3196 -3796 +-1668 -2534 +1777 1420 +3419 -5338 +2044 -7732 +466 1977 +1388 1334 +-363 5976 +-4096 0 +-363 -5976 +1388 -1334 +466 -1977 +2044 7732 +3419 5338 +1777 -1420 +-1668 2534 +-3196 3796 +-695 1204 +2378 1122 +-4075 1403 +-3078 -53 +1461 -822 +-1498 -2029 +-15 4184 +-3072 1024 +1318 -362 +4654 2759 +-722 1222 +1030 2595 +364 -591 +2345 3234 +1566 -720 +1148 -2348 +797 2386 +-2405 -5100 +293 -5584 +-4092 2188 +-1204 2725 +-448 -2338 +-940 2840 +2048 3072 +-3227 3917 +1571 -1582 +-1441 -3004 +-5610 -1030 +3312 -364 +-1650 3670 +-1856 5950 +2596 5420 +769 -2302 +1046 -908 +3351 1427 +-148 -4092 +2326 -155 +2208 489 +-782 -1188 +3072 0 +-782 1188 +2208 -489 +2326 155 +-148 4092 +3351 -1427 +1046 908 +769 2302 +2596 -5420 +-1856 -5950 +-1650 -3670 +3312 364 +-5610 1030 +-1441 3004 +1571 1582 +-3227 -3917 +2048 -3072 +-1816 -1536 +2709 2091 +2505 1010 +66 3078 +929 3440 +358 -2849 +3437 3777 +-4644 6868 +-1463 -2 +1446 -5264 +-3127 -1896 +-2500 2044 +-2061 407 +505 1219 +-856 -2670 +-3072 0 +-856 2670 +505 -1219 +-2061 -407 +-2500 -2044 +-3127 1896 +1446 5264 +-1463 2 +-4644 -6868 +3437 -3777 +358 2849 +929 -3440 +66 -3078 +2505 -1010 +2709 -2091 +-1816 1536 +2048 3072 +-3227 3917 +1571 -1582 +-1441 -3004 +-5610 -1030 +3312 -364 +-1650 3670 +-1856 5950 +2596 5420 +769 -2302 +1046 -908 +3351 1427 +-148 -4092 +2326 -155 +2208 489 +-782 -1188 +-2048 2048 +1475 -1483 +-5370 -539 +-757 -3536 +2702 -3484 +-4866 -3589 +-1228 18 +1607 -1293 +-2048 -2472 +3204 -790 +3669 4724 +-1455 6570 +2806 -3316 +-370 -1100 +-1543 2839 +2112 3206 +0 0 +2112 -3206 +-1543 -2839 +-370 1100 +2806 3316 +-1455 -6570 +3669 -4724 +3204 790 +-2048 2472 +1607 1293 +-1228 -18 +-4866 3589 +2702 3484 +-757 3536 +-5370 539 +1475 1483 +-2048 -2048 +-2653 -1132 +138 5707 +2245 3938 +2243 4084 +-3723 638 +1109 -3189 +-607 -4807 +-2048 424 +260 409 +-654 -903 +3364 3473 +-3654 -181 +-231 511 +3879 1129 +396 2297 +4096 0 +396 -2297 +3879 -1129 +-231 -511 +-3654 181 +3364 -3473 +-654 903 +260 -409 +-2048 -424 +-607 4807 +1109 3189 +-3723 -638 +2243 -4084 +2245 -3938 +138 -5707 +-2653 1132 +-2048 2048 +1475 -1483 +-5370 -539 +-757 -3536 +2702 -3484 +-4866 -3589 +-1228 18 +1607 -1293 +-2048 -2472 +3204 -790 +3669 4724 +-1455 6570 +2806 -3316 +-370 -1100 +-1543 2839 +2112 3206 +3072 2048 +-1071 336 +-3981 -492 +-47 632 +2108 -1494 +-5659 -650 +-167 -3512 +2777 653 +-4944 -848 +-5211 4922 +-3096 6065 +3462 -1087 +4664 3386 +-2475 -1527 +-798 1137 +81 2484 +-3072 0 +81 -2484 +-798 -1137 +-2475 1527 +4664 -3386 +3462 1087 +-3096 -6065 +-5211 -4922 +-4944 848 +2777 -653 +-167 3512 +-5659 650 +2108 1494 +-47 -632 +-3981 492 +-1071 -336 +3072 -2048 +3423 373 +-3315 -1464 +475 -55 +540 -2602 +-1204 505 +6691 1244 +1550 1610 +848 4944 +3780 238 +-1732 1555 +504 3838 +880 710 +-849 -792 +-1795 -1397 +463 -4671 +5120 0 +463 4671 +-1795 1397 +-849 792 +880 -710 +504 -3838 +-1732 -1555 +3780 -238 +848 -4944 +1550 -1610 +6691 -1244 +-1204 -505 +540 2602 +475 55 +-3315 1464 +3423 -373 +3072 2048 +-1071 336 +-3981 -492 +-47 632 +2108 -1494 +-5659 -650 +-167 -3512 +2777 653 +-4944 -848 +-5211 4922 +-3096 6065 +3462 -1087 +4664 3386 +-2475 -1527 +-798 1137 +81 2484 +-2048 0 +-560 -1039 +-7744 -5218 +-1162 -4525 +5448 -470 +-399 3596 +1166 -2341 +-1178 1350 +1024 -600 +3113 -1314 +409 -490 +6266 -1541 +2432 2362 +-2538 -3943 +-202 -164 +-2366 261 +-4096 0 +-2366 -261 +-202 164 +-2538 3943 +2432 -2362 +6266 1541 +409 490 +3113 1314 +1024 600 +-1178 -1350 +1166 2341 +-399 -3596 +5448 470 +-1162 4525 +-7744 5218 +-560 1039 +-2048 0 +978 1245 +-321 -3171 +-5819 1257 +96 -1578 +-1331 482 +-1441 7184 +3422 2185 +1024 -3496 +-1811 385 +-1333 4133 +1703 -1061 +216 -314 +-2514 -212 +1274 -1233 +4195 1274 +4096 0 +4195 -1274 +1274 1233 +-2514 212 +216 314 +1703 1061 +-1333 -4133 +-1811 -385 +1024 3496 +3422 -2185 +-1441 -7184 +-1331 -482 +96 1578 +-5819 -1257 +-321 3171 +978 -1245 +-2048 0 +-560 -1039 +-7744 -5218 +-1162 -4525 +5448 -470 +-399 3596 +1166 -2341 +-1178 1350 +1024 -600 +3113 -1314 +409 -490 +6266 -1541 +2432 2362 +-2538 -3943 +-202 -164 +-2366 261 +-1024 2048 +2078 3323 +-1152 -352 +2133 -913 +-1278 -1384 +-1131 1503 +-103 1109 +-2600 1135 +4096 600 +-5769 2852 +-5614 5432 +5292 4481 +2062 5388 +-2969 -696 +-586 -6417 +3732 -3820 +3072 0 +3732 3820 +-586 6417 +-2969 696 +2062 -5388 +5292 -4481 +-5614 -5432 +-5769 -2852 +4096 -600 +-2600 -1135 +-103 -1109 +-1131 -1503 +-1278 1384 +2133 913 +-1152 352 +2078 -3323 +-1024 -2048 +-1250 1641 +997 -5168 +-656 -880 +-170 184 +1984 -2786 +-1161 -22 +485 134 +4096 3496 +-364 -904 +2782 -249 +-1032 2484 +-614 1604 +2171 1359 +-3355 -3199 +-2105 3672 +-1024 0 +-2105 -3672 +-3355 3199 +2171 -1359 +-614 -1604 +-1032 -2484 +2782 249 +-364 904 +4096 -3496 +485 -134 +-1161 22 +1984 2786 +-170 -184 +-656 880 +997 5168 +-1250 -1641 +-1024 2048 +2078 3323 +-1152 -352 +2133 -913 +-1278 -1384 +-1131 1503 +-103 1109 +-2600 1135 +4096 600 +-5769 2852 +-5614 5432 +5292 4481 +2062 5388 +-2969 -696 +-586 -6417 +3732 -3820 +-1024 0 +-1775 -1894 +2319 1715 +-1598 4864 +540 -554 +-2230 3229 +-456 1515 +1284 -6347 +-1448 -1448 +761 1398 +-3689 -499 +2987 -193 +880 -1338 +1740 28 +805 2047 +-6316 893 +-1024 0 +-6316 -893 +805 -2047 +1740 -28 +880 1338 +2987 193 +-3689 499 +761 -1398 +-1448 1448 +1284 6347 +-456 -1515 +-2230 -3229 +540 554 +-1598 -4864 +2319 -1715 +-1775 1894 +-1024 0 +525 2234 +-3363 -867 +-89 -740 +2108 554 +-5192 -2001 +-5272 3430 +4691 3790 +1448 1448 +-4281 1159 +-471 -4445 +5115 -1034 +4664 1338 +5060 -4152 +1935 -2896 +-682 -1233 +3072 0 +-682 1233 +1935 2896 +5060 4152 +4664 -1338 +5115 1034 +-471 4445 +-4281 -1159 +1448 -1448 +4691 -3790 +-5272 -3430 +-5192 2001 +2108 -554 +-89 740 +-3363 867 +525 -2234 +-1024 0 +-1775 -1894 +2319 1715 +-1598 4864 +540 -554 +-2230 3229 +-456 1515 +1284 -6347 +-1448 -1448 +761 1398 +-3689 -499 +2987 -193 +880 -1338 +1740 28 +805 2047 +-6316 893 +0 -1024 +1696 1049 +-4117 1375 +3259 2801 +-1814 1430 +-1937 -360 +3089 268 +-3794 -2868 +2772 300 +-553 6227 +-2179 563 +679 -2473 +2200 248 +1678 -2389 +-1764 -1693 +1821 2739 +3072 0 +1821 -2739 +-1764 1693 +1678 2389 +2200 -248 +679 2473 +-2179 -563 +-553 -6227 +2772 -300 +-3794 2868 +3089 -268 +-1937 360 +-1814 -1430 +3259 -2801 +-4117 -1375 +1696 -1049 +0 1024 +-1413 -712 +-6299 -3607 +-5316 -1933 +3862 -2030 +1719 -1723 +2312 -3609 +-506 -4996 +1324 1748 +1069 838 +-4422 -1007 +-2028 6831 +-152 3248 +1947 -1886 +5187 2357 +1681 941 +-3072 0 +1681 -941 +5187 -2357 +1947 1886 +-152 -3248 +-2028 -6831 +-4422 1007 +1069 -838 +1324 -1748 +-506 4996 +2312 3609 +1719 1723 +3862 2030 +-5316 1933 +-6299 3607 +-1413 712 +0 -1024 +1696 1049 +-4117 1375 +3259 2801 +-1814 1430 +-1937 -360 +3089 268 +-3794 -2868 +2772 300 +-553 6227 +-2179 563 +679 -2473 +2200 248 +1678 -2389 +-1764 -1693 +1821 2739 +3072 2048 +1450 -1896 +-5496 3509 +503 3091 +4834 -484 +-2107 -4173 +-432 -4610 +3367 -636 +3320 424 +26 2668 +919 -4693 +-338 -1652 +1154 144 +-336 -4138 +-225 6188 +2550 735 +-1024 0 +2550 -735 +-225 -6188 +-336 4138 +1154 -144 +-338 1652 +919 4693 +26 -2668 +3320 -424 +3367 636 +-432 4610 +-2107 4173 +4834 484 +503 -3091 +-5496 -3509 +1450 1896 +3072 -2048 +2998 1053 +-1784 3876 +1509 -1997 +2158 1084 +-2801 1806 +-1735 -3913 +-4919 -4713 +-5368 -2472 +-1578 -1336 +48 3162 +-4570 614 +46 -3640 +2347 768 +513 -3 +1899 -691 +-1024 0 +1899 691 +513 3 +2347 -768 +46 3640 +-4570 -614 +48 -3162 +-1578 1336 +-5368 2472 +-4919 4713 +-1735 3913 +-2801 -1806 +2158 -1084 +1509 1997 +-1784 -3876 +2998 -1053 +3072 2048 +1450 -1896 +-5496 3509 +503 3091 +4834 -484 +-2107 -4173 +-432 -4610 +3367 -636 +3320 424 +26 2668 +919 -4693 +-338 -1652 +1154 144 +-336 -4138 +-225 6188 +2550 735 +-2048 0 +-5161 661 +-322 1091 +-4356 240 +-2806 1748 +2199 -2557 +788 -1940 +-1142 141 +2048 -3072 +1721 2459 +4440 4397 +2487 1845 +-2243 -300 +1843 -3994 +4323 -1917 +2227 -849 +0 0 +2227 849 +4323 1917 +1843 3994 +-2243 300 +2487 -1845 +4440 -4397 +1721 -2459 +2048 3072 +-1142 -141 +788 1940 +2199 2557 +-2806 -1748 +-4356 -240 +-322 -1091 +-5161 -661 +-2048 0 +46 -812 +-7806 292 +3480 2566 +3654 1748 +3316 -5268 +1989 336 +-4063 5591 +2048 -3072 +-979 -1711 +1472 991 +-1321 -1111 +-2702 -300 +-1855 1376 +-4883 2101 +1558 -1887 +4096 0 +1558 1887 +-4883 -2101 +-1855 -1376 +-2702 300 +-1321 1111 +1472 -991 +-979 1711 +2048 3072 +-4063 -5591 +1989 -336 +3316 5268 +3654 -1748 +3480 -2566 +-7806 -292 +46 812 +-2048 0 +-5161 661 +-322 1091 +-4356 240 +-2806 1748 +2199 -2557 +788 -1940 +-1142 141 +2048 -3072 +1721 2459 +4440 4397 +2487 1845 +-2243 -300 +1843 -3994 +4323 -1917 +2227 -849 +3072 3072 +2453 680 +-4928 2496 +-266 -1771 +776 -1684 +-2009 -1952 +-2635 4769 +1374 229 +1324 724 +-2091 3313 +-1233 -5829 +-5215 -780 +-1006 -3334 +3041 -1969 +538 933 +778 -9235 +0 0 +778 9235 +538 -933 +3041 1969 +-1006 3334 +-5215 780 +-1233 5829 +-2091 -3313 +1324 -724 +1374 -229 +-2635 -4769 +-2009 1952 +776 1684 +-266 1771 +-4928 -2496 +2453 -680 +3072 -3072 +-3043 -5219 +-1688 1028 +-2248 505 +-2224 2884 +-2259 575 +911 1284 +937 991 +2772 -724 +5812 802 +-1139 1993 +-982 2300 +2454 -3658 +4144 -2193 +1982 894 +-426 1800 +2048 0 +-426 -1800 +1982 -894 +4144 2193 +2454 3658 +-982 -2300 +-1139 -1993 +5812 -802 +2772 724 +937 -991 +911 -1284 +-2259 -575 +-2224 -2884 +-2248 -505 +-1688 -1028 +-3043 5219 +3072 3072 +2453 680 +-4928 2496 +-266 -1771 +776 -1684 +-2009 -1952 +-2635 4769 +1374 229 +1324 724 +-2091 3313 +-1233 -5829 +-5215 -780 +-1006 -3334 +3041 -1969 +538 933 +778 -9235 +-1024 1024 +2211 3890 +452 90 +-877 1504 +2634 4621 +1191 453 +-938 2017 +2663 -1920 +4644 -300 +184 -2308 +-2707 1695 +-3890 -466 +-2708 -8057 +1657 6471 +-1440 3036 +-2053 -435 +2048 0 +-2053 435 +-1440 -3036 +1657 -6471 +-2708 8057 +-3890 466 +-2707 -1695 +184 2308 +4644 300 +2663 1920 +-938 -2017 +1191 -453 +2634 -4621 +-877 -1504 +452 -90 +2211 -3890 +-1024 -1024 +1245 -1914 +-452 -1354 +-2915 -1956 +2310 -1973 +-108 29 +938 1923 +3258 -578 +-2596 -1748 +2144 -1207 +2707 -1851 +-2307 -693 +1860 2512 +1456 5421 +1440 -204 +-3859 -1006 +-12288 0 +-3859 1006 +1440 204 +1456 -5421 +1860 -2512 +-2307 693 +2707 1851 +2144 1207 +-2596 1748 +3258 578 +938 -1923 +-108 -29 +2310 1973 +-2915 1956 +-452 1354 +1245 1914 +-1024 1024 +2211 3890 +452 90 +-877 1504 +2634 4621 +1191 453 +-938 2017 +2663 -1920 +4644 -300 +184 -2308 +-2707 1695 +-3890 -466 +-2708 -8057 +1657 6471 +-1440 3036 +-2053 -435 +0 -2048 +6868 -31 +733 1647 +-3569 -3497 +-4024 3021 +-312 -2776 +967 -1802 +-3975 2115 +-4944 1024 +328 2431 +105 1439 +-3030 1312 +2436 -7691 +-1383 -2167 +-2086 6284 +456 -1371 +-4096 0 +456 1371 +-2086 -6284 +-1383 2167 +2436 7691 +-3030 -1312 +105 -1439 +328 -2431 +-4944 -1024 +-3975 -2115 +967 1802 +-312 2776 +-4024 -3021 +-3569 3497 +733 -1647 +6868 31 +0 2048 +328 -1020 +6547 1473 +2021 -4115 +1976 -1222 +-527 1222 +1201 678 +3370 108 +848 1024 +2285 1359 +-1072 334 +-3491 919 +-4484 -2798 +-1294 -1661 +1798 -268 +1924 1888 +4096 0 +1924 -1888 +1798 268 +-1294 1661 +-4484 2798 +-3491 -919 +-1072 -334 +2285 -1359 +848 -1024 +3370 -108 +1201 -678 +-527 -1222 +1976 1222 +2021 4115 +6547 -1473 +328 1020 +0 -2048 +6868 -31 +733 1647 +-3569 -3497 +-4024 3021 +-312 -2776 +967 -1802 +-3975 2115 +-4944 1024 +328 2431 +105 1439 +-3030 1312 +2436 -7691 +-1383 -2167 +-2086 6284 +456 -1371 +-1024 1024 +4028 -396 +1134 -6760 +-447 -4161 +1186 2898 +-6096 -2664 +-605 -5233 +3148 -3409 +-1748 900 +-354 -242 +-2208 -728 +-3532 -1019 +-1260 -1441 +5444 2712 +1989 -3553 +-1325 177 +4096 0 +-1325 -177 +1989 3553 +5444 -2712 +-1260 1441 +-3532 1019 +-2208 728 +-354 242 +-1748 -900 +3148 3409 +-605 5233 +-6096 2664 +1186 -2898 +-447 4161 +1134 6760 +4028 396 +-1024 -1024 +-1872 1926 +-1134 2672 +-1234 -1361 +862 -4346 +1663 -2223 +605 -924 +3273 -3663 +-300 5244 +-37 3297 +2208 -1332 +-2500 2501 +3308 -8 +909 535 +-1989 -4630 +-1070 -2505 +-6144 0 +-1070 2505 +-1989 4630 +909 -535 +3308 8 +-2500 -2501 +2208 1332 +-37 -3297 +-300 -5244 +3273 3663 +605 924 +1663 2223 +862 4346 +-1234 1361 +-1134 -2672 +-1872 -1926 +-1024 1024 +4028 -396 +1134 -6760 +-447 -4161 +1186 2898 +-6096 -2664 +-605 -5233 +3148 -3409 +-1748 900 +-354 -242 +-2208 -728 +-3532 -1019 +-1260 -1441 +5444 2712 +1989 -3553 +-1325 177 +1024 1024 +120 300 +-253 -2156 +2238 -696 +318 2474 +3388 3176 +-128 1311 +-926 1514 +2596 1748 +80 1911 +-2470 91 +1003 -8541 +548 -3913 +315 302 +1473 -3310 +-2540 5539 +-4096 0 +-2540 -5539 +1473 3310 +315 -302 +548 3913 +1003 8541 +-2470 -91 +80 -1911 +2596 -1748 +-926 -1514 +-128 -1311 +3388 -3176 +318 -2474 +2238 696 +-253 2156 +120 -300 +1024 -1024 +-2661 -1743 +-347 1084 +-3850 2369 +3778 -4770 +2250 -3988 +-3368 -2235 +-2648 -2799 +-4644 300 +4381 -92 +-1026 184 +-2177 -2087 +3548 -2480 +2625 -2652 +-2073 -4754 +-1599 1916 +6144 0 +-1599 -1916 +-2073 4754 +2625 2652 +3548 2480 +-2177 2087 +-1026 -184 +4381 92 +-4644 -300 +-2648 2799 +-3368 2235 +2250 3988 +3778 4770 +-3850 -2369 +-347 -1084 +-2661 1743 +1024 1024 +120 300 +-253 -2156 +2238 -696 +318 2474 +3388 3176 +-128 1311 +-926 1514 +2596 1748 +80 1911 +-2470 91 +1003 -8541 +548 -3913 +315 302 +1473 -3310 +-2540 5539 +-1024 0 +-2307 -1890 +-2591 4826 +1745 -703 +84 6186 +-1785 1971 +3017 -2868 +4903 1856 +2472 -4520 +-386 523 +844 4064 +-836 -711 +-3700 510 +542 3867 +-777 357 +-55 -4097 +5120 0 +-55 4097 +-777 -357 +542 -3867 +-3700 -510 +-836 711 +844 -4064 +-386 -523 +2472 4520 +4903 -1856 +3017 2868 +-1785 -1971 +84 -6186 +1745 703 +-2591 -4826 +-2307 1890 +-1024 0 +209 -2122 +-1414 2010 +-5796 -2462 +-2132 -1842 +-1510 -1418 +-2797 2932 +525 -1687 +-424 -1624 +3207 -1370 +4728 -5696 +-983 -377 +1652 3835 +2830 5311 +-1011 -3409 +-302 -3332 +1024 0 +-302 3332 +-1011 3409 +2830 -5311 +1652 -3835 +-983 377 +4728 5696 +3207 1370 +-424 1624 +525 1687 +-2797 -2932 +-1510 1418 +-2132 1842 +-5796 2462 +-1414 -2010 +209 2122 +-1024 0 +-2307 -1890 +-2591 4826 +1745 -703 +84 6186 +-1785 1971 +3017 -2868 +4903 1856 +2472 -4520 +-386 523 +844 4064 +-836 -711 +-3700 510 +542 3867 +-777 357 +-55 -4097 +1024 4096 +81 942 +1178 402 +-4652 418 +495 260 +3256 -3201 +141 8 +1345 -459 +3496 -848 +599 -3132 +-3709 -2378 +-1229 374 +-3954 -2268 +-4980 945 +-3974 -1396 +247 965 +7168 0 +247 -965 +-3974 1396 +-4980 -945 +-3954 2268 +-1229 -374 +-3709 2378 +599 3132 +3496 848 +1345 459 +141 -8 +3256 3201 +495 -260 +-4652 -418 +1178 -402 +81 -942 +1024 -4096 +2031 -1652 +-4010 5651 +-2457 -995 +954 -1957 +4494 3346 +-297 -1835 +-4343 -1805 +600 4944 +2161 -2027 +-231 -1146 +-1849 -3125 +2506 -7620 +1623 1374 +2710 -2440 +3673 1221 +-1024 0 +3673 -1221 +2710 2440 +1623 -1374 +2506 7620 +-1849 3125 +-231 1146 +2161 2027 +600 -4944 +-4343 1805 +-297 1835 +4494 -3346 +954 1957 +-2457 995 +-4010 -5651 +2031 1652 +1024 4096 +81 942 +1178 402 +-4652 418 +495 260 +3256 -3201 +141 8 +1345 -459 +3496 -848 +599 -3132 +-3709 -2378 +-1229 374 +-3954 -2268 +-4980 945 +-3974 -1396 +247 965 +0 -1024 +2381 -1902 +227 4062 +-2464 185 +92 2165 +-1695 -1302 +-1331 -646 +-1542 3903 +-3196 -124 +-320 -2199 +4360 -2732 +1712 3827 +-802 5070 +4226 -151 +-1863 670 +373 3407 +11264 0 +373 -3407 +-1863 -670 +4226 151 +-802 -5070 +1712 -3827 +4360 2732 +-320 2199 +-3196 124 +-1542 -3903 +-1331 646 +-1695 1302 +92 -2165 +-2464 -185 +227 -4062 +2381 1902 +0 1024 +3981 -2095 +3233 882 +1755 579 +-692 732 +-4743 -1409 +-1670 1495 +-2402 3110 +1148 4220 +4944 2 +-1360 1883 +-3522 14 +-2694 -2174 +-1062 5068 +-1596 -5615 +-1621 -2629 +1024 0 +-1621 2629 +-1596 5615 +-1062 -5068 +-2694 2174 +-3522 -14 +-1360 -1883 +4944 -2 +1148 -4220 +-2402 -3110 +-1670 -1495 +-4743 1409 +-692 -732 +1755 -579 +3233 -882 +3981 2095 +0 -1024 +2381 -1902 +227 4062 +-2464 185 +92 2165 +-1695 -1302 +-1331 -646 +-1542 3903 +-3196 -124 +-320 -2199 +4360 -2732 +1712 3827 +-802 5070 +4226 -151 +-1863 670 +373 3407 +1024 -2048 +-2286 -1361 +-698 445 +-301 4675 +-2786 -1304 +-2555 -502 +-5688 521 +13 2573 +3320 -2472 +-2512 -2502 +495 -362 +1283 -229 +894 -364 +4540 -6668 +1734 606 +-317 -1491 +1024 0 +-317 1491 +1734 -606 +4540 6668 +894 364 +1283 229 +495 362 +-2512 2502 +3320 2472 +13 -2573 +-5688 -521 +-2555 502 +-2786 1304 +-301 -4675 +-698 -445 +-2286 1361 +1024 2048 +631 7372 +-291 4463 +-1518 3670 +-110 -5088 +-76 -3451 +676 3927 +2074 1176 +-5368 424 +1992 1029 +7413 1914 +-2437 164 +2002 -1932 +1064 -878 +-3641 1405 +404 -1114 +1024 0 +404 1114 +-3641 -1405 +1064 878 +2002 1932 +-2437 -164 +7413 -1914 +1992 -1029 +-5368 -424 +2074 -1176 +676 -3927 +-76 3451 +-110 5088 +-1518 -3670 +-291 -4463 +631 -7372 +1024 -2048 +-2286 -1361 +-698 445 +-301 4675 +-2786 -1304 +-2555 -502 +-5688 521 +13 2573 +3320 -2472 +-2512 -2502 +495 -362 +1283 -229 +894 -364 +4540 -6668 +1734 606 +-317 -1491 +-2048 3072 +894 -912 +1092 1936 +6008 1935 +-452 -2864 +-6489 -1201 +536 -1784 +31 -3549 +1748 -2172 +-2452 1406 +1767 703 +2866 3360 +-3562 522 +2014 -6473 +273 920 +-1772 2084 +1024 0 +-1772 -2084 +273 -920 +2014 6473 +-3562 -522 +2866 -3360 +1767 -703 +-2452 -1406 +1748 2172 +31 3549 +536 1784 +-6489 1201 +-452 2864 +6008 -1935 +1092 -1936 +894 912 +-2048 -3072 +334 -3967 +-3324 -6060 +436 -2828 +1900 -2080 +-3494 -3720 +2804 -773 +2524 -665 +300 2172 +-752 -2355 +-2211 -363 +-2019 5391 +2114 -1370 +678 -525 +-937 -2147 +1193 -7092 +-1024 0 +1193 7092 +-937 2147 +678 525 +2114 1370 +-2019 -5391 +-2211 363 +-752 2355 +300 -2172 +2524 665 +2804 773 +-3494 3720 +1900 2080 +436 2828 +-3324 6060 +334 3967 +-2048 3072 +894 -912 +1092 1936 +6008 1935 +-452 -2864 +-6489 -1201 +536 -1784 +31 -3549 +1748 -2172 +-2452 1406 +1767 703 +2866 3360 +-3562 522 +2014 -6473 +273 920 +-1772 2084 +-7168 -2048 +448 469 +-103 2242 +-5715 1023 +-1363 -1872 +103 -2647 +2078 2585 +-901 1906 +600 600 +1691 -1015 +-2039 226 +-1536 -638 +5762 -3920 +3152 -1762 +464 833 +4455 6374 +3072 0 +4455 -6374 +464 -833 +3152 1762 +5762 3920 +-1536 638 +-2039 -226 +1691 1015 +600 -600 +-901 -1906 +2078 -2585 +103 2647 +-1363 1872 +-5715 -1023 +-103 -2242 +448 -469 +-7168 2048 +2986 -2311 +768 -166 +1811 -2243 +1962 -1872 +-3779 4678 +-1634 2020 +-3088 3677 +3496 3496 +1411 -1962 +-1301 -2614 +748 84 +-2266 -3920 +-577 -4442 +1768 2442 +-1209 -2791 +-5120 0 +-1209 2791 +1768 -2442 +-577 4442 +-2266 3920 +748 -84 +-1301 2614 +1411 1962 +3496 -3496 +-3088 -3677 +-1634 -2020 +-3779 -4678 +1962 1872 +1811 2243 +768 166 +2986 2311 +-7168 -2048 +448 469 +-103 2242 +-5715 1023 +-1363 -1872 +103 -2647 +2078 2585 +-901 1906 +600 600 +1691 -1015 +-2039 226 +-1536 -638 +5762 -3920 +3152 -1762 +464 833 +4455 6374 +3072 -4096 +-1683 5485 +983 -386 +4337 575 +1578 724 +356 -2806 +-2058 647 +143 -750 +1024 1872 +851 7918 +1513 2978 +-864 -1702 +-314 724 +-2269 -1089 +-1600 902 +-386 1986 +-3072 0 +-386 -1986 +-1600 -902 +-2269 1089 +-314 -724 +-864 1702 +1513 -2978 +851 -7918 +1024 -1872 +143 750 +-2058 -647 +356 2806 +1578 -724 +4337 -575 +983 386 +-1683 -5485 +3072 4096 +-921 -1994 +-1684 -4403 +2759 5397 +470 724 +-4132 576 +-1986 -2759 +5246 -2117 +1024 -3920 +-889 -2776 +4227 702 +2423 -86 +2362 724 +-2609 -4083 +-7587 103 +-2362 -2071 +1024 0 +-2362 2071 +-7587 -103 +-2609 4083 +2362 -724 +2423 86 +4227 -702 +-889 2776 +1024 3920 +5246 2117 +-1986 2759 +-4132 -576 +470 -724 +2759 -5397 +-1684 4403 +-921 1994 +3072 -4096 +-1683 5485 +983 -386 +4337 575 +1578 724 +356 -2806 +-2058 647 +143 -750 +1024 1872 +851 7918 +1513 2978 +-864 -1702 +-314 724 +-2269 -1089 +-1600 902 +-386 1986 +0 0 +-7267 -2039 +877 3285 +3280 -964 +1338 2432 +475 2501 +483 -1160 +3293 -4062 +-600 -3072 +-1376 1665 +3375 -2103 +2136 2299 +554 -96 +761 -2544 +499 5160 +-3815 1780 +-8192 0 +-3815 -1780 +499 -5160 +761 2544 +554 96 +2136 -2299 +3375 2103 +-1376 -1665 +-600 3072 +3293 4062 +483 1160 +475 -2501 +1338 -2432 +3280 964 +877 -3285 +-7267 2039 +0 0 +4446 -2123 +-2834 -3441 +15 -4344 +-1338 216 +-3847 -2004 +1786 2425 +-1194 -3043 +-3496 -3072 +1732 -522 +4245 -728 +1915 3310 +-554 -5448 +1057 -3444 +-239 -1219 +-1612 -3486 +0 0 +-1612 3486 +-239 1219 +1057 3444 +-554 5448 +1915 -3310 +4245 728 +1732 522 +-3496 3072 +-1194 3043 +1786 -2425 +-3847 2004 +-1338 -216 +15 4344 +-2834 3441 +4446 2123 +0 0 +-7267 -2039 +877 3285 +3280 -964 +1338 2432 +475 2501 +483 -1160 +3293 -4062 +-600 -3072 +-1376 1665 +3375 -2103 +2136 2299 +554 -96 +761 -2544 +499 5160 +-3815 1780 +-3072 -2048 +-62 -2924 +-925 -839 +537 3017 +968 -3242 +-3922 3551 +-2870 2264 +1852 270 +2472 5968 +2390 1180 +1517 1810 +102 -746 +288 3686 +557 1389 +820 -8395 +60 1467 +-1024 0 +60 -1467 +820 8395 +557 -1389 +288 -3686 +102 746 +1517 -1810 +2390 -1180 +2472 -5968 +1852 -270 +-2870 -2264 +-3922 -3551 +968 3242 +537 -3017 +-925 839 +-62 2924 +-3072 2048 +-2790 251 +-691 -233 +-3334 -870 +-2167 -4350 +417 -1348 +1015 -3189 +3097 2361 +-424 176 +-659 -3013 +7331 -1535 +2074 -3732 +-7280 1010 +-2224 -130 +1997 331 +1904 -2811 +3072 0 +1904 2811 +1997 -331 +-2224 130 +-7280 -1010 +2074 3732 +7331 1535 +-659 3013 +-424 -176 +3097 -2361 +1015 3189 +417 1348 +-2167 4350 +-3334 870 +-691 233 +-2790 -251 +-3072 -2048 +-62 -2924 +-925 -839 +537 3017 +968 -3242 +-3922 3551 +-2870 2264 +1852 270 +2472 5968 +2390 1180 +1517 1810 +102 -746 +288 3686 +557 1389 +820 -8395 +60 1467 +4096 4096 +1346 6274 +-1179 931 +-481 1615 +-170 -699 +2592 2148 +1356 451 +772 4156 +-2472 0 +-4723 649 +-1623 4340 +-264 1356 +-614 5592 +-2146 811 +3643 1109 +4105 243 +-2048 0 +4105 -243 +3643 -1109 +-2146 -811 +-614 -5592 +-264 -1356 +-1623 -4340 +-4723 -649 +-2472 0 +772 -4156 +1356 -451 +2592 -2148 +-170 699 +-481 -1615 +-1179 -931 +1346 -6274 +4096 -4096 +-2694 8487 +735 2973 +4811 1401 +-1278 -1349 +-3469 -1440 +-3587 318 +-1220 -3489 +424 0 +1387 771 +958 -675 +-426 400 +2062 -3544 +-616 -141 +-303 5691 +1027 -4507 +-2048 0 +1027 4507 +-303 -5691 +-616 141 +2062 3544 +-426 -400 +958 675 +1387 -771 +424 0 +-1220 3489 +-3587 -318 +-3469 1440 +-1278 1349 +4811 -1401 +735 -2973 +-2694 -8487 +4096 4096 +1346 6274 +-1179 931 +-481 1615 +-170 -699 +2592 2148 +1356 451 +772 4156 +-2472 0 +-4723 649 +-1623 4340 +-264 1356 +-614 5592 +-2146 811 +3643 1109 +4105 243 +2048 -4096 +-2085 943 +3349 560 +2656 -688 +-350 2976 +-436 -2795 +-2199 -967 +652 535 +848 -3920 +4386 -1538 +425 1219 +-1218 -2315 +2870 -2856 +5007 2570 +2428 1137 +-3757 -1730 +-4096 0 +-3757 1730 +2428 -1137 +5007 -2570 +2870 2856 +-1218 2315 +425 -1219 +4386 1538 +848 3920 +652 -535 +-2199 967 +-436 2795 +-350 -2976 +2656 688 +3349 -560 +-2085 -943 +2048 4096 +-230 1114 +3043 992 +1466 -2843 +-4594 -2376 +2438 -2099 +-97 -3940 +-9623 2189 +-4944 1872 +359 477 +-2721 -3231 +1225 -1013 +-2022 -640 +448 -4533 +3965 3311 +-1287 3 +0 0 +-1287 -3 +3965 -3311 +448 4533 +-2022 640 +1225 1013 +-2721 3231 +359 -477 +-4944 -1872 +-9623 -2189 +-97 3940 +2438 2099 +-4594 2376 +1466 2843 +3043 -992 +-230 -1114 +2048 -4096 +-2085 943 +3349 560 +2656 -688 +-350 2976 +-436 -2795 +-2199 -967 +652 535 +848 -3920 +4386 -1538 +425 1219 +-1218 -2315 +2870 -2856 +5007 2570 +2428 1137 +-3757 -1730 +0 3072 +-1020 4226 +-78 250 +2023 173 +-946 -2270 +-498 213 +3582 -3853 +3459 781 +124 -1748 +-2939 -1754 +973 2911 +1590 -3723 +392 2380 +6529 2962 +1105 -1244 +-5433 -2647 +-3072 0 +-5433 2647 +1105 1244 +6529 -2962 +392 -2380 +1590 3723 +973 -2911 +-2939 1754 +124 1748 +3459 -781 +3582 3853 +-498 -213 +-946 2270 +2023 -173 +-78 -250 +-1020 -4226 +0 -3072 +1919 2088 +3234 -2990 +1395 1229 +946 -378 +78 -1542 +1141 -307 +1213 2184 +-4220 -300 +-4629 -5746 +-1601 2817 +1727 2632 +-392 3164 +-7051 4470 +-165 200 +1637 4289 +-5120 0 +1637 -4289 +-165 -200 +-7051 -4470 +-392 -3164 +1727 -2632 +-1601 -2817 +-4629 5746 +-4220 300 +1213 -2184 +1141 307 +78 1542 +946 378 +1395 -1229 +3234 2990 +1919 -2088 +0 3072 +-1020 4226 +-78 250 +2023 173 +-946 -2270 +-498 213 +3582 -3853 +3459 781 +124 -1748 +-2939 -1754 +973 2911 +1590 -3723 +392 2380 +6529 2962 +1105 -1244 +-5433 -2647 +-1024 1024 +4065 -489 +1477 -1096 +-628 -4260 +-1984 816 +-359 2599 +-2494 3801 +-3685 2180 +724 -724 +-833 1311 +1957 -2852 +-2882 -58 +1586 1526 +2531 -1076 +-2520 2823 +-1621 -1637 +-6144 0 +-1621 1637 +-2520 -2823 +2531 1076 +1586 -1526 +-2882 58 +1957 2852 +-833 -1311 +724 724 +-3685 -2180 +-2494 -3801 +-359 -2599 +-1984 -816 +-628 4260 +1477 1096 +4065 489 +-1024 -1024 +1778 -4150 +-2141 276 +-2712 -270 +2584 32 +-475 -1338 +2050 -2093 +-1914 5752 +-724 724 +5544 -6372 +1384 3361 +-747 9438 +1910 3418 +-520 2266 +288 3349 +2459 -2011 +0 0 +2459 2011 +288 -3349 +-520 -2266 +1910 -3418 +-747 -9438 +1384 -3361 +5544 6372 +-724 -724 +-1914 -5752 +2050 2093 +-475 1338 +2584 -32 +-2712 270 +-2141 -276 +1778 4150 +-1024 1024 +4065 -489 +1477 -1096 +-628 -4260 +-1984 816 +-359 2599 +-2494 3801 +-3685 2180 +724 -724 +-833 1311 +1957 -2852 +-2882 -58 +1586 1526 +2531 -1076 +-2520 2823 +-1621 -1637 +-5120 0 +-1193 -6091 +535 -2438 +1916 -2375 +3340 1638 +-624 -647 +-1411 -3188 +-2150 610 +1024 -4520 +-1331 -3679 +36 1050 +-243 -1359 +-2232 -2302 +3784 361 +-1874 835 +-991 -3052 +7168 0 +-991 3052 +-1874 -835 +3784 -361 +-2232 2302 +-243 1359 +36 -1050 +-1331 3679 +1024 4520 +-2150 -610 +-1411 3188 +-624 647 +3340 -1638 +1916 2375 +535 2438 +-1193 6091 +-5120 0 +-1693 -4291 +-2204 2882 +1513 867 +-444 -1038 +-962 -961 +4647 956 +6080 2536 +1024 -1624 +-1032 721 +5417 -386 +-1955 -3513 +-664 -1194 +-3430 -1740 +-5147 2505 +2310 6342 +-1024 0 +2310 -6342 +-5147 -2505 +-3430 1740 +-664 1194 +-1955 3513 +5417 386 +-1032 -721 +1024 1624 +6080 -2536 +4647 -956 +-962 961 +-444 1038 +1513 -867 +-2204 -2882 +-1693 4291 +-5120 0 +-1193 -6091 +535 -2438 +1916 -2375 +3340 1638 +-624 -647 +-1411 -3188 +-2150 610 +1024 -4520 +-1331 -3679 +36 1050 +-243 -1359 +-2232 -2302 +3784 361 +-1874 835 +-991 -3052 +-2048 0 +-1827 -2511 +1003 -790 +703 2171 +-5004 26 +2549 -1195 +1774 4303 +-271 -862 +1024 1448 +-1758 3010 +1832 -1402 +-2308 2447 +-1768 -1698 +2270 -804 +2155 276 +176 -1716 +-4096 0 +176 1716 +2155 -276 +2270 804 +-1768 1698 +-2308 -2447 +1832 1402 +-1758 -3010 +1024 -1448 +-271 862 +1774 -4303 +2549 1195 +-5004 -26 +703 -2171 +1003 790 +-1827 2511 +-2048 0 +3064 3604 +7061 5762 +-3877 3207 +-3436 4918 +-3081 2633 +-1499 3198 +7046 -2173 +1024 -1448 +-554 636 +-907 -3882 +-3840 320 +2016 2546 +1791 1718 +-3227 103 +-84 3698 +4096 0 +-84 -3698 +-3227 -103 +1791 -1718 +2016 -2546 +-3840 -320 +-907 3882 +-554 -636 +1024 1448 +7046 2173 +-1499 -3198 +-3081 -2633 +-3436 -4918 +-3877 -3207 +7061 -5762 +3064 -3604 +-2048 0 +-1827 -2511 +1003 -790 +703 2171 +-5004 26 +2549 -1195 +1774 4303 +-271 -862 +1024 1448 +-1758 3010 +1832 -1402 +-2308 2447 +-1768 -1698 +2270 -804 +2155 276 +176 -1716 +1024 -3072 +-2673 1507 +-4948 3086 +-1668 313 +-256 776 +547 -1141 +2101 806 +-3213 2421 +300 2348 +-245 -3535 +167 -3482 +-704 2058 +-1251 1006 +3763 507 +1208 -1875 +-583 -1620 +0 0 +-583 1620 +1208 1875 +3763 -507 +-1251 -1006 +-704 -2058 +167 3482 +-245 3535 +300 -2348 +-3213 -2421 +2101 -806 +547 1141 +-256 -776 +-1668 -313 +-4948 -3086 +-2673 -1507 +1024 3072 +3781 1569 +-5348 8475 +4344 1933 +8096 -2224 +-3223 3952 +964 -1681 +2105 2264 +1748 3796 +-863 2867 +-1536 5007 +-1972 -1464 +-2494 -2454 +-1087 -477 +-801 -550 +1692 -657 +2048 0 +1692 657 +-801 550 +-1087 477 +-2494 2454 +-1972 1464 +-1536 -5007 +-863 -2867 +1748 -3796 +2105 -2264 +964 1681 +-3223 -3952 +8096 2224 +4344 -1933 +-5348 -8475 +3781 -1569 +1024 -3072 +-2673 1507 +-4948 3086 +-1668 313 +-256 776 +547 -1141 +2101 806 +-3213 2421 +300 2348 +-245 -3535 +167 -3482 +-704 2058 +-1251 1006 +3763 507 +1208 -1875 +-583 -1620 +2048 -1024 +3645 -1536 +2056 -750 +-1817 -726 +-1102 -562 +-2127 2155 +-83 2509 +1686 -667 +2772 -1748 +3933 5880 +416 -355 +-683 -3222 +-2440 1560 +1943 -6022 +1373 360 +-4297 1298 +-3072 0 +-4297 -1298 +1373 -360 +1943 6022 +-2440 -1560 +-683 3222 +416 355 +3933 -5880 +2772 1748 +1686 667 +-83 -2509 +-2127 -2155 +-1102 562 +-1817 726 +2056 750 +3645 1536 +2048 1024 +-1369 -509 +-2056 3102 +-2200 1150 +-2994 -886 +2926 6148 +83 3168 +1719 1817 +1324 -300 +-7339 3799 +-416 6031 +-116 -3274 +-1656 -3008 +2075 -161 +-1373 1991 +2021 -3006 +7168 0 +2021 3006 +-1373 -1991 +2075 161 +-1656 3008 +-116 3274 +-416 -6031 +-7339 -3799 +1324 300 +1719 -1817 +83 -3168 +2926 -6148 +-2994 886 +-2200 -1150 +-2056 -3102 +-1369 509 +2048 -1024 +3645 -1536 +2056 -750 +-1817 -726 +-1102 -562 +-2127 2155 +-83 2509 +1686 -667 +2772 -1748 +3933 5880 +416 -355 +-683 -3222 +-2440 1560 +1943 -6022 +1373 360 +-4297 1298 +-1024 -2048 +4283 173 +-4603 2323 +-810 1023 +-458 -325 +-1072 -45 +-465 -109 +-6320 -219 +0 -600 +-1433 -708 +1835 -86 +4274 -2058 +1553 -4568 +-383 -2093 +-957 1091 +3299 632 +3072 0 +3299 -632 +-957 -1091 +-383 2093 +1553 4568 +4274 2058 +1835 86 +-1433 708 +0 600 +-6320 219 +-465 109 +-1072 45 +-458 325 +-810 -1023 +-4603 -2323 +4283 -173 +-1024 2048 +-3140 3313 +-4909 5297 +1307 3407 +6002 325 +-4917 2810 +1638 2065 +2157 -4440 +0 -3496 +3140 1163 +-1311 346 +1035 2367 +1094 4568 +-5226 -1725 +580 -3359 +3806 2175 +-1024 0 +3806 -2175 +580 3359 +-5226 1725 +1094 -4568 +1035 -2367 +-1311 -346 +3140 -1163 +0 3496 +2157 4440 +1638 -2065 +-4917 -2810 +6002 -325 +1307 -3407 +-4909 -5297 +-3140 -3313 +-1024 -2048 +4283 173 +-4603 2323 +-810 1023 +-458 -325 +-1072 -45 +-465 -109 +-6320 -219 +0 -600 +-1433 -708 +1835 -86 +4274 -2058 +1553 -4568 +-383 -2093 +-957 1091 +3299 632 +-1024 3072 +2620 -859 +2188 2639 +-916 1172 +-8012 -876 +-845 893 +4706 -1810 +-3877 226 +3796 -1148 +492 -1845 +-1870 787 +52 3151 +-1206 1090 +3871 -3455 +-4268 -935 +-4263 -2564 +4096 0 +-4263 2564 +-4268 935 +3871 3455 +-1206 -1090 +52 -3151 +-1870 -787 +492 1845 +3796 1148 +-3877 -226 +4706 1810 +-845 -893 +-8012 876 +-916 -1172 +2188 -2639 +2620 859 +-1024 -3072 +240 -2108 +-2528 702 +973 2369 +-1877 1476 +-8479 959 +-582 2474 +2580 1468 +2348 3196 +156 -2124 +643 -3019 +136 -986 +2903 -4586 +5208 -884 +1711 1379 +2053 2125 +2048 0 +2053 -2125 +1711 -1379 +5208 884 +2903 4586 +136 986 +643 3019 +156 2124 +2348 -3196 +2580 -1468 +-582 -2474 +-8479 -959 +-1877 -1476 +973 -2369 +-2528 -702 +240 2108 +-1024 3072 +2620 -859 +2188 2639 +-916 1172 +-8012 -876 +-845 893 +4706 -1810 +-3877 226 +3796 -1148 +492 -1845 +-1870 787 +52 3151 +-1206 1090 +3871 -3455 +-4268 -935 +-4263 -2564 +3072 -3072 +-3512 278 +-3688 450 +123 -5700 +4250 -3986 +-3407 -1918 +-4432 967 +5693 -1929 +900 -300 +305 3142 +3139 540 +-554 -2084 +-223 -4372 +1055 302 +-624 -1065 +-2110 -931 +-2048 0 +-2110 931 +-624 1065 +1055 -302 +-223 4372 +-554 2084 +3139 -540 +305 -3142 +900 300 +5693 1929 +-4432 -967 +-3407 1918 +4250 3986 +123 5700 +-3688 -450 +-3512 -278 +3072 3072 +791 4298 +2699 2891 +2007 -681 +-8346 1690 +-896 -500 +-580 -303 +1478 -2173 +5244 -1748 +-557 -1581 +4770 -2772 +-1143 -646 +-3873 -2021 +2816 1197 +-1283 1509 +-2088 2978 +0 0 +-2088 -2978 +-1283 -1509 +2816 -1197 +-3873 2021 +-1143 646 +4770 2772 +-557 1581 +5244 1748 +1478 2173 +-580 303 +-896 500 +-8346 -1690 +2007 681 +2699 -2891 +791 -4298 +3072 -3072 +-3512 278 +-3688 450 +123 -5700 +4250 -3986 +-3407 -1918 +-4432 967 +5693 -1929 +900 -300 +305 3142 +3139 540 +-554 -2084 +-223 -4372 +1055 302 +-624 -1065 +-2110 -931 +-2048 1024 +580 -3244 +-2757 1309 +-4139 -1359 +1186 321 +-1849 6757 +1070 -491 +2482 -500 +2772 -300 +-3695 -7393 +-2780 -2850 +3177 -839 +-1260 -2428 +2053 -1627 +2783 -2630 +-198 788 +1024 0 +-198 -788 +2783 2630 +2053 1627 +-1260 2428 +3177 839 +-2780 2850 +-3695 7393 +2772 300 +2482 500 +1070 491 +-1849 -6757 +1186 -321 +-4139 1359 +-2757 -1309 +580 3244 +-2048 -1024 +207 -1136 +-3415 4927 +5096 1564 +862 -921 +-5487 2448 +-561 4052 +-1894 202 +1324 -1748 +-677 -266 +1072 -2278 +2591 468 +3308 5924 +-1443 3841 +-3603 178 +3195 -943 +3072 0 +3195 943 +-3603 -178 +-1443 -3841 +3308 -5924 +2591 -468 +1072 2278 +-677 266 +1324 1748 +-1894 -202 +-561 -4052 +-5487 -2448 +862 921 +5096 -1564 +-3415 -4927 +207 1136 +-2048 1024 +580 -3244 +-2757 1309 +-4139 -1359 +1186 321 +-1849 6757 +1070 -491 +2482 -500 +2772 -300 +-3695 -7393 +-2780 -2850 +3177 -839 +-1260 -2428 +2053 -1627 +2783 -2630 +-198 788 +1024 0 +-1217 -2232 +-656 -2480 +-5554 3082 +-978 -540 +-1753 -1432 +-6746 2581 +2175 -5356 +-1624 -1024 +56 5718 +-728 1821 +-1011 -619 +3810 880 +-3283 1041 +-971 -3955 +3102 -1268 +1024 0 +3102 1268 +-971 3955 +-3283 -1041 +3810 -880 +-1011 619 +-728 -1821 +56 -5718 +-1624 1024 +2175 5356 +-6746 -2581 +-1753 1432 +-978 540 +-5554 -3082 +-656 2480 +-1217 2232 +1024 0 +-1589 738 +1115 2636 +1736 -985 +130 -2108 +1444 -3360 +286 -3845 +-1721 1121 +-4520 -1024 +4603 2391 +7188 1011 +3776 -3156 +1134 4664 +-1147 4472 +512 15 +385 -1867 +1024 0 +385 1867 +512 -15 +-1147 -4472 +1134 -4664 +3776 3156 +7188 -1011 +4603 -2391 +-4520 1024 +-1721 -1121 +286 3845 +1444 3360 +130 2108 +1736 985 +1115 -2636 +-1589 -738 +1024 0 +-1217 -2232 +-656 -2480 +-5554 3082 +-978 -540 +-1753 -1432 +-6746 2581 +2175 -5356 +-1624 -1024 +56 5718 +-728 1821 +-1011 -619 +3810 880 +-3283 1041 +-971 -3955 +3102 -1268 +2048 -4096 +-157 -937 +-705 719 +4320 -3465 +6765 4194 +2572 -136 +810 -547 +581 -1513 +2648 -4520 +764 2414 +237 1517 +1188 668 +-8164 1822 +-1966 98 +2913 -457 +-42 4646 +4096 0 +-42 -4646 +2913 457 +-1966 -98 +-8164 -1822 +1188 -668 +237 -1517 +764 -2414 +2648 4520 +581 1513 +810 547 +2572 136 +6765 -4194 +4320 3465 +-705 -719 +-157 937 +2048 4096 +-291 51 +105 -1383 +-963 -2847 +-3020 -698 +364 -4134 +-4306 991 +479 494 +5544 -1624 +-3390 3487 +-3733 1823 +-340 1062 +323 -2422 +-5175 -409 +-3513 2689 +2057 1387 +0 0 +2057 -1387 +-3513 -2689 +-5175 409 +323 2422 +-340 -1062 +-3733 -1823 +-3390 -3487 +5544 1624 +479 -494 +-4306 -991 +364 4134 +-3020 698 +-963 2847 +105 1383 +-291 -51 +2048 -4096 +-157 -937 +-705 719 +4320 -3465 +6765 4194 +2572 -136 +810 -547 +581 -1513 +2648 -4520 +764 2414 +237 1517 +1188 668 +-8164 1822 +-1966 98 +2913 -457 +-42 4646 +1024 -6144 +-5023 -1848 +1274 1501 +767 1899 +-1038 3256 +-588 -3463 +-2348 -508 +-487 -2922 +1448 -6392 +3407 -1331 +-5132 -363 +-3721 44 +1194 -1468 +612 1257 +3247 2211 +2720 -3696 +3072 0 +2720 3696 +3247 -2211 +612 -1257 +1194 1468 +-3721 -44 +-5132 363 +3407 1331 +1448 6392 +-487 2922 +-2348 508 +-588 3463 +-1038 -3256 +767 -1899 +1274 -1501 +-5023 1848 +1024 6144 +2286 -3118 +-2437 -2949 +-1066 -1686 +1638 1688 +-3729 2013 +-1044 -940 +3203 6179 +-1448 2296 +1238 803 +-4261 1811 +-1539 73 +2302 2316 +-2322 524 +2509 -763 +4242 -5054 +3072 0 +4242 5054 +2509 763 +-2322 -524 +2302 -2316 +-1539 -73 +-4261 -1811 +1238 -803 +-1448 -2296 +3203 -6179 +-1044 940 +-3729 -2013 +1638 -1688 +-1066 1686 +-2437 2949 +2286 3118 +1024 -6144 +-5023 -1848 +1274 1501 +767 1899 +-1038 3256 +-588 -3463 +-2348 -508 +-487 -2922 +1448 -6392 +3407 -1331 +-5132 -363 +-3721 44 +1194 -1468 +612 1257 +3247 2211 +2720 -3696 +-2048 -1024 +1103 -367 +-168 2775 +-2541 511 +-4286 -1670 +-998 1824 +519 3136 +369 1187 +-3372 -1748 +-4522 2792 +-185 -347 +-1957 -1952 +2624 -1116 +2835 -6788 +-1197 1766 +1050 -391 +1024 0 +1050 391 +-1197 -1766 +2835 6788 +2624 1116 +-1957 1952 +-185 347 +-4522 -2792 +-3372 1748 +369 -1187 +519 -3136 +-998 -1824 +-4286 1670 +-2541 -511 +-168 -2775 +1103 367 +-2048 1024 +-2628 -1466 +-2248 3841 +-1082 4016 +1390 222 +-882 983 +8209 -4860 +5529 -104 +-4820 -300 +1520 -1947 +1345 2720 +942 88 +273 -332 +-2109 850 +1916 754 +3371 -7474 +3072 0 +3371 7474 +1916 -754 +-2109 -850 +273 332 +942 -88 +1345 -2720 +1520 1947 +-4820 300 +5529 104 +8209 4860 +-882 -983 +1390 -222 +-1082 -4016 +-2248 -3841 +-2628 1466 +-2048 -1024 +1103 -367 +-168 2775 +-2541 511 +-4286 -1670 +-998 1824 +519 3136 +369 1187 +-3372 -1748 +-4522 2792 +-185 -347 +-1957 -1952 +2624 -1116 +2835 -6788 +-1197 1766 +1050 -391 +-3072 -6144 +3001 -2545 +-353 3379 +2571 3864 +240 -3510 +1608 -2052 +-2173 -2004 +-4401 -2763 +4520 5968 +-1785 1804 +-440 -3014 +-2362 -291 +-868 -1618 +3750 415 +-86 432 +2432 -2137 +3072 0 +2432 2137 +-86 -432 +3750 -415 +-868 1618 +-2362 291 +-440 3014 +-1785 -1804 +4520 -5968 +-4401 2763 +-2173 2004 +1608 2052 +240 3510 +2571 -3864 +-353 -3379 +3001 2545 +-3072 6144 +-5604 1453 +-4371 1409 +-1198 7123 +1808 -834 +-3413 -45 +1233 4116 +4031 -2145 +1624 176 +-62 1297 +-2716 -666 +-1185 -1364 +2916 -2726 +229 -573 +713 -1436 +2388 -2531 +-1024 0 +2388 2531 +713 1436 +229 573 +2916 2726 +-1185 1364 +-2716 666 +-62 -1297 +1624 -176 +4031 2145 +1233 -4116 +-3413 45 +1808 834 +-1198 -7123 +-4371 -1409 +-5604 -1453 +-3072 -6144 +3001 -2545 +-353 3379 +2571 3864 +240 -3510 +1608 -2052 +-2173 -2004 +-4401 -2763 +4520 5968 +-1785 1804 +-440 -3014 +-2362 -291 +-868 -1618 +3750 415 +-86 432 +2432 -2137 +-1024 -3072 +2681 -931 +110 -1043 +3400 -237 +4612 3732 +516 3837 +-1545 -664 +-1822 -3908 +1324 -724 +-1573 2560 +-480 2432 +-3184 2686 +822 1286 +415 2288 +-3456 5415 +1126 3187 +0 0 +1126 -3187 +-3456 -5415 +415 -2288 +822 -1286 +-3184 -2686 +-480 -2432 +-1573 -2560 +1324 724 +-1822 3908 +-1545 664 +516 -3837 +4612 -3732 +3400 237 +110 1043 +2681 931 +-1024 3072 +2658 -556 +-2942 -2793 +-2894 83 +3828 -836 +2873 -838 +1389 -2860 +-5048 -4594 +2772 724 +196 -2814 +-3461 -4260 +4909 5425 +-1070 1610 +-241 -3121 +2192 638 +-4009 -2219 +-6144 0 +-4009 2219 +2192 -638 +-241 3121 +-1070 -1610 +4909 -5425 +-3461 4260 +196 2814 +2772 -724 +-5048 4594 +1389 2860 +2873 838 +3828 836 +-2894 -83 +-2942 2793 +2658 556 +-1024 -3072 +2681 -931 +110 -1043 +3400 -237 +4612 3732 +516 3837 +-1545 -664 +-1822 -3908 +1324 -724 +-1573 2560 +-480 2432 +-3184 2686 +822 1286 +415 2288 +-3456 5415 +1126 3187 +2048 -3072 +-2182 -2887 +4747 1959 +791 3955 +-2140 836 +4978 1869 +855 -148 +-1282 -2679 +-300 -1572 +-496 592 +-394 -686 +2050 -1791 +-1246 -1610 +1939 1041 +3638 6392 +1054 2398 +5120 0 +1054 -2398 +3638 -6392 +1939 -1041 +-1246 1610 +2050 1791 +-394 686 +-496 -592 +-300 1572 +-1282 2679 +855 148 +4978 -1869 +-2140 -836 +791 -3955 +4747 -1959 +-2182 2887 +2048 3072 +-475 1979 +-3742 -223 +-3321 -1866 +-1356 -3732 +-1262 3994 +-4535 629 +-4583 -5111 +-1748 5668 +3465 386 +-1719 -2929 +-2870 -2474 +646 -1286 +3487 4905 +1150 -560 +-1292 3062 +3072 0 +-1292 -3062 +1150 560 +3487 -4905 +646 1286 +-2870 2474 +-1719 2929 +3465 -386 +-1748 -5668 +-4583 5111 +-4535 -629 +-1262 -3994 +-1356 3732 +-3321 1866 +-3742 223 +-475 -1979 +2048 -3072 +-2182 -2887 +4747 1959 +791 3955 +-2140 836 +4978 1869 +855 -148 +-1282 -2679 +-300 -1572 +-496 592 +-394 -686 +2050 -1791 +-1246 -1610 +1939 1041 +3638 6392 +1054 2398 +-5120 -4096 +-2956 5383 +-1658 2887 +3063 -2563 +2122 1748 +-1771 -3915 +196 -1253 +-1632 454 +1024 1024 +2085 4754 +-195 -1468 +-2102 -2918 +2446 -300 +3145 -777 +5048 984 +4471 2800 +-3072 0 +4471 -2800 +5048 -984 +3145 777 +2446 300 +-2102 2918 +-195 1468 +2085 -4754 +1024 -1024 +-1632 -454 +196 1253 +-1771 3915 +2122 -1748 +3063 2563 +-1658 -2887 +-2956 -5383 +-5120 4096 +-829 531 +-3094 713 +-3052 -85 +-2122 1748 +604 412 +5517 -5703 +890 2431 +1024 1024 +3121 -2757 +1475 1505 +-3413 3880 +-2446 -300 +-2269 -3199 +904 1417 +643 -3567 +-7168 0 +643 3567 +904 -1417 +-2269 3199 +-2446 300 +-3413 -3880 +1475 -1505 +3121 2757 +1024 -1024 +890 -2431 +5517 5703 +604 -412 +-2122 -1748 +-3052 85 +-3094 -713 +-829 -531 +-5120 -4096 +-2956 5383 +-1658 2887 +3063 -2563 +2122 1748 +-1771 -3915 +196 -1253 +-1632 454 +1024 1024 +2085 4754 +-195 -1468 +-2102 -2918 +2446 -300 +3145 -777 +5048 984 +4471 2800 +0 1024 +6559 1351 +-1150 2657 +2781 3198 +6818 2330 +549 2241 +3986 -6366 +268 759 +-1748 724 +-71 -2146 +-1385 3277 +3070 978 +-432 -4996 +-1043 -6136 +3369 6578 +-1376 2606 +-5120 0 +-1376 -2606 +3369 -6578 +-1043 6136 +-432 4996 +3070 -978 +-1385 -3277 +-71 2146 +-1748 -724 +268 -759 +3986 6366 +549 -2241 +6818 -2330 +2781 -3198 +-1150 -2657 +6559 -1351 +0 -1024 +-1798 2763 +366 2955 +-1788 4388 +-426 -1130 +-4571 -415 +-2094 963 +2451 -694 +-300 -724 +249 -2123 +-507 1208 +-1945 271 +-1865 -1996 +-2846 -839 +-2585 731 +-488 -427 +1024 0 +-488 427 +-2585 -731 +-2846 839 +-1865 1996 +-1945 -271 +-507 -1208 +249 2123 +-300 724 +2451 694 +-2094 -963 +-4571 415 +-426 1130 +-1788 -4388 +366 -2955 +-1798 -2763 +0 1024 +6559 1351 +-1150 2657 +2781 3198 +6818 2330 +549 2241 +3986 -6366 +268 759 +-1748 724 +-71 -2146 +-1385 3277 +3070 978 +-432 -4996 +-1043 -6136 +3369 6578 +-1376 2606 +-4096 -1024 +-3216 3276 +1048 5021 +2066 -2620 +-2818 -1430 +-96 -4091 +-282 812 +-562 1193 +-3372 -2596 +-1811 -3681 +4277 -3721 +1480 1753 +4312 -248 +-311 -1431 +234 -3368 +2315 -3084 +-5120 0 +2315 3084 +234 3368 +-311 1431 +4312 248 +1480 -1753 +4277 3721 +-1811 3681 +-3372 2596 +-562 -1193 +-282 -812 +-96 4091 +-2818 1430 +2066 2620 +1048 -5021 +-3216 -3276 +-4096 1024 +-714 -3087 +3795 1216 +132 1437 +-926 2030 +-3333 5316 +-1114 2749 +-757 -438 +-4820 4644 +4697 651 +4112 -1407 +-1834 1039 +3528 -3248 +1898 1815 +-3877 916 +48 -511 +5120 0 +48 511 +-3877 -916 +1898 -1815 +3528 3248 +-1834 -1039 +4112 1407 +4697 -651 +-4820 -4644 +-757 438 +-1114 -2749 +-3333 -5316 +-926 -2030 +132 -1437 +3795 -1216 +-714 3087 +-4096 -1024 +-3216 3276 +1048 5021 +2066 -2620 +-2818 -1430 +-96 -4091 +-282 812 +-562 1193 +-3372 -2596 +-1811 -3681 +4277 -3721 +1480 1753 +4312 -248 +-311 -1431 +234 -3368 +2315 -3084 +1024 3072 +4426 175 +-1161 -5401 +-1517 -682 +-1670 1610 +-3940 -687 +2505 -4630 +-1676 1628 +-5668 1324 +-1142 -3076 +-1300 -4982 +-8 1928 +1116 3732 +1464 -3796 +878 4005 +3621 -3382 +8192 0 +3621 3382 +878 -4005 +1464 3796 +1116 -3732 +-8 -1928 +-1300 4982 +-1142 3076 +-5668 -1324 +-1676 -1628 +2505 4630 +-3940 687 +-1670 -1610 +-1517 682 +-1161 5401 +4426 -175 +1024 -3072 +-918 -112 +-2299 625 +-3702 5448 +222 1286 +2302 2156 +496 478 +-834 255 +1572 2772 +-1699 -4488 +-1700 -1569 +3863 1420 +332 -836 +1538 2248 +2581 5204 +-1778 2189 +-2048 0 +-1778 -2189 +2581 -5204 +1538 -2248 +332 836 +3863 -1420 +-1700 1569 +-1699 4488 +1572 -2772 +-834 -255 +496 -478 +2302 -2156 +222 -1286 +-3702 -5448 +-2299 -625 +-918 112 +1024 3072 +4426 175 +-1161 -5401 +-1517 -682 +-1670 1610 +-3940 -687 +2505 -4630 +-1676 1628 +-5668 1324 +-1142 -3076 +-1300 -4982 +-8 1928 +1116 3732 +1464 -3796 +878 4005 +3621 -3382 +1024 -5120 +1277 1051 +-614 1099 +3271 683 +1610 -683 +-283 -2602 +-242 2588 +1672 401 +1748 300 +-5689 1920 +-269 3298 +-1503 1233 +-3732 -4541 +-2623 -2790 +-3941 -2424 +-140 -1093 +0 0 +-140 1093 +-3941 2424 +-2623 2790 +-3732 4541 +-1503 -1233 +-269 -3298 +-5689 -1920 +1748 -300 +1672 -401 +-242 -2588 +-283 2602 +1610 683 +3271 -683 +-614 -1099 +1277 -1051 +1024 5120 +2768 479 +3630 1132 +1421 1733 +1286 -5710 +-1333 -2829 +-4990 752 +576 -700 +300 1748 +-2560 3262 +2605 -2854 +-3799 1657 +836 2244 +4851 -6250 +3821 1759 +2097 4711 +-6144 0 +2097 -4711 +3821 -1759 +4851 6250 +836 -2244 +-3799 -1657 +2605 2854 +-2560 -3262 +300 -1748 +576 700 +-4990 -752 +-1333 2829 +1286 5710 +1421 -1733 +3630 -1132 +2768 -479 +1024 -5120 +1277 1051 +-614 1099 +3271 683 +1610 -683 +-283 -2602 +-242 2588 +1672 401 +1748 300 +-5689 1920 +-269 3298 +-1503 1233 +-3732 -4541 +-2623 -2790 +-3941 -2424 +-140 -1093 +4096 -1024 +14 1947 +-232 892 +2777 -463 +876 -3548 +4611 158 +-3964 1209 +-3116 2134 +2348 -6268 +-2568 -3844 +928 7185 +197 -599 +1090 318 +60 3440 +-47 1192 +3266 75 +1024 0 +3266 -75 +-47 -1192 +60 -3440 +1090 -318 +197 599 +928 -7185 +-2568 3844 +2348 6268 +-3116 -2134 +-3964 -1209 +4611 -158 +876 3548 +2777 463 +-232 -892 +14 -1947 +4096 1024 +721 894 +1644 -2221 +-2450 2871 +-1476 -548 +1920 -1767 +-1084 -321 +1383 -2699 +3796 -1924 +1166 3279 +24 -505 +840 -1010 +-4586 3778 +-7955 -1032 +-5461 3272 +-867 2765 +3072 0 +-867 -2765 +-5461 -3272 +-7955 1032 +-4586 -3778 +840 1010 +24 505 +1166 -3279 +3796 1924 +1383 2699 +-1084 321 +1920 1767 +-1476 548 +-2450 -2871 +1644 2221 +721 -894 +4096 -1024 +14 1947 +-232 892 +2777 -463 +876 -3548 +4611 158 +-3964 1209 +-3116 2134 +2348 -6268 +-2568 -3844 +928 7185 +197 -599 +1090 318 +60 3440 +-47 1192 +3266 75 +-1024 -2048 +1314 2187 +-1620 2177 +4104 -4705 +914 724 +-1527 2146 +7145 6972 +-1039 1297 +-8016 -2472 +-398 -1466 +-638 -601 +-1131 4707 +3026 724 +2138 -254 +407 -2493 +776 -205 +1024 0 +776 205 +407 2493 +2138 254 +3026 -724 +-1131 -4707 +-638 601 +-398 1466 +-8016 2472 +-1039 -1297 +7145 -6972 +-1527 -2146 +914 -724 +4104 4705 +-1620 -2177 +1314 -2187 +-1024 2048 +-3352 -1040 +992 -1173 +-1123 -5793 +-1762 724 +-2686 -1336 +-3989 -3292 +-2172 1280 +-2224 424 +1392 1827 +1577 -1512 +-8 1454 +1918 724 +232 -4893 +4317 -2295 +3479 -864 +-3072 0 +3479 864 +4317 2295 +232 4893 +1918 -724 +-8 -1454 +1577 1512 +1392 -1827 +-2224 -424 +-2172 -1280 +-3989 3292 +-2686 1336 +-1762 -724 +-1123 5793 +992 1173 +-3352 1040 +-1024 -2048 +1314 2187 +-1620 2177 +4104 -4705 +914 724 +-1527 2146 +7145 6972 +-1039 1297 +-8016 -2472 +-398 -1466 +-638 -601 +-1131 4707 +3026 724 +2138 -254 +407 -2493 +776 -205 +-5120 -2048 +758 747 +185 7094 +-266 3769 +-568 -3726 +-384 2901 +3209 2125 +-4493 3163 +-600 -2896 +4017 -4848 +-3132 473 +2280 2445 +3556 3830 +-332 -977 +537 3260 +-548 -1148 +-1024 0 +-548 1148 +537 -3260 +-332 977 +3556 -3830 +2280 -2445 +-3132 -473 +4017 4848 +-600 2896 +-4493 -3163 +3209 -2125 +-384 -2901 +-568 3726 +-266 -3769 +185 -7094 +758 -747 +-5120 2048 +2012 3027 +1927 -634 +-4083 -307 +3216 -3267 +49 -2303 +-4214 -2584 +-1729 -542 +-3496 2896 +-3147 -1979 +-1656 -932 +272 32 +1988 -2630 +2464 -1874 +3143 3200 +3131 3667 +3072 0 +3131 -3667 +3143 -3200 +2464 1874 +1988 2630 +272 -32 +-1656 932 +-3147 1979 +-3496 -2896 +-1729 542 +-4214 2584 +49 2303 +3216 3267 +-4083 307 +1927 634 +2012 -3027 +-5120 -2048 +758 747 +185 7094 +-266 3769 +-568 -3726 +-384 2901 +3209 2125 +-4493 3163 +-600 -2896 +4017 -4848 +-3132 473 +2280 2445 +3556 3830 +-332 -977 +537 3260 +-548 -1148 +1024 3072 +932 -4704 +3118 -4077 +-252 1175 +-222 -3622 +-2784 1217 +-2177 948 +2730 -3987 +-724 476 +5410 6246 +1946 3145 +-843 -1704 +-332 717 +-4396 2552 +1920 1670 +960 -647 +-4096 0 +960 647 +1920 -1670 +-4396 -2552 +-332 -717 +-843 1704 +1946 -3145 +5410 -6246 +-724 -476 +2730 3987 +-2177 -948 +-2784 -1217 +-222 3622 +-252 -1175 +3118 4077 +932 4704 +1024 -3072 +-4495 1358 +-5010 293 +943 1185 +1670 3622 +-1047 2963 +1394 620 +2554 563 +724 7716 +2667 1995 +-1162 -1578 +2016 -1347 +-1116 -717 +-5223 769 +-28 -5455 +827 774 +2048 0 +827 -774 +-28 5455 +-5223 -769 +-1116 717 +2016 1347 +-1162 1578 +2667 -1995 +724 -7716 +2554 -563 +1394 -620 +-1047 -2963 +1670 -3622 +943 -1185 +-5010 -293 +-4495 -1358 +1024 3072 +932 -4704 +3118 -4077 +-252 1175 +-222 -3622 +-2784 1217 +-2177 948 +2730 -3987 +-724 476 +5410 6246 +1946 3145 +-843 -1704 +-332 717 +-4396 2552 +1920 1670 +960 -647 +0 -1024 +-3384 -2087 +-1489 2871 +-1642 1686 +5675 262 +8032 1151 +1681 3145 +1700 1003 +1148 -724 +-1191 3963 +-472 449 +3058 -648 +-6688 188 +-499 -4844 +-147 147 +-6186 4809 +3072 0 +-6186 -4809 +-147 -147 +-499 4844 +-6688 -188 +3058 648 +-472 -449 +-1191 -3963 +1148 724 +1700 -1003 +1681 -3145 +8032 -1151 +5675 -262 +-1642 -1686 +-1489 -2871 +-3384 2087 +0 1024 +-1807 -982 +825 -314 +2043 -2566 +1566 586 +3173 -1677 +-2125 -4372 +-2067 456 +-3196 724 +1766 -2816 +3812 -4573 +-1551 2651 +-553 4756 +-1029 -1699 +-2084 -487 +-416 2 +1024 0 +-416 -2 +-2084 487 +-1029 1699 +-553 -4756 +-1551 -2651 +3812 4573 +1766 2816 +-3196 -724 +-2067 -456 +-2125 4372 +3173 1677 +1566 -586 +2043 2566 +825 314 +-1807 982 +0 -1024 +-3384 -2087 +-1489 2871 +-1642 1686 +5675 262 +8032 1151 +1681 3145 +1700 1003 +1148 -724 +-1191 3963 +-472 449 +3058 -648 +-6688 188 +-499 -4844 +-147 147 +-6186 4809 +1024 3072 +-4174 2099 +-1579 2105 +3741 -797 +222 1390 +4133 -963 +789 -3220 +-208 833 +724 -724 +-3978 -3027 +-3170 -1705 +-1156 1872 +332 -273 +3981 -1430 +-3898 2454 +-1688 -1478 +10240 0 +-1688 1478 +-3898 -2454 +3981 1430 +332 273 +-1156 -1872 +-3170 1705 +-3978 3027 +724 724 +-208 -833 +789 3220 +4133 963 +222 -1390 +3741 797 +-1579 -2105 +-4174 -2099 +1024 -3072 +-86 -1080 +2532 1939 +-4569 -3577 +-1670 -4286 +2000 -5263 +623 -5967 +1006 -1478 +-724 724 +2500 3061 +-2338 2406 +3271 150 +1116 -2624 +-5608 -489 +-1151 3286 +835 -2616 +4096 0 +835 2616 +-1151 -3286 +-5608 489 +1116 2624 +3271 -150 +-2338 -2406 +2500 -3061 +-724 -724 +1006 1478 +623 5967 +2000 5263 +-1670 4286 +-4569 3577 +2532 -1939 +-86 1080 +1024 3072 +-4174 2099 +-1579 2105 +3741 -797 +222 1390 +4133 -963 +789 -3220 +-208 833 +724 -724 +-3978 -3027 +-3170 -1705 +-1156 1872 +332 -273 +3981 -1430 +-3898 2454 +-1688 -1478 +-1024 0 +111 -1653 +1176 414 +-541 4140 +-854 4208 +-5550 1085 +-1011 -2733 +330 2343 +0 2048 +665 2137 +-4954 1124 +-719 863 +-410 4040 +779 -878 +5527 2427 +1380 29 +-1024 0 +1380 -29 +5527 -2427 +779 878 +-410 -4040 +-719 -863 +-4954 -1124 +665 -2137 +0 -2048 +330 -2343 +-1011 2733 +-5550 -1085 +-854 -4208 +-541 -4140 +1176 -414 +111 1653 +-1024 0 +6553 -1448 +3325 -1862 +-4451 -3425 +254 -3360 +966 573 +-2069 1285 +6088 4254 +0 2048 +-5075 2893 +3441 325 +-2057 -2990 +-3086 905 +-12 -2191 +2758 -979 +1533 -4698 +-5120 0 +1533 4698 +2758 979 +-12 2191 +-3086 -905 +-2057 2990 +3441 -325 +-5075 -2893 +0 -2048 +6088 -4254 +-2069 -1285 +966 -573 +254 3360 +-4451 3425 +3325 1862 +6553 1448 +-1024 0 +111 -1653 +1176 414 +-541 4140 +-854 4208 +-5550 1085 +-1011 -2733 +330 2343 +0 2048 +665 2137 +-4954 1124 +-719 863 +-410 4040 +779 -878 +5527 2427 +1380 29 +3072 -4096 +1129 5650 +143 2875 +1305 -603 +-954 -3940 +2125 -1168 +3064 1837 +2606 -2785 +848 -2048 +-4234 2503 +-4723 1671 +-4044 -2256 +-2506 1264 +-1189 1743 +-1536 128 +-1112 554 +-1024 0 +-1112 -554 +-1536 -128 +-1189 -1743 +-2506 -1264 +-4044 2256 +-4723 -1671 +-4234 -2503 +848 2048 +2606 2785 +3064 -1837 +2125 1168 +-954 3940 +1305 603 +143 -2875 +1129 -5650 +3072 4096 +888 -2120 +2857 -3983 +-782 6229 +-495 -156 +2836 -151 +396 839 +1105 -1730 +-4944 -2048 +-4828 5087 +1264 1005 +1300 -2718 +3954 2832 +-1551 -3165 +-1464 -1236 +4446 -4696 +3072 0 +4446 4696 +-1464 1236 +-1551 3165 +3954 -2832 +1300 2718 +1264 -1005 +-4828 -5087 +-4944 2048 +1105 1730 +396 -839 +2836 151 +-495 156 +-782 -6229 +2857 3983 +888 2120 +3072 -4096 +1129 5650 +143 2875 +1305 -603 +-954 -3940 +2125 -1168 +3064 1837 +2606 -2785 +848 -2048 +-4234 2503 +-4723 1671 +-4044 -2256 +-2506 1264 +-1189 1743 +-1536 128 +-1112 554 +2048 -3072 +1516 -6436 +172 -1007 +1118 1903 +632 2465 +5706 -1770 +963 -4577 +55 -1384 +2772 -1748 +-2417 -450 +-1030 -128 +-5550 -2487 +78 3322 +-3635 2101 +-1270 -2917 +4957 2742 +-5120 0 +4957 -2742 +-1270 2917 +-3635 -2101 +78 -3322 +-5550 2487 +-1030 128 +-2417 450 +2772 1748 +55 1384 +963 4577 +5706 1770 +632 -2465 +1118 -1903 +172 1007 +1516 6436 +2048 3072 +517 -4073 +337 -2333 +3068 -1009 +1416 1032 +-626 5183 +-1784 3912 +-3650 2049 +1324 -300 +-3123 -893 +-5142 2360 +1119 -1460 +1970 -3922 +-1200 3018 +-438 2473 +2145 -3673 +1024 0 +2145 3673 +-438 -2473 +-1200 -3018 +1970 3922 +1119 1460 +-5142 -2360 +-3123 893 +1324 300 +-3650 -2049 +-1784 -3912 +-626 -5183 +1416 -1032 +3068 1009 +337 2333 +517 4073 +2048 -3072 +1516 -6436 +172 -1007 +1118 1903 +632 2465 +5706 -1770 +963 -4577 +55 -1384 +2772 -1748 +-2417 -450 +-1030 -128 +-5550 -2487 +78 3322 +-3635 2101 +-1270 -2917 +4957 2742 +2048 2048 +-2734 7015 +-802 -3826 +1706 2 +724 1762 +2745 3131 +92 1868 +159 618 +5968 -2048 +2685 -4638 +-692 5063 +869 668 +-724 1918 +-1497 4894 +-2694 -5095 +-4372 -1751 +-4096 0 +-4372 1751 +-2694 5095 +-1497 -4894 +-724 -1918 +869 -668 +-692 -5063 +2685 4638 +5968 2048 +159 -618 +92 -1868 +2745 -3131 +724 -1762 +1706 -2 +-802 3826 +-2734 -7015 +2048 -2048 +1403 2398 +-802 -3454 +661 -514 +724 -914 +624 1716 +92 299 +684 1193 +176 -2048 +-2641 -5583 +-692 -4096 +225 634 +-724 3026 +458 -3159 +-2694 4807 +-977 4925 +4096 0 +-977 -4925 +-2694 -4807 +458 3159 +-724 -3026 +225 -634 +-692 4096 +-2641 5583 +176 2048 +684 -1193 +92 -299 +624 -1716 +724 914 +661 514 +-802 3454 +1403 -2398 +2048 2048 +-2734 7015 +-802 -3826 +1706 2 +724 1762 +2745 3131 +92 1868 +159 618 +5968 -2048 +2685 -4638 +-692 5063 +869 668 +-724 1918 +-1497 4894 +-2694 -5095 +-4372 -1751 +-5120 0 +4274 -1107 +1801 319 +2147 1836 +5448 -110 +1918 -993 +3205 -631 +-3853 -6959 +-3496 -600 +1477 977 +1212 -1552 +-903 3463 +2432 -2002 +1276 -3196 +-4069 841 +2252 19 +7168 0 +2252 -19 +-4069 -841 +1276 3196 +2432 2002 +-903 -3463 +1212 1552 +1477 -977 +-3496 600 +-3853 6959 +3205 631 +1918 993 +5448 110 +2147 -1836 +1801 -319 +4274 1107 +-5120 0 +475 -3681 +91 3465 +-109 548 +96 -2786 +-4191 2355 +-2421 -937 +-4781 -26 +-600 -3496 +-411 -4490 +-1996 -15 +40 -1139 +216 -894 +-180 -1651 +2177 2943 +568 6857 +-5120 0 +568 -6857 +2177 -2943 +-180 1651 +216 894 +40 1139 +-1996 15 +-411 4490 +-600 3496 +-4781 26 +-2421 937 +-4191 -2355 +96 2786 +-109 -548 +91 -3465 +475 3681 +-5120 0 +4274 -1107 +1801 319 +2147 1836 +5448 -110 +1918 -993 +3205 -631 +-3853 -6959 +-3496 -600 +1477 977 +1212 -1552 +-903 3463 +2432 -2002 +1276 -3196 +-4069 841 +2252 19 +-1024 -2048 +5769 -1284 +-1310 -89 +-6411 -2701 +-4000 -1494 +-4448 50 +1382 -7152 +2742 -1285 +1448 -2048 +1675 -2675 +-39 -1460 +-915 -262 +-3880 3386 +269 -3776 +4323 2717 +617 2897 +-1024 0 +617 -2897 +4323 -2717 +269 3776 +-3880 -3386 +-915 262 +-39 1460 +1675 2675 +1448 2048 +2742 1285 +1382 7152 +-4448 -50 +-4000 1494 +-6411 2701 +-1310 89 +5769 1284 +-1024 2048 +-342 807 +2574 349 +546 285 +1352 -2602 +1790 3938 +2558 -468 +974 -5562 +-1448 -2048 +-2495 500 +195 3728 +676 -1781 +-1664 710 +2699 1122 +-1491 -760 +-3147 7091 +3072 0 +-3147 -7091 +-1491 760 +2699 -1122 +-1664 -710 +676 1781 +195 -3728 +-2495 -500 +-1448 2048 +974 5562 +2558 468 +1790 -3938 +1352 2602 +546 -285 +2574 -349 +-342 -807 +-1024 -2048 +5769 -1284 +-1310 -89 +-6411 -2701 +-4000 -1494 +-4448 50 +1382 -7152 +2742 -1285 +1448 -2048 +1675 -2675 +-39 -1460 +-915 -262 +-3880 3386 +269 -3776 +4323 2717 +617 2897 +2048 0 +2380 -1769 +-1488 854 +1052 -1765 +-1578 -328 +-467 -406 +-502 394 +2334 3566 +6992 3072 +1104 1405 +-1342 -749 +1485 475 +314 -2688 +-2988 -2589 +-2945 1508 +1484 2069 +4096 0 +1484 -2069 +-2945 -1508 +-2988 2589 +314 2688 +1485 -475 +-1342 749 +1104 -1405 +6992 -3072 +2334 -3566 +-502 -394 +-467 406 +-1578 328 +1052 1765 +-1488 -854 +2380 1769 +2048 0 +-4396 2829 +6240 -190 +6089 -3130 +-470 5024 +-381 2370 +-5211 -838 +293 -1508 +1200 3072 +-2164 -3132 +63 -2592 +-4421 3056 +-2362 -4904 +-369 -738 +-3006 -3740 +-1036 -4793 +0 0 +-1036 4793 +-3006 3740 +-369 738 +-2362 4904 +-4421 -3056 +63 2592 +-2164 3132 +1200 -3072 +293 1508 +-5211 838 +-381 -2370 +-470 -5024 +6089 3130 +6240 190 +-4396 -2829 +2048 0 +2380 -1769 +-1488 854 +1052 -1765 +-1578 -328 +-467 -406 +-502 394 +2334 3566 +6992 3072 +1104 1405 +-1342 -749 +1485 475 +314 -2688 +-2988 -2589 +-2945 1508 +1484 2069 +-3072 4096 +5095 381 +-5439 -235 +1713 -2383 +4084 -1254 +-2969 4679 +4955 5409 +-120 4140 +1448 -1448 +3935 -609 +-445 -6 +-647 -272 +181 4254 +-608 4140 +-1652 2251 +-1556 -2832 +-3072 0 +-1556 2832 +-1652 -2251 +-608 -4140 +181 -4254 +-647 272 +-445 6 +3935 609 +1448 1448 +-120 -4140 +4955 -5409 +-2969 -4679 +4084 1254 +1713 2383 +-5439 235 +5095 -381 +-3072 -4096 +-4265 -2339 +1419 -2582 +1478 -1464 +-3484 -794 +-4482 208 +3957 3439 +1520 125 +-1448 1448 +-871 2290 +222 1862 +1418 -266 +3316 -2206 +-1695 573 +-3017 -3868 +2055 -4109 +1024 0 +2055 4109 +-3017 3868 +-1695 -573 +3316 2206 +1418 266 +222 -1862 +-871 -2290 +-1448 -1448 +1520 -125 +3957 -3439 +-4482 -208 +-3484 794 +1478 1464 +1419 2582 +-4265 2339 +-3072 4096 +5095 381 +-5439 -235 +1713 -2383 +4084 -1254 +-2969 4679 +4955 5409 +-120 4140 +1448 -1448 +3935 -609 +-445 -6 +-647 -272 +181 4254 +-608 4140 +-1652 2251 +-1556 -2832 +0 -2048 +-2540 -771 +3260 5954 +2245 2286 +-5148 -2302 +-2165 -2483 +32 2805 +215 4506 +-1448 5968 +-3294 3748 +-2673 1048 +-383 3391 +-684 -1038 +7141 -4516 +1484 -2341 +-3389 -202 +6144 0 +-3389 202 +1484 2341 +7141 4516 +-684 1038 +-383 -3391 +-2673 -1048 +-3294 -3748 +-1448 -5968 +215 -4506 +32 -2805 +-2165 2483 +-5148 2302 +2245 -2286 +3260 -5954 +-2540 771 +0 2048 +308 -3597 +4869 2110 +1095 -886 +204 -1194 +1721 674 +-2809 -3080 +-879 -384 +1448 176 +2630 2959 +-3238 -123 +-61 225 +1533 1638 +-3801 -2644 +-924 3412 +1157 818 +2048 0 +1157 -818 +-924 -3412 +-3801 2644 +1533 -1638 +-61 -225 +-3238 123 +2630 -2959 +1448 -176 +-879 384 +-2809 3080 +1721 -674 +204 1194 +1095 886 +4869 -2110 +308 3597 +0 -2048 +-2540 -771 +3260 5954 +2245 2286 +-5148 -2302 +-2165 -2483 +32 2805 +215 4506 +-1448 5968 +-3294 3748 +-2673 1048 +-383 3391 +-684 -1038 +7141 -4516 +1484 -2341 +-3389 -202 +1024 -1024 +-2743 -2087 +-1649 -1100 +1697 313 +-895 -1212 +4703 1027 +-4329 -4544 +-5190 -4514 +2172 724 +-2030 4562 +1371 3668 +-4794 -1850 +-4227 438 +3519 1604 +-2702 2244 +-2281 -2033 +2048 0 +-2281 2033 +-2702 -2244 +3519 -1604 +-4227 -438 +-4794 1850 +1371 -3668 +-2030 -4562 +2172 -724 +-5190 4514 +-4329 4544 +4703 -1027 +-895 1212 +1697 -313 +-1649 1100 +-2743 2087 +1024 1024 +552 -2795 +4000 -2904 +2164 -1099 +5240 -5780 +3043 1051 +-1348 4323 +1823 784 +-2172 -724 +-395 3373 +4305 1904 +2841 -3303 +-118 762 +-1588 -1429 +351 -456 +-1320 623 +-4096 0 +-1320 -623 +351 456 +-1588 1429 +-118 -762 +2841 3303 +4305 -1904 +-395 -3373 +-2172 724 +1823 -784 +-1348 -4323 +3043 -1051 +5240 5780 +2164 1099 +4000 2904 +552 2795 +1024 -1024 +-2743 -2087 +-1649 -1100 +1697 313 +-895 -1212 +4703 1027 +-4329 -4544 +-5190 -4514 +2172 724 +-2030 4562 +1371 3668 +-4794 -1850 +-4227 438 +3519 1604 +-2702 2244 +-2281 -2033 +-5120 -3072 +-6967 -1402 +-357 548 +2986 -2475 +850 -2394 +-1366 -265 +-644 -4096 +841 1974 +1324 -2172 +1282 -3246 +350 6286 +1495 -849 +-607 -1840 +-48 4548 +679 3598 +12 -3901 +2048 0 +12 3901 +679 -3598 +-48 -4548 +-607 1840 +1495 849 +350 -6286 +1282 3246 +1324 2172 +841 -1974 +-644 4096 +-1366 265 +850 2394 +2986 2475 +-357 -548 +-6967 1402 +-5120 3072 +-1213 -1081 +3189 1279 +-1083 3439 +-6394 -502 +-414 3693 +800 260 +5465 1412 +2772 2172 +660 -1616 +3590 -233 +-4829 -837 +-2040 -1056 +-2535 -2904 +585 -74 +5712 -1039 +0 0 +5712 1039 +585 74 +-2535 2904 +-2040 1056 +-4829 837 +3590 233 +660 1616 +2772 -2172 +5465 -1412 +800 -260 +-414 -3693 +-6394 502 +-1083 -3439 +3189 -1279 +-1213 1081 +-5120 -3072 +-6967 -1402 +-357 548 +2986 -2475 +850 -2394 +-1366 -265 +-644 -4096 +841 1974 +1324 -2172 +1282 -3246 +350 6286 +1495 -849 +-607 -1840 +-48 4548 +679 3598 +12 -3901 +-4096 -2048 +219 -3502 +-745 -1204 +-278 2807 +-46 1168 +2108 2107 +-144 3500 +1593 6146 +4944 4520 +-2763 1400 +306 -594 +268 -670 +-4834 60 +2428 251 +2742 7686 +-1990 2214 +-2048 0 +-1990 -2214 +2742 -7686 +2428 -251 +-4834 -60 +268 670 +306 594 +-2763 -1400 +4944 -4520 +1593 -6146 +-144 -3500 +2108 -2107 +-46 -1168 +-278 -2807 +-745 1204 +219 3502 +-4096 2048 +-2776 -2672 +1225 827 +-949 2964 +-1154 -2616 +2016 1444 +5976 -4024 +-1933 -2999 +-848 1624 +2424 1068 +-2042 1767 +3856 -4027 +-2158 -1508 +-3655 3065 +874 1826 +-566 -3275 +2048 0 +-566 3275 +874 -1826 +-3655 -3065 +-2158 1508 +3856 4027 +-2042 -1767 +2424 -1068 +-848 -1624 +-1933 2999 +5976 4024 +2016 -1444 +-1154 2616 +-949 -2964 +1225 -827 +-2776 2672 +-4096 -2048 +219 -3502 +-745 -1204 +-278 2807 +-46 1168 +2108 2107 +-144 3500 +1593 6146 +4944 4520 +-2763 1400 +306 -594 +268 -670 +-4834 60 +2428 251 +2742 7686 +-1990 2214 +1024 2048 +7112 214 +-1706 -2921 +-105 2387 +2726 968 +-1079 -2026 +1068 -546 +-1528 1243 +2648 -2648 +4573 -2534 +-76 3693 +685 -1012 +-3510 -288 +-1645 555 +1035 -3037 +392 -619 +3072 0 +392 619 +1035 3037 +-1645 -555 +-3510 288 +685 1012 +-76 -3693 +4573 2534 +2648 2648 +-1528 -1243 +1068 546 +-1079 2026 +2726 -968 +-105 -2387 +-1706 2921 +7112 -214 +1024 -2048 +-1552 -2533 +1771 964 +-1178 -124 +1618 -2167 +463 2736 +-4120 -1723 +230 -1098 +5544 -5544 +-378 -217 +-6761 3927 +-2965 -1174 +-834 7280 +31 4605 +597 2777 +-3055 1196 +-5120 0 +-3055 -1196 +597 -2777 +31 -4605 +-834 -7280 +-2965 1174 +-6761 -3927 +-378 217 +5544 5544 +230 1098 +-4120 1723 +463 -2736 +1618 2167 +-1178 124 +1771 -964 +-1552 2533 +1024 2048 +7112 214 +-1706 -2921 +-105 2387 +2726 968 +-1079 -2026 +1068 -546 +-1528 1243 +2648 -2648 +4573 -2534 +-76 3693 +685 -1012 +-3510 -288 +-1645 555 +1035 -3037 +392 -619 +-3072 -4096 +-150 -1906 +-7638 6817 +-3801 -76 +5498 1592 +1437 1258 +1543 30 +2684 870 +2472 -1024 +1899 1474 +648 -24 +1435 1333 +-2186 2532 +-442 992 +2317 -1757 +-1480 -3558 +-3072 0 +-1480 3558 +2317 1757 +-442 -992 +-2186 -2532 +1435 -1333 +648 24 +1899 -1474 +2472 1024 +2684 -870 +1543 -30 +1437 -1258 +5498 -1592 +-3801 76 +-7638 -6817 +-150 1906 +-3072 4096 +-4061 -2028 +-2318 -2317 +754 -3664 +4390 -2192 +57 307 +-2601 3050 +-937 -1343 +-424 -1024 +-2758 -3276 +-788 -1489 +1535 1120 +490 964 +4818 1948 +647 -6527 +-990 4087 +5120 0 +-990 -4087 +647 6527 +4818 -1948 +490 -964 +1535 -1120 +-788 1489 +-2758 3276 +-424 1024 +-937 1343 +-2601 -3050 +57 -307 +4390 2192 +754 3664 +-2318 2317 +-4061 2028 +-3072 -4096 +-150 -1906 +-7638 6817 +-3801 -76 +5498 1592 +1437 1258 +1543 30 +2684 870 +2472 -1024 +1899 1474 +648 -24 +1435 1333 +-2186 2532 +-442 992 +2317 -1757 +-1480 -3558 +5120 -1024 +-1526 2335 +-1640 -3907 +1595 918 +152 1272 +1531 -3083 +-517 -995 +2918 -278 +2348 -300 +-3558 -363 +195 171 +2677 -1913 +1814 -3054 +-609 2247 +-1306 4920 +2442 -3425 +4096 0 +2442 3425 +-1306 -4920 +-609 -2247 +1814 3054 +2677 1913 +195 -171 +-3558 363 +2348 300 +2918 278 +-517 995 +1531 3083 +152 -1272 +1595 -918 +-1640 3907 +-1526 -2335 +5120 1024 +-1141 -1473 +-3084 -2449 +-1667 3831 +-2200 4272 +1183 2018 +-423 -4902 +3069 1374 +3796 -1748 +-2429 -1676 +-3351 -275 +-5391 -6720 +-3862 406 +681 -5066 +1934 -5484 +226 1153 +-2048 0 +226 -1153 +1934 5484 +681 5066 +-3862 -406 +-5391 6720 +-3351 275 +-2429 1676 +3796 1748 +3069 -1374 +-423 4902 +1183 -2018 +-2200 -4272 +-1667 -3831 +-3084 2449 +-1141 1473 +5120 -1024 +-1526 2335 +-1640 -3907 +1595 918 +152 1272 +1531 -3083 +-517 -995 +2918 -278 +2348 -300 +-3558 -363 +195 171 +2677 -1913 +1814 -3054 +-609 2247 +-1306 4920 +2442 -3425 +-3072 -2048 +-586 -2228 +-4314 2450 +-2162 752 +1182 -1408 +-2528 4958 +1750 -1857 +3493 -6084 +-424 -5368 +-1492 -5016 +2434 2777 +4260 -1922 +-2278 -928 +-1091 2188 +1551 -158 +-22 4729 +1024 0 +-22 -4729 +1551 158 +-1091 -2188 +-2278 928 +4260 1922 +2434 -2777 +-1492 5016 +-424 5368 +3493 6084 +1750 1857 +-2528 -4958 +1182 1408 +-2162 -752 +-4314 -2450 +-586 2228 +-3072 2048 +-963 -4489 +2238 42 +103 2469 +-5278 808 +-4689 4366 +2855 -2422 +2327 553 +2472 3320 +2352 2590 +-46 -64 +1628 1431 +-1818 4424 +-1314 -2990 +1724 1451 +683 -2548 +1024 0 +683 2548 +1724 -1451 +-1314 2990 +-1818 -4424 +1628 -1431 +-46 64 +2352 -2590 +2472 -3320 +2327 -553 +2855 2422 +-4689 -4366 +-5278 -808 +103 -2469 +2238 -42 +-963 4489 +-3072 -2048 +-586 -2228 +-4314 2450 +-2162 752 +1182 -1408 +-2528 4958 +1750 -1857 +3493 -6084 +-424 -5368 +-1492 -5016 +2434 2777 +4260 -1922 +-2278 -928 +-1091 2188 +1551 -158 +-22 4729 +1024 -5120 +2030 922 +1388 880 +404 1015 +-572 -1232 +-945 -2876 +-2659 195 +246 -1885 +2772 -476 +-1740 5072 +-609 878 +3311 1086 +2538 3574 +1206 -2333 +-2282 -2843 +-472 -6247 +4096 0 +-472 6247 +-2282 2843 +1206 2333 +2538 -3574 +3311 -1086 +-609 -878 +-1740 -5072 +2772 476 +246 1885 +-2659 -195 +-945 2876 +-572 1232 +404 -1015 +1388 -880 +2030 -922 +1024 5120 +618 1320 +4628 -1388 +1593 -2705 +-2924 -2016 +1711 1154 +887 -1015 +-1207 2384 +1324 -7716 +-1763 -1366 +-515 5294 +2603 -2439 +-3138 5466 +-4091 3411 +-838 1135 +-3504 -2288 +-6144 0 +-3504 2288 +-838 -1135 +-4091 -3411 +-3138 -5466 +2603 2439 +-515 -5294 +-1763 1366 +1324 7716 +-1207 -2384 +887 1015 +1711 -1154 +-2924 2016 +1593 2705 +4628 1388 +618 -1320 +1024 -5120 +2030 922 +1388 880 +404 1015 +-572 -1232 +-945 -2876 +-2659 195 +246 -1885 +2772 -476 +-1740 5072 +-609 878 +3311 1086 +2538 3574 +1206 -2333 +-2282 -2843 +-472 -6247 +2048 6144 +1435 -1713 +2170 -383 +-1788 -3313 +0 -3510 +-1681 1265 +-4627 927 +-552 1432 +-2896 5968 +-5039 -166 +-372 -4029 +5132 -2423 +0 -1618 +-5394 3608 +-811 -749 +25 854 +-2048 0 +25 -854 +-811 749 +-5394 -3608 +0 1618 +5132 2423 +-372 4029 +-5039 166 +-2896 -5968 +-552 -1432 +-4627 -927 +-1681 -1265 +0 3510 +-1788 3313 +2170 383 +1435 1713 +2048 -6144 +748 835 +3402 5172 +5058 -6316 +0 -834 +2531 604 +622 1186 +3431 2227 +2896 176 +-3191 -88 +-1416 349 +-3764 -245 +0 -2726 +-93 2003 +1032 -255 +3144 -2252 +-2048 0 +3144 2252 +1032 255 +-93 -2003 +0 2726 +-3764 245 +-1416 -349 +-3191 88 +2896 -176 +3431 -2227 +622 -1186 +2531 -604 +0 834 +5058 6316 +3402 -5172 +748 -835 +2048 6144 +1435 -1713 +2170 -383 +-1788 -3313 +0 -3510 +-1681 1265 +-4627 927 +-552 1432 +-2896 5968 +-5039 -166 +-372 -4029 +5132 -2423 +0 -1618 +-5394 3608 +-811 -749 +25 854 +3072 2048 +-3463 2586 +352 319 +958 -1351 +1194 5748 +-834 -152 +3091 -6755 +3756 -2743 +-2296 600 +-1701 -1266 +-4378 2900 +-1330 -784 +1638 -4180 +-676 3943 +-486 -2163 +-4151 -9 +-7168 0 +-4151 9 +-486 2163 +-676 -3943 +1638 4180 +-1330 784 +-4378 -2900 +-1701 1266 +-2296 -600 +3756 2743 +3091 6755 +-834 152 +1194 -5748 +958 1351 +352 -319 +-3463 -2586 +3072 -2048 +1478 -4240 +-6200 -347 +1498 3171 +2302 396 +3061 -2478 +1986 103 +1682 655 +6392 3496 +48 747 +-1898 1536 +670 1937 +-1038 -1964 +-3348 1661 +-659 -3161 +2352 -78 +1024 0 +2352 78 +-659 3161 +-3348 -1661 +-1038 1964 +670 -1937 +-1898 -1536 +48 -747 +6392 -3496 +1682 -655 +1986 -103 +3061 2478 +2302 -396 +1498 -3171 +-6200 347 +1478 4240 +3072 2048 +-3463 2586 +352 319 +958 -1351 +1194 5748 +-834 -152 +3091 -6755 +3756 -2743 +-2296 600 +-1701 -1266 +-4378 2900 +-1330 -784 +1638 -4180 +-676 3943 +-486 -2163 +-4151 -9 +-4096 0 +-1266 -2268 +-1615 581 +-452 1552 +3400 -2702 +521 -1476 +988 -3746 +-258 -2586 +1624 2648 +2875 993 +3207 -3402 +-165 2688 +384 2806 +1334 -964 +-665 2322 +757 -4813 +0 0 +757 4813 +-665 -2322 +1334 964 +384 -2806 +-165 -2688 +3207 3402 +2875 -993 +1624 -2648 +-258 2586 +988 3746 +521 1476 +3400 2702 +-452 -1552 +-1615 -581 +-1266 2268 +-4096 0 +3752 3471 +-617 408 +-1839 -538 +-1952 -2243 +-637 -6355 +2352 -1266 +-1664 3324 +4520 5544 +-2521 -2343 +-3651 -4507 +4065 937 +-1832 -3654 +-2827 -6343 +1 -4230 +-1676 536 +-4096 0 +-1676 -536 +1 4230 +-2827 6343 +-1832 3654 +4065 -937 +-3651 4507 +-2521 2343 +4520 -5544 +-1664 -3324 +2352 1266 +-637 6355 +-1952 2243 +-1839 538 +-617 -408 +3752 -3471 +-4096 0 +-1266 -2268 +-1615 581 +-452 1552 +3400 -2702 +521 -1476 +988 -3746 +-258 -2586 +1624 2648 +2875 993 +3207 -3402 +-165 2688 +384 2806 +1334 -964 +-665 2322 +757 -4813 +3072 2048 +1140 1661 +-4554 1068 +616 2917 +1938 928 +-486 -824 +562 -1318 +-813 -665 +-3920 1624 +-3321 1535 +1369 3261 +-2724 1431 +4050 -808 +-94 -4271 +-1261 -6845 +6513 1389 +1024 0 +6513 -1389 +-1261 6845 +-94 4271 +4050 808 +-2724 -1431 +1369 -3261 +-3321 -1535 +-3920 -1624 +-813 665 +562 1318 +-486 824 +1938 -928 +616 -2917 +-4554 -1068 +1140 -1661 +3072 -2048 +2468 -4113 +-4294 -2140 +-2954 1018 +-738 -4424 +-3478 -978 +1055 393 +-697 -2276 +1872 4520 +-1408 2205 +-4186 -2986 +4441 -1904 +2942 1408 +-1113 3742 +3117 -1219 +1912 -2954 +-3072 0 +1912 2954 +3117 1219 +-1113 -3742 +2942 -1408 +4441 1904 +-4186 2986 +-1408 -2205 +1872 -4520 +-697 2276 +1055 -393 +-3478 978 +-738 4424 +-2954 -1018 +-4294 2140 +2468 4113 +3072 2048 +1140 1661 +-4554 1068 +616 2917 +1938 928 +-486 -824 +562 -1318 +-813 -665 +-3920 1624 +-3321 1535 +1369 3261 +-2724 1431 +4050 -808 +-94 -4271 +-1261 -6845 +6513 1389 +2048 -1024 +-806 1493 +976 1727 +-2497 2833 +-2720 -1076 +6034 3119 +3023 2827 +4752 -953 +4644 -2172 +-2929 -2279 +-154 4459 +-123 2253 +-1606 742 +-382 -530 +-1220 -2318 +2004 2235 +5120 0 +2004 -2235 +-1220 2318 +-382 530 +-1606 -742 +-123 -2253 +-154 -4459 +-2929 2279 +4644 2172 +4752 953 +3023 -2827 +6034 -3119 +-2720 1076 +-2497 -2833 +976 -1727 +-806 -1493 +2048 1024 +-678 -1763 +576 -1387 +-604 5320 +-5720 1924 +275 -905 +1885 1297 +-1557 5823 +-2596 2172 +-1834 3702 +-1857 -3231 +-2402 -5078 +1854 4202 +-300 -4549 +-3229 -238 +1047 2240 +3072 0 +1047 -2240 +-3229 238 +-300 4549 +1854 -4202 +-2402 5078 +-1857 3231 +-1834 -3702 +-2596 -2172 +-1557 -5823 +1885 -1297 +275 905 +-5720 -1924 +-604 -5320 +576 1387 +-678 1763 +2048 -1024 +-806 1493 +976 1727 +-2497 2833 +-2720 -1076 +6034 3119 +3023 2827 +4752 -953 +4644 -2172 +-2929 -2279 +-154 4459 +-123 2253 +-1606 742 +-382 -530 +-1220 -2318 +2004 2235 +-2048 1024 +2337 2132 +-1549 -240 +-3871 -1394 +6080 2284 +-260 2060 +-2938 261 +863 2060 +-300 -2772 +842 -1421 +-1801 -1116 +2457 -862 +2510 -162 +-1607 -4119 +871 1958 +-801 -4006 +-3072 0 +-801 4006 +871 -1958 +-1607 4119 +2510 162 +2457 862 +-1801 1116 +842 1421 +-300 2772 +863 -2060 +-2938 -261 +-260 -2060 +6080 -2284 +-3871 1394 +-1549 240 +2337 -2132 +-2048 -1024 +-197 -1303 +-1282 4483 +-3531 4308 +1512 -2284 +6852 3027 +2782 4631 +2113 3219 +-1748 -1324 +-6953 3565 +-2139 6009 +-1480 -1619 +2186 162 +1441 -536 +-2135 2286 +1796 1701 +3072 0 +1796 -1701 +-2135 -2286 +1441 536 +2186 -162 +-1480 1619 +-2139 -6009 +-6953 -3565 +-1748 1324 +2113 -3219 +2782 -4631 +6852 -3027 +1512 2284 +-3531 -4308 +-1282 -4483 +-197 1303 +-2048 1024 +2337 2132 +-1549 -240 +-3871 -1394 +6080 2284 +-260 2060 +-2938 261 +863 2060 +-300 -2772 +842 -1421 +-1801 -1116 +2457 -862 +2510 -162 +-1607 -4119 +871 1958 +-801 -4006 +-2048 -5120 +4986 -3167 +-1514 -1945 +-4459 1413 +816 2465 +-4030 -443 +-5015 994 +-2155 2538 +724 -3196 +-654 422 +2092 688 +1085 -521 +-1526 3322 +65 153 +2268 1873 +255 2301 +-5120 0 +255 -2301 +2268 -1873 +65 -153 +-1526 -3322 +1085 521 +2092 -688 +-654 -422 +724 3196 +-2155 -2538 +-5015 -994 +-4030 443 +816 -2465 +-4459 -1413 +-1514 1945 +4986 3167 +-2048 5120 +-159 2978 +117 -5491 +3641 -230 +32 1032 +-1200 -782 +1372 2438 +-6791 -3292 +-724 1148 +464 2608 +2751 -2552 +4794 -2271 +-3418 -3922 +103 -537 +6120 1779 +4053 1294 +1024 0 +4053 -1294 +6120 -1779 +103 537 +-3418 3922 +4794 2271 +2751 2552 +464 -2608 +-724 -1148 +-6791 3292 +1372 -2438 +-1200 782 +32 -1032 +3641 230 +117 5491 +-159 -2978 +-2048 -5120 +4986 -3167 +-1514 -1945 +-4459 1413 +816 2465 +-4030 -443 +-5015 994 +-2155 2538 +724 -3196 +-654 422 +2092 688 +1085 -521 +-1526 3322 +65 153 +2268 1873 +255 2301 +6144 -1024 +597 2730 +-958 678 +-1218 3959 +-3478 2238 +1483 1599 +4654 1444 +-2642 -53 +-5244 -3620 +-1108 561 +-421 4796 +1262 2473 +-1800 4672 +-581 3055 +4771 1899 +1719 -2832 +-1024 0 +1719 2832 +4771 -1899 +-581 -3055 +-1800 -4672 +1262 -2473 +-421 -4796 +-1108 -561 +-5244 3620 +-2642 53 +4654 -1444 +1483 -1599 +-3478 -2238 +-1218 -3959 +-958 -678 +597 -2730 +6144 1024 +-2300 1703 +-4458 -898 +1617 2084 +-18 -3438 +526 -2393 +615 -3232 +3780 -2537 +-900 3620 +-30 2642 +5040 -791 +-3271 2526 +1200 2321 +181 -2805 +-1051 3673 +-17 1473 +-7168 0 +-17 -1473 +-1051 -3673 +181 2805 +1200 -2321 +-3271 -2526 +5040 791 +-30 -2642 +-900 -3620 +3780 2537 +615 3232 +526 2393 +-18 3438 +1617 -2084 +-4458 898 +-2300 -1703 +6144 -1024 +597 2730 +-958 678 +-1218 3959 +-3478 2238 +1483 1599 +4654 1444 +-2642 -53 +-5244 -3620 +-1108 561 +-421 4796 +1262 2473 +-1800 4672 +-581 3055 +4771 1899 +1719 -2832 +0 0 +-2289 -883 +2868 182 +1652 -3799 +-1678 3216 +-3052 -1599 +-6031 -1028 +-3832 -937 +-848 3072 +-2319 4610 +-965 -2526 +-270 -748 +-1782 -1988 +-476 -586 +676 -422 +3327 1646 +6144 0 +3327 -1646 +676 422 +-476 586 +-1782 1988 +-270 748 +-965 2526 +-2319 -4610 +-848 -3072 +-3832 937 +-6031 1028 +-3052 1599 +-1678 -3216 +1652 3799 +2868 -182 +-2289 883 +0 0 +4005 -3508 +-2020 4866 +-4774 -4478 +-1219 -568 +-129 2278 +1086 -4480 +4214 2716 +4944 3072 +-518 -376 +-3979 1114 +2771 747 +4678 -3556 +-1515 -2577 +172 1375 +3205 2211 +2048 0 +3205 -2211 +172 -1375 +-1515 2577 +4678 3556 +2771 -747 +-3979 -1114 +-518 376 +4944 -3072 +4214 -2716 +1086 4480 +-129 -2278 +-1219 568 +-4774 4478 +-2020 -4866 +4005 3508 +0 0 +-2289 -883 +2868 182 +1652 -3799 +-1678 3216 +-3052 -1599 +-6031 -1028 +-3832 -937 +-848 3072 +-2319 4610 +-965 -2526 +-270 -748 +-1782 -1988 +-476 -586 +676 -422 +3327 1646 +0 -3072 +2610 2189 +648 5 +1486 -374 +-1950 -2714 +-2066 1298 +581 2006 +-12 1372 +-1324 1748 +-409 -6189 +-552 -3471 +77 3874 +2504 3045 +-621 1001 +89 1554 +1584 -1017 +-1024 0 +1584 1017 +89 -1554 +-621 -1001 +2504 -3045 +77 -3874 +-552 3471 +-409 6189 +-1324 -1748 +-12 -1372 +581 -2006 +-2066 -1298 +-1950 2714 +1486 374 +648 -5 +2610 -2189 +0 3072 +-5940 2585 +-388 -161 +-288 1478 +-3842 2962 +-185 4498 +7039 -741 +7283 2658 +-2772 300 +1387 1972 +2821 640 +-2939 -3191 +3288 5396 +-1257 782 +-2046 2386 +-709 3335 +-7168 0 +-709 -3335 +-2046 -2386 +-1257 -782 +3288 -5396 +-2939 3191 +2821 -640 +1387 -1972 +-2772 -300 +7283 -2658 +7039 741 +-185 -4498 +-3842 -2962 +-288 -1478 +-388 161 +-5940 -2585 +0 -3072 +2610 2189 +648 5 +1486 -374 +-1950 -2714 +-2066 1298 +581 2006 +-12 1372 +-1324 1748 +-409 -6189 +-552 -3471 +77 3874 +2504 3045 +-621 1001 +89 1554 +1584 -1017 +2048 -1024 +-356 225 +1560 2653 +2059 6863 +-5950 -522 +-4202 900 +1297 2605 +1490 -1116 +-3196 -2772 +-1912 -2347 +2116 1801 +-1912 -897 +-1376 2080 +-21 1974 +-1187 -2157 +-598 2013 +-1024 0 +-598 -2013 +-1187 2157 +-21 -1974 +-1376 -2080 +-1912 897 +2116 -1801 +-1912 2347 +-3196 2772 +1490 1116 +1297 -2605 +-4202 -900 +-5950 522 +2059 -6863 +1560 -2653 +-356 -225 +2048 1024 +-164 -545 +3828 -486 +-298 3293 +-2490 1370 +5572 67 +87 -2317 +19 -1489 +1148 -1324 +-6277 8639 +-2300 5479 +1872 -2158 +1624 2864 +2725 -1633 +2791 3125 +2007 771 +1024 0 +2007 -771 +2791 -3125 +2725 1633 +1624 -2864 +1872 2158 +-2300 -5479 +-6277 -8639 +1148 1324 +19 1489 +87 2317 +5572 -67 +-2490 -1370 +-298 -3293 +3828 486 +-164 545 +2048 -1024 +-356 225 +1560 2653 +2059 6863 +-5950 -522 +-4202 900 +1297 2605 +1490 -1116 +-3196 -2772 +-1912 -2347 +2116 1801 +-1912 -897 +-1376 2080 +-21 1974 +-1187 -2157 +-598 2013 +3072 -1024 +-538 -3243 +3873 2043 +2099 -4793 +-1416 -268 +1555 6019 +-1095 -5583 +-901 -5818 +1148 -3196 +1075 -1102 +-1684 -5212 +976 -5045 +-1970 5166 +-1848 3331 +966 1643 +-572 613 +2048 0 +-572 -613 +966 -1643 +-1848 -3331 +-1970 -5166 +976 5045 +-1684 5212 +1075 1102 +1148 3196 +-901 5818 +-1095 5583 +1555 -6019 +-1416 268 +2099 4793 +3873 -2043 +-538 3243 +3072 1024 +-910 37 +-976 238 +-651 -640 +-632 516 +-107 -208 +-1801 3284 +-547 934 +-3196 1148 +-2523 -2583 +-1213 -6976 +472 3863 +-78 3274 +3296 -1772 +1930 -1058 +-876 -5018 +4096 0 +-876 5018 +1930 1058 +3296 1772 +-78 -3274 +472 -3863 +-1213 6976 +-2523 2583 +-3196 -1148 +-547 -934 +-1801 -3284 +-107 208 +-632 -516 +-651 640 +-976 -238 +-910 -37 +3072 -1024 +-538 -3243 +3873 2043 +2099 -4793 +-1416 -268 +1555 6019 +-1095 -5583 +-901 -5818 +1148 -3196 +1075 -1102 +-1684 -5212 +976 -5045 +-1970 5166 +-1848 3331 +966 1643 +-572 613 +1024 1024 +-6191 1575 +-1996 -1277 +-871 -1308 +-632 776 +2681 -1947 +118 -3328 +2563 1778 +-1148 -1748 +3411 1808 +5014 5644 +1218 498 +-78 1006 +-2840 3169 +1896 -36 +3741 -7758 +2048 0 +3741 7758 +1896 36 +-2840 -3169 +-78 -1006 +1218 -498 +5014 -5644 +3411 -1808 +-1148 1748 +2563 -1778 +118 3328 +2681 1947 +-632 -776 +-871 1308 +-1996 1277 +-6191 -1575 +1024 -1024 +-3492 4262 +-221 324 +320 -765 +-1416 -2224 +2509 -2567 +-5470 4740 +-3200 1750 +3196 -300 +1899 1144 +338 -137 +-377 -3076 +-1970 -2454 +3153 -908 +320 -5012 +-4523 -966 +0 0 +-4523 966 +320 5012 +3153 908 +-1970 2454 +-377 3076 +338 137 +1899 -1144 +3196 300 +-3200 -1750 +-5470 -4740 +2509 2567 +-1416 2224 +320 765 +-221 -324 +-3492 -4262 +1024 1024 +-6191 1575 +-1996 -1277 +-871 -1308 +-632 776 +2681 -1947 +118 -3328 +2563 1778 +-1148 -1748 +3411 1808 +5014 5644 +1218 498 +-78 1006 +-2840 3169 +1896 -36 +3741 -7758 +1024 1024 +2904 3335 +-2156 -1056 +937 -1529 +138 262 +-1370 1512 +-2669 -624 +-2789 -6284 +-1324 724 +-881 69 +2364 -3587 +3190 -2022 +4032 188 +616 5199 +1917 -2854 +2940 -878 +-2048 0 +2940 878 +1917 2854 +616 -5199 +4032 -188 +3190 2022 +2364 3587 +-881 -69 +-1324 -724 +-2789 6284 +-2669 624 +-1370 -1512 +138 -262 +937 1529 +-2156 1056 +2904 -3335 +1024 -1024 +-1322 2957 +2820 -891 +-713 2316 +462 586 +2433 -5716 +3112 2123 +1152 -2273 +-2772 -724 +-4162 -65 +-5704 -7698 +-2925 401 +-536 4756 +3625 572 +315 -3686 +-3634 1745 +0 0 +-3634 -1745 +315 3686 +3625 -572 +-536 -4756 +-2925 -401 +-5704 7698 +-4162 65 +-2772 724 +1152 2273 +3112 -2123 +2433 5716 +462 -586 +-713 -2316 +2820 891 +-1322 -2957 +1024 1024 +2904 3335 +-2156 -1056 +937 -1529 +138 262 +-1370 1512 +-2669 -624 +-2789 -6284 +-1324 724 +-881 69 +2364 -3587 +3190 -2022 +4032 188 +616 5199 +1917 -2854 +2940 -878 +-1024 -5120 +-2918 -2280 +-272 4304 +-846 754 +-4416 -4337 +-2443 -2300 +989 4077 +1633 -1924 +2348 3796 +1864 2609 +831 1460 +1795 3186 +1490 -7242 +723 -3469 +2614 -2532 +3072 2111 +2048 0 +3072 -2111 +2614 2532 +723 3469 +1490 7242 +1795 -3186 +831 -1460 +1864 -2609 +2348 -3796 +1633 1924 +989 -4077 +-2443 2300 +-4416 4337 +-846 -754 +-272 -4304 +-2918 2280 +-1024 5120 +-718 -1883 +-3512 1424 +-58 -2966 +2368 -2904 +-2954 1730 +-2557 -1337 +4100 2345 +3796 2348 +651 -3829 +737 -416 +-1511 -340 +-3538 2 +-498 2275 +1170 -1628 +-1891 6070 +-4096 0 +-1891 -6070 +1170 1628 +-498 -2275 +-3538 -2 +-1511 340 +737 416 +651 3829 +3796 -2348 +4100 -2345 +-2557 1337 +-2954 -1730 +2368 2904 +-58 2966 +-3512 -1424 +-718 1883 +-1024 -5120 +-2918 -2280 +-272 4304 +-846 754 +-4416 -4337 +-2443 -2300 +989 4077 +1633 -1924 +2348 3796 +1864 2609 +831 1460 +1795 3186 +1490 -7242 +723 -3469 +2614 -2532 +3072 2111 +1024 2048 +2221 6179 +-8191 1758 +-2625 -4037 +-1094 -940 +657 -1462 +1812 -1055 +-2548 -664 +2048 600 +-3868 4041 +-1069 82 +1466 633 +458 4724 +951 1020 +-476 -250 +4057 1342 +5120 0 +4057 -1342 +-476 250 +951 -1020 +458 -4724 +1466 -633 +-1069 -82 +-3868 -4041 +2048 -600 +-2548 664 +1812 1055 +657 1462 +-1094 940 +-2625 4037 +-8191 -1758 +2221 -6179 +1024 -2048 +-2292 -3096 +-840 -650 +685 -423 +-1553 -3156 +617 1530 +5193 -1620 +-4239 -3322 +2048 3496 +5303 1421 +-143 -2758 +-523 -2444 +-6002 -628 +-1227 833 +3715 1359 +1366 2997 +1024 0 +1366 -2997 +3715 -1359 +-1227 -833 +-6002 628 +-523 2444 +-143 2758 +5303 -1421 +2048 -3496 +-4239 3322 +5193 1620 +617 -1530 +-1553 3156 +685 423 +-840 650 +-2292 3096 +1024 2048 +2221 6179 +-8191 1758 +-2625 -4037 +-1094 -940 +657 -1462 +1812 -1055 +-2548 -664 +2048 600 +-3868 4041 +-1069 82 +1466 633 +458 4724 +951 1020 +-476 -250 +4057 1342 +2048 1024 +-1961 -2213 +-936 -3701 +2871 2876 +3478 -2994 +1150 736 +2292 -1488 +3580 2447 +1748 724 +563 -4029 +-1943 497 +-1419 -1172 +1800 1656 +-1607 -2766 +-4690 -3296 +-2444 837 +-1024 0 +-2444 -837 +-4690 3296 +-1607 2766 +1800 -1656 +-1419 1172 +-1943 -497 +563 4029 +1748 -724 +3580 -2447 +2292 1488 +1150 -736 +3478 2994 +2871 -2876 +-936 3701 +-1961 2213 +2048 -1024 +1350 8341 +-3683 -83 +-548 -3565 +18 -1102 +-2407 5056 +3124 3055 +1827 -3411 +300 -724 +5174 -2286 +-1777 1070 +-5333 4748 +-1200 2440 +-4292 -139 +-579 -488 +3497 -61 +1024 0 +3497 61 +-579 488 +-4292 139 +-1200 -2440 +-5333 -4748 +-1777 -1070 +5174 2286 +300 724 +1827 3411 +3124 -3055 +-2407 -5056 +18 1102 +-548 3565 +-3683 83 +1350 -8341 +2048 1024 +-1961 -2213 +-936 -3701 +2871 2876 +3478 -2994 +1150 736 +2292 -1488 +3580 2447 +1748 724 +563 -4029 +-1943 497 +-1419 -1172 +1800 1656 +-1607 -2766 +-4690 -3296 +-2444 837 +1024 0 +-6311 972 +-2067 -559 +7875 -2107 +-1084 470 +-916 -4956 +-2575 -1844 +646 1598 +3496 1448 +-2247 710 +5938 -1460 +2139 -2367 +-3640 -2362 +-923 2606 +-4324 -2568 +-4382 -4349 +-3072 0 +-4382 4349 +-4324 2568 +-923 -2606 +-3640 2362 +2139 2367 +5938 1460 +-2247 -710 +3496 -1448 +646 -1598 +-2575 1844 +-916 4956 +-1084 -470 +7875 2107 +-2067 559 +-6311 -972 +1024 0 +-1837 -103 +294 -1297 +119 -1429 +484 1578 +-730 -1585 +-545 -973 +2809 -4647 +600 -1448 +2815 4801 +78 -157 +-3598 -1589 +144 314 +1826 -1158 +3200 -6280 +2715 -206 +1024 0 +2715 206 +3200 6280 +1826 1158 +144 -314 +-3598 1589 +78 157 +2815 -4801 +600 1448 +2809 4647 +-545 973 +-730 1585 +484 -1578 +119 1429 +294 1297 +-1837 103 +1024 0 +-6311 972 +-2067 -559 +7875 -2107 +-1084 470 +-916 -4956 +-2575 -1844 +646 1598 +3496 1448 +-2247 710 +5938 -1460 +2139 -2367 +-3640 -2362 +-923 2606 +-4324 -2568 +-4382 -4349 +0 1024 +-2441 -2452 +-1438 -9729 +1990 -3074 +292 1200 +-3138 1416 +-625 -836 +2653 -2237 +1324 -4644 +-1535 -974 +-1451 2391 +2716 410 +-1150 3478 +-2435 3388 +2042 4581 +2640 293 +3072 0 +2640 -293 +2042 -4581 +-2435 -3388 +-1150 -3478 +2716 -410 +-1451 -2391 +-1535 974 +1324 4644 +2653 2237 +-625 836 +-3138 -1416 +292 -1200 +1990 3074 +-1438 9729 +-2441 2452 +0 -1024 +-4126 -1143 +-1838 -603 +-502 3458 +-1141 -1800 +4105 1376 +-1763 1371 +754 -793 +2772 2596 +-3439 1729 +-3154 -1359 +102 815 +6094 18 +-2837 -4571 +34 1967 +5495 -104 +-3072 0 +5495 104 +34 -1967 +-2837 4571 +6094 -18 +102 -815 +-3154 1359 +-3439 -1729 +2772 -2596 +754 793 +-1763 -1371 +4105 -1376 +-1141 1800 +-502 -3458 +-1838 603 +-4126 1143 +0 1024 +-2441 -2452 +-1438 -9729 +1990 -3074 +292 1200 +-3138 1416 +-625 -836 +2653 -2237 +1324 -4644 +-1535 -974 +-1451 2391 +2716 410 +-1150 3478 +-2435 3388 +2042 4581 +2640 293 +-1024 -4096 +161 -72 +-2295 1697 +178 -98 +4644 1918 +-3289 -3560 +446 1537 +6446 -158 +0 3496 +-1655 3277 +1795 -2120 +-862 2261 +-2596 -914 +3456 -657 +1207 -2486 +111 348 +5120 0 +111 -348 +1207 2486 +3456 657 +-2596 914 +-862 -2261 +1795 2120 +-1655 -3277 +0 -3496 +6446 158 +446 -1537 +-3289 3560 +4644 -1918 +178 98 +-2295 -1697 +161 72 +-1024 4096 +1552 -4997 +-3094 -3145 +-44 -61 +4644 3026 +-3202 1124 +-1830 -2985 +-757 -893 +0 600 +3326 2590 +-1611 3568 +-2224 1304 +-2596 1762 +-5598 6498 +-2811 3934 +2401 1503 +5120 0 +2401 -1503 +-2811 -3934 +-5598 -6498 +-2596 -1762 +-2224 -1304 +-1611 -3568 +3326 -2590 +0 -600 +-757 893 +-1830 2985 +-3202 -1124 +4644 -3026 +-44 61 +-3094 3145 +1552 4997 +-1024 -4096 +161 -72 +-2295 1697 +178 -98 +4644 1918 +-3289 -3560 +446 1537 +6446 -158 +0 3496 +-1655 3277 +1795 -2120 +-862 2261 +-2596 -914 +3456 -657 +1207 -2486 +111 348 +0 -1024 +-4426 4871 +-5469 -275 +-3558 1375 +208 3792 +-538 -1929 +-1156 -1114 +-1120 1770 +724 -300 +3824 -1432 +-1841 -1009 +-4055 1794 +2550 -1330 +973 -6162 +-2633 -1734 +-382 -5618 +1024 0 +-382 5618 +-2633 1734 +973 6162 +2550 1330 +-4055 -1794 +-1841 1009 +3824 1432 +724 300 +-1120 -1770 +-1156 1114 +-538 1929 +208 -3792 +-3558 -1375 +-5469 275 +-4426 -4871 +0 1024 +5803 1356 +745 431 +-3878 -1328 +992 -2344 +1757 -110 +216 -150 +-146 6677 +-724 -1748 +339 2072 +-1315 3841 +-61 -5370 +4442 2778 +3566 -1121 +3261 -2206 +1902 -1755 +-1024 0 +1902 1755 +3261 2206 +3566 1121 +4442 -2778 +-61 5370 +-1315 -3841 +339 -2072 +-724 1748 +-146 -6677 +216 150 +1757 110 +992 2344 +-3878 1328 +745 -431 +5803 -1356 +0 -1024 +-4426 4871 +-5469 -275 +-3558 1375 +208 3792 +-538 -1929 +-1156 -1114 +-1120 1770 +724 -300 +3824 -1432 +-1841 -1009 +-4055 1794 +2550 -1330 +973 -6162 +-2633 -1734 +-382 -5618 +0 4096 +-840 3246 +3435 1780 +1844 2519 +51 -1024 +-2082 -1437 +-1043 -2254 +3275 -4791 +-3072 2048 +2138 1294 +-1775 -1960 +-9981 -1815 +-4619 1024 +-420 1262 +3573 -3818 +357 3280 +-4096 0 +357 -3280 +3573 3818 +-420 -1262 +-4619 -1024 +-9981 1815 +-1775 1960 +2138 -1294 +-3072 -2048 +3275 4791 +-1043 2254 +-2082 1437 +51 1024 +1844 -2519 +3435 -1780 +-840 -3246 +0 -4096 +1645 22 +3741 2824 +-2044 -1591 +4294 -1024 +5999 -98 +-3145 -1022 +1326 2189 +-3072 2048 +-2275 2784 +1371 -116 +-616 1609 +274 1024 +1508 -4797 +2036 1431 +167 876 +0 0 +167 -876 +2036 -1431 +1508 4797 +274 -1024 +-616 -1609 +1371 116 +-2275 -2784 +-3072 -2048 +1326 -2189 +-3145 1022 +5999 98 +4294 1024 +-2044 1591 +3741 -2824 +1645 -22 +0 4096 +-840 3246 +3435 1780 +1844 2519 +51 -1024 +-2082 -1437 +-1043 -2254 +3275 -4791 +-3072 2048 +2138 1294 +-1775 -1960 +-9981 -1815 +-4619 1024 +-420 1262 +3573 -3818 +357 3280 +1024 0 +1365 -3300 +-1615 26 +953 1895 +1567 -410 +1366 -518 +-4220 2800 +-4549 1574 +424 4520 +4201 24 +3981 -1290 +-1968 1972 +3784 -254 +3875 5002 +-915 2018 +396 1976 +-1024 0 +396 -1976 +-915 -2018 +3875 -5002 +3784 254 +-1968 -1972 +3981 1290 +4201 -24 +424 -4520 +-4549 -1574 +-4220 -2800 +1366 518 +1567 410 +953 -1895 +-1615 -26 +1365 3300 +1024 0 +-1005 303 +4631 5102 +2061 1008 +-1567 -3086 +1744 689 +-1013 3437 +-7097 -1141 +-2472 1624 +-2132 -2121 +-1645 -1163 +3083 6079 +-3784 854 +471 -2411 +796 -5578 +-2764 691 +3072 0 +-2764 -691 +796 5578 +471 2411 +-3784 -854 +3083 -6079 +-1645 1163 +-2132 2121 +-2472 -1624 +-7097 1141 +-1013 -3437 +1744 -689 +-1567 3086 +2061 -1008 +4631 -5102 +-1005 -303 +1024 0 +1365 -3300 +-1615 26 +953 1895 +1567 -410 +1366 -518 +-4220 2800 +-4549 1574 +424 4520 +4201 24 +3981 -1290 +-1968 1972 +3784 -254 +3875 5002 +-915 2018 +396 1976 +2048 3072 +-2248 5364 +-336 -879 +-1324 2923 +522 3986 +3035 -1345 +-6315 -497 +-3043 -5714 +3620 300 +3462 53 +-2097 -5318 +2118 4225 +2080 4372 +-5266 2644 +1322 2479 +3863 -2433 +1024 0 +3863 2433 +1322 -2479 +-5266 -2644 +2080 -4372 +2118 -4225 +-2097 5318 +3462 -53 +3620 -300 +-3043 5714 +-6315 497 +3035 1345 +522 -3986 +-1324 -2923 +-336 879 +-2248 -5364 +2048 -3072 +-4063 -2113 +-1372 -245 +-530 89 +-1370 -1690 +1605 -3044 +143 -5519 +700 331 +-3620 1748 +-470 1925 +1276 2198 +2378 963 +2864 2021 +-2016 -1639 +-813 -706 +1799 1458 +3072 0 +1799 -1458 +-813 706 +-2016 1639 +2864 -2021 +2378 -963 +1276 -2198 +-470 -1925 +-3620 -1748 +700 -331 +143 5519 +1605 3044 +-1370 1690 +-530 -89 +-1372 245 +-4063 2113 +2048 3072 +-2248 5364 +-336 -879 +-1324 2923 +522 3986 +3035 -1345 +-6315 -497 +-3043 -5714 +3620 300 +3462 53 +-2097 -5318 +2118 4225 +2080 4372 +-5266 2644 +1322 2479 +3863 -2433 +-3072 2048 +-1188 -342 +2806 1023 +-3554 -1317 +2630 5088 +239 -2102 +-6484 -2246 +-5133 2407 +-4769 -2472 +539 -927 +693 -343 +-2756 -178 +-3726 1932 +1731 871 +6377 -1734 +1871 3854 +-1024 0 +1871 -3854 +6377 1734 +1731 -871 +-3726 -1932 +-2756 178 +693 343 +539 927 +-4769 2472 +-5133 -2407 +-6484 2246 +239 2102 +2630 -5088 +-3554 1317 +2806 -1023 +-1188 342 +-3072 -2048 +2919 119 +1370 5473 +49 -3726 +-3830 1304 +-1906 2796 +-1164 -1813 +2280 -2142 +6817 424 +1426 -137 +2363 -2517 +-41 1760 +-3267 364 +446 767 +2233 1239 +3078 386 +3072 0 +3078 -386 +2233 -1239 +446 -767 +-3267 -364 +-41 -1760 +2363 2517 +1426 137 +6817 -424 +2280 2142 +-1164 1813 +-1906 -2796 +-3830 -1304 +49 3726 +1370 -5473 +2919 -119 +-3072 2048 +-1188 -342 +2806 1023 +-3554 -1317 +2630 5088 +239 -2102 +-6484 -2246 +-5133 2407 +-4769 -2472 +539 -927 +693 -343 +-2756 -178 +-3726 1932 +1731 871 +6377 -1734 +1871 3854 +1024 1024 +1131 2347 +-3224 2551 +5799 -2712 +-3922 -2754 +-4093 1688 +2055 -283 +-1482 -3424 +124 -2172 +-1661 2440 +802 2647 +-4423 -418 +-2465 2524 +-1284 1391 +2497 -2188 +7240 1465 +0 0 +7240 -1465 +2497 2188 +-1284 -1391 +-2465 -2524 +-4423 418 +802 -2647 +-1661 -2440 +124 2172 +-1482 3424 +2055 283 +-4093 -1688 +-3922 2754 +5799 2712 +-3224 -2551 +1131 -2347 +1024 -1024 +-4212 1954 +1931 4560 +3614 1364 +3322 706 +2149 369 +658 1420 +-640 6925 +-4220 2172 +-2218 -2723 +3478 3785 +-552 4042 +-1032 -476 +-1210 -1173 +-5 -1789 +1841 -949 +-2048 0 +1841 949 +-5 1789 +-1210 1173 +-1032 476 +-552 -4042 +3478 -3785 +-2218 2723 +-4220 -2172 +-640 -6925 +658 -1420 +2149 -369 +3322 -706 +3614 -1364 +1931 -4560 +-4212 -1954 +1024 1024 +1131 2347 +-3224 2551 +5799 -2712 +-3922 -2754 +-4093 1688 +2055 -283 +-1482 -3424 +124 -2172 +-1661 2440 +802 2647 +-4423 -418 +-2465 2524 +-1284 1391 +2497 -2188 +7240 1465 +-2048 -1024 +-313 1244 +-14 3554 +3272 1595 +-3458 -3234 +-3209 -3492 +3541 -1499 +-1545 -2797 +-1148 -3620 +-844 -2030 +815 -1960 +2850 -2021 +1336 788 +-6 3577 +-1317 3875 +1442 2465 +3072 0 +1442 -2465 +-1317 -3875 +-6 -3577 +1336 -788 +2850 2021 +815 1960 +-844 2030 +-1148 3620 +-1545 2797 +3541 1499 +-3209 3492 +-3458 3234 +3272 -1595 +-14 -3554 +-313 -1244 +-2048 1024 +-5541 2860 +458 1678 +1113 -3127 +-3783 -2910 +5793 2039 +-1309 1380 +-4208 -599 +3196 3620 +-2980 4297 +-150 -1056 +-1208 256 +5904 5356 +2981 2771 +-2023 -1539 +2403 -889 +-3072 0 +2403 889 +-2023 1539 +2981 -2771 +5904 -5356 +-1208 -256 +-150 1056 +-2980 -4297 +3196 -3620 +-4208 599 +-1309 -1380 +5793 -2039 +-3783 2910 +1113 3127 +458 -1678 +-5541 -2860 +-2048 -1024 +-313 1244 +-14 3554 +3272 1595 +-3458 -3234 +-3209 -3492 +3541 -1499 +-1545 -2797 +-1148 -3620 +-844 -2030 +815 -1960 +2850 -2021 +1336 788 +-6 3577 +-1317 3875 +1442 2465 +3072 4096 +-2272 -3266 +-2361 602 +-2797 3553 +-4050 808 +1171 7356 +-609 -2471 +-2260 -153 +-1024 1024 +-525 -2458 +1481 537 +3055 1117 +738 4424 +-4723 -3457 +2039 -2329 +5981 1306 +1024 0 +5981 -1306 +2039 2329 +-4723 3457 +738 -4424 +3055 -1117 +1481 -537 +-525 2458 +-1024 -1024 +-2260 153 +-609 2471 +1171 -7356 +-4050 -808 +-2797 -3553 +-2361 -602 +-2272 3266 +3072 -4096 +54 661 +-824 -1674 +-976 -4544 +-2942 -1408 +1447 1407 +2538 1546 +-48 1442 +-1024 1024 +4163 1500 +3583 -262 +-4786 -4386 +-1938 -928 +1815 -3774 +2345 -5735 +701 -365 +-3072 0 +701 365 +2345 5735 +1815 3774 +-1938 928 +-4786 4386 +3583 262 +4163 -1500 +-1024 -1024 +-48 -1442 +2538 -1546 +1447 -1407 +-2942 1408 +-976 4544 +-824 1674 +54 -661 +3072 4096 +-2272 -3266 +-2361 602 +-2797 3553 +-4050 808 +1171 7356 +-609 -2471 +-2260 -153 +-1024 1024 +-525 -2458 +1481 537 +3055 1117 +738 4424 +-4723 -3457 +2039 -2329 +5981 1306 +3072 0 +451 -807 +202 -2759 +334 2053 +-1278 0 +-1029 -5488 +-7117 -3582 +-1012 3343 +2048 -4944 +542 -2074 +1009 5562 +-5460 -3650 +2062 0 +2254 1754 +2149 1724 +5036 4644 +-1024 0 +5036 -4644 +2149 -1724 +2254 -1754 +2062 0 +-5460 3650 +1009 -5562 +542 2074 +2048 4944 +-1012 -3343 +-7117 3582 +-1029 5488 +-1278 0 +334 -2053 +202 2759 +451 807 +3072 0 +-2315 1894 +-5251 1690 +-4223 1009 +-170 0 +2154 2181 +1609 -3150 +1190 -922 +2048 848 +2177 1598 +403 3387 +1438 -2553 +-614 0 +-1261 4204 +-1196 4697 +-276 -661 +3072 0 +-276 661 +-1196 -4697 +-1261 -4204 +-614 0 +1438 2553 +403 -3387 +2177 -1598 +2048 -848 +1190 922 +1609 3150 +2154 -2181 +-170 0 +-4223 -1009 +-5251 -1690 +-2315 -1894 +3072 0 +451 -807 +202 -2759 +334 2053 +-1278 0 +-1029 -5488 +-7117 -3582 +-1012 3343 +2048 -4944 +542 -2074 +1009 5562 +-5460 -3650 +2062 0 +2254 1754 +2149 1724 +5036 4644 +0 2048 +-4930 -1246 +1190 -2459 +-2180 -3607 +300 -1013 +3027 190 +-774 -1237 +1381 2451 +-4520 -2896 +787 -4315 +-3260 -1060 +-8188 1469 +1748 5122 +-14 1729 +-302 -195 +1547 -1972 +0 0 +1547 1972 +-302 195 +-14 -1729 +1748 -5122 +-8188 -1469 +-3260 1060 +787 4315 +-4520 2896 +1381 -2451 +-774 1237 +3027 -190 +300 1013 +-2180 3607 +1190 2459 +-4930 1246 +0 -2048 +3372 -184 +3598 -1156 +393 -1691 +300 1013 +1245 2916 +-1339 -499 +334 -3151 +-1624 2896 +394 -2754 +-420 -4772 +1020 -2221 +1748 -5122 +-1096 3100 +1307 676 +2907 -8226 +4096 0 +2907 8226 +1307 -676 +-1096 -3100 +1748 5122 +1020 2221 +-420 4772 +394 2754 +-1624 -2896 +334 3151 +-1339 499 +1245 -2916 +300 -1013 +393 1691 +3598 1156 +3372 184 +0 2048 +-4930 -1246 +1190 -2459 +-2180 -3607 +300 -1013 +3027 190 +-774 -1237 +1381 2451 +-4520 -2896 +787 -4315 +-3260 -1060 +-8188 1469 +1748 5122 +-14 1729 +-302 -195 +1547 -1972 +-6144 5120 +709 4677 +1681 4895 +-1857 -284 +-1670 -2598 +1909 4336 +3416 2526 +-1342 -3468 +-300 -1324 +18 -1291 +2422 1140 +758 5880 +1116 -307 +-2952 -3682 +645 994 +3705 764 +-5120 0 +3705 -764 +645 -994 +-2952 3682 +1116 307 +758 -5880 +2422 -1140 +18 1291 +-300 1324 +-1342 3468 +3416 -2526 +1909 -4336 +-1670 2598 +-1857 284 +1681 -4895 +709 -4677 +-6144 -5120 +4293 -2704 +-1865 1277 +-5832 3131 +222 4646 +-1800 1663 +1972 -2017 +-4215 -1574 +-1748 -2772 +1516 -2422 +-818 568 +2237 -770 +332 -1741 +1744 -151 +739 -1814 +1109 -3255 +5120 0 +1109 3255 +739 1814 +1744 151 +332 1741 +2237 770 +-818 -568 +1516 2422 +-1748 2772 +-4215 1574 +1972 2017 +-1800 -1663 +222 -4646 +-5832 -3131 +-1865 -1277 +4293 2704 +-6144 5120 +709 4677 +1681 4895 +-1857 -284 +-1670 -2598 +1909 4336 +3416 2526 +-1342 -3468 +-300 -1324 +18 -1291 +2422 1140 +758 5880 +1116 -307 +-2952 -3682 +645 994 +3705 764 +5120 2048 +3737 -2018 +405 116 +-4643 -1940 +4738 -1228 +7728 2596 +-133 -878 +-848 -1007 +-4944 1448 +-638 1493 +-538 -2966 +-1265 4445 +938 2557 +925 -4988 +2275 5915 +874 1510 +1024 0 +874 -1510 +2275 -5915 +925 4988 +938 -2557 +-1265 -4445 +-538 2966 +-638 -1493 +-4944 -1448 +-848 1007 +-133 878 +7728 -2596 +4738 1228 +-4643 1940 +405 -116 +3737 2018 +5120 -2048 +1377 275 +1708 681 +-585 1886 +-3290 4124 +-703 -1497 +-871 731 +-626 1518 +848 -1448 +-1023 -5078 +-4250 -5374 +1809 750 +-2387 340 +-3265 837 +1405 3074 +-2853 844 +-3072 0 +-2853 -844 +1405 -3074 +-3265 -837 +-2387 -340 +1809 -750 +-4250 5374 +-1023 5078 +848 1448 +-626 -1518 +-871 -731 +-703 1497 +-3290 -4124 +-585 -1886 +1708 -681 +1377 -275 +5120 2048 +3737 -2018 +405 116 +-4643 -1940 +4738 -1228 +7728 2596 +-133 -878 +-848 -1007 +-4944 1448 +-638 1493 +-538 -2966 +-1265 4445 +938 2557 +925 -4988 +2275 5915 +874 1510 +4096 -1024 +-1336 -1558 +-559 -6311 +3254 992 +3198 646 +-347 1634 +-249 -2448 +1002 -2141 +-2772 -1748 +-2285 -1272 +-417 -3111 +1339 -5073 +3189 2140 +-1225 -1631 +-646 -362 +186 1212 +-3072 0 +186 -1212 +-646 362 +-1225 1631 +3189 -2140 +1339 5073 +-417 3111 +-2285 1272 +-2772 1748 +1002 2141 +-249 2448 +-347 -1634 +3198 -646 +3254 -992 +-559 6311 +-1336 1558 +4096 1024 +1691 4523 +-1829 -2693 +676 1677 +-4046 -1246 +-2539 2501 +2325 2095 +-2588 -4027 +-1324 -300 +87 -6464 +-2858 -2538 +-20 5423 +1756 1356 +-1138 516 +-3958 2446 +3244 186 +11264 0 +3244 -186 +-3958 -2446 +-1138 -516 +1756 -1356 +-20 -5423 +-2858 2538 +87 6464 +-1324 300 +-2588 4027 +2325 -2095 +-2539 -2501 +-4046 1246 +676 -1677 +-1829 2693 +1691 -4523 +4096 -1024 +-1336 -1558 +-559 -6311 +3254 992 +3198 646 +-347 1634 +-249 -2448 +1002 -2141 +-2772 -1748 +-2285 -1272 +-417 -3111 +1339 -5073 +3189 2140 +-1225 -1631 +-646 -362 +186 1212 +5120 -4096 +1354 2746 +1663 2121 +-2329 2279 +-4090 749 +324 -1814 +-772 445 +-474 4378 +3496 0 +1161 -276 +-6559 -680 +-4088 399 +1258 7040 +1543 1282 +794 257 +3460 -258 +7168 0 +3460 258 +794 -257 +1543 -1282 +1258 -7040 +-4088 -399 +-6559 680 +1161 276 +3496 0 +-474 -4378 +-772 -445 +324 1814 +-4090 -749 +-2329 -2279 +1663 -2121 +1354 -2746 +5120 4096 +-2774 951 +-3046 -2461 +672 1757 +-5199 100 +1466 1400 +-832 -4569 +-2688 -1114 +600 0 +-1783 -5595 +1170 -548 +731 -1462 +3934 -2096 +1682 2105 +-610 2299 +1744 -5181 +-1024 0 +1744 5181 +-610 -2299 +1682 -2105 +3934 2096 +731 1462 +1170 548 +-1783 5595 +600 0 +-2688 1114 +-832 4569 +1466 -1400 +-5199 -100 +672 -1757 +-3046 2461 +-2774 -951 +5120 -4096 +1354 2746 +1663 2121 +-2329 2279 +-4090 749 +324 -1814 +-772 445 +-474 4378 +3496 0 +1161 -276 +-6559 -680 +-4088 399 +1258 7040 +1543 1282 +794 257 +3460 -258 +3072 4096 +1726 941 +-120 133 +3993 611 +1462 600 +189 4389 +-2441 4701 +2623 1120 +600 0 +-4484 -2688 +5860 -1852 +-5272 2020 +-3666 -3496 +455 -6968 +-3392 1238 +2716 5561 +1024 0 +2716 -5561 +-3392 -1238 +455 6968 +-3666 3496 +-5272 -2020 +5860 1852 +-4484 2688 +600 0 +2623 -1120 +-2441 -4701 +189 -4389 +1462 -600 +3993 -611 +-120 -133 +1726 -941 +3072 -4096 +-712 -3227 +-425 -1242 +1923 402 +-1214 600 +-1421 -3023 +-339 -2025 +-186 1123 +3496 0 +-170 3052 +2713 4527 +1153 -1910 +-4774 -3496 +-1019 -1468 +-1855 -2347 +-1514 -1534 +1024 0 +-1514 1534 +-1855 2347 +-1019 1468 +-4774 3496 +1153 1910 +2713 -4527 +-170 -3052 +3496 0 +-186 -1123 +-339 2025 +-1421 3023 +-1214 -600 +1923 -402 +-425 1242 +-712 3227 +3072 4096 +1726 941 +-120 133 +3993 611 +1462 600 +189 4389 +-2441 4701 +2623 1120 +600 0 +-4484 -2688 +5860 -1852 +-5272 2020 +-3666 -3496 +455 -6968 +-3392 1238 +2716 5561 +0 2048 +-1944 -1846 +-621 -491 +-3161 -1276 +-4194 1228 +2753 3635 +-1354 158 +3265 -1084 +4769 -1448 +-969 1205 +2763 -187 +4465 -5157 +1822 -2557 +2616 1653 +-1188 1158 +-1415 -1419 +4096 0 +-1415 1419 +-1188 -1158 +2616 -1653 +1822 2557 +4465 5157 +2763 187 +-969 -1205 +4769 1448 +3265 1084 +-1354 -158 +2753 -3635 +-4194 -1228 +-3161 1276 +-621 491 +-1944 1846 +0 -2048 +-4559 -136 +-1492 1118 +468 -4135 +698 -4124 +-2179 -4084 +2358 2999 +2383 5427 +-6817 1448 +-7 4338 +2025 -752 +992 -2284 +-2422 -340 +-161 -72 +-2492 3566 +-2547 -1762 +8192 0 +-2547 1762 +-2492 -3566 +-161 72 +-2422 340 +992 2284 +2025 752 +-7 -4338 +-6817 -1448 +2383 -5427 +2358 -2999 +-2179 4084 +698 4124 +468 4135 +-1492 -1118 +-4559 136 +0 2048 +-1944 -1846 +-621 -491 +-3161 -1276 +-4194 1228 +2753 3635 +-1354 158 +3265 -1084 +4769 -1448 +-969 1205 +2763 -187 +4465 -5157 +1822 -2557 +2616 1653 +-1188 1158 +-1415 -1419 +2048 1024 +4098 -1445 +-2672 982 +-3828 -1008 +2974 1727 +-524 766 +1058 -1733 +903 920 +-3620 -300 +-1249 1208 +2386 1785 +2599 -913 +-1480 380 +-596 243 +1301 -3114 +-724 2059 +-1024 0 +-724 -2059 +1301 3114 +-596 -243 +-1480 -380 +2599 913 +2386 -1785 +-1249 -1208 +-3620 300 +903 -920 +1058 1733 +-524 -766 +2974 -1727 +-3828 1008 +-2672 -982 +4098 1445 +2048 -1024 +2422 97 +-4609 -4102 +-3369 -3321 +4866 2969 +-2090 -1644 +-3226 2857 +1775 -471 +3620 -1748 +2796 -4544 +-1419 -3558 +-1994 1603 +-2264 -7972 +-1784 -3005 +-1013 -2902 +1564 -7191 +5120 0 +1564 7191 +-1013 2902 +-1784 3005 +-2264 7972 +-1994 -1603 +-1419 3558 +2796 4544 +3620 1748 +1775 471 +-3226 -2857 +-2090 1644 +4866 -2969 +-3369 3321 +-4609 4102 +2422 -97 +2048 1024 +4098 -1445 +-2672 982 +-3828 -1008 +2974 1727 +-524 766 +1058 -1733 +903 920 +-3620 -300 +-1249 1208 +2386 1785 +2599 -913 +-1480 380 +-596 243 +1301 -3114 +-724 2059 +2048 -4096 +-5266 -4018 +332 -2026 +2457 -1223 +1168 -3026 +4571 -443 +222 -2714 +-3890 1803 +-2472 1448 +-3539 -3328 +-1670 -178 +805 -1251 +-60 -1762 +5680 1283 +1116 1647 +-3265 -7547 +2048 0 +-3265 7547 +1116 -1647 +5680 -1283 +-60 1762 +805 1251 +-1670 178 +-3539 3328 +-2472 -1448 +-3890 -1803 +222 2714 +4571 443 +1168 3026 +2457 1223 +332 2026 +-5266 4018 +2048 4096 +1993 419 +332 1686 +14 5464 +-2616 -1918 +1958 -3250 +222 -1410 +3081 2465 +424 -1448 +-5228 -5636 +-1670 -1049 +-3109 1005 +1508 914 +-790 -1788 +1116 909 +4529 -1093 +-2048 0 +4529 1093 +1116 -909 +-790 1788 +1508 -914 +-3109 -1005 +-1670 1049 +-5228 5636 +424 1448 +3081 -2465 +222 1410 +1958 3250 +-2616 1918 +14 -5464 +332 -1686 +1993 -419 +2048 -4096 +-5266 -4018 +332 -2026 +2457 -1223 +1168 -3026 +4571 -443 +222 -2714 +-3890 1803 +-2472 1448 +-3539 -3328 +-1670 -178 +805 -1251 +-60 -1762 +5680 1283 +1116 1647 +-3265 -7547 +-2048 -3072 +-242 -3225 +2299 -2145 +-1933 -782 +3922 3503 +3217 1895 +-496 -2314 +-2602 997 +-6093 2772 +-681 -1265 +1700 -3514 +-2973 -1103 +2465 4516 +-1256 6503 +-2581 2317 +4465 -729 +3072 0 +4465 729 +-2581 -2317 +-1256 -6503 +2465 -4516 +-2973 1103 +1700 3514 +-681 1265 +-6093 -2772 +-2602 -997 +-496 2314 +3217 -1895 +3922 -3503 +-1933 782 +2299 2145 +-242 3225 +-2048 3072 +4600 1651 +1161 3881 +-461 1735 +-3322 -606 +863 4575 +-2505 2795 +-3875 -2029 +4045 1324 +-649 1432 +1300 -101 +430 580 +1032 -1620 +-3680 1443 +-878 3515 +4776 -2045 +-3072 0 +4776 2045 +-878 -3515 +-3680 -1443 +1032 1620 +430 -580 +1300 101 +-649 -1432 +4045 -1324 +-3875 2029 +-2505 -2795 +863 -4575 +-3322 606 +-461 -1735 +1161 -3881 +4600 -1651 +-2048 -3072 +-242 -3225 +2299 -2145 +-1933 -782 +3922 3503 +3217 1895 +-496 -2314 +-2602 997 +-6093 2772 +-681 -1265 +1700 -3514 +-2973 -1103 +2465 4516 +-1256 6503 +-2581 2317 +4465 -729 +0 -1024 +634 2253 +2381 -121 +1300 -1979 +1814 -2538 +956 -151 +2484 872 +2361 606 +-124 8141 +-740 3894 +-1026 -482 +-83 -1786 +-2200 -2924 +1719 3255 +-815 -2278 +-5036 955 +-1024 0 +-5036 -955 +-815 2278 +1719 -3255 +-2200 2924 +-83 1786 +-1026 482 +-740 -3894 +-124 -8141 +2361 -606 +2484 -872 +956 151 +1814 2538 +1300 1979 +2381 121 +634 -2253 +0 1024 +-1257 4085 +2852 -7943 +4881 -6988 +-3862 3138 +-1965 -3801 +-2365 -597 +-617 995 +4220 -1997 +-2332 -1743 +-1990 -443 +204 -22 +152 -572 +-1220 3905 +-1521 1207 +1195 3535 +1024 0 +1195 -3535 +-1521 -1207 +-1220 -3905 +152 572 +204 22 +-1990 443 +-2332 1743 +4220 1997 +-617 -995 +-2365 597 +-1965 3801 +-3862 -3138 +4881 6988 +2852 7943 +-1257 -4085 +0 -1024 +634 2253 +2381 -121 +1300 -1979 +1814 -2538 +956 -151 +2484 872 +2361 606 +-124 8141 +-740 3894 +-1026 -482 +-83 -1786 +-2200 -2924 +1719 3255 +-815 -2278 +-5036 955 +-3072 0 +-2114 1111 +299 -3917 +5341 -1010 +1508 1219 +-3267 -2887 +-1459 1773 +-2877 410 +-2048 -1448 +-2731 -906 +-604 -247 +-2412 -653 +1168 4678 +4827 4174 +1915 -5137 +1227 3282 +-1024 0 +1227 -3282 +1915 5137 +4827 -4174 +1168 -4678 +-2412 653 +-604 247 +-2731 906 +-2048 1448 +-2877 -410 +-1459 -1773 +-3267 2887 +1508 -1219 +5341 1010 +299 3917 +-2114 -1111 +-3072 0 +1179 -5039 +965 1073 +1859 6114 +-60 1678 +1372 4162 +5399 423 +350 2143 +-2048 1448 +-2072 563 +760 6539 +-3500 -968 +-2616 -1782 +1574 3826 +917 -1803 +1244 -4313 +-1024 0 +1244 4313 +917 1803 +1574 -3826 +-2616 1782 +-3500 968 +760 -6539 +-2072 -563 +-2048 -1448 +350 -2143 +5399 -423 +1372 -4162 +-60 -1678 +1859 -6114 +965 -1073 +1179 5039 +-3072 0 +-2114 1111 +299 -3917 +5341 -1010 +1508 1219 +-3267 -2887 +-1459 1773 +-2877 410 +-2048 -1448 +-2731 -906 +-604 -247 +-2412 -653 +1168 4678 +4827 4174 +1915 -5137 +1227 3282 +1024 -1024 +-369 -2738 +108 -3703 +-358 -3684 +-2165 -1984 +513 -1186 +-520 2049 +-2093 5437 +300 -3196 +2626 -4265 +2479 606 +-2762 1199 +5070 -1586 +306 -3302 +-5186 2123 +-199 -193 +-4096 0 +-199 193 +-5186 -2123 +306 3302 +5070 1586 +-2762 -1199 +2479 -606 +2626 4265 +300 3196 +-2093 -5437 +-520 -2049 +513 1186 +-2165 1984 +-358 3684 +108 3703 +-369 2738 +1024 1024 +-847 -2034 +-669 -4841 +5223 2405 +-732 2584 +2044 2669 +6432 4058 +702 -5116 +1748 1148 +332 4275 +298 206 +-3580 2812 +-2174 -1910 +-1387 -3641 +-2943 420 +-152 3301 +-2048 0 +-152 -3301 +-2943 -420 +-1387 3641 +-2174 1910 +-3580 -2812 +298 -206 +332 -4275 +1748 -1148 +702 5116 +6432 -4058 +2044 -2669 +-732 -2584 +5223 -2405 +-669 4841 +-847 2034 +1024 -1024 +-369 -2738 +108 -3703 +-358 -3684 +-2165 -1984 +513 -1186 +-520 2049 +-2093 5437 +300 -3196 +2626 -4265 +2479 606 +-2762 1199 +5070 -1586 +306 -3302 +-5186 2123 +-199 -193 +3072 0 +2122 3316 +-2033 1444 +1678 2913 +-3725 -554 +-2246 -8823 +1833 -3991 +-1519 4471 +1448 1448 +-168 -4054 +1878 -1721 +1109 -907 +4184 -1338 +1268 -2279 +730 -4006 +2526 505 +-5120 0 +2526 -505 +730 4006 +1268 2279 +4184 1338 +1109 907 +1878 1721 +-168 4054 +1448 -1448 +-1519 -4471 +1833 3991 +-2246 8823 +-3725 554 +1678 -2913 +-2033 -1444 +2122 -3316 +3072 0 +4104 -1148 +141 -2700 +-1381 541 +2276 554 +-2634 758 +-2617 -2321 +308 -813 +-1448 -1448 +-6190 -3952 +-1095 3600 +636 73 +-2735 1338 +1570 4772 +1162 -5442 +-1183 -1808 +-1024 0 +-1183 1808 +1162 5442 +1570 -4772 +-2735 -1338 +636 -73 +-1095 -3600 +-6190 3952 +-1448 1448 +308 813 +-2617 2321 +-2634 -758 +2276 -554 +-1381 -541 +141 2700 +4104 1148 +3072 0 +2122 3316 +-2033 1444 +1678 2913 +-3725 -554 +-2246 -8823 +1833 -3991 +-1519 4471 +1448 1448 +-168 -4054 +1878 -1721 +1109 -907 +4184 -1338 +1268 -2279 +730 -4006 +2526 505 +4096 -1024 +-2868 394 +3123 -377 +1243 2780 +946 2270 +2136 -3335 +-1051 1784 +-380 5526 +1324 4396 +-294 -1577 +-829 1125 +4017 3214 +-392 -2380 +-3182 2064 +1005 -1276 +3118 -564 +5120 0 +3118 564 +1005 1276 +-3182 -2064 +-392 2380 +4017 -3214 +-829 -1125 +-294 1577 +1324 -4396 +-380 -5526 +-1051 -1784 +2136 3335 +946 -2270 +1243 -2780 +3123 377 +-2868 -394 +4096 1024 +-117 4933 +-7846 3429 +3352 341 +-946 378 +-2791 -1125 +112 -152 +-763 356 +2772 5844 +-1460 2787 +-2327 -1190 +-466 -1642 +392 -3164 +1483 1295 +-377 -5560 +-3029 -4574 +-5120 0 +-3029 4574 +-377 5560 +1483 -1295 +392 3164 +-466 1642 +-2327 1190 +-1460 -2787 +2772 -5844 +-763 -356 +112 152 +-2791 1125 +-946 -378 +3352 -341 +-7846 -3429 +-117 -4933 +4096 -1024 +-2868 394 +3123 -377 +1243 2780 +946 2270 +2136 -3335 +-1051 1784 +-380 5526 +1324 4396 +-294 -1577 +-829 1125 +4017 3214 +-392 -2380 +-3182 2064 +1005 -1276 +3118 -564 +-2048 -2048 +-3333 -785 +382 -751 +-1960 -8346 +-580 -2902 +2490 -128 +3506 2500 +-1205 3675 +0 -1024 +835 3332 +389 742 +-1392 -1581 +-360 2458 +4261 1387 +1355 2528 +2429 1051 +6144 0 +2429 -1051 +1355 -2528 +4261 -1387 +-360 -2458 +-1392 1581 +389 -742 +835 -3332 +0 1024 +-1205 -3675 +3506 -2500 +2490 128 +-580 2902 +-1960 8346 +382 751 +-3333 785 +-2048 2048 +5153 -3468 +-2458 307 +-3243 -60 +-4364 -1794 +-606 -1676 +1098 -269 +-3401 2981 +0 -1024 +-13 -901 +1998 -1407 +-2059 -2231 +1208 5134 +2510 -217 +1920 -5868 +-465 2057 +-10240 0 +-465 -2057 +1920 5868 +2510 217 +1208 -5134 +-2059 2231 +1998 1407 +-13 901 +0 1024 +-3401 -2981 +1098 269 +-606 1676 +-4364 1794 +-3243 60 +-2458 -307 +5153 3468 +-2048 -2048 +-3333 -785 +382 -751 +-1960 -8346 +-580 -2902 +2490 -128 +3506 2500 +-1205 3675 +0 -1024 +835 3332 +389 742 +-1392 -1581 +-360 2458 +4261 1387 +1355 2528 +2429 1051 +3072 -3072 +4563 1158 +-4610 464 +-4699 983 +1886 -802 +-1992 1656 +750 2036 +-1155 -237 +-3196 -2348 +3160 -4607 +-1760 848 +-152 1642 +4332 692 +-3298 2169 +-3037 1053 +-2274 -2194 +-6144 0 +-2274 2194 +-3037 -1053 +-3298 -2169 +4332 -692 +-152 -1642 +-1760 -848 +3160 4607 +-3196 2348 +-1155 237 +750 -2036 +-1992 -1656 +1886 802 +-4699 -983 +-4610 -464 +4563 -1158 +3072 3072 +-1296 -685 +-2241 -501 +3770 1469 +2210 -2694 +457 2084 +8007 1565 +3757 766 +1148 -3796 +918 672 +-2404 1553 +358 -4583 +-236 -92 +-237 -604 +-2897 5903 +-1882 3996 +4096 0 +-1882 -3996 +-2897 -5903 +-237 604 +-236 92 +358 4583 +-2404 -1553 +918 -672 +1148 3796 +3757 -766 +8007 -1565 +457 -2084 +2210 2694 +3770 -1469 +-2241 501 +-1296 685 +3072 -3072 +4563 1158 +-4610 464 +-4699 983 +1886 -802 +-1992 1656 +750 2036 +-1155 -237 +-3196 -2348 +3160 -4607 +-1760 848 +-152 1642 +4332 692 +-3298 2169 +-3037 1053 +-2274 -2194 +-3072 2048 +1684 -511 +1309 81 +1829 5179 +2856 -4918 +-231 -3735 +-1109 3196 +-1209 -925 +2048 848 +-145 -2520 +-1154 2403 +-1913 3672 +-2376 -2546 +-5242 -3705 +-1454 -7742 +1124 1698 +-5120 0 +1124 -1698 +-1454 7742 +-5242 3705 +-2376 2546 +-1913 -3672 +-1154 -2403 +-145 2520 +2048 -848 +-1209 925 +-1109 -3196 +-231 3735 +2856 4918 +1829 -5179 +1309 -81 +1684 511 +-3072 -2048 +989 -1981 +-865 -2586 +318 -899 +640 -26 +-1972 1022 +3341 3124 +3840 -367 +2048 -4944 +1977 3812 +1819 5116 +-2565 -960 +2976 1698 +3983 -575 +-1886 -1755 +-2468 794 +-5120 0 +-2468 -794 +-1886 1755 +3983 575 +2976 -1698 +-2565 960 +1819 -5116 +1977 -3812 +2048 4944 +3840 367 +3341 -3124 +-1972 -1022 +640 26 +318 899 +-865 2586 +989 1981 +-3072 2048 +1684 -511 +1309 81 +1829 5179 +2856 -4918 +-231 -3735 +-1109 3196 +-1209 -925 +2048 848 +-145 -2520 +-1154 2403 +-1913 3672 +-2376 -2546 +-5242 -3705 +-1454 -7742 +1124 1698 +3072 0 +1566 307 +-6598 1886 +3573 202 +2930 1364 +2313 -7312 +1105 -2714 +-3857 1480 +0 -2048 +1533 1033 +2051 1032 +-2289 1516 +-1978 -2252 +1258 -4361 +-3988 -1916 +-4017 2071 +-1024 0 +-4017 -2071 +-3988 1916 +1258 4361 +-1978 2252 +-2289 -1516 +2051 -1032 +1533 -1033 +0 2048 +-3857 -1480 +1105 2714 +2313 7312 +2930 -1364 +3573 -202 +-6598 -1886 +1566 -307 +3072 0 +-3942 484 +1929 43 +-2437 -3048 +-3530 3581 +-786 -1049 +-1328 -1670 +-548 -1232 +0 -2048 +3760 -417 +6861 -4217 +5227 899 +-1519 3100 +-1065 -1693 +-32 -3148 +-288 1486 +3072 0 +-288 -1486 +-32 3148 +-1065 1693 +-1519 -3100 +5227 -899 +6861 4217 +3760 417 +0 2048 +-548 1232 +-1328 1670 +-786 1049 +-3530 -3581 +-2437 3048 +1929 -43 +-3942 -484 +3072 0 +1566 307 +-6598 1886 +3573 202 +2930 1364 +2313 -7312 +1105 -2714 +-3857 1480 +0 -2048 +1533 1033 +2051 1032 +-2289 1516 +-1978 -2252 +1258 -4361 +-3988 -1916 +-4017 2071 +1024 -1024 +-214 4333 +4600 -2478 +1235 3466 +765 -1526 +-2139 -3786 +-3287 777 +880 1266 +-2772 2172 +-2150 213 +-323 1543 +-1368 -2254 +3092 -32 +1495 2580 +-483 -697 +952 2475 +0 0 +952 -2475 +-483 697 +1495 -2580 +3092 32 +-1368 2254 +-323 -1543 +-2150 -213 +-2772 -2172 +880 -1266 +-3287 -777 +-2139 3786 +765 1526 +1235 -3466 +4600 2478 +-214 -4333 +1024 1024 +5906 4379 +-321 -1646 +-1471 -1472 +-4262 -3418 +-6956 -1210 +1995 -3334 +3004 1866 +-1324 -2172 +274 -6217 +2815 -1204 +3102 -3391 +-3692 -816 +-5484 -1234 +3195 -531 +2933 -2898 +-2048 0 +2933 2898 +3195 531 +-5484 1234 +-3692 816 +3102 3391 +2815 1204 +274 6217 +-1324 2172 +3004 -1866 +1995 3334 +-6956 1210 +-4262 3418 +-1471 1472 +-321 1646 +5906 -4379 +1024 -1024 +-214 4333 +4600 -2478 +1235 3466 +765 -1526 +-2139 -3786 +-3287 777 +880 1266 +-2772 2172 +-2150 213 +-323 1543 +-1368 -2254 +3092 -32 +1495 2580 +-483 -697 +952 2475 +-2048 1024 +-2156 5676 +2499 4104 +-1151 -6611 +1860 -692 +-768 350 +1249 1348 +4515 -867 +724 -1748 +1193 3454 +-3718 -2229 +487 -2132 +2634 2694 +-5256 1288 +-1117 -1848 +1685 1887 +-1024 0 +1685 -1887 +-1117 1848 +-5256 -1288 +2634 -2694 +487 2132 +-3718 2229 +1193 -3454 +724 1748 +4515 867 +1249 -1348 +-768 -350 +1860 692 +-1151 6611 +2499 -4104 +-2156 -5676 +-2048 -1024 +2851 1456 +58 3501 +957 -997 +-2708 92 +-890 -6892 +-21 -8083 +-3545 -3575 +-724 -300 +-834 -1553 +-406 -3306 +2059 3526 +2310 802 +-1231 1439 +1457 2461 +2084 -2396 +-3072 0 +2084 2396 +1457 -2461 +-1231 -1439 +2310 -802 +2059 -3526 +-406 3306 +-834 1553 +-724 300 +-3545 3575 +-21 8083 +-890 6892 +-2708 -92 +957 997 +58 -3501 +2851 -1456 +-2048 1024 +-2156 5676 +2499 4104 +-1151 -6611 +1860 -692 +-768 350 +1249 1348 +4515 -867 +724 -1748 +1193 3454 +-3718 -2229 +487 -2132 +2634 2694 +-5256 1288 +-1117 -1848 +1685 1887 +3072 -5120 +554 -2340 +322 -1105 +-1471 5409 +-3828 -2765 +-4948 -119 +2598 2828 +1783 -3541 +-3620 -124 +-402 451 +-756 -4568 +802 -2946 +1070 -1574 +2918 -2897 +3253 2330 +-404 -1374 +0 0 +-404 1374 +3253 -2330 +2918 2897 +1070 1574 +802 2946 +-756 4568 +-402 -451 +-3620 124 +1783 3541 +2598 -2828 +-4948 119 +-3828 2765 +-1471 -5409 +322 1105 +554 2340 +3072 5120 +1297 1809 +55 -3618 +1734 2426 +-4612 -1331 +-4025 608 +-3122 -1889 +-972 -319 +3620 4220 +271 802 +-417 1412 +-77 980 +-822 5670 +-726 2485 +6259 -2958 +3666 163 +-6144 0 +3666 -163 +6259 2958 +-726 -2485 +-822 -5670 +-77 -980 +-417 -1412 +271 -802 +3620 -4220 +-972 319 +-3122 1889 +-4025 -608 +-4612 1331 +1734 -2426 +55 3618 +1297 -1809 +3072 -5120 +554 -2340 +322 -1105 +-1471 5409 +-3828 -2765 +-4948 -119 +2598 2828 +1783 -3541 +-3620 -124 +-402 451 +-756 -4568 +802 -2946 +1070 -1574 +2918 -2897 +3253 2330 +-404 -1374 +-1024 0 +1716 1147 +-1636 -32 +-801 -3248 +-2376 -758 +-529 2973 +516 -610 +-663 4598 +0 5544 +1704 2309 +5382 -1808 +10 -3587 +640 195 +4307 657 +-245 3571 +-493 -4304 +1024 0 +-493 4304 +-245 -3571 +4307 -657 +640 -195 +10 3587 +5382 1808 +1704 -2309 +0 -5544 +-663 -4598 +516 610 +-529 -2973 +-2376 758 +-801 3248 +-1636 32 +1716 -1147 +-1024 0 +-457 -501 +971 -1468 +-1830 773 +2976 5702 +-2144 3718 +-960 -5930 +2865 -702 +0 2648 +2774 1219 +-2041 -138 +1334 -498 +2856 654 +-6140 76 +-1987 7715 +-1654 2182 +-7168 0 +-1654 -2182 +-1987 -7715 +-6140 -76 +2856 -654 +1334 498 +-2041 138 +2774 -1219 +0 -2648 +2865 702 +-960 5930 +-2144 -3718 +2976 -5702 +-1830 -773 +971 1468 +-457 501 +-1024 0 +1716 1147 +-1636 -32 +-801 -3248 +-2376 -758 +-529 2973 +516 -610 +-663 4598 +0 5544 +1704 2309 +5382 -1808 +10 -3587 +640 195 +4307 657 +-245 3571 +-493 -4304 +-2048 0 +-1496 7127 +113 3685 +-1218 -2073 +2217 3666 +1921 996 +-743 99 +1635 -1417 +0 -424 +-2941 639 +1308 133 +3679 2529 +-5352 -1214 +-6499 753 +-1722 1969 +-187 2463 +2048 0 +-187 -2463 +-1722 -1969 +-6499 -753 +-5352 1214 +3679 -2529 +1308 -133 +-2941 -639 +0 424 +1635 1417 +-743 -99 +1921 -996 +2217 -3666 +-1218 2073 +113 -3685 +-1496 -7127 +-2048 0 +961 1363 +4130 1104 +-1029 4365 +-2217 4774 +2169 1396 +-4149 2014 +-56 -3621 +0 2472 +-1773 4212 +3584 -3813 +-200 1559 +5352 1462 +1177 -158 +-2521 -2974 +3857 -3861 +2048 0 +3857 3861 +-2521 2974 +1177 158 +5352 -1462 +-200 -1559 +3584 3813 +-1773 -4212 +0 -2472 +-56 3621 +-4149 -2014 +2169 -1396 +-2217 -4774 +-1029 -4365 +4130 -1104 +961 -1363 +-2048 0 +-1496 7127 +113 3685 +-1218 -2073 +2217 3666 +1921 996 +-743 99 +1635 -1417 +0 -424 +-2941 639 +1308 133 +3679 2529 +-5352 -1214 +-6499 753 +-1722 1969 +-187 2463 +-2048 -3072 +4543 2851 +1924 288 +1376 -2829 +-717 1116 +-3257 -634 +5869 -753 +-406 -1326 +-3021 300 +-5 -2780 +-2346 -764 +-1727 4382 +3622 -222 +2727 3355 +818 3301 +1589 -3819 +-1024 0 +1589 3819 +818 -3301 +2727 -3355 +3622 222 +-1727 -4382 +-2346 764 +-5 2780 +-3021 -300 +-406 1326 +5869 753 +-3257 634 +-717 -1116 +1376 2829 +1924 -288 +4543 -2851 +-2048 3072 +4710 -2268 +293 -184 +-3440 1417 +717 332 +-1083 1276 +-517 -5603 +1150 -2055 +7117 1748 +2837 1279 +-3005 201 +-5076 -2484 +-3622 1670 +-1104 4681 +-3034 2596 +-2833 -1911 +-3072 0 +-2833 1911 +-3034 -2596 +-1104 -4681 +-3622 -1670 +-5076 2484 +-3005 -201 +2837 -1279 +7117 -1748 +1150 2055 +-517 5603 +-1083 -1276 +717 -332 +-3440 -1417 +293 184 +4710 2268 +-2048 -3072 +4543 2851 +1924 288 +1376 -2829 +-717 1116 +-3257 -634 +5869 -753 +-406 -1326 +-3021 300 +-5 -2780 +-2346 -764 +-1727 4382 +3622 -222 +2727 3355 +818 3301 +1589 -3819 +2048 -7168 +237 -4485 +354 305 +3253 2712 +3576 2564 +3150 2569 +769 -946 +655 -3055 +2772 -3796 +699 205 +-2189 1090 +-5354 -3873 +-4118 1226 +1051 -1946 +-1559 -3524 +-1309 4109 +3072 0 +-1309 -4109 +-1559 3524 +1051 1946 +-4118 -1226 +-5354 3873 +-2189 -1090 +699 -205 +2772 3796 +655 3055 +769 946 +3150 -2569 +3576 -2564 +3253 -2712 +354 -305 +237 4485 +2048 7168 +868 -917 +754 -2197 +-3426 2679 +-4776 1780 +3402 335 +1907 1729 +-3135 4589 +1324 -2348 +1781 1667 +-486 -307 +-1198 170 +-2875 3118 +-878 -7462 +450 1631 +204 -982 +1024 0 +204 982 +450 -1631 +-878 7462 +-2875 -3118 +-1198 -170 +-486 307 +1781 -1667 +1324 2348 +-3135 -4589 +1907 -1729 +3402 -335 +-4776 -1780 +-3426 -2679 +754 2197 +868 917 +2048 -7168 +237 -4485 +354 305 +3253 2712 +3576 2564 +3150 2569 +769 -946 +655 -3055 +2772 -3796 +699 205 +-2189 1090 +-5354 -3873 +-4118 1226 +1051 -1946 +-1559 -3524 +-1309 4109 +0 -5120 +-2976 51 +270 121 +-1022 1710 +-776 -972 +2027 567 +-442 1912 +2483 -6844 +-300 724 +-6482 18 +-5989 -5190 +1883 542 +1006 1306 +160 1121 +-787 -2043 +-1971 -809 +3072 0 +-1971 809 +-787 2043 +160 -1121 +1006 -1306 +1883 -542 +-5989 5190 +-6482 -18 +-300 -724 +2483 6844 +-442 -1912 +2027 -567 +-776 972 +-1022 -1710 +270 -121 +-2976 -51 +0 5120 +-3147 843 +-2502 -1348 +-883 -2103 +2224 -3972 +3731 961 +3782 -1573 +6182 -808 +-1748 -724 +-1974 211 +5545 2633 +5071 -4677 +-2454 -2154 +619 1014 +123 -2081 +-3699 1392 +1024 0 +-3699 -1392 +123 2081 +619 -1014 +-2454 2154 +5071 4677 +5545 -2633 +-1974 -211 +-1748 724 +6182 808 +3782 1573 +3731 -961 +2224 3972 +-883 2103 +-2502 1348 +-3147 -843 +0 -5120 +-2976 51 +270 121 +-1022 1710 +-776 -972 +2027 567 +-442 1912 +2483 -6844 +-300 724 +-6482 18 +-5989 -5190 +1883 542 +1006 1306 +160 1121 +-787 -2043 +-1971 -809 +-1024 -6144 +1396 1337 +4211 3327 +-96 2707 +2132 -326 +2430 -4150 +3808 -2305 +5176 2677 +-1024 -1024 +-682 -1148 +-3314 -1573 +-4663 -1212 +-1652 3446 +-2360 -4469 +167 -3771 +851 -419 +-1024 0 +851 419 +167 3771 +-2360 4469 +-1652 -3446 +-4663 1212 +-3314 1573 +-682 1148 +-1024 1024 +5176 -2677 +3808 2305 +2430 4150 +2132 326 +-96 -2707 +4211 -3327 +1396 -1337 +-1024 6144 +-1744 1028 +-427 2401 +2288 7076 +-84 -5218 +-426 -717 +-2240 5046 +955 2166 +-1024 -1024 +-2553 1656 +1747 2617 +-238 -4231 +3700 -798 +-2728 -309 +-3951 -390 +2394 849 +-1024 0 +2394 -849 +-3951 390 +-2728 309 +3700 798 +-238 4231 +1747 -2617 +-2553 -1656 +-1024 1024 +955 -2166 +-2240 -5046 +-426 717 +-84 5218 +2288 -7076 +-427 -2401 +-1744 -1028 +-1024 -6144 +1396 1337 +4211 3327 +-96 2707 +2132 -326 +2430 -4150 +3808 -2305 +5176 2677 +-1024 -1024 +-682 -1148 +-3314 -1573 +-4663 -1212 +-1652 3446 +-2360 -4469 +167 -3771 +851 -419 +3072 -3072 +3810 4601 +2584 597 +592 2537 +-3672 6564 +-1004 -3994 +2054 1648 +-1212 -829 +-5668 1324 +52 4174 +3494 -444 +413 1316 +3902 -2654 +2106 1779 +973 -879 +2375 -4415 +0 0 +2375 4415 +973 879 +2106 -1779 +3902 2654 +413 -1316 +3494 444 +52 -4174 +-5668 -1324 +-1212 829 +2054 -1648 +-1004 3994 +-3672 -6564 +592 -2537 +2584 -597 +3810 -4601 +3072 3072 +-3410 2140 +-2021 2300 +-1729 1951 +-672 428 +2707 -307 +-2158 1248 +-797 568 +1572 2772 +1957 5454 +2403 -2452 +-2115 -3920 +442 1455 +-968 1012 +-7329 -2017 +-2774 1268 +2048 0 +-2774 -1268 +-7329 2017 +-968 -1012 +442 -1455 +-2115 3920 +2403 2452 +1957 -5454 +1572 -2772 +-797 -568 +-2158 -1248 +2707 307 +-672 -428 +-1729 -1951 +-2021 -2300 +-3410 -2140 +3072 -3072 +3810 4601 +2584 597 +592 2537 +-3672 6564 +-1004 -3994 +2054 1648 +-1212 -829 +-5668 1324 +52 4174 +3494 -444 +413 1316 +3902 -2654 +2106 1779 +973 -879 +2375 -4415 +-1024 -2048 +3327 -1120 +174 4433 +-1483 185 +3230 -1592 +-1696 1597 +-2580 -1661 +2812 4400 +-1872 -2472 +-886 -415 +2525 477 +-384 -8294 +-230 -2532 +-2448 -799 +-3053 2585 +-1775 -4204 +-3072 0 +-1775 4204 +-3053 -2585 +-2448 799 +-230 2532 +-384 8294 +2525 -477 +-886 415 +-1872 2472 +2812 -4400 +-2580 1661 +-1696 -1597 +3230 1592 +-1483 -185 +174 -4433 +3327 1120 +-1024 2048 +-1270 2776 +2842 1215 +967 1427 +-3230 2192 +-1805 -2935 +-2652 -7343 +-1463 -1357 +3920 424 +1105 635 +-189 1607 +101 -3141 +230 -964 +6749 505 +2934 -2232 +-1849 -5155 +1024 0 +-1849 5155 +2934 2232 +6749 -505 +230 964 +101 3141 +-189 -1607 +1105 -635 +3920 -424 +-1463 1357 +-2652 7343 +-1805 2935 +-3230 -2192 +967 -1427 +2842 -1215 +-1270 -2776 +-1024 -2048 +3327 -1120 +174 4433 +-1483 185 +3230 -1592 +-1696 1597 +-2580 -1661 +2812 4400 +-1872 -2472 +-886 -415 +2525 477 +-384 -8294 +-230 -2532 +-2448 -799 +-3053 2585 +-1775 -4204 +-1024 0 +-90 -1507 +5126 3715 +13 6127 +1519 -424 +1419 -745 +-1086 1683 +3123 -1732 +5544 2048 +50 -2409 +-1960 -2018 +3685 857 +-2930 -2472 +-4893 6700 +-355 5318 +-2656 3326 +-3072 0 +-2656 -3326 +-355 -5318 +-4893 -6700 +-2930 2472 +3685 -857 +-1960 2018 +50 2409 +5544 -2048 +3123 1732 +-1086 -1683 +1419 745 +1519 424 +13 -6127 +5126 -3715 +-90 1507 +-1024 0 +206 -1019 +-3834 -2578 +906 -597 +1978 -424 +502 -2623 +-1626 2294 +-832 -1658 +2648 2048 +1442 586 +-2320 -5093 +-4039 -442 +3530 -2472 +2406 2615 +-2137 1114 +-1245 -4283 +-3072 0 +-1245 4283 +-2137 -1114 +2406 -2615 +3530 2472 +-4039 442 +-2320 5093 +1442 -586 +2648 -2048 +-832 1658 +-1626 -2294 +502 2623 +1978 424 +906 597 +-3834 2578 +206 1019 +-1024 0 +-90 -1507 +5126 3715 +13 6127 +1519 -424 +1419 -745 +-1086 1683 +3123 -1732 +5544 2048 +50 -2409 +-1960 -2018 +3685 857 +-2930 -2472 +-4893 6700 +-355 5318 +-2656 3326 +0 3072 +3935 -988 +3869 -496 +1212 1826 +-287 -2598 +1413 1313 +2732 1359 +85 -4289 +-2348 -3620 +-1050 -824 +-2670 932 +5313 -1144 +6504 -307 +-3891 2367 +-4806 -2011 +-3340 -3966 +-3072 0 +-3340 3966 +-4806 2011 +-3891 -2367 +6504 307 +5313 1144 +-2670 -932 +-1050 824 +-2348 3620 +85 4289 +2732 -1359 +1413 -1313 +-287 2598 +1212 -1826 +3869 496 +3935 988 +0 -3072 +1154 3820 +1688 1945 +-4876 -1982 +38 4646 +276 2627 +1955 89 +-1637 3024 +-3796 3620 +3251 2088 +-4913 -2380 +2134 -2796 +1936 -1741 +-1581 -2211 +2146 563 +-2399 1134 +-1024 0 +-2399 -1134 +2146 -563 +-1581 2211 +1936 1741 +2134 2796 +-4913 2380 +3251 -2088 +-3796 -3620 +-1637 -3024 +1955 -89 +276 -2627 +38 -4646 +-4876 1982 +1688 -1945 +1154 -3820 +0 3072 +3935 -988 +3869 -496 +1212 1826 +-287 -2598 +1413 1313 +2732 1359 +85 -4289 +-2348 -3620 +-1050 -824 +-2670 932 +5313 -1144 +6504 -307 +-3891 2367 +-4806 -2011 +-3340 -3966 +5120 2048 +1400 287 +-679 -952 +4510 -1483 +-1578 1168 +-280 1879 +3021 -5498 +-986 -703 +-1872 424 +-3618 2220 +2499 8713 +2941 3592 +314 60 +-3964 2721 +-1295 1459 +1485 -4462 +-5120 0 +1485 4462 +-1295 -1459 +-3964 -2721 +314 -60 +2941 -3592 +2499 -8713 +-3618 -2220 +-1872 -424 +-986 703 +3021 5498 +-280 -1879 +-1578 -1168 +4510 1483 +-679 952 +1400 -287 +5120 -2048 +-1404 3485 +-2217 -2897 +1078 -5904 +-470 -2616 +3880 -1208 +-125 4013 +-1295 -1519 +3920 -2472 +-2350 334 +397 -309 +-1428 1230 +-2362 -1508 +-945 -3556 +-1601 -3611 +974 -975 +-1024 0 +974 975 +-1601 3611 +-945 3556 +-2362 1508 +-1428 -1230 +397 309 +-2350 -334 +3920 2472 +-1295 1519 +-125 -4013 +3880 1208 +-470 2616 +1078 5904 +-2217 2897 +-1404 -3485 +5120 2048 +1400 287 +-679 -952 +4510 -1483 +-1578 1168 +-280 1879 +3021 -5498 +-986 -703 +-1872 424 +-3618 2220 +2499 8713 +2941 3592 +314 60 +-3964 2721 +-1295 1459 +1485 -4462 +2048 3072 +-5709 1074 +3257 -3266 +-4512 -2869 +-5961 -1840 +1189 -4149 +-240 368 +3480 3216 +1748 -1324 +3493 190 +-3 866 +1467 -2439 +2722 -502 +219 -2527 +1299 -3948 +-242 2069 +1024 0 +-242 -2069 +1299 3948 +219 2527 +2722 502 +1467 2439 +-3 -866 +3493 -190 +1748 1324 +3480 -3216 +-240 -368 +1189 4149 +-5961 1840 +-4512 2869 +3257 3266 +-5709 -1074 +2048 -3072 +1759 -2684 +683 -519 +198 -14 +-4528 -1056 +4489 2743 +3072 1200 +1136 -6567 +300 -2772 +-541 4027 +1267 701 +-4011 -2102 +-4522 -2394 +960 -3491 +-1143 163 +-3377 3890 +-1024 0 +-3377 -3890 +-1143 -163 +960 3491 +-4522 2394 +-4011 2102 +1267 -701 +-541 -4027 +300 2772 +1136 6567 +3072 -1200 +4489 -2743 +-4528 1056 +198 14 +683 519 +1759 2684 +2048 3072 +-5709 1074 +3257 -3266 +-4512 -2869 +-5961 -1840 +1189 -4149 +-240 368 +3480 3216 +1748 -1324 +3493 190 +-3 866 +1467 -2439 +2722 -502 +219 -2527 +1299 -3948 +-242 2069 +-1024 -4096 +-2578 1040 +6165 1922 +1423 -2296 +3146 60 +3006 -2877 +-948 636 +-1403 249 +-4520 -1872 +511 444 +-3734 -1861 +-5465 -3184 +3470 -2616 +1568 -2537 +1837 -2112 +331 7446 +-5120 0 +331 -7446 +1837 2112 +1568 2537 +3470 2616 +-5465 3184 +-3734 1861 +511 -444 +-4520 1872 +-1403 -249 +-948 -636 +3006 2877 +3146 -60 +1423 2296 +6165 -1922 +-2578 -1040 +-1024 4096 +3992 2569 +1456 -918 +3355 -3427 +-1098 -1508 +-2536 -372 +-1009 3044 +1832 255 +-1624 3920 +-3157 5411 +3994 -252 +-357 2151 +-1422 1168 +-995 -970 +432 -2677 +472 1515 +-5120 0 +472 -1515 +432 2677 +-995 970 +-1422 -1168 +-357 -2151 +3994 252 +-3157 -5411 +-1624 -3920 +1832 -255 +-1009 -3044 +-2536 372 +-1098 1508 +3355 3427 +1456 918 +3992 -2569 +-1024 -4096 +-2578 1040 +6165 1922 +1423 -2296 +3146 60 +3006 -2877 +-948 636 +-1403 249 +-4520 -1872 +511 444 +-3734 -1861 +-5465 -3184 +3470 -2616 +1568 -2537 +1837 -2112 +331 7446 +0 0 +-4194 3980 +-3691 2791 +-3146 -3334 +1338 -724 +-2447 -1570 +-1788 -3553 +-2023 -1327 +600 1024 +1187 -4919 +-5215 -2683 +707 3984 +554 -724 +1009 1143 +2009 1709 +2422 3239 +8192 0 +2422 -3239 +2009 -1709 +1009 -1143 +554 724 +707 -3984 +-5215 2683 +1187 4919 +600 -1024 +-2023 1327 +-1788 3553 +-2447 1570 +1338 724 +-3146 3334 +-3691 -2791 +-4194 -3980 +0 0 +8012 3731 +1864 3734 +-1513 709 +-1338 -724 +-1039 121 +-2048 6146 +-742 -1515 +3496 1024 +-877 2757 +-837 -4612 +2100 2815 +-554 -724 +-1463 -1313 +1515 3119 +2008 -640 +0 0 +2008 640 +1515 -3119 +-1463 1313 +-554 724 +2100 -2815 +-837 4612 +-877 -2757 +3496 -1024 +-742 1515 +-2048 -6146 +-1039 -121 +-1338 724 +-1513 -709 +1864 -3734 +8012 -3731 +0 0 +-4194 3980 +-3691 2791 +-3146 -3334 +1338 -724 +-2447 -1570 +-1788 -3553 +-2023 -1327 +600 1024 +1187 -4919 +-5215 -2683 +707 3984 +554 -724 +1009 1143 +2009 1709 +2422 3239 +-7168 1024 +-1531 1820 +-556 -4314 +-1133 -2675 +3888 -248 +2334 2378 +-661 -3322 +-2462 -600 +-300 300 +7008 -1512 +3835 -1242 +-816 -1022 +1546 2030 +-985 -936 +-1790 1034 +-676 -3886 +-2048 0 +-676 3886 +-1790 -1034 +-985 936 +1546 -2030 +-816 1022 +3835 1242 +7008 1512 +-300 -300 +-2462 600 +-661 3322 +2334 -2378 +3888 248 +-1133 2675 +-556 4314 +-1531 -1820 +-7168 -1024 +-1706 2150 +-2000 974 +-7014 4528 +3104 -3248 +6511 1962 +-567 2657 +-492 -2367 +-1748 1748 +-3405 1074 +289 3474 +1107 -2518 +-346 -1430 +-4 3101 +1450 -1478 +3264 2192 +4096 0 +3264 -2192 +1450 1478 +-4 -3101 +-346 1430 +1107 2518 +289 -3474 +-3405 -1074 +-1748 -1748 +-492 2367 +-567 -2657 +6511 -1962 +3104 3248 +-7014 -4528 +-2000 -974 +-1706 -2150 +-7168 1024 +-1531 1820 +-556 -4314 +-1133 -2675 +3888 -248 +2334 2378 +-661 -3322 +-2462 -600 +-300 300 +7008 -1512 +3835 -1242 +-816 -1022 +1546 2030 +-985 -936 +-1790 1034 +-676 -3886 +3072 -2048 +2774 1526 +761 -4484 +-1783 -1671 +-834 3000 +-1480 -3332 +-511 -248 +1077 2454 +-4344 -600 +-3380 -2880 +294 -2092 +-6478 -2151 +2726 3460 +1691 7088 +-2248 -3440 +2017 -3935 +-3072 0 +2017 3935 +-2248 3440 +1691 -7088 +2726 -3460 +-6478 2151 +294 2092 +-3380 2880 +-4344 600 +1077 -2454 +-511 248 +-1480 3332 +-834 -3000 +-1783 1671 +761 4484 +2774 -1526 +3072 2048 +5045 1592 +23 1809 +-1554 723 +-3510 -3000 +-2584 -2175 +-1381 -860 +-262 -790 +4344 -3496 +789 1072 +1598 983 +1614 -4317 +1618 -3460 +-1012 -805 +1464 764 +3525 -4611 +-3072 0 +3525 4611 +1464 -764 +-1012 805 +1618 3460 +1614 4317 +1598 -983 +789 -1072 +4344 3496 +-262 790 +-1381 860 +-2584 2175 +-3510 3000 +-1554 -723 +23 -1809 +5045 -1592 +3072 -2048 +2774 1526 +761 -4484 +-1783 -1671 +-834 3000 +-1480 -3332 +-511 -248 +1077 2454 +-4344 -600 +-3380 -2880 +294 -2092 +-6478 -2151 +2726 3460 +1691 7088 +-2248 -3440 +2017 -3935 +-2048 3072 +-353 5778 +-3156 -553 +-2014 -1369 +1754 -1886 +-3162 -691 +-509 -1687 +-1845 2411 +900 4220 +4702 1541 +3017 -306 +4794 104 +-4816 4332 +-1161 3144 +2135 1994 +-1754 -4098 +3072 0 +-1754 4098 +2135 -1994 +-1161 -3144 +-4816 -4332 +4794 -104 +3017 306 +4702 -1541 +900 -4220 +-1845 -2411 +-509 1687 +-3162 691 +1754 1886 +-2014 1369 +-3156 553 +-353 -5778 +-2048 -3072 +1351 700 +156 -387 +-3741 -225 +-2354 -2210 +538 5546 +-2950 1060 +-1985 -4768 +5244 -124 +4242 -2258 +443 -4417 +286 1334 +1320 -236 +-1332 -5755 +865 1162 +1435 -1768 +-3072 0 +1435 1768 +865 -1162 +-1332 5755 +1320 236 +286 -1334 +443 4417 +4242 2258 +5244 124 +-1985 4768 +-2950 -1060 +538 -5546 +-2354 2210 +-3741 225 +156 387 +1351 -700 +-2048 3072 +-353 5778 +-3156 -553 +-2014 -1369 +1754 -1886 +-3162 -691 +-509 -1687 +-1845 2411 +900 4220 +4702 1541 +3017 -306 +4794 104 +-4816 4332 +-1161 3144 +2135 1994 +-1754 -4098 +-1024 -7168 +5663 -6085 +3397 3016 +2644 -2198 +-1910 -3418 +-1628 -992 +1424 1934 +-3112 1416 +-724 724 +-3609 2353 +-758 -502 +2350 -3632 +1984 -816 +1883 50 +-2192 -201 +-2192 5615 +-2048 0 +-2192 -5615 +-2192 201 +1883 -50 +1984 816 +2350 3632 +-758 502 +-3609 -2353 +-724 -724 +-3112 -1416 +1424 -1934 +-1628 992 +-1910 3418 +2644 2198 +3397 -3016 +5663 6085 +-1024 7168 +650 -1850 +4667 4892 +3256 -220 +-1586 -1526 +-4405 3135 +-1149 -945 +1382 1691 +724 -724 +-662 -1255 +1683 -1406 +-3236 -1585 +-2584 -32 +-865 1757 +1120 5213 +1880 -3973 +-4096 0 +1880 3973 +1120 -5213 +-865 -1757 +-2584 32 +-3236 1585 +1683 1406 +-662 1255 +724 724 +1382 -1691 +-1149 945 +-4405 -3135 +-1586 1526 +3256 220 +4667 -4892 +650 1850 +-1024 -7168 +5663 -6085 +3397 3016 +2644 -2198 +-1910 -3418 +-1628 -992 +1424 1934 +-3112 1416 +-724 724 +-3609 2353 +-758 -502 +2350 -3632 +1984 -816 +1883 50 +-2192 -201 +-2192 5615 +3072 2048 +-5976 -1996 +1610 -2322 +2790 1996 +-626 568 +2789 -3797 +-911 199 +3306 2359 +424 -424 +-1912 5205 +2381 -1827 +-295 -4712 +-5194 3556 +1327 -446 +6358 -1507 +2862 -2047 +3072 0 +2862 2047 +6358 1507 +1327 446 +-5194 -3556 +-295 4712 +2381 1827 +-1912 -5205 +424 424 +3306 -2359 +-911 -199 +2789 3797 +-626 -568 +2790 -1996 +1610 2322 +-5976 1996 +3072 -2048 +727 552 +1117 651 +-947 -1902 +-5518 -3216 +-4021 251 +-5289 2373 +-2262 881 +-2472 2472 +868 -1965 +2122 -1394 +1526 1166 +-950 1988 +-3170 540 +803 -5957 +2387 603 +3072 0 +2387 -603 +803 5957 +-3170 -540 +-950 -1988 +1526 -1166 +2122 1394 +868 1965 +-2472 -2472 +-2262 -881 +-5289 -2373 +-4021 -251 +-5518 3216 +-947 1902 +1117 -651 +727 -552 +3072 2048 +-5976 -1996 +1610 -2322 +2790 1996 +-626 568 +2789 -3797 +-911 199 +3306 2359 +424 -424 +-1912 5205 +2381 -1827 +-295 -4712 +-5194 3556 +1327 -446 +6358 -1507 +2862 -2047 +-1024 -1024 +-2201 2799 +1528 -5050 +4746 -289 +-2538 -1970 +-5667 1636 +904 2103 +-107 -2322 +-1324 2172 +-406 -52 +-3063 915 +-1301 1250 +2924 632 +4821 -1101 +4581 -4461 +3905 -1413 +4096 0 +3905 1413 +4581 4461 +4821 1101 +2924 -632 +-1301 -1250 +-3063 -915 +-406 52 +-1324 -2172 +-107 2322 +904 -2103 +-5667 -1636 +-2538 1970 +4746 289 +1528 5050 +-2201 -2799 +-1024 1024 +1965 2602 +-1972 -6015 +-3329 -4720 +3138 -78 +-1486 6028 +-3136 1632 +831 1707 +-2772 -2172 +1929 -931 +2398 1620 +-3577 -4363 +572 1416 +2 -701 +-1241 389 +-123 4047 +-2048 0 +-123 -4047 +-1241 -389 +2 701 +572 -1416 +-3577 4363 +2398 -1620 +1929 931 +-2772 2172 +831 -1707 +-3136 -1632 +-1486 -6028 +3138 78 +-3329 4720 +-1972 6015 +1965 -2602 +-1024 -1024 +-2201 2799 +1528 -5050 +4746 -289 +-2538 -1970 +-5667 1636 +904 2103 +-107 -2322 +-1324 2172 +-406 -52 +-3063 915 +-1301 1250 +2924 632 +4821 -1101 +4581 -4461 +3905 -1413 +-1024 0 +2045 -803 +1407 -2255 +981 -764 +46 -5024 +-917 -4011 +-1867 -4197 +942 -2001 +1024 -2224 +307 -3358 +-1846 2705 +-1878 -721 +4834 4904 +330 6565 +-3494 -3654 +655 -1694 +1024 0 +655 1694 +-3494 3654 +330 -6565 +4834 -4904 +-1878 721 +-1846 -2705 +307 3358 +1024 2224 +942 2001 +-1867 4197 +-917 4011 +46 5024 +981 764 +1407 2255 +2045 803 +-1024 0 +-4812 -24 +669 963 +112 1105 +1154 328 +4563 2235 +-2738 1484 +-28 2029 +1024 -8016 +-5686 -3294 +-542 1575 +4913 -2385 +2158 2688 +-2311 -1759 +218 1162 +783 -20 +-3072 0 +783 20 +218 -1162 +-2311 1759 +2158 -2688 +4913 2385 +-542 -1575 +-5686 3294 +1024 8016 +-28 -2029 +-2738 -1484 +4563 -2235 +1154 -328 +112 -1105 +669 -963 +-4812 24 +-1024 0 +2045 -803 +1407 -2255 +981 -764 +46 -5024 +-917 -4011 +-1867 -4197 +942 -2001 +1024 -2224 +307 -3358 +-1846 2705 +-1878 -721 +4834 4904 +330 6565 +-3494 -3654 +655 -1694 +-2048 0 +-1068 2234 +-1790 4291 +-658 -1821 +3360 1482 +6233 4754 +-2540 -1467 +-1262 63 +2896 -1624 +-4790 819 +-3342 1813 +-1201 -1021 +905 529 +3124 1077 +2988 5178 +1358 -4015 +-2048 0 +1358 4015 +2988 -5178 +3124 -1077 +905 -529 +-1201 1021 +-3342 -1813 +-4790 -819 +2896 1624 +-1262 -63 +-2540 1467 +6233 -4754 +3360 -1482 +-658 1821 +-1790 -4291 +-1068 -2234 +-2048 0 +641 -2553 +3459 3553 +-821 -183 +-4208 -4978 +-6307 2643 +-696 -596 +-775 -1017 +-2896 -4520 +146 -2141 +-2111 3116 +2603 -2358 +4040 70 +2819 128 +4032 1466 +-44 928 +-2048 0 +-44 -928 +4032 -1466 +2819 -128 +4040 -70 +2603 2358 +-2111 -3116 +146 2141 +-2896 4520 +-775 1017 +-696 596 +-6307 -2643 +-4208 4978 +-821 183 +3459 -3553 +641 2553 +-2048 0 +-1068 2234 +-1790 4291 +-658 -1821 +3360 1482 +6233 4754 +-2540 -1467 +-1262 63 +2896 -1624 +-4790 819 +-3342 1813 +-1201 -1021 +905 529 +3124 1077 +2988 5178 +1358 -4015 +5120 1024 +4391 -2564 +1205 1848 +-2806 1818 +-378 1730 +2155 -143 +-1107 3442 +4081 -215 +4220 1572 +-5504 3347 +-1723 -442 +619 -353 +-3164 -1500 +1514 -346 +-600 -2817 +-3233 4334 +0 0 +-3233 -4334 +-600 2817 +1514 346 +-3164 1500 +619 353 +-1723 442 +-5504 -3347 +4220 -1572 +4081 215 +-1107 -3442 +2155 143 +-378 -1730 +-2806 -1818 +1205 -1848 +4391 2564 +5120 -1024 +2305 -1289 +2475 3724 +3906 -4589 +-2270 -1730 +-6605 -2276 +-3681 563 +-513 891 +-124 -5668 +-281 -1416 +718 -1346 +-1520 4248 +-2380 1500 +2738 -4305 +2712 2597 +-1246 1260 +-2048 0 +-1246 -1260 +2712 -2597 +2738 4305 +-2380 -1500 +-1520 -4248 +718 1346 +-281 1416 +-124 5668 +-513 -891 +-3681 -563 +-6605 2276 +-2270 1730 +3906 4589 +2475 -3724 +2305 1289 +5120 1024 +4391 -2564 +1205 1848 +-2806 1818 +-378 1730 +2155 -143 +-1107 3442 +4081 -215 +4220 1572 +-5504 3347 +-1723 -442 +619 -353 +-3164 -1500 +1514 -346 +-600 -2817 +-3233 4334 +0 2048 +-3746 880 +1598 822 +-788 1072 +-3170 -2916 +2603 3388 +4789 3789 +3752 -1491 +-1624 600 +6091 -3007 +4478 1031 +-2853 5975 +2846 240 +903 96 +229 551 +-1412 -873 +-6144 0 +-1412 873 +229 -551 +903 -96 +2846 -240 +-2853 -5975 +4478 -1031 +6091 3007 +-1624 -600 +3752 1491 +4789 -3789 +2603 -3388 +-3170 2916 +-788 -1072 +1598 -822 +-3746 -880 +0 -2048 +2563 536 +-1782 1254 +-4620 1452 +1722 868 +-571 1787 +599 816 +-50 2297 +-4520 3496 +2240 -2869 +-2874 -3419 +-2725 -2129 +-1398 1808 +2258 6891 +1155 2725 +-3645 1401 +2048 0 +-3645 -1401 +1155 -2725 +2258 -6891 +-1398 -1808 +-2725 2129 +-2874 3419 +2240 2869 +-4520 -3496 +-50 -2297 +599 -816 +-571 -1787 +1722 -868 +-4620 -1452 +-1782 -1254 +2563 -536 +0 2048 +-3746 880 +1598 822 +-788 1072 +-3170 -2916 +2603 3388 +4789 3789 +3752 -1491 +-1624 600 +6091 -3007 +4478 1031 +-2853 5975 +2846 240 +903 96 +229 551 +-1412 -873 +-4096 3072 +-204 3092 +3053 -3239 +2476 3127 +1900 1416 +4154 4288 +6067 1826 +-1451 -4590 +-2348 724 +-793 -337 +-3897 -3124 +-215 -3463 +2114 -1970 +2080 -1276 +-417 281 +-465 1872 +3072 0 +-465 -1872 +-417 -281 +2080 1276 +2114 1970 +-215 3463 +-3897 3124 +-793 337 +-2348 -724 +-1451 4590 +6067 -1826 +4154 -4288 +1900 -1416 +2476 -3127 +3053 3239 +-204 -3092 +-4096 -3072 +-19 1437 +1656 -258 +2567 3689 +-452 632 +-3460 -5185 +3565 -1226 +1186 5092 +-3796 -724 +-271 4385 +1258 2524 +-1367 -3674 +-3562 -78 +-443 -3941 +-3092 3215 +-3775 410 +1024 0 +-3775 -410 +-3092 -3215 +-443 3941 +-3562 78 +-1367 3674 +1258 -2524 +-271 -4385 +-3796 724 +1186 -5092 +3565 1226 +-3460 5185 +-452 -632 +2567 -3689 +1656 258 +-19 -1437 +-4096 3072 +-204 3092 +3053 -3239 +2476 3127 +1900 1416 +4154 4288 +6067 1826 +-1451 -4590 +-2348 724 +-793 -337 +-3897 -3124 +-215 -3463 +2114 -1970 +2080 -1276 +-417 281 +-465 1872 +3072 -2048 +-441 -784 +-6423 4062 +1126 503 +2576 170 +-468 2198 +1539 926 +-2411 2234 +1024 -176 +2674 -1802 +-831 944 +-1481 -1579 +-987 -614 +-1591 3017 +834 -1915 +2103 -5045 +-1024 0 +2103 5045 +834 1915 +-1591 -3017 +-987 614 +-1481 1579 +-831 -944 +2674 1802 +1024 176 +-2411 -2234 +1539 -926 +-468 -2198 +2576 -170 +1126 -503 +-6423 -4062 +-441 784 +3072 2048 +-1291 -1522 +1479 2147 +3958 4482 +-3425 1278 +-598 -2660 +-690 -4017 +738 -3608 +1024 -5968 +-3218 -4924 +1679 -1637 +-2804 -1100 +5932 2062 +1859 -248 +-5779 -5861 +1846 -2613 +-1024 0 +1846 2613 +-5779 5861 +1859 248 +5932 -2062 +-2804 1100 +1679 1637 +-3218 4924 +1024 5968 +738 3608 +-690 4017 +-598 2660 +-3425 -1278 +3958 -4482 +1479 -2147 +-1291 1522 +3072 -2048 +-441 -784 +-6423 4062 +1126 503 +2576 170 +-468 2198 +1539 926 +-2411 2234 +1024 -176 +2674 -1802 +-831 944 +-1481 -1579 +-987 -614 +-1591 3017 +834 -1915 +2103 -5045 +1024 0 +328 4273 +1038 1042 +1358 -1486 +-5592 -3326 +-4323 4642 +-1965 -997 +999 -1146 +2472 424 +1858 363 +4546 7616 +-2367 -1595 +-1349 -14 +4041 5727 +877 2200 +-527 3650 +-1024 0 +-527 -3650 +877 -2200 +4041 -5727 +-1349 14 +-2367 1595 +4546 -7616 +1858 -363 +2472 -424 +999 1146 +-1965 997 +-4323 -4642 +-5592 3326 +1358 1486 +1038 -1042 +328 -4273 +1024 0 +-2856 4017 +-4822 -1107 +413 1104 +3544 -2218 +-3750 -3226 +397 -2055 +3626 -983 +-424 -2472 +-452 -1293 +-2978 -779 +-24 -3982 +-699 2662 +-1140 882 +2907 -568 +2816 3440 +3072 0 +2816 -3440 +2907 568 +-1140 -882 +-699 -2662 +-24 3982 +-2978 779 +-452 1293 +-424 2472 +3626 983 +397 2055 +-3750 3226 +3544 2218 +413 -1104 +-4822 1107 +-2856 -4017 +1024 0 +328 4273 +1038 1042 +1358 -1486 +-5592 -3326 +-4323 4642 +-1965 -997 +999 -1146 +2472 424 +1858 363 +4546 7616 +-2367 -1595 +-1349 -14 +4041 5727 +877 2200 +-527 3650 +3072 1024 +-2977 4306 +-2211 -992 +-305 971 +-1090 3262 +253 -497 +-3324 2368 +-4639 194 +-1924 1572 +489 6156 +273 -446 +1261 760 +1476 3648 +4867 -147 +2804 -726 +-3152 -2815 +-2048 0 +-3152 2815 +2804 726 +4867 147 +1476 -3648 +1261 -760 +273 446 +489 -6156 +-1924 -1572 +-4639 -194 +-3324 -2368 +253 497 +-1090 -3262 +-305 -971 +-2211 992 +-2977 -4306 +3072 -1024 +4550 -527 +1767 -1824 +1709 487 +4586 -2414 +-3011 878 +1092 6479 +-309 610 +-6268 -5668 +3130 -2144 +-937 2301 +610 -12 +-876 1297 +410 4371 +536 -891 +-2885 -4183 +4096 0 +-2885 4183 +536 891 +410 -4371 +-876 -1297 +610 12 +-937 -2301 +3130 2144 +-6268 5668 +-309 -610 +1092 -6479 +-3011 -878 +4586 2414 +1709 -487 +1767 1824 +4550 527 +3072 1024 +-2977 4306 +-2211 -992 +-305 971 +-1090 3262 +253 -497 +-3324 2368 +-4639 194 +-1924 1572 +489 6156 +273 -446 +1261 760 +1476 3648 +4867 -147 +2804 -726 +-3152 -2815 +1024 2048 +1387 -1995 +5505 -3095 +1598 -1418 +664 1010 +4465 1460 +-3766 3081 +-4597 28 +-1624 -3920 +-1210 1290 +2624 3003 +-495 -1358 +-3340 -3242 +-154 1487 +5414 1017 +4020 -1180 +-1024 0 +4020 1180 +5414 -1017 +-154 -1487 +-3340 3242 +-495 1358 +2624 -3003 +-1210 -1290 +-1624 3920 +-4597 -28 +-3766 -3081 +4465 -1460 +664 -1010 +1598 1418 +5505 3095 +1387 1995 +1024 -2048 +-1376 1216 +-441 -3401 +3607 1068 +2232 3686 +649 -8346 +582 979 +-918 980 +-4520 1872 +44 4183 +1760 -143 +-3291 1152 +444 -4350 +-587 -949 +-3485 -521 +-3143 -928 +-5120 0 +-3143 928 +-3485 521 +-587 949 +444 4350 +-3291 -1152 +1760 143 +44 -4183 +-4520 -1872 +-918 -980 +582 -979 +649 8346 +2232 -3686 +3607 -1068 +-441 3401 +-1376 -1216 +1024 2048 +1387 -1995 +5505 -3095 +1598 -1418 +664 1010 +4465 1460 +-3766 3081 +-4597 28 +-1624 -3920 +-1210 1290 +2624 3003 +-495 -1358 +-3340 -3242 +-154 1487 +5414 1017 +4020 -1180 +7168 -4096 +-3014 -2304 +-3755 -1173 +4622 1245 +-110 928 +-2182 1360 +2654 -3326 +1677 416 +2472 3920 +696 4472 +-6052 6213 +-1636 1965 +2002 -808 +61 -2533 +-3143 818 +-1717 -1224 +3072 0 +-1717 1224 +-3143 -818 +61 2533 +2002 808 +-1636 -1965 +-6052 -6213 +696 -4472 +2472 -3920 +1677 -416 +2654 3326 +-2182 -1360 +-110 -928 +4622 -1245 +-3755 1173 +-3014 2304 +7168 4096 +288 723 +1367 -3016 +3096 -1021 +-2786 -4424 +1479 -2424 +-578 -2282 +3214 -2054 +-424 -1872 +-6915 571 +2776 964 +1451 -1700 +894 1408 +-1099 -1707 +-1462 -414 +-21 530 +-5120 0 +-21 -530 +-1462 414 +-1099 1707 +894 -1408 +1451 1700 +2776 -964 +-6915 -571 +-424 1872 +3214 2054 +-578 2282 +1479 2424 +-2786 4424 +3096 1021 +1367 3016 +288 -723 +7168 -4096 +-3014 -2304 +-3755 -1173 +4622 1245 +-110 928 +-2182 1360 +2654 -3326 +1677 416 +2472 3920 +696 4472 +-6052 6213 +-1636 1965 +2002 -808 +61 -2533 +-3143 818 +-1717 -1224 +3072 3072 +-4100 -6439 +2754 -3773 +1185 -1404 +-1356 476 +2786 2370 +3125 1912 +5309 3714 +-2772 -2172 +-1622 2086 +-2298 2172 +-3800 -5141 +646 2754 +315 2975 +5242 -1924 +2360 -510 +-4096 0 +2360 510 +5242 1924 +315 -2975 +646 -2754 +-3800 5141 +-2298 -2172 +-1622 -2086 +-2772 2172 +5309 -3714 +3125 -1912 +2786 -2370 +-1356 -476 +1185 1404 +2754 3773 +-4100 6439 +3072 -3072 +1919 -2367 +3963 5221 +3209 2697 +-2140 -2524 +477 -966 +-853 -464 +-1850 -1910 +-1324 2172 +-269 -2291 +-4566 -3620 +-3247 -815 +-1246 -706 +-925 2543 +825 476 +-1746 1281 +-2048 0 +-1746 -1281 +825 -476 +-925 -2543 +-1246 706 +-3247 815 +-4566 3620 +-269 2291 +-1324 -2172 +-1850 1910 +-853 464 +477 966 +-2140 2524 +3209 -2697 +3963 -5221 +1919 2367 +3072 3072 +-4100 -6439 +2754 -3773 +1185 -1404 +-1356 476 +2786 2370 +3125 1912 +5309 3714 +-2772 -2172 +-1622 2086 +-2298 2172 +-3800 -5141 +646 2754 +315 2975 +5242 -1924 +2360 -510 +2048 0 +6487 -731 +839 -3135 +-3140 2450 +1108 614 +2859 -645 +-1236 3169 +-3360 3475 +-1448 5368 +1681 871 +3983 145 +-4396 -643 +-2676 -1278 +-3076 3151 +-1671 -1076 +4121 74 +0 0 +4121 -74 +-1671 1076 +-3076 -3151 +-2676 1278 +-4396 643 +3983 -145 +1681 -871 +-1448 -5368 +-3360 -3475 +-1236 -3169 +2859 645 +1108 -614 +-3140 -2450 +839 3135 +6487 731 +2048 0 +2866 5046 +1837 1243 +-2146 -5708 +-1108 -2062 +3013 -1723 +128 -2385 +-1576 -1545 +1448 -3320 +-1656 5155 +-2875 639 +-2836 -5822 +2676 -170 +-1864 -2312 +-1005 -816 +3022 145 +-4096 0 +3022 -145 +-1005 816 +-1864 2312 +2676 170 +-2836 5822 +-2875 -639 +-1656 -5155 +1448 3320 +-1576 1545 +128 2385 +3013 1723 +-1108 2062 +-2146 5708 +1837 -1243 +2866 -5046 +2048 0 +6487 -731 +839 -3135 +-3140 2450 +1108 614 +2859 -645 +-1236 3169 +-3360 3475 +-1448 5368 +1681 871 +3983 145 +-4396 -643 +-2676 -1278 +-3076 3151 +-1671 -1076 +4121 74 +3072 -3072 +1793 -4714 +3169 -806 +2309 -591 +1526 -1514 +197 4420 +496 -876 +2804 -482 +300 -3196 +2244 -4479 +-541 4146 +1034 -2194 +-32 -3948 +-4374 1791 +-3224 1851 +-2412 3789 +0 0 +-2412 -3789 +-3224 -1851 +-4374 -1791 +-32 3948 +1034 2194 +-541 -4146 +2244 4479 +300 3196 +2804 482 +496 876 +197 -4420 +1526 1514 +2309 591 +3169 806 +1793 4714 +3072 3072 +-1127 3262 +-3650 -5091 +-3444 -2399 +3418 4162 +-804 2022 +-6329 1439 +3954 138 +1748 1148 +-1433 -1658 +2277 2209 +2708 2843 +-816 -1596 +2375 1012 +-391 -1955 +-5822 551 +-2048 0 +-5822 -551 +-391 1955 +2375 -1012 +-816 1596 +2708 -2843 +2277 -2209 +-1433 1658 +1748 -1148 +3954 -138 +-6329 -1439 +-804 -2022 +3418 -4162 +-3444 2399 +-3650 5091 +-1127 -3262 +3072 -3072 +1793 -4714 +3169 -806 +2309 -591 +1526 -1514 +197 4420 +496 -876 +2804 -482 +300 -3196 +2244 -4479 +-541 4146 +1034 -2194 +-32 -3948 +-4374 1791 +-3224 1851 +-2412 3789 +0 -1024 +115 2423 +2073 -2197 +-391 -2887 +1560 -2864 +2697 -3022 +4114 7873 +585 -1845 +-1748 -4220 +1720 5061 +-1318 -579 +-1077 -3686 +886 522 +4422 4670 +3670 568 +-251 2944 +1024 0 +-251 -2944 +3670 -568 +4422 -4670 +886 -522 +-1077 3686 +-1318 579 +1720 -5061 +-1748 4220 +585 1845 +4114 -7873 +2697 3022 +1560 2864 +-391 2887 +2073 2197 +115 -2423 +0 1024 +-1905 -994 +-6981 -2668 +-4813 247 +-3008 -2080 +466 461 +334 3023 +1072 -4228 +-300 124 +-4945 -119 +-235 386 +1699 3029 +562 -1370 +-3003 2786 +-1658 -137 +3609 1309 +3072 0 +3609 -1309 +-1658 137 +-3003 -2786 +562 1370 +1699 -3029 +-235 -386 +-4945 119 +-300 -124 +1072 4228 +334 -3023 +466 -461 +-3008 2080 +-4813 -247 +-6981 2668 +-1905 994 +0 -1024 +115 2423 +2073 -2197 +-391 -2887 +1560 -2864 +2697 -3022 +4114 7873 +585 -1845 +-1748 -4220 +1720 5061 +-1318 -579 +-1077 -3686 +886 522 +4422 4670 +3670 568 +-251 2944 +-3072 1024 +1354 903 +955 2673 +-4581 203 +2369 4046 +-2805 5701 +-4275 128 +1854 2065 +-4820 724 +-1552 2164 +1568 1621 +5629 -1040 +4476 1756 +832 -486 +1286 -608 +-3194 -673 +-4096 0 +-3194 673 +1286 608 +832 486 +4476 -1756 +5629 1040 +1568 -1621 +-1552 -2164 +-4820 -724 +1854 -2065 +-4275 -128 +-2805 -5701 +2369 -4046 +-4581 -203 +955 -2673 +1354 -903 +-3072 -1024 +499 -1423 +3325 563 +3265 2428 +1127 -3198 +-3435 -97 +2983 6892 +3483 -411 +-3372 -724 +-1 -2077 +924 -3289 +2178 2859 +-3876 3189 +-1084 -668 +1426 -4845 +-2442 -1414 +2048 0 +-2442 1414 +1426 4845 +-1084 668 +-3876 -3189 +2178 -2859 +924 3289 +-1 2077 +-3372 724 +3483 411 +2983 -6892 +-3435 97 +1127 3198 +3265 -2428 +3325 -563 +499 1423 +-3072 1024 +1354 903 +955 2673 +-4581 203 +2369 4046 +-2805 5701 +-4275 128 +1854 2065 +-4820 724 +-1552 2164 +1568 1621 +5629 -1040 +4476 1756 +832 -486 +1286 -608 +-3194 -673 +-1024 1024 +-887 -3198 +-1200 -1802 +1750 -456 +4682 -4790 +-2256 -1409 +2234 73 +-1166 -2372 +-900 -2348 +2120 -2443 +-1052 -515 +515 303 +-660 657 +-1494 -1175 +-315 -4709 +41 -2004 +0 0 +41 2004 +-315 4709 +-1494 1175 +-660 -657 +515 -303 +-1052 515 +2120 2443 +-900 2348 +-1166 2372 +2234 -73 +-2256 1409 +4682 4790 +1750 456 +-1200 1802 +-887 3198 +-1024 -1024 +4671 -1219 +-5311 -2766 +-182 2724 +4358 6238 +688 -2569 +2399 -398 +-2618 1162 +-5244 -3796 +-5904 1233 +-1884 190 +-2082 -4281 +3908 791 +3062 3443 +-3062 141 +3743 -2412 +6144 0 +3743 2412 +-3062 -141 +3062 -3443 +3908 -791 +-2082 4281 +-1884 -190 +-5904 -1233 +-5244 3796 +-2618 -1162 +2399 398 +688 2569 +4358 -6238 +-182 -2724 +-5311 2766 +4671 1219 +-1024 1024 +-887 -3198 +-1200 -1802 +1750 -456 +4682 -4790 +-2256 -1409 +2234 73 +-1166 -2372 +-900 -2348 +2120 -2443 +-1052 -515 +515 303 +-660 657 +-1494 -1175 +-315 -4709 +41 -2004 +0 2048 +-6256 1277 +-902 -1207 +871 629 +1324 -470 +1493 1858 +-1658 -225 +-1814 -1240 +2472 -4944 +2398 -5612 +-1744 -153 +2918 1584 +2772 2362 +136 -244 +3542 4970 +2223 5213 +0 0 +2223 -5213 +3542 -4970 +136 244 +2772 -2362 +2918 -1584 +-1744 153 +2398 5612 +2472 4944 +-1814 1240 +-1658 225 +1493 -1858 +1324 470 +871 -629 +-902 1207 +-6256 -1277 +0 -2048 +2101 -1470 +-2698 -2510 +-360 -987 +1324 -1578 +-2680 1912 +-5298 -963 +1820 -1307 +-424 848 +-1075 3953 +1708 3558 +-843 -2278 +2772 -314 +-7329 1214 +-1142 4099 +6396 1273 +-4096 0 +6396 -1273 +-1142 -4099 +-7329 -1214 +2772 314 +-843 2278 +1708 -3558 +-1075 -3953 +-424 -848 +1820 1307 +-5298 963 +-2680 -1912 +1324 1578 +-360 987 +-2698 2510 +2101 1470 +0 2048 +-6256 1277 +-902 -1207 +871 629 +1324 -470 +1493 1858 +-1658 -225 +-1814 -1240 +2472 -4944 +2398 -5612 +-1744 -153 +2918 1584 +2772 2362 +136 -244 +3542 4970 +2223 5213 +1024 -2048 +4762 -1813 +3018 -4996 +-2111 1451 +-4918 -2447 +4633 430 +2786 2330 +-2695 -3504 +424 1624 +800 6084 +1321 1130 +-910 2322 +2546 5892 +4426 -540 +1561 1996 +-305 3314 +-3072 0 +-305 -3314 +1561 -1996 +4426 540 +2546 -5892 +-910 -2322 +1321 -1130 +800 -6084 +424 -1624 +-2695 3504 +2786 -2330 +4633 -430 +-4918 2447 +-2111 -1451 +3018 4996 +4762 1813 +1024 2048 +-3963 -1572 +-2537 -4996 +-165 1254 +-26 -3097 +-1227 2840 +3046 2330 +-1322 1728 +-2472 4520 +3218 -291 +-3057 1130 +-2496 -2187 +-1698 -3244 +-2150 110 +2054 1996 +-494 870 +-3072 0 +-494 -870 +2054 -1996 +-2150 -110 +-1698 3244 +-2496 2187 +-3057 -1130 +3218 291 +-2472 -4520 +-1322 -1728 +3046 -2330 +-1227 -2840 +-26 3097 +-165 -1254 +-2537 4996 +-3963 1572 +1024 -2048 +4762 -1813 +3018 -4996 +-2111 1451 +-4918 -2447 +4633 430 +2786 2330 +-2695 -3504 +424 1624 +800 6084 +1321 1130 +-910 2322 +2546 5892 +4426 -540 +1561 1996 +-305 3314 +-2048 0 +-2591 -5987 +7180 -2639 +1051 -3794 +1748 674 +-512 4559 +-492 -2544 +2606 -1242 +1024 3496 +6527 514 +953 -1457 +1545 -3508 +300 -3894 +724 -846 +-1326 -415 +-3918 -709 +4096 0 +-3918 709 +-1326 415 +724 846 +300 3894 +1545 3508 +953 1457 +6527 -514 +1024 -3496 +2606 1242 +-492 2544 +-512 -4559 +1748 -674 +1051 3794 +7180 2639 +-2591 5987 +-2048 0 +-229 -5942 +-7335 1072 +-2147 622 +1748 -3570 +1374 -1327 +-772 -1240 +-3671 -690 +1024 600 +2106 -670 +-3785 -2328 +728 -2187 +300 998 +-2763 331 +-2615 -1153 +-831 2141 +4096 0 +-831 -2141 +-2615 1153 +-2763 -331 +300 -998 +728 2187 +-3785 2328 +2106 670 +1024 -600 +-3671 690 +-772 1240 +1374 1327 +1748 3570 +-2147 -622 +-7335 -1072 +-229 5942 +-2048 0 +-2591 -5987 +7180 -2639 +1051 -3794 +1748 674 +-512 4559 +-492 -2544 +2606 -1242 +1024 3496 +6527 514 +953 -1457 +1545 -3508 +300 -3894 +724 -846 +-1326 -415 +-3918 -709 +1024 -5120 +-902 -652 +-568 1078 +1559 -1960 +-1572 7732 +-4546 2541 +-3401 4263 +-41 -482 +-1148 -5244 +-4031 -205 +334 2659 +-156 4298 +-4802 -2595 +-378 858 +2033 1934 +1013 -1077 +2048 0 +1013 1077 +2033 -1934 +-378 -858 +-4802 2595 +-156 -4298 +334 -2659 +-4031 205 +-1148 5244 +-41 482 +-3401 -4263 +-4546 -2541 +-1572 -7732 +1559 1960 +-568 -1078 +-902 652 +1024 5120 +2010 -1246 +5513 5057 +1117 -377 +-4572 -2188 +1870 503 +2553 -154 +-1067 1324 +3196 -900 +2922 -1170 +-1182 1450 +-2520 4098 +-1342 -53 +3054 2157 +2911 4202 +95 -3037 +0 0 +95 3037 +2911 -4202 +3054 -2157 +-1342 53 +-2520 -4098 +-1182 -1450 +2922 1170 +3196 900 +-1067 -1324 +2553 154 +1870 -503 +-4572 2188 +1117 377 +5513 -5057 +2010 1246 +1024 -5120 +-902 -652 +-568 1078 +1559 -1960 +-1572 7732 +-4546 2541 +-3401 4263 +-41 -482 +-1148 -5244 +-4031 -205 +334 2659 +-156 4298 +-4802 -2595 +-378 858 +2033 1934 +1013 -1077 +-4096 2048 +-1296 -512 +568 33 +-520 -1393 +3640 2278 +2805 -595 +1829 443 +578 2003 +-1624 4944 +2645 -425 +3343 -1726 +-2040 -1193 +-484 -5278 +5018 1649 +553 -3252 +-533 -1975 +4096 0 +-533 1975 +553 3252 +5018 -1649 +-484 5278 +-2040 1193 +3343 1726 +2645 425 +-1624 -4944 +578 -2003 +1829 -443 +2805 595 +3640 -2278 +-520 1393 +568 -33 +-1296 512 +-4096 -2048 +-2899 -2599 +-4248 6020 +1136 -799 +-144 1818 +-1192 4962 +2959 -2271 +-6137 -3341 +-4520 -848 +18 286 +-2339 -1798 +3322 -1433 +1084 1182 +-2738 3150 +-2665 -584 +1831 -2337 +8192 0 +1831 2337 +-2665 584 +-2738 -3150 +1084 -1182 +3322 1433 +-2339 1798 +18 -286 +-4520 848 +-6137 3341 +2959 2271 +-1192 -4962 +-144 -1818 +1136 799 +-4248 -6020 +-2899 2599 +-4096 2048 +-1296 -512 +568 33 +-520 -1393 +3640 2278 +2805 -595 +1829 443 +578 2003 +-1624 4944 +2645 -425 +3343 -1726 +-2040 -1193 +-484 -5278 +5018 1649 +553 -3252 +-533 -1975 +7168 1024 +1901 15 +-1733 1917 +-4804 -1487 +-3622 1246 +2989 1597 +-634 -3513 +-1709 3235 +-548 1748 +-3929 -2677 +1238 -1910 +-1790 -1723 +-717 -1356 +-1622 -436 +-2045 4452 +876 2083 +-4096 0 +876 -2083 +-2045 -4452 +-1622 436 +-717 1356 +-1790 1723 +1238 1910 +-3929 2677 +-548 -1748 +-1709 -3235 +-634 3513 +2989 -1597 +-3622 -1246 +-4804 1487 +-1733 -1917 +1901 -15 +7168 -1024 +3257 -2383 +-2871 -625 +2946 1531 +3622 -646 +2997 3752 +-2642 6225 +-1097 -2141 +6692 300 +-3081 -693 +838 -2370 +4701 391 +717 -2140 +375 -407 +-342 -1960 +-2011 -3121 +-6144 0 +-2011 3121 +-342 1960 +375 407 +717 2140 +4701 -391 +838 2370 +-3081 693 +6692 -300 +-1097 2141 +-2642 -6225 +2997 -3752 +3622 646 +2946 -1531 +-2871 625 +3257 2383 +7168 1024 +1901 15 +-1733 1917 +-4804 -1487 +-3622 1246 +2989 1597 +-634 -3513 +-1709 3235 +-548 1748 +-3929 -2677 +1238 -1910 +-1790 -1723 +-717 -1356 +-1622 -436 +-2045 4452 +876 2083 +1024 4096 +-1462 -1031 +-2847 1199 +-2036 -817 +-3496 566 +-4272 -2076 +1442 2612 +1325 4310 +3920 -424 +4903 818 +211 1381 +3660 672 +-600 -2577 +-541 1104 +2402 3059 +-310 1637 +1024 0 +-310 -1637 +2402 -3059 +-541 -1104 +-600 2577 +3660 -672 +211 -1381 +4903 -818 +3920 424 +1325 -4310 +1442 -2612 +-4272 2076 +-3496 -566 +-2036 817 +-2847 -1199 +-1462 1031 +1024 -4096 +784 -236 +3446 3968 +3689 -2995 +-3496 7026 +-2206 927 +2054 -5783 +3192 1204 +-1872 2472 +-2740 3367 +3285 2440 +1490 -933 +-600 -2118 +-5576 1765 +-1802 910 +100 1559 +-7168 0 +100 -1559 +-1802 -910 +-5576 -1765 +-600 2118 +1490 933 +3285 -2440 +-2740 -3367 +-1872 -2472 +3192 -1204 +2054 5783 +-2206 -927 +-3496 -7026 +3689 2995 +3446 -3968 +784 236 +1024 4096 +-1462 -1031 +-2847 1199 +-2036 -817 +-3496 566 +-4272 -2076 +1442 2612 +1325 4310 +3920 -424 +4903 818 +211 1381 +3660 672 +-600 -2577 +-541 1104 +2402 3059 +-310 1637 +-2048 -3072 +682 315 +3997 -2660 +-2446 -288 +-2454 1526 +2208 -2350 +1728 4285 +600 -4291 +1148 -2172 +1324 3499 +-834 1849 +-1689 1619 +-776 32 +-1825 3572 +-823 -5878 +1095 -3562 +1024 0 +1095 3562 +-823 5878 +-1825 -3572 +-776 -32 +-1689 -1619 +-834 -1849 +1324 -3499 +1148 2172 +600 4291 +1728 -4285 +2208 2350 +-2454 -1526 +-2446 288 +3997 2660 +682 -315 +-2048 3072 +2404 -1297 +451 -784 +733 1062 +1006 3418 +-3880 6176 +284 1406 +1542 -2019 +-3196 2172 +-1457 3423 +-4074 946 +-4000 -1240 +2224 816 +-687 1947 +-729 -463 +5396 7619 +7168 0 +5396 -7619 +-729 463 +-687 -1947 +2224 -816 +-4000 1240 +-4074 -946 +-1457 -3423 +-3196 -2172 +1542 2019 +284 -1406 +-3880 -6176 +1006 -3418 +733 -1062 +451 784 +2404 1297 +-2048 -3072 +682 315 +3997 -2660 +-2446 -288 +-2454 1526 +2208 -2350 +1728 4285 +600 -4291 +1148 -2172 +1324 3499 +-834 1849 +-1689 1619 +-776 32 +-1825 3572 +-823 -5878 +1095 -3562 +-3072 -4096 +-1430 2176 +1345 -4298 +2839 -3137 +-710 144 +3023 -1950 +4109 -3492 +-4432 -1277 +1024 1024 +528 2998 +-2329 2501 +2619 -3727 +-1494 1084 +2867 3203 +3167 -864 +-721 403 +3072 0 +-721 -403 +3167 864 +2867 -3203 +-1494 -1084 +2619 3727 +-2329 -2501 +528 -2998 +1024 -1024 +-4432 1277 +4109 3492 +3023 1950 +-710 -144 +2839 3137 +1345 4298 +-1430 -2176 +-3072 4096 +1300 1024 +3260 -1458 +-1036 3157 +-3386 -3640 +-3773 -7539 +-834 -5901 +621 4091 +1024 1024 +1954 -1072 +253 891 +-2757 -1299 +-2602 -484 +2011 -4511 +-779 -299 +-3614 -3883 +-1024 0 +-3614 3883 +-779 299 +2011 4511 +-2602 484 +-2757 1299 +253 -891 +1954 1072 +1024 -1024 +621 -4091 +-834 5901 +-3773 7539 +-3386 3640 +-1036 -3157 +3260 1458 +1300 -1024 +-3072 -4096 +-1430 2176 +1345 -4298 +2839 -3137 +-710 144 +3023 -1950 +4109 -3492 +-4432 -1277 +1024 1024 +528 2998 +-2329 2501 +2619 -3727 +-1494 1084 +2867 3203 +3167 -864 +-721 403 +-3072 4096 +-2898 -1266 +749 -576 +-539 -3376 +2037 -60 +-60 -1035 +-3434 -374 +1284 -5037 +1624 -1024 +1228 1565 +-101 -2720 +-1123 2253 +6146 2616 +5403 -539 +-1710 -6124 +-1053 -692 +1024 0 +-1053 692 +-1710 6124 +5403 539 +6146 -2616 +-1123 -2253 +-101 2720 +1228 -1565 +1624 1024 +1284 5037 +-3434 374 +-60 1035 +2037 60 +-539 3376 +749 576 +-2898 1266 +-3072 -4096 +960 -3780 +-121 4108 +776 -4990 +11 1508 +-2352 571 +278 -3826 +5104 2220 +4520 -1024 +-2263 970 +-839 919 +1318 -500 +-4098 -1168 +-3423 -5610 +-3014 -4328 +-2362 998 +1024 0 +-2362 -998 +-3014 4328 +-3423 5610 +-4098 1168 +1318 500 +-839 -919 +-2263 -970 +4520 1024 +5104 -2220 +278 3826 +-2352 -571 +11 -1508 +776 4990 +-121 -4108 +960 3780 +-3072 4096 +-2898 -1266 +749 -576 +-539 -3376 +2037 -60 +-60 -1035 +-3434 -374 +1284 -5037 +1624 -1024 +1228 1565 +-101 -2720 +-1123 2253 +6146 2616 +5403 -539 +-1710 -6124 +-1053 -692 +-2048 2048 +-4559 -7518 +1303 -1001 +530 2438 +-594 -184 +-598 -492 +1687 1323 +4273 2414 +-1024 1448 +-2850 -2463 +-2265 2266 +-204 3001 +1858 -1604 +3049 3679 +4617 -2876 +3176 -4760 +2048 0 +3176 4760 +4617 2876 +3049 -3679 +1858 1604 +-204 -3001 +-2265 -2266 +-2850 2463 +-1024 -1448 +4273 -2414 +1687 -1323 +-598 492 +-594 184 +530 -2438 +1303 1001 +-4559 7518 +-2048 -2048 +-457 1418 +810 5725 +1820 -3211 +-1702 1384 +947 -1913 +-2691 -2262 +-1242 1452 +-1024 -1448 +-5294 -2880 +-2524 891 +-2599 1145 +4534 -5388 +2850 -300 +-937 3503 +1160 3436 +-2048 0 +1160 -3436 +-937 -3503 +2850 300 +4534 5388 +-2599 -1145 +-2524 -891 +-5294 2880 +-1024 1448 +-1242 -1452 +-2691 2262 +947 1913 +-1702 -1384 +1820 3211 +810 -5725 +-457 -1418 +-2048 2048 +-4559 -7518 +1303 -1001 +530 2438 +-594 -184 +-598 -492 +1687 1323 +4273 2414 +-1024 1448 +-2850 -2463 +-2265 2266 +-204 3001 +1858 -1604 +3049 3679 +4617 -2876 +3176 -4760 +0 -1024 +641 -3975 +3597 2056 +6077 3446 +600 -4332 +1265 -698 +65 83 +431 3458 +3196 724 +362 1293 +2210 416 +74 -2675 +-6974 2210 +-4033 -2907 +2951 -1373 +3163 -3030 +1024 0 +3163 3030 +2951 1373 +-4033 2907 +-6974 -2210 +74 2675 +2210 -416 +362 -1293 +3196 -724 +431 -3458 +65 -83 +1265 698 +600 4332 +6077 -3446 +3597 -2056 +641 3975 +0 1024 +-929 -1151 +4807 -2056 +-899 2635 +-2400 236 +1215 1099 +-3914 -83 +1136 -3768 +-1148 -724 +-4146 614 +-58 -416 +-7905 -2276 +-3514 1886 +4207 3636 +-1466 1373 +-657 121 +3072 0 +-657 -121 +-1466 -1373 +4207 -3636 +-3514 -1886 +-7905 2276 +-58 416 +-4146 -614 +-1148 724 +1136 3768 +-3914 83 +1215 -1099 +-2400 -236 +-899 -2635 +4807 2056 +-929 1151 +0 -1024 +641 -3975 +3597 2056 +6077 3446 +600 -4332 +1265 -698 +65 83 +431 3458 +3196 724 +362 1293 +2210 416 +74 -2675 +-6974 2210 +-4033 -2907 +2951 -1373 +3163 -3030 +0 -4096 +-2401 -4094 +5351 1060 +1757 1786 +-2036 5498 +-3442 -2955 +-1135 -2459 +3877 3728 +-1024 -1448 +-3052 1417 +-1528 676 +-928 1280 +1867 2186 +-129 286 +1430 1237 +1821 -266 +-2048 0 +1821 266 +1430 -1237 +-129 -286 +1867 -2186 +-928 -1280 +-1528 -676 +-3052 -1417 +-1024 1448 +3877 -3728 +-1135 2459 +-3442 2955 +-2036 -5498 +1757 -1786 +5351 -1060 +-2401 4094 +0 4096 +4558 6399 +-4347 4772 +609 2674 +5532 4390 +-2384 -6669 +-2545 -1156 +-1528 2664 +-1024 1448 +1384 1559 +-585 -195 +-1493 1440 +-1268 -490 +219 2533 +3359 499 +1135 1555 +-2048 0 +1135 -1555 +3359 -499 +219 -2533 +-1268 490 +-1493 -1440 +-585 195 +1384 -1559 +-1024 -1448 +-1528 -2664 +-2545 1156 +-2384 6669 +5532 -4390 +609 -2674 +-4347 -4772 +4558 -6399 +0 -4096 +-2401 -4094 +5351 1060 +1757 1786 +-2036 5498 +-3442 -2955 +-1135 -2459 +3877 3728 +-1024 -1448 +-3052 1417 +-1528 676 +-928 1280 +1867 2186 +-129 286 +1430 1237 +1821 -266 +0 0 +-3167 4709 +3146 961 +3177 1229 +-1832 -3366 +-1579 -3051 +1528 -744 +-247 894 +-4769 600 +-1815 990 +171 7348 +1066 -1292 +3400 -534 +-1242 4205 +-1392 -1886 +286 1657 +-2048 0 +286 -1657 +-1392 1886 +-1242 -4205 +3400 534 +1066 1292 +171 -7348 +-1815 -990 +-4769 -600 +-247 -894 +1528 744 +-1579 3051 +-1832 3366 +3177 -1229 +3146 -961 +-3167 -4709 +0 0 +2855 -1075 +1303 -2318 +3565 -1959 +384 -4474 +-1278 1169 +484 -5021 +1736 488 +6817 3496 +1893 704 +-5078 3769 +-1994 -3119 +-1952 -3210 +-1715 729 +-160 1026 +-1541 -5902 +-2048 0 +-1541 5902 +-160 -1026 +-1715 -729 +-1952 3210 +-1994 3119 +-5078 -3769 +1893 -704 +6817 -3496 +1736 -488 +484 5021 +-1278 -1169 +384 4474 +3565 1959 +1303 2318 +2855 1075 +0 0 +-3167 4709 +3146 961 +3177 1229 +-1832 -3366 +-1579 -3051 +1528 -744 +-247 894 +-4769 600 +-1815 990 +171 7348 +1066 -1292 +3400 -534 +-1242 4205 +-1392 -1886 +286 1657 +1024 -1024 +-575 -229 +547 -2800 +-1181 1100 +-1390 1246 +-433 1291 +-194 1283 +1915 1844 +300 1148 +-444 -3956 +2242 1256 +-806 1065 +-273 -1356 +2312 307 +-4419 -658 +-1730 -350 +6144 0 +-1730 350 +-4419 658 +2312 -307 +-273 1356 +-806 -1065 +2242 -1256 +-444 3956 +300 -1148 +1915 -1844 +-194 -1283 +-433 -1291 +-1390 -1246 +-1181 -1100 +547 2800 +-575 229 +1024 1024 +674 -6525 +-4043 -1169 +16 5897 +4286 -646 +-499 3155 +-406 -5104 +3158 -4771 +1748 -3196 +-165 -1218 +-2842 1915 +-4943 -8651 +-2624 -2140 +-259 450 +923 -4510 +2959 -2859 +4096 0 +2959 2859 +923 4510 +-259 -450 +-2624 2140 +-4943 8651 +-2842 -1915 +-165 1218 +1748 3196 +3158 4771 +-406 5104 +-499 -3155 +4286 646 +16 -5897 +-4043 1169 +674 6525 +1024 -1024 +-575 -229 +547 -2800 +-1181 1100 +-1390 1246 +-433 1291 +-194 1283 +1915 1844 +300 1148 +-444 -3956 +2242 1256 +-806 1065 +-273 -1356 +2312 307 +-4419 -658 +-1730 -350 +2048 3072 +4682 -1176 +-606 1768 +2995 -727 +-1176 3008 +123 5638 +-738 7429 +-1987 4113 +2772 1748 +567 -2063 +4128 -4856 +1606 4180 +-2838 562 +-525 -2145 +-3644 1286 +-1511 124 +3072 0 +-1511 -124 +-3644 -1286 +-525 2145 +-2838 -562 +1606 -4180 +4128 4856 +567 2063 +2772 -1748 +-1987 -4113 +-738 -7429 +123 -5638 +-1176 -3008 +2995 727 +-606 -1768 +4682 1176 +2048 -3072 +-5565 1304 +125 6545 +62 -5397 +1176 -1560 +-1083 1398 +-5094 736 +-2302 -891 +1324 300 +825 2389 +-2392 -2660 +2251 -40 +2838 886 +364 -1083 +29 -463 +-502 2900 +1024 0 +-502 -2900 +29 463 +364 1083 +2838 -886 +2251 40 +-2392 2660 +825 -2389 +1324 -300 +-2302 891 +-5094 -736 +-1083 -1398 +1176 1560 +62 5397 +125 -6545 +-5565 -1304 +2048 3072 +4682 -1176 +-606 1768 +2995 -727 +-1176 3008 +123 5638 +-738 7429 +-1987 4113 +2772 1748 +567 -2063 +4128 -4856 +1606 4180 +-2838 562 +-525 -2145 +-3644 1286 +-1511 124 +-6144 3072 +-1286 3587 +414 -799 +-1196 -426 +1076 -2909 +-1800 -6335 +-399 1724 +492 2258 +-2172 -3196 +-417 -862 +427 931 +-3117 459 +742 -2658 +-946 -49 +-3066 5482 +2541 889 +3072 0 +2541 -889 +-3066 -5482 +-946 49 +742 2658 +-3117 -459 +427 -931 +-417 862 +-2172 3196 +492 -2258 +-399 -1724 +-1800 6335 +1076 2909 +-1196 426 +414 799 +-1286 -3587 +-6144 -3072 +-1926 -2380 +814 -4590 +1637 -2034 +-1924 2309 +2048 267 +739 -340 +-763 5376 +2172 1148 +-200 -4497 +2130 -747 +-1595 1592 +4202 6154 +-823 3309 +-1058 -3878 +7351 1310 +1024 0 +7351 -1310 +-1058 3878 +-823 -3309 +4202 -6154 +-1595 -1592 +2130 747 +-200 4497 +2172 -1148 +-763 -5376 +739 340 +2048 -267 +-1924 -2309 +1637 2034 +814 4590 +-1926 2380 +-6144 3072 +-1286 3587 +414 -799 +-1196 -426 +1076 -2909 +-1800 -6335 +-399 1724 +492 2258 +-2172 -3196 +-417 -862 +427 931 +-3117 459 +742 -2658 +-946 -49 +-3066 5482 +2541 889 +-7168 2048 +-2150 1940 +1645 -503 +2172 2373 +1324 554 +-5735 1758 +-3409 164 +-1184 6728 +-1448 2048 +-802 2169 +-452 1518 +4823 -7642 +2772 1338 +-902 -1481 +1723 -3998 +1874 -150 +1024 0 +1874 150 +1723 3998 +-902 1481 +2772 -1338 +4823 7642 +-452 -1518 +-802 -2169 +-1448 -2048 +-1184 -6728 +-3409 -164 +-5735 -1758 +1324 -554 +2172 -2373 +1645 503 +-2150 -1940 +-7168 -2048 +1445 5952 +468 -2173 +-3137 -3549 +1324 -554 +886 795 +2405 -1272 +1655 -3630 +1448 2048 +330 1891 +-4337 -2626 +27 -1470 +2772 -1338 +1867 -3168 +1957 1322 +-1169 811 +-3072 0 +-1169 -811 +1957 -1322 +1867 3168 +2772 1338 +27 1470 +-4337 2626 +330 -1891 +1448 -2048 +1655 3630 +2405 1272 +886 -795 +1324 554 +-3137 3549 +468 2173 +1445 -5952 +-7168 2048 +-2150 1940 +1645 -503 +2172 2373 +1324 554 +-5735 1758 +-3409 164 +-1184 6728 +-1448 2048 +-802 2169 +-452 1518 +4823 -7642 +2772 1338 +-902 -1481 +1723 -3998 +1874 -150 +-6144 5120 +2741 -1275 +2667 -2064 +-2520 1686 +-2474 -1286 +-6609 -2560 +1416 -1955 +4931 -239 +-1148 -2172 +-2028 640 +2669 2667 +-1569 1837 +-3913 836 +-1137 -354 +3210 1730 +3928 -2882 +-1024 0 +3928 2882 +3210 -1730 +-1137 354 +-3913 -836 +-1569 -1837 +2669 -2667 +-2028 -640 +-1148 2172 +4931 239 +1416 1955 +-6609 2560 +-2474 1286 +-2520 -1686 +2667 2064 +2741 1275 +-6144 -5120 +2168 846 +165 -3508 +4176 -7508 +4770 -1610 +-4377 -2218 +-1260 -2049 +-264 1042 +3196 2172 +937 -2055 +1272 -879 +1411 -1264 +-2480 -3732 +-960 -116 +-1945 -1510 +-827 237 +1024 0 +-827 -237 +-1945 1510 +-960 116 +-2480 3732 +1411 1264 +1272 879 +937 2055 +3196 -2172 +-264 -1042 +-1260 2049 +-4377 2218 +4770 1610 +4176 7508 +165 3508 +2168 -846 +-6144 5120 +2741 -1275 +2667 -2064 +-2520 1686 +-2474 -1286 +-6609 -2560 +1416 -1955 +4931 -239 +-1148 -2172 +-2028 640 +2669 2667 +-1569 1837 +-3913 836 +-1137 -354 +3210 1730 +3928 -2882 +1024 2048 +952 -3899 +207 -919 +2060 -906 +-1997 -1892 +-1626 -222 +-1849 2292 +-3335 5958 +2048 1448 +-5161 3618 +1632 3901 +5023 2941 +-6667 -784 +-6502 -5298 +-1693 1922 +3178 -1999 +5120 0 +3178 1999 +-1693 -1922 +-6502 5298 +-6667 784 +5023 -2941 +1632 -3901 +-5161 -3618 +2048 -1448 +-3335 -5958 +-1849 -2292 +-1626 222 +-1997 1892 +2060 906 +207 919 +952 3899 +1024 -2048 +4000 1984 +-531 2487 +-3139 -1629 +2246 1892 +2139 216 +-2719 1493 +-8 1104 +2048 -1448 +-2199 -651 +2936 -117 +-1104 1148 +-1774 784 +3147 -1332 +2018 -354 +2573 4180 +1024 0 +2573 -4180 +2018 354 +3147 1332 +-1774 -784 +-1104 -1148 +2936 117 +-2199 651 +2048 1448 +-8 -1104 +-2719 -1493 +2139 -216 +2246 -1892 +-3139 1629 +-531 -2487 +4000 -1984 +1024 2048 +952 -3899 +207 -919 +2060 -906 +-1997 -1892 +-1626 -222 +-1849 2292 +-3335 5958 +2048 1448 +-5161 3618 +1632 3901 +5023 2941 +-6667 -784 +-6502 -5298 +-1693 1922 +3178 -1999 +0 -3072 +-4102 -254 +2155 1188 +3089 277 +-1670 3966 +409 3309 +-980 -3383 +-1770 5387 +-1748 724 +161 -1993 +2784 395 +910 -2405 +1116 715 +-145 -2496 +1059 1392 +-248 -1749 +-5120 0 +-248 1749 +1059 -1392 +-145 2496 +1116 -715 +910 2405 +2784 -395 +161 1993 +-1748 -724 +-1770 -5387 +-980 3383 +409 -3309 +-1670 -3966 +3089 -277 +2155 -1188 +-4102 254 +0 3072 +1470 3875 +1017 3196 +1389 -1565 +222 -7711 +-2556 575 +-2988 -1680 +3115 -2887 +-300 -724 +-2834 -5322 +2384 1533 +349 -2609 +332 -8555 +2348 -1897 +2762 1792 +-1584 1347 +-7168 0 +-1584 -1347 +2762 -1792 +2348 1897 +332 8555 +349 2609 +2384 -1533 +-2834 5322 +-300 724 +3115 2887 +-2988 1680 +-2556 -575 +222 7711 +1389 1565 +1017 -3196 +1470 -3875 +0 -3072 +-4102 -254 +2155 1188 +3089 277 +-1670 3966 +409 3309 +-980 -3383 +-1770 5387 +-1748 724 +161 -1993 +2784 395 +910 -2405 +1116 715 +-145 -2496 +1059 1392 +-248 -1749 +0 0 +3171 619 +3025 -946 +1353 4714 +-1194 5572 +-1741 1246 +-1897 -3088 +2067 5899 +3920 5793 +2878 -3996 +-1521 1551 +-5023 100 +-1638 1788 +-2732 3721 +487 -2200 +3424 -107 +0 0 +3424 107 +487 2200 +-2732 -3721 +-1638 -1788 +-5023 -100 +-1521 -1551 +2878 3996 +3920 -5793 +2067 -5899 +-1897 3088 +-1741 -1246 +-1194 -5572 +1353 -4714 +3025 946 +3171 -619 +0 0 +-3056 -207 +3331 98 +1145 2243 +-2302 220 +929 -1781 +-4000 -1856 +-4301 2116 +-1872 -5793 +36 -332 +1625 3394 +-2413 -1653 +1038 4005 +2690 -180 +-1050 3049 +1573 2159 +4096 0 +1573 -2159 +-1050 -3049 +2690 180 +1038 -4005 +-2413 1653 +1625 -3394 +36 332 +-1872 5793 +-4301 -2116 +-4000 1856 +929 1781 +-2302 -220 +1145 -2243 +3331 -98 +-3056 207 +0 0 +3171 619 +3025 -946 +1353 4714 +-1194 5572 +-1741 1246 +-1897 -3088 +2067 5899 +3920 5793 +2878 -3996 +-1521 1551 +-5023 100 +-1638 1788 +-2732 3721 +487 -2200 +3424 -107 +-1024 4096 +-3011 7995 +-1330 157 +-2575 550 +2172 -46 +6240 2400 +2638 2406 +-356 -1897 +0 600 +-840 859 +203 -3421 +-348 -2120 +-2172 4834 +4135 -1784 +3738 -3920 +-445 4746 +1024 0 +-445 -4746 +3738 3920 +4135 1784 +-2172 -4834 +-348 2120 +203 3421 +-840 -859 +0 -600 +-356 1897 +2638 -2406 +6240 -2400 +2172 46 +-2575 -550 +-1330 -157 +-3011 -7995 +-1024 -4096 +515 1434 +-2129 2739 +4334 1421 +2172 -1154 +-1641 2255 +362 491 +-4549 5301 +0 3496 +-48 3506 +-3203 525 +1542 -4888 +-2172 2158 +-101 281 +-279 1023 +-2851 -2548 +1024 0 +-2851 2548 +-279 -1023 +-101 -281 +-2172 -2158 +1542 4888 +-3203 -525 +-48 -3506 +0 -3496 +-4549 -5301 +362 -491 +-1641 -2255 +2172 1154 +4334 -1421 +-2129 -2739 +515 -1434 +-1024 4096 +-3011 7995 +-1330 157 +-2575 550 +2172 -46 +6240 2400 +2638 2406 +-356 -1897 +0 600 +-840 859 +203 -3421 +-348 -2120 +-2172 4834 +4135 -1784 +3738 -3920 +-445 4746 +4096 -3072 +-1455 3910 +-855 -691 +-5881 -700 +-1984 3104 +473 -4215 +-3161 -5670 +-4787 -2 +-1748 -724 +5646 -528 +-2066 2220 +219 -1004 +1586 346 +1318 386 +2508 -3711 +526 1406 +5120 0 +526 -1406 +2508 3711 +1318 -386 +1586 -346 +219 1004 +-2066 -2220 +5646 528 +-1748 724 +-4787 2 +-3161 5670 +473 4215 +-1984 -3104 +-5881 700 +-855 691 +-1455 -3910 +4096 3072 +983 2077 +-2864 -785 +1032 3414 +2584 3888 +492 4143 +-1458 -2430 +4082 -2665 +-300 724 +-4940 -2139 +-3203 3664 +-1183 933 +1910 -1546 +3531 2328 +2908 -165 +-55 4582 +-1024 0 +-55 -4582 +2908 165 +3531 -2328 +1910 1546 +-1183 -933 +-3203 -3664 +-4940 2139 +-300 -724 +4082 2665 +-1458 2430 +492 -4143 +2584 -3888 +1032 -3414 +-2864 785 +983 -2077 +4096 -3072 +-1455 3910 +-855 -691 +-5881 -700 +-1984 3104 +473 -4215 +-3161 -5670 +-4787 -2 +-1748 -724 +5646 -528 +-2066 2220 +219 -1004 +1586 346 +1318 386 +2508 -3711 +526 1406 +1024 2048 +-831 -811 +-2345 -796 +3570 -172 +2011 2302 +3025 73 +2083 -3365 +1856 1762 +3072 3072 +1337 926 +1374 4098 +-5172 4824 +4449 1038 +873 -4968 +-806 -5330 +3353 1280 +-7168 0 +3353 -1280 +-806 5330 +873 4968 +4449 -1038 +-5172 -4824 +1374 -4098 +1337 -926 +3072 -3072 +1856 -1762 +2083 3365 +3025 -73 +2011 -2302 +3570 172 +-2345 796 +-831 811 +1024 -2048 +311 -1859 +-1779 1552 +-6201 430 +-4908 1194 +2579 1104 +474 -1395 +-3510 1706 +3072 3072 +-1250 2230 +-1034 2230 +3353 -1119 +-1552 -1638 +-2027 -437 +2034 790 +-1266 3930 +-7168 0 +-1266 -3930 +2034 -790 +-2027 437 +-1552 1638 +3353 1119 +-1034 -2230 +-1250 -2230 +3072 -3072 +-3510 -1706 +474 1395 +2579 -1104 +-4908 -1194 +-6201 -430 +-1779 -1552 +311 1859 +1024 2048 +-831 -811 +-2345 -796 +3570 -172 +2011 2302 +3025 73 +2083 -3365 +1856 1762 +3072 3072 +1337 926 +1374 4098 +-5172 4824 +4449 1038 +873 -4968 +-806 -5330 +3353 1280 +-1024 1024 +1724 -1174 +-1432 3480 +1414 47 +-5574 2394 +60 4263 +4791 -603 +-1876 -1874 +3372 -3372 +-104 -1220 +-181 1523 +-2561 1847 +-2549 1840 +482 3575 +1949 3241 +765 -3335 +-6144 0 +765 3335 +1949 -3241 +482 -3575 +-2549 -1840 +-2561 -1847 +-181 -1523 +-104 1220 +3372 3372 +-1876 1874 +4791 603 +60 -4263 +-5574 -2394 +1414 -47 +-1432 -3480 +1724 1174 +-1024 -1024 +-453 -3386 +648 -804 +1160 -3688 +7022 502 +2382 1552 +-2899 1711 +-1436 1984 +4820 -4820 +3416 -366 +-1711 -414 +120 -5920 +1101 1056 +-3056 2673 +-1165 -565 +-2035 472 +-8192 0 +-2035 -472 +-1165 565 +-3056 -2673 +1101 -1056 +120 5920 +-1711 414 +3416 366 +4820 4820 +-1436 -1984 +-2899 -1711 +2382 -1552 +7022 -502 +1160 3688 +648 804 +-453 3386 +-1024 1024 +1724 -1174 +-1432 3480 +1414 47 +-5574 2394 +60 4263 +4791 -603 +-1876 -1874 +3372 -3372 +-104 -1220 +-181 1523 +-2561 1847 +-2549 1840 +482 3575 +1949 3241 +765 -3335 +-1024 1024 +4447 -144 +-4195 -784 +-1107 -3605 +2694 1750 +-2472 2633 +729 -3023 +-971 2089 +2772 -1324 +-381 -2895 +-1662 1931 +2229 -734 +-92 -4637 +-1509 -3321 +-2730 441 +-3295 -3467 +-4096 0 +-3295 3467 +-2730 -441 +-1509 3321 +-92 4637 +2229 734 +-1662 -1931 +-381 2895 +2772 1324 +-971 -2089 +729 3023 +-2472 -2633 +2694 -1750 +-1107 3605 +-4195 784 +4447 144 +-1024 -1024 +2044 -231 +-84 1123 +-1405 -15 +802 -5494 +-3217 -394 +563 7147 +838 -103 +1324 -2772 +2523 -4254 +-830 -703 +-3900 2324 +692 -3204 +-204 -949 +17 -2998 +6380 -6044 +6144 0 +6380 6044 +17 2998 +-204 949 +692 3204 +-3900 -2324 +-830 703 +2523 4254 +1324 2772 +838 103 +563 -7147 +-3217 394 +802 5494 +-1405 15 +-84 -1123 +2044 231 +-1024 1024 +4447 -144 +-4195 -784 +-1107 -3605 +2694 1750 +-2472 2633 +729 -3023 +-971 2089 +2772 -1324 +-381 -2895 +-1662 1931 +2229 -734 +-92 -4637 +-1509 -3321 +-2730 441 +-3295 -3467 +-5120 -1024 +3301 1168 +-4171 -2781 +688 -1230 +2634 5610 +-1595 -244 +936 3 +-1582 3681 +300 -2596 +-205 -5514 +1062 -2577 +-2002 1468 +-2708 -148 +-3559 -3320 +-545 1664 +4215 4382 +0 0 +4215 -4382 +-545 -1664 +-3559 3320 +-2708 148 +-2002 -1468 +1062 2577 +-205 5514 +300 2596 +-1582 -3681 +936 -3 +-1595 244 +2634 -5610 +688 1230 +-4171 2781 +3301 -1168 +-5120 1024 +-5738 -2655 +-4077 -2947 +-960 127 +2310 -66 +-87 782 +4177 -2744 +-2784 -585 +1748 4644 +7467 1619 +-382 1534 +788 270 +1860 -2500 +934 1017 +3001 2496 +1118 1123 +-2048 0 +1118 -1123 +3001 -2496 +934 -1017 +1860 2500 +788 -270 +-382 -1534 +7467 -1619 +1748 -4644 +-2784 585 +4177 2744 +-87 -782 +2310 66 +-960 -127 +-4077 2947 +-5738 2655 +-5120 -1024 +3301 1168 +-4171 -2781 +688 -1230 +2634 5610 +-1595 -244 +936 3 +-1582 3681 +300 -2596 +-205 -5514 +1062 -2577 +-2002 1468 +-2708 -148 +-3559 -3320 +-545 1664 +4215 4382 +-3072 -1024 +-534 5552 +-364 -3979 +-174 -180 +-3288 92 +302 -2590 +681 110 +-3092 -542 +-2348 -3196 +3919 753 +8591 2740 +1328 -992 +1950 802 +2786 -463 +-1505 -1015 +795 -2925 +2048 0 +795 2925 +-1505 1015 +2786 463 +1950 -802 +1328 992 +8591 -2740 +3919 -753 +-2348 3196 +-3092 542 +681 -110 +302 2590 +-3288 -92 +-174 180 +-364 3979 +-534 -5552 +-3072 1024 +-436 -1074 +3640 -8090 +-2372 2853 +-2504 -692 +-108 758 +1707 -55 +-677 -717 +-3796 1148 +-4614 -2900 +-3987 1908 +5158 3623 +3842 2694 +-1128 1807 +-571 1732 +-1153 723 +0 0 +-1153 -723 +-571 -1732 +-1128 -1807 +3842 -2694 +5158 -3623 +-3987 -1908 +-4614 2900 +-3796 -1148 +-677 717 +1707 55 +-108 -758 +-2504 692 +-2372 -2853 +3640 8090 +-436 1074 +-3072 -1024 +-534 5552 +-364 -3979 +-174 -180 +-3288 92 +302 -2590 +681 110 +-3092 -542 +-2348 -3196 +3919 753 +8591 2740 +1328 -992 +1950 802 +2786 -463 +-1505 -1015 +795 -2925 +1024 0 +-1557 2004 +3592 340 +884 4 +-2846 1892 +1496 2042 +684 -1836 +3577 4412 +1448 -2048 +1139 -761 +5974 4606 +1053 -1258 +-1722 784 +-3914 -1965 +-3558 1792 +-2371 999 +-5120 0 +-2371 -999 +-3558 -1792 +-3914 1965 +-1722 -784 +1053 1258 +5974 -4606 +1139 761 +1448 2048 +3577 -4412 +684 1836 +1496 -2042 +-2846 -1892 +884 -4 +3592 -340 +-1557 -2004 +1024 0 +-1977 -1682 +-353 -4344 +4186 5255 +1398 -1892 +3111 -6128 +-1897 1616 +-4659 1849 +-1448 -2048 +-3632 -2425 +1031 966 +5484 -949 +3170 -784 +-715 911 +-5473 -4 +-2105 -1933 +3072 0 +-2105 1933 +-5473 4 +-715 -911 +3170 784 +5484 949 +1031 -966 +-3632 2425 +-1448 2048 +-4659 -1849 +-1897 -1616 +3111 6128 +1398 1892 +4186 -5255 +-353 4344 +-1977 1682 +1024 0 +-1557 2004 +3592 340 +884 4 +-2846 1892 +1496 2042 +684 -1836 +3577 4412 +1448 -2048 +1139 -761 +5974 4606 +1053 -1258 +-1722 784 +-3914 -1965 +-3558 1792 +-2371 999 +1024 0 +3254 -3523 +-1006 3318 +-4560 557 +-2408 -1737 +-429 -4764 +-2174 -717 +1869 -1771 +4520 -2472 +463 4374 +1075 -3830 +-2229 883 +-6412 4398 +1118 -1347 +2748 1908 +-3372 -592 +-5120 0 +-3372 592 +2748 -1908 +1118 1347 +-6412 -4398 +-2229 -883 +1075 3830 +463 -4374 +4520 2472 +1869 1771 +-2174 717 +-429 4764 +-2408 1737 +-4560 -557 +-1006 -3318 +3254 3523 +1024 0 +-3089 1012 +837 2579 +3260 -4412 +-840 289 +-2288 -455 +-1130 154 +1371 -73 +1624 424 +1648 -866 +6324 -2526 +2729 -3886 +-2628 -5846 +2399 -292 +1517 -1804 +-2145 3433 +-1024 0 +-2145 -3433 +1517 1804 +2399 292 +-2628 5846 +2729 3886 +6324 2526 +1648 866 +1624 -424 +1371 73 +-1130 -154 +-2288 455 +-840 -289 +3260 4412 +837 -2579 +-3089 -1012 +1024 0 +3254 -3523 +-1006 3318 +-4560 557 +-2408 -1737 +-429 -4764 +-2174 -717 +1869 -1771 +4520 -2472 +463 4374 +1075 -3830 +-2229 883 +-6412 4398 +1118 -1347 +2748 1908 +-3372 -592 +3072 5120 +4864 3781 +1603 -1874 +-21 -167 +1610 -2250 +-1375 4340 +-3177 4843 +1175 -2076 +-1748 -1148 +-4140 1958 +-2372 1880 +171 886 +-3732 -756 +991 875 +3024 1122 +-292 -5879 +4096 0 +-292 5879 +3024 -1122 +991 -875 +-3732 756 +171 -886 +-2372 -1880 +-4140 -1958 +-1748 1148 +1175 2076 +-3177 -4843 +-1375 -4340 +1610 2250 +-21 167 +1603 1874 +4864 -3781 +3072 -5120 +-196 5064 +2741 322 +-3047 -1471 +1286 -4142 +3501 -4834 +-1168 65 +3855 -1376 +-300 3196 +-2458 695 +-1972 131 +1487 1884 +836 -1540 +-1706 -2642 +1321 -5571 +-2809 1052 +-6144 0 +-2809 -1052 +1321 5571 +-1706 2642 +836 1540 +1487 -1884 +-1972 -131 +-2458 -695 +-300 -3196 +3855 1376 +-1168 -65 +3501 4834 +1286 4142 +-3047 1471 +2741 -322 +-196 -5064 +3072 5120 +4864 3781 +1603 -1874 +-21 -167 +1610 -2250 +-1375 4340 +-3177 4843 +1175 -2076 +-1748 -1148 +-4140 1958 +-2372 1880 +171 886 +-3732 -756 +991 875 +3024 1122 +-292 -5879 +1024 2048 +-300 -3437 +-4669 4644 +-70 2352 +-484 -4594 +-1970 2449 +-3234 503 +-3318 2980 +2048 2896 +1410 2352 +2234 -1145 +2695 560 +-144 2022 +3925 -6869 +35 2165 +-2086 -1244 +3072 0 +-2086 1244 +35 -2165 +3925 6869 +-144 -2022 +2695 -560 +2234 1145 +1410 -2352 +2048 -2896 +-3318 -2980 +-3234 -503 +-1970 -2449 +-484 4594 +-70 -2352 +-4669 -4644 +-300 3437 +1024 -2048 +-4394 -2710 +-1828 368 +-1058 802 +1084 -350 +4206 -527 +-826 -2410 +-1232 -3878 +2048 -2896 +1132 2751 +625 2134 +2428 -5557 +3640 -2870 +1428 3103 +-530 5744 +-2796 1098 +-5120 0 +-2796 -1098 +-530 -5744 +1428 -3103 +3640 2870 +2428 5557 +625 -2134 +1132 -2751 +2048 2896 +-1232 3878 +-826 2410 +4206 527 +1084 350 +-1058 -802 +-1828 -368 +-4394 2710 +1024 2048 +-300 -3437 +-4669 4644 +-70 2352 +-484 -4594 +-1970 2449 +-3234 503 +-3318 2980 +2048 2896 +1410 2352 +2234 -1145 +2695 560 +-144 2022 +3925 -6869 +35 2165 +-2086 -1244 +-3072 4096 +2642 918 +3669 -6305 +-2259 2826 +5188 -1338 +4138 -813 +-2791 -303 +-1541 -3978 +-3496 600 +-748 -3442 +239 -3523 +-2780 -1620 +164 554 +-560 2285 +876 -533 +959 -351 +-3072 0 +959 351 +876 533 +-560 -2285 +164 -554 +-2780 1620 +239 3523 +-748 3442 +-3496 -600 +-1541 3978 +-2791 303 +4138 813 +5188 1338 +-2259 -2826 +3669 6305 +2642 -918 +-3072 -4096 +2187 -328 +2059 -1315 +667 -1293 +2053 1338 +372 6475 +50 -1653 +-158 2215 +-600 3496 +-9 1000 +804 3263 +-2409 -967 +-7405 -554 +-2961 -3207 +3284 2801 +2459 6054 +1024 0 +2459 -6054 +3284 -2801 +-2961 3207 +-7405 554 +-2409 967 +804 -3263 +-9 -1000 +-600 -3496 +-158 -2215 +50 1653 +372 -6475 +2053 -1338 +667 1293 +2059 1315 +2187 328 +-3072 4096 +2642 918 +3669 -6305 +-2259 2826 +5188 -1338 +4138 -813 +-2791 -303 +-1541 -3978 +-3496 600 +-748 -3442 +239 -3523 +-2780 -1620 +164 554 +-560 2285 +876 -533 +959 -351 +-4096 2048 +-2318 55 +-1270 -131 +-3411 538 +-3654 -4268 +-4113 -810 +-2487 1057 +1599 -2263 +0 1624 +-2930 379 +-1021 -108 +659 3239 +2702 -1423 +4166 -1110 +187 1756 +-2615 4801 +-2048 0 +-2615 -4801 +187 -1756 +4166 1110 +2702 1423 +659 -3239 +-1021 108 +-2930 -379 +0 -1624 +1599 2263 +-2487 -1057 +-4113 810 +-3654 4268 +-3411 -538 +-1270 131 +-2318 -55 +-4096 -2048 +519 4520 +4285 -4149 +3778 -905 +2806 4868 +-781 -7725 +-2746 -2349 +-89 2223 +0 4520 +91 -2268 +3357 -2384 +3346 4354 +2243 -2073 +2148 2887 +-306 957 +-49 324 +2048 0 +-49 -324 +-306 -957 +2148 -2887 +2243 2073 +3346 -4354 +3357 2384 +91 2268 +0 -4520 +-89 -2223 +-2746 2349 +-781 7725 +2806 -4868 +3778 905 +4285 4149 +519 -4520 +-4096 2048 +-2318 55 +-1270 -131 +-3411 538 +-3654 -4268 +-4113 -810 +-2487 1057 +1599 -2263 +0 1624 +-2930 379 +-1021 -108 +659 3239 +2702 -1423 +4166 -1110 +187 1756 +-2615 4801 +2048 -1024 +-2245 1520 +-4116 5833 +-2782 1799 +-1272 -1600 +-77 1065 +-492 1821 +-1499 630 +-2596 -1324 +4048 -3366 +3526 -541 +2586 1572 +-3054 366 +-1656 3854 +1125 5522 +759 801 +7168 0 +759 -801 +1125 -5522 +-1656 -3854 +-3054 -366 +2586 -1572 +3526 541 +4048 3366 +-2596 1324 +-1499 -630 +-492 -1821 +-77 -1065 +-1272 1600 +-2782 -1799 +-4116 -5833 +-2245 -1520 +2048 1024 +-5605 -2241 +2343 -1929 +28 1074 +-4272 751 +4008 -1489 +-2628 -1052 +3535 469 +4644 -2772 +-83 -3415 +2490 4206 +402 3668 +406 -5310 +-2509 -3510 +-2248 1278 +1090 -1210 +1024 0 +1090 1210 +-2248 -1278 +-2509 3510 +406 5310 +402 -3668 +2490 -4206 +-83 3415 +4644 2772 +3535 -469 +-2628 1052 +4008 1489 +-4272 -751 +28 -1074 +2343 1929 +-5605 2241 +2048 -1024 +-2245 1520 +-4116 5833 +-2782 1799 +-1272 -1600 +-77 1065 +-492 1821 +-1499 630 +-2596 -1324 +4048 -3366 +3526 -541 +2586 1572 +-3054 366 +-1656 3854 +1125 5522 +759 801 +2048 -2048 +386 4373 +-4701 -1699 +-3909 -4469 +1957 1434 +-514 -459 +-1238 -2203 +-2441 -1365 +0 -3920 +4139 -768 +133 854 +2217 -3162 +-7620 -770 +475 -871 +4527 -5594 +-2386 -3378 +6144 0 +-2386 3378 +4527 5594 +475 871 +-7620 770 +2217 3162 +133 -854 +4139 768 +0 3920 +-2441 1365 +-1238 2203 +-514 459 +1957 -1434 +-3909 4469 +-4701 1699 +386 -4373 +2048 2048 +-2232 3662 +2025 -1760 +2104 1089 +-260 4110 +4494 1042 +2347 -798 +-162 722 +0 1872 +681 -1130 +-1242 -3855 +-845 -2568 +-2268 -1878 +-4021 -631 +-1852 2135 +2016 1966 +6144 0 +2016 -1966 +-1852 -2135 +-4021 631 +-2268 1878 +-845 2568 +-1242 3855 +681 1130 +0 -1872 +-162 -722 +2347 798 +4494 -1042 +-260 -4110 +2104 -1089 +2025 1760 +-2232 -3662 +2048 -2048 +386 4373 +-4701 -1699 +-3909 -4469 +1957 1434 +-514 -459 +-1238 -2203 +-2441 -1365 +0 -3920 +4139 -768 +133 854 +2217 -3162 +-7620 -770 +475 -871 +4527 -5594 +-2386 -3378 +-4096 3072 +-1235 4648 +6302 -4158 +1095 2989 +-1272 -1416 +-813 1552 +290 8547 +-1539 2062 +900 724 +7263 2820 +3267 -209 +-347 524 +-3054 1970 +-36 -2373 +1545 1298 +-3973 477 +-5120 0 +-3973 -477 +1545 -1298 +-36 2373 +-3054 -1970 +-347 -524 +3267 209 +7263 -2820 +900 -724 +-1539 -2062 +290 -8547 +-813 -1552 +-1272 1416 +1095 -2989 +6302 4158 +-1235 -4648 +-4096 -3072 +1756 2833 +654 -1555 +-2855 2195 +-4272 -632 +-556 123 +-2691 -2595 +30 -1682 +5244 -724 +926 -1112 +333 4961 +388 263 +406 78 +-2667 876 +-1508 -19 +2565 2540 +1024 0 +2565 -2540 +-1508 19 +-2667 -876 +406 -78 +388 -263 +333 -4961 +926 1112 +5244 724 +30 1682 +-2691 2595 +-556 -123 +-4272 632 +-2855 -2195 +654 1555 +1756 -2833 +-4096 3072 +-1235 4648 +6302 -4158 +1095 2989 +-1272 -1416 +-813 1552 +290 8547 +-1539 2062 +900 724 +7263 2820 +3267 -209 +-347 524 +-3054 1970 +-36 -2373 +1545 1298 +-3973 477 +-4096 0 +350 1500 +-899 -518 +-4956 -152 +1194 1329 +192 -1630 +-1904 -2494 +2325 5093 +1024 -4944 +1711 -2165 +-1027 456 +-3237 -1624 +1638 6681 +235 -1054 +2080 2888 +1482 4084 +-4096 0 +1482 -4084 +2080 -2888 +235 1054 +1638 -6681 +-3237 1624 +-1027 -456 +1711 2165 +1024 4944 +2325 -5093 +-1904 2494 +192 1630 +1194 -1329 +-4956 152 +-899 518 +350 -1500 +-4096 0 +-2716 3799 +1683 -1750 +6625 -8 +2302 4464 +-3148 4108 +11 2754 +96 3426 +1024 848 +4116 -1660 +2919 1500 +1080 3085 +-1038 -888 +-2584 -2523 +-2863 4732 +-1572 2856 +0 0 +-1572 -2856 +-2863 -4732 +-2584 2523 +-1038 888 +1080 -3085 +2919 -1500 +4116 1660 +1024 -848 +96 -3426 +11 -2754 +-3148 -4108 +2302 -4464 +6625 8 +1683 1750 +-2716 -3799 +-4096 0 +350 1500 +-899 -518 +-4956 -152 +1194 1329 +192 -1630 +-1904 -2494 +2325 5093 +1024 -4944 +1711 -2165 +-1027 456 +-3237 -1624 +1638 6681 +235 -1054 +2080 2888 +1482 4084 +-2048 -2048 +-2411 -1066 +-1076 -724 +3531 -1024 +-2472 -529 +-3807 -191 +1941 -3031 +-390 2008 +0 -1024 +1299 1084 +-470 -1722 +-383 -803 +424 4978 +2789 -5711 +861 1489 +-290 2105 +2048 0 +-290 -2105 +861 -1489 +2789 5711 +424 -4978 +-383 803 +-470 1722 +1299 -1084 +0 1024 +-390 -2008 +1941 3031 +-3807 191 +-2472 529 +3531 1024 +-1076 724 +-2411 1066 +-2048 2048 +-1519 3677 +1897 280 +-1333 666 +-2472 -70 +378 5348 +-233 5263 +-929 -3760 +0 -1024 +1587 3606 +-38 1058 +29 2616 +424 -1482 +-1203 -9576 +5311 -4829 +2653 -4638 +-6144 0 +2653 4638 +5311 4829 +-1203 9576 +424 1482 +29 -2616 +-38 -1058 +1587 -3606 +0 1024 +-929 3760 +-233 -5263 +378 -5348 +-2472 70 +-1333 -666 +1897 -280 +-1519 -3677 +-2048 -2048 +-2411 -1066 +-1076 -724 +3531 -1024 +-2472 -529 +-3807 -191 +1941 -3031 +-390 2008 +0 -1024 +1299 1084 +-470 -1722 +-383 -803 +424 4978 +2789 -5711 +861 1489 +-290 2105 +3072 -2048 +2170 -3135 +3719 -2366 +-94 1942 +-1952 -738 +377 2032 +781 -408 +-1620 -3756 +0 0 +762 -2471 +-114 735 +560 2286 +-1832 -2942 +1184 -611 +3139 5164 +-3483 1365 +-7168 0 +-3483 -1365 +3139 -5164 +1184 611 +-1832 2942 +560 -2286 +-114 -735 +762 2471 +0 0 +-1620 3756 +781 408 +377 -2032 +-1952 738 +-94 -1942 +3719 2366 +2170 3135 +3072 2048 +1886 -1057 +-4503 2145 +747 5194 +3400 1938 +1742 575 +1112 -1380 +-3458 6633 +0 0 +-1477 -1883 +-1778 3270 +3114 -3152 +384 -4050 +3955 -3918 +-2355 408 +-6365 -4596 +1024 0 +-6365 4596 +-2355 -408 +3955 3918 +384 4050 +3114 3152 +-1778 -3270 +-1477 1883 +0 0 +-3458 -6633 +1112 1380 +1742 -575 +3400 -1938 +747 -5194 +-4503 -2145 +1886 1057 +3072 -2048 +2170 -3135 +3719 -2366 +-94 1942 +-1952 -738 +377 2032 +781 -408 +-1620 -3756 +0 0 +762 -2471 +-114 735 +560 2286 +-1832 -2942 +1184 -611 +3139 5164 +-3483 1365 +1024 2048 +3105 -1453 +-987 -241 +-1755 983 +-3046 520 +-2045 -3301 +3476 1202 +1702 -547 +-1024 -424 +-2453 7315 +1374 155 +4110 -545 +-1374 2257 +3851 1354 +5082 1967 +-1169 -196 +-1024 0 +-1169 196 +5082 -1967 +3851 -1354 +-1374 -2257 +4110 545 +1374 -155 +-2453 -7315 +-1024 424 +1702 547 +3476 -1202 +-2045 3301 +-3046 -520 +-1755 -983 +-987 241 +3105 1453 +1024 -2048 +-4599 -465 +-2657 -1051 +4225 705 +1846 5872 +297 -2591 +4912 -3914 +1345 -814 +-1024 2472 +-1923 1139 +-2770 4125 +-3251 3550 +-5618 40 +360 3439 +-239 -4459 +-1801 2301 +3072 0 +-1801 -2301 +-239 4459 +360 -3439 +-5618 -40 +-3251 -3550 +-2770 -4125 +-1923 -1139 +-1024 -2472 +1345 814 +4912 3914 +297 2591 +1846 -5872 +4225 -705 +-2657 1051 +-4599 465 +1024 2048 +3105 -1453 +-987 -241 +-1755 983 +-3046 520 +-2045 -3301 +3476 1202 +1702 -547 +-1024 -424 +-2453 7315 +1374 155 +4110 -545 +-1374 2257 +3851 1354 +5082 1967 +-1169 -196 +-2048 -1024 +-3695 2141 +-2594 1728 +-2156 -1790 +-1006 -4646 +3343 -1692 +1691 1576 +3589 1102 +3796 2172 +-3010 608 +3802 -155 +1229 -3627 +-2224 1741 +-183 5649 +3271 -524 +4658 7690 +-1024 0 +4658 -7690 +3271 524 +-183 -5649 +-2224 -1741 +1229 3627 +3802 155 +-3010 -608 +3796 -2172 +3589 -1102 +1691 -1576 +3343 1692 +-1006 4646 +-2156 1790 +-2594 -1728 +-3695 -2141 +-2048 1024 +-935 2309 +-4531 -280 +-640 -3139 +2454 2598 +1429 1835 +-2594 -127 +-2497 3914 +2348 -2172 +350 -1073 +-3 -1293 +-2216 -4550 +776 307 +-806 878 +957 -924 +1540 -5328 +-7168 0 +1540 5328 +957 924 +-806 -878 +776 -307 +-2216 4550 +-3 1293 +350 1073 +2348 2172 +-2497 -3914 +-2594 127 +1429 -1835 +2454 -2598 +-640 3139 +-4531 280 +-935 -2309 +-2048 -1024 +-3695 2141 +-2594 1728 +-2156 -1790 +-1006 -4646 +3343 -1692 +1691 1576 +3589 1102 +3796 2172 +-3010 608 +3802 -155 +1229 -3627 +-2224 1741 +-183 5649 +3271 -524 +4658 7690 +0 -1024 +894 -1664 +337 -2349 +1156 380 +-2103 2624 +-5805 1531 +-2225 -2133 +-4591 -5927 +-5244 724 +2495 2003 +2358 -2121 +1935 -3746 +-3647 -1390 +1079 1285 +923 -568 +-3722 4468 +3072 0 +-3722 -4468 +923 568 +1079 -1285 +-3647 1390 +1935 3746 +2358 2121 +2495 -2003 +-5244 -724 +-4591 5927 +-2225 2133 +-5805 -1531 +-2103 -2624 +1156 -380 +337 2349 +894 1664 +0 1024 +-74 -217 +3343 153 +1502 -3047 +5600 273 +2836 -179 +-2564 -4808 +-836 672 +-900 -724 +35 1510 +-3362 -724 +3930 -5029 +4246 4286 +-840 -94 +1190 -5723 +6 20 +1024 0 +6 -20 +1190 5723 +-840 94 +4246 -4286 +3930 5029 +-3362 724 +35 -1510 +-900 724 +-836 -672 +-2564 4808 +2836 179 +5600 -273 +1502 3047 +3343 -153 +-74 217 +0 -1024 +894 -1664 +337 -2349 +1156 380 +-2103 2624 +-5805 1531 +-2225 -2133 +-4591 -5927 +-5244 724 +2495 2003 +2358 -2121 +1935 -3746 +-3647 -1390 +1079 1285 +923 -568 +-3722 4468 +1024 4096 +-992 -6614 +1627 1428 +2566 546 +-26 -1508 +-1572 -1457 +-5348 -3288 +-1629 1492 +-1024 1872 +-1312 296 +3807 -48 +1210 -1693 +-1698 1168 +-2347 2638 +-30 -2118 +3418 1572 +3072 0 +3418 -1572 +-30 2118 +-2347 -2638 +-1698 -1168 +1210 1693 +3807 48 +-1312 -296 +-1024 -1872 +-1629 -1492 +-5348 3288 +-1572 1457 +-26 1508 +2566 -546 +1627 -1428 +-992 6614 +1024 -4096 +-1284 -6051 +1988 -2212 +1451 -3391 +-4918 60 +773 -1842 +3612 1396 +-1777 -5157 +-1024 -3920 +4718 950 +2025 -1844 +-411 -2965 +2546 -2616 +-1670 4743 +510 1334 +-1143 2259 +-9216 0 +-1143 -2259 +510 -1334 +-1670 -4743 +2546 2616 +-411 2965 +2025 1844 +4718 -950 +-1024 3920 +-1777 5157 +3612 -1396 +773 1842 +-4918 -60 +1451 3391 +1988 2212 +-1284 6051 +1024 4096 +-992 -6614 +1627 1428 +2566 546 +-26 -1508 +-1572 -1457 +-5348 -3288 +-1629 1492 +-1024 1872 +-1312 296 +3807 -48 +1210 -1693 +-1698 1168 +-2347 2638 +-30 -2118 +3418 1572 +0 0 +1286 -3867 +210 -948 +4327 1647 +2232 -1997 +-1486 -2522 +754 1643 +-1299 3533 +600 -2472 +252 3047 +478 5006 +-857 5426 +444 6667 +2411 -3461 +5039 -2740 +2141 -322 +-6144 0 +2141 322 +5039 2740 +2411 3461 +444 -6667 +-857 -5426 +478 -5006 +252 -3047 +600 2472 +-1299 -3533 +754 -1643 +-1486 2522 +2232 1997 +4327 -1647 +210 948 +1286 3867 +0 0 +1389 3948 +-3994 -2053 +-5435 -2258 +664 2246 +2594 -4194 +-2321 1816 +-1377 2142 +3496 424 +-2928 2107 +1090 -1546 +1965 3098 +-3340 1774 +-3519 -1687 +-1254 -260 +535 -3511 +-2048 0 +535 3511 +-1254 260 +-3519 1687 +-3340 -1774 +1965 -3098 +1090 1546 +-2928 -2107 +3496 -424 +-1377 -2142 +-2321 -1816 +2594 4194 +664 -2246 +-5435 2258 +-3994 2053 +1389 -3948 +0 0 +1286 -3867 +210 -948 +4327 1647 +2232 -1997 +-1486 -2522 +754 1643 +-1299 3533 +600 -2472 +252 3047 +478 5006 +-857 5426 +444 6667 +2411 -3461 +5039 -2740 +2141 -322 +2048 3072 +635 -1751 +-3209 1304 +-5630 -448 +-2934 -5650 +-1991 916 +4119 5064 +2508 -56 +1148 -2772 +1682 -1168 +-5296 -1061 +5594 -1741 +960 -372 +-167 -2278 +3370 -4449 +-936 -1228 +5120 0 +-936 1228 +3370 4449 +-167 2278 +960 372 +5594 1741 +-5296 1061 +1682 1168 +1148 2772 +2508 56 +4119 -5064 +-1991 -916 +-2934 5650 +-5630 448 +-3209 -1304 +635 1751 +2048 -3072 +624 -451 +4354 3980 +2999 -2254 +-2610 -2191 +-682 322 +2157 -91 +-306 1982 +-3196 -1324 +2796 -1369 +220 1441 +-4250 -3701 +-3608 -3373 +-1665 -1312 +2477 -3052 +-1211 355 +-5120 0 +-1211 -355 +2477 3052 +-1665 1312 +-3608 3373 +-4250 3701 +220 -1441 +2796 1369 +-3196 1324 +-306 -1982 +2157 91 +-682 -322 +-2610 2191 +2999 2254 +4354 -3980 +624 451 +2048 3072 +635 -1751 +-3209 1304 +-5630 -448 +-2934 -5650 +-1991 916 +4119 5064 +2508 -56 +1148 -2772 +1682 -1168 +-5296 -1061 +5594 -1741 +960 -372 +-167 -2278 +3370 -4449 +-936 -1228 +2048 2048 +-4346 3875 +-3472 602 +1007 4772 +2812 410 +3002 2983 +-5600 1396 +-3190 3553 +0 6817 +-3257 -1254 +2898 -1390 +2505 784 +804 254 +-1566 -1527 +-1561 131 +2684 -405 +2048 0 +2684 405 +-1561 -131 +-1566 1527 +804 -254 +2505 -784 +2898 1390 +-3257 1254 +0 -6817 +-3190 -3553 +-5600 -1396 +3002 -2983 +2812 -410 +1007 -4772 +-3472 -602 +-4346 -3875 +2048 -2048 +2233 2260 +-2241 -1266 +-3 3384 +5029 3086 +1786 2519 +-351 -952 +-491 -1490 +0 -4769 +-423 -3603 +1854 4730 +2283 -1283 +-4548 -854 +2570 3682 +282 2101 +-4797 -379 +2048 0 +-4797 379 +282 -2101 +2570 -3682 +-4548 854 +2283 1283 +1854 -4730 +-423 3603 +0 4769 +-491 1490 +-351 952 +1786 -2519 +5029 -3086 +-3 -3384 +-2241 1266 +2233 -2260 +2048 2048 +-4346 3875 +-3472 602 +1007 4772 +2812 410 +3002 2983 +-5600 1396 +-3190 3553 +0 6817 +-3257 -1254 +2898 -1390 +2505 784 +804 254 +-1566 -1527 +-1561 131 +2684 -405 +-2048 -1024 +-6132 -1833 +-1879 -1211 +1572 -2454 +-6878 1846 +2906 3220 +857 166 +-5376 -4616 +1324 300 +2314 2790 +5107 3851 +386 4802 +-2184 -4852 +-1195 -730 +-2293 2234 +-588 -1429 +-1024 0 +-588 1429 +-2293 -2234 +-1195 730 +-2184 4852 +386 -4802 +5107 -3851 +2314 -2790 +1324 -300 +-5376 4616 +857 -166 +2906 -3220 +-6878 -1846 +1572 2454 +-1879 1211 +-6132 1833 +-2048 1024 +-1658 3714 +1998 2594 +-1340 -4149 +1933 -46 +1500 -1766 +-3193 -1770 +2847 502 +2772 1748 +1103 1655 +125 1537 +-328 -762 +3033 -5636 +2292 -889 +-723 -2050 +1697 -2116 +5120 0 +1697 2116 +-723 2050 +2292 889 +3033 5636 +-328 762 +125 -1537 +1103 -1655 +2772 -1748 +2847 -502 +-3193 1770 +1500 1766 +1933 46 +-1340 4149 +1998 -2594 +-1658 -3714 +-2048 -1024 +-6132 -1833 +-1879 -1211 +1572 -2454 +-6878 1846 +2906 3220 +857 166 +-5376 -4616 +1324 300 +2314 2790 +5107 3851 +386 4802 +-2184 -4852 +-1195 -730 +-2293 2234 +-588 -1429 +-1024 -3072 +-1074 -2309 +-464 -2805 +-1287 -1200 +5096 -5500 +2184 -552 +-4107 4492 +1521 -3015 +900 -3796 +-2439 -2174 +-1120 -5640 +-907 -569 +966 2151 +1708 -3345 +2116 -804 +-367 -2809 +-4096 0 +-367 2809 +2116 804 +1708 3345 +966 -2151 +-907 569 +-1120 5640 +-2439 2174 +900 3796 +1521 3015 +-4107 -4492 +2184 552 +5096 5500 +-1287 1200 +-464 2805 +-1074 2309 +-1024 3072 +509 -931 +-2472 -4971 +-322 -2346 +2745 2852 +224 459 +-2404 -5185 +1320 1572 +5244 -2348 +-401 731 +-2257 2549 +-1501 475 +-4710 3393 +-99 -201 +2516 7013 +932 -431 +2048 0 +932 431 +2516 -7013 +-99 201 +-4710 -3393 +-1501 -475 +-2257 -2549 +-401 -731 +5244 2348 +1320 -1572 +-2404 5185 +224 -459 +2745 -2852 +-322 2346 +-2472 4971 +509 931 +-1024 -3072 +-1074 -2309 +-464 -2805 +-1287 -1200 +5096 -5500 +2184 -552 +-4107 4492 +1521 -3015 +900 -3796 +-2439 -2174 +-1120 -5640 +-907 -569 +966 2151 +1708 -3345 +2116 -804 +-367 -2809 +-5120 0 +-3893 763 +-1443 -2504 +-5829 1483 +-495 784 +6076 148 +177 -1198 +1467 -6877 +4944 2048 +-3920 3435 +-793 -310 +645 -2591 +3954 -1892 +5843 2161 +-1181 -1310 +808 3830 +3072 0 +808 -3830 +-1181 1310 +5843 -2161 +3954 1892 +645 2591 +-793 310 +-3920 -3435 +4944 -2048 +1467 6877 +177 1198 +6076 -148 +-495 -784 +-5829 -1483 +-1443 2504 +-3893 -763 +-5120 0 +2636 -2261 +659 -3069 +1158 -927 +-954 -784 +1301 53 +1715 -2807 +-4904 850 +-848 2048 +-3788 -15 +-1099 2098 +-13 913 +-2506 1892 +2403 4706 +1965 1531 +8 -4073 +-1024 0 +8 4073 +1965 -1531 +2403 -4706 +-2506 -1892 +-13 -913 +-1099 -2098 +-3788 15 +-848 -2048 +-4904 -850 +1715 2807 +1301 -53 +-954 784 +1158 927 +659 3069 +2636 2261 +-5120 0 +-3893 763 +-1443 -2504 +-5829 1483 +-495 784 +6076 148 +177 -1198 +1467 -6877 +4944 2048 +-3920 3435 +-793 -310 +645 -2591 +3954 -1892 +5843 2161 +-1181 -1310 +808 3830 +-1024 -1024 +-1122 3448 +3501 -3869 +-4778 -226 +-5565 6224 +3352 -1338 +-1406 -218 +-1151 -3106 +124 724 +561 6042 +-2872 -629 +416 -2214 +4686 -1427 +1606 -3393 +1942 -4512 +-1219 -867 +-4096 0 +-1219 867 +1942 4512 +1606 3393 +4686 1427 +416 2214 +-2872 629 +561 -6042 +124 -724 +-1151 3106 +-1406 218 +3352 1338 +-5565 -6224 +-4778 226 +3501 3869 +-1122 -3448 +-1024 1024 +-1600 -4952 +3335 -3530 +803 3305 +1220 -2128 +4883 -2683 +1341 49 +1644 1781 +-4220 -724 +-1733 -2253 +1239 -3635 +-403 -4263 +-341 -2669 +-87 -1775 +1110 1208 +-1172 -1316 +-2048 0 +-1172 1316 +1110 -1208 +-87 1775 +-341 2669 +-403 4263 +1239 3635 +-1733 2253 +-4220 724 +1644 -1781 +1341 -49 +4883 2683 +1220 2128 +803 -3305 +3335 3530 +-1600 4952 +-1024 -1024 +-1122 3448 +3501 -3869 +-4778 -226 +-5565 6224 +3352 -1338 +-1406 -218 +-1151 -3106 +124 724 +561 6042 +-2872 -629 +416 -2214 +4686 -1427 +1606 -3393 +1942 -4512 +-1219 -867 +-4096 1024 +2397 -2402 +3698 -258 +873 -354 +2188 -3972 +228 -1284 +-160 -1226 +1454 -1874 +3796 -2772 +-880 1270 +477 2524 +-1065 -248 +-53 -2154 +5554 -1109 +602 3215 +-1764 -1891 +-1024 0 +-1764 1891 +602 -3215 +5554 1109 +-53 2154 +-1065 248 +477 -2524 +-880 -1270 +3796 2772 +1454 1874 +-160 1226 +228 1284 +2188 3972 +873 354 +3698 258 +2397 2402 +-4096 -1024 +4128 1779 +1690 -3239 +-4595 -5827 +-7732 -972 +-1079 275 +1543 1826 +-5673 130 +2348 -1324 +-1582 -4342 +-661 -3124 +3245 127 +-2595 1306 +2632 1608 +1002 281 +-3873 5732 +-3072 0 +-3873 -5732 +1002 -281 +2632 -1608 +-2595 -1306 +3245 -127 +-661 3124 +-1582 4342 +2348 1324 +-5673 -130 +1543 -1826 +-1079 -275 +-7732 972 +-4595 5827 +1690 3239 +4128 -1779 +-4096 1024 +2397 -2402 +3698 -258 +873 -354 +2188 -3972 +228 -1284 +-160 -1226 +1454 -1874 +3796 -2772 +-880 1270 +477 2524 +-1065 -248 +-53 -2154 +5554 -1109 +602 3215 +-1764 -1891 +4096 1024 +481 -381 +-6585 -1252 +470 3379 +3888 -2224 +938 -1634 +-589 944 +875 -1091 +124 -1748 +-4585 -2765 +3197 -770 +248 -4376 +1546 -2454 +3836 290 +2578 -2633 +1927 5122 +-5120 0 +1927 -5122 +2578 2633 +3836 -290 +1546 2454 +248 4376 +3197 770 +-4585 2765 +124 1748 +875 1091 +-589 -944 +938 1634 +3888 2224 +470 -3379 +-6585 1252 +481 381 +4096 -1024 +4186 1374 +-3711 -5363 +-1960 -3557 +3104 776 +-1546 -230 +3654 779 +-371 -35 +-4220 -300 +-592 -1257 +-4565 -1602 +-5672 -384 +-346 1006 +-2107 2428 +-2170 113 +3870 -1233 +5120 0 +3870 1233 +-2170 -113 +-2107 -2428 +-346 -1006 +-5672 384 +-4565 1602 +-592 1257 +-4220 300 +-371 35 +3654 -779 +-1546 230 +3104 -776 +-1960 3557 +-3711 5363 +4186 -1374 +4096 1024 +481 -381 +-6585 -1252 +470 3379 +3888 -2224 +938 -1634 +-589 944 +875 -1091 +124 -1748 +-4585 -2765 +3197 -770 +248 -4376 +1546 -2454 +3836 290 +2578 -2633 +1927 5122 +4096 -1024 +2876 -1687 +4863 -1906 +4586 865 +332 4756 +-711 -2896 +-4193 723 +-2411 5055 +300 1572 +-3342 -446 +987 440 +672 266 +-1670 262 +568 7525 +-1041 680 +-579 -5366 +1024 0 +-579 5366 +-1041 -680 +568 -7525 +-1670 -262 +672 -266 +987 -440 +-3342 446 +300 -1572 +-2411 -5055 +-4193 -723 +-711 2896 +332 -4756 +4586 -865 +4863 1906 +2876 1687 +4096 1024 +2983 -778 +-3571 -2478 +-1450 -2367 +1116 188 +-1504 -1391 +1481 4341 +-2805 193 +1748 -5668 +1877 -3204 +-5267 -2368 +2872 -530 +222 586 +761 789 +-1451 -3864 +-4392 -204 +3072 0 +-4392 204 +-1451 3864 +761 -789 +222 -586 +2872 530 +-5267 2368 +1877 3204 +1748 5668 +-2805 -193 +1481 -4341 +-1504 1391 +1116 -188 +-1450 2367 +-3571 2478 +2983 778 +4096 -1024 +2876 -1687 +4863 -1906 +4586 865 +332 4756 +-711 -2896 +-4193 723 +-2411 5055 +300 1572 +-3342 -446 +987 440 +672 266 +-1670 262 +568 7525 +-1041 680 +-579 -5366 +1024 -3072 +2632 -1209 +2516 -2103 +-1841 556 +596 1560 +-3226 -3446 +-2159 5752 +1459 5198 +-1748 -2948 +1419 2180 +-4144 -2083 +649 -3176 +2479 -886 +1220 378 +2111 6222 +-2157 -268 +0 0 +-2157 268 +2111 -6222 +1220 -378 +2479 886 +649 3176 +-4144 2083 +1419 -2180 +-1748 2948 +1459 -5198 +-2159 -5752 +-3226 3446 +596 -1560 +-1841 -556 +2516 2103 +2632 1209 +1024 3072 +208 1374 +5324 -1210 +-567 -3302 +-5540 -3008 +1617 -665 +-1586 236 +-894 -4366 +-300 -7292 +-1984 -1348 +399 -121 +960 -935 +-1630 -562 +1188 -3125 +5729 -1342 +-684 433 +-6144 0 +-684 -433 +5729 1342 +1188 3125 +-1630 562 +960 935 +399 121 +-1984 1348 +-300 7292 +-894 4366 +-1586 -236 +1617 665 +-5540 3008 +-567 3302 +5324 1210 +208 -1374 +1024 -3072 +2632 -1209 +2516 -2103 +-1841 556 +596 1560 +-3226 -3446 +-2159 5752 +1459 5198 +-1748 -2948 +1419 2180 +-4144 -2083 +649 -3176 +2479 -886 +1220 378 +2111 6222 +-2157 -268 +-1024 2048 +-818 1598 +1078 -234 +4489 -2082 +-5024 534 +-1270 530 +6656 2681 +-1411 2503 +-2896 0 +-1144 -3862 +-1058 -3000 +-3248 1802 +-4904 4474 +-1533 4249 +1059 -3601 +1430 952 +1024 0 +1430 -952 +1059 3601 +-1533 -4249 +-4904 -4474 +-3248 -1802 +-1058 3000 +-1144 3862 +-2896 0 +-1411 -2503 +6656 -2681 +-1270 -530 +-5024 -534 +4489 2082 +1078 234 +-818 -1598 +-1024 -2048 +-365 1135 +-154 -2102 +-1459 -3051 +328 3210 +-2325 -2257 +1408 334 +4203 -569 +2896 0 +-80 5146 +-14 3120 +3058 5607 +-2688 3366 +2287 -246 +-784 -1631 +-1814 1131 +9216 0 +-1814 -1131 +-784 1631 +2287 246 +-2688 -3366 +3058 -5607 +-14 -3120 +-80 -5146 +2896 0 +4203 569 +1408 -334 +-2325 2257 +328 -3210 +-1459 3051 +-154 2102 +-365 -1135 +-1024 2048 +-818 1598 +1078 -234 +4489 -2082 +-5024 534 +-1270 530 +6656 2681 +-1411 2503 +-2896 0 +-1144 -3862 +-1058 -3000 +-3248 1802 +-4904 4474 +-1533 4249 +1059 -3601 +1430 952 +-1024 2048 +1121 2649 +1568 -1939 +-406 -1333 +60 710 +-3437 -3164 +1424 -2648 +902 -6007 +-2296 -6144 +1015 3603 +3010 -1012 +3000 -4845 +2616 -1494 +-1892 -1455 +-1318 1212 +-707 -597 +-5120 0 +-707 597 +-1318 -1212 +-1892 1455 +2616 1494 +3000 4845 +3010 1012 +1015 -3603 +-2296 6144 +902 6007 +1424 2648 +-3437 3164 +60 -710 +-406 1333 +1568 1939 +1121 -2649 +-1024 -2048 +582 758 +-3681 -2066 +6 -965 +-1508 3386 +1428 214 +-419 2428 +-2040 757 +6392 -6144 +123 1035 +1778 6584 +-992 3591 +-1168 -2602 +2292 -2540 +-2362 576 +-996 -5884 +-1024 0 +-996 5884 +-2362 -576 +2292 2540 +-1168 2602 +-992 -3591 +1778 -6584 +123 -1035 +6392 6144 +-2040 -757 +-419 -2428 +1428 -214 +-1508 -3386 +6 965 +-3681 2066 +582 -758 +-1024 2048 +1121 2649 +1568 -1939 +-406 -1333 +60 710 +-3437 -3164 +1424 -2648 +902 -6007 +-2296 -6144 +1015 3603 +3010 -1012 +3000 -4845 +2616 -1494 +-1892 -1455 +-1318 1212 +-707 -597 +4096 1024 +-528 -2468 +-2948 1411 +81 109 +-3492 3469 +1714 1503 +-463 -1167 +-1123 -752 +5069 3196 +949 4730 +-819 215 +-3112 2865 +418 5435 +4317 3311 +-882 568 +-2029 4860 +-1024 0 +-2029 -4860 +-882 -568 +4317 -3311 +418 -5435 +-3112 -2865 +-819 -215 +949 -4730 +5069 -3196 +-1123 752 +-463 1167 +1714 -1503 +-3492 -3469 +81 -109 +-2948 -1411 +-528 2468 +4096 -1024 +-3152 -592 +-2116 141 +4708 -1972 +2644 5820 +-709 -2801 +3648 -3741 +-989 -699 +-5069 -1148 +-3062 738 +-3565 -2226 +4116 1838 +4527 -242 +472 827 +-1047 3880 +-1651 -1001 +1024 0 +-1651 1001 +-1047 -3880 +472 -827 +4527 242 +4116 -1838 +-3565 2226 +-3062 -738 +-5069 1148 +-989 699 +3648 3741 +-709 2801 +2644 -5820 +4708 1972 +-2116 -141 +-3152 592 +4096 1024 +-528 -2468 +-2948 1411 +81 109 +-3492 3469 +1714 1503 +-463 -1167 +-1123 -752 +5069 3196 +949 4730 +-819 215 +-3112 2865 +418 5435 +4317 3311 +-882 568 +-2029 4860 +-1024 2048 +-2402 3453 +792 281 +-932 -1945 +-954 2401 +-144 4951 +-2205 698 +2514 200 +-600 -1448 +526 1046 +3182 1137 +492 3337 +-2506 6956 +537 -2187 +2798 -4608 +-2003 -1403 +-3072 0 +-2003 1403 +2798 4608 +537 2187 +-2506 -6956 +492 -3337 +3182 -1137 +526 -1046 +-600 1448 +2514 -200 +-2205 -698 +-144 -4951 +-954 -2401 +-932 1945 +792 -281 +-2402 -3453 +-1024 -2048 +1039 2383 +4936 -3125 +-2793 -3559 +-495 -3600 +3380 -5496 +-535 1497 +1180 2372 +-3496 1448 +893 2207 +-2138 5154 +-1273 4366 +3954 37 +-5061 -862 +1362 -2332 +4045 2125 +-3072 0 +4045 -2125 +1362 2332 +-5061 862 +3954 -37 +-1273 -4366 +-2138 -5154 +893 -2207 +-3496 -1448 +1180 -2372 +-535 -1497 +3380 5496 +-495 3600 +-2793 3559 +4936 3125 +1039 -2383 +-1024 2048 +-2402 3453 +792 281 +-932 -1945 +-954 2401 +-144 4951 +-2205 698 +2514 200 +-600 -1448 +526 1046 +3182 1137 +492 3337 +-2506 6956 +537 -2187 +2798 -4608 +-2003 -1403 +0 -1024 +-232 5074 +-7008 824 +-2186 -3631 +-2250 1286 +-3269 -2412 +-2074 -455 +-4729 -930 +2348 124 +3332 4342 +1829 173 +-164 -1045 +756 -836 +1594 1435 +110 -20 +-1177 -6221 +-5120 0 +-1177 6221 +110 20 +1594 -1435 +756 836 +-164 1045 +1829 -173 +3332 -4342 +2348 -124 +-4729 930 +-2074 455 +-3269 2412 +-2250 -1286 +-2186 3631 +-7008 -824 +-232 -5074 +0 1024 +-1861 -634 +2219 1224 +1265 -944 +-4142 1610 +4577 3054 +4186 -1593 +-3977 1783 +3796 -4220 +2477 -4065 +1851 1875 +1752 3622 +1540 3732 +2223 -1675 +-1114 -2028 +374 -3900 +1024 0 +374 3900 +-1114 2028 +2223 1675 +1540 -3732 +1752 -3622 +1851 -1875 +2477 4065 +3796 4220 +-3977 -1783 +4186 1593 +4577 -3054 +-4142 -1610 +1265 944 +2219 -1224 +-1861 634 +0 -1024 +-232 5074 +-7008 824 +-2186 -3631 +-2250 1286 +-3269 -2412 +-2074 -455 +-4729 -930 +2348 124 +3332 4342 +1829 173 +-164 -1045 +756 -836 +1594 1435 +110 -20 +-1177 -6221 +3072 -4096 +-336 -794 +2084 3187 +-1302 -6943 +-1508 2602 +-1213 466 +2401 -387 +3215 4181 +1448 -1448 +1900 -4763 +8 -3805 +2280 1836 +-1168 -710 +-3579 -2350 +-2649 -325 +-3082 316 +-1024 0 +-3082 -316 +-2649 325 +-3579 2350 +-1168 710 +2280 -1836 +8 3805 +1900 4763 +1448 1448 +3215 -4181 +2401 387 +-1213 -466 +-1508 -2602 +-1302 6943 +2084 -3187 +-336 794 +3072 4096 +6753 -2974 +-192 3493 +-3187 281 +60 1494 +4526 4267 +-1617 1716 +-3818 530 +-1448 1448 +-4432 -416 +-791 -659 +1976 1201 +2616 -3386 +500 -2616 +757 1213 +-200 5805 +-5120 0 +-200 -5805 +757 -1213 +500 2616 +2616 3386 +1976 -1201 +-791 659 +-4432 416 +-1448 -1448 +-3818 -530 +-1617 -1716 +4526 -4267 +60 -1494 +-3187 -281 +-192 -3493 +6753 2974 +3072 -4096 +-336 -794 +2084 3187 +-1302 -6943 +-1508 2602 +-1213 466 +2401 -387 +3215 4181 +1448 -1448 +1900 -4763 +8 -3805 +2280 1836 +-1168 -710 +-3579 -2350 +-2649 -325 +-3082 316 +0 3072 +865 -2027 +-27 -3918 +-3848 2215 +-366 18 +7577 252 +4777 2285 +331 -3811 +1324 -1748 +3055 1160 +-5799 -1783 +-5415 1450 +751 1200 +-2426 -156 +-3429 2830 +-3181 689 +-3072 0 +-3181 -689 +-3429 -2830 +-2426 156 +751 -1200 +-5415 -1450 +-5799 1783 +3055 -1160 +1324 1748 +331 3811 +4777 -2285 +7577 -252 +-366 -18 +-3848 -2215 +-27 3918 +865 2027 +0 -3072 +-2195 -1568 +-1032 -3518 +1824 -1830 +5310 3478 +27 2540 +-1814 1147 +-2652 781 +2772 -300 +5266 -406 +-4157 -81 +4730 -226 +-1600 -1800 +-2470 -1028 +3288 822 +-1489 -500 +3072 0 +-1489 500 +3288 -822 +-2470 1028 +-1600 1800 +4730 226 +-4157 81 +5266 406 +2772 300 +-2652 -781 +-1814 -1147 +27 -2540 +5310 -3478 +1824 1830 +-1032 3518 +-2195 1568 +0 3072 +865 -2027 +-27 -3918 +-3848 2215 +-366 18 +7577 252 +4777 2285 +331 -3811 +1324 -1748 +3055 1160 +-5799 -1783 +-5415 1450 +751 1200 +-2426 -156 +-3429 2830 +-3181 689 +2048 2048 +-4391 -1120 +-3166 -3987 +792 151 +-6726 -4952 +1770 3139 +4514 237 +-2712 -1674 +0 2472 +1625 -1900 +2616 1846 +413 639 +-370 -1627 +-1871 -2138 +-1649 -1146 +3192 1120 +4096 0 +3192 -1120 +-1649 1146 +-1871 2138 +-370 1627 +413 -639 +2616 -1846 +1625 1900 +0 -2472 +-2712 1674 +4514 -237 +1770 -3139 +-6726 4952 +792 -151 +-3166 3987 +-4391 1120 +2048 -2048 +-857 2083 +-1298 -581 +1051 5588 +-266 6400 +-3002 2143 +2167 -562 +3756 2049 +0 -424 +-2669 -4956 +-3504 -2171 +818 1171 +-829 3075 +28 -3788 +320 -3422 +2057 804 +8192 0 +2057 -804 +320 3422 +28 3788 +-829 -3075 +818 -1171 +-3504 2171 +-2669 4956 +0 424 +3756 -2049 +2167 562 +-3002 -2143 +-266 -6400 +1051 -5588 +-1298 581 +-857 -2083 +2048 2048 +-4391 -1120 +-3166 -3987 +792 151 +-6726 -4952 +1770 3139 +4514 237 +-2712 -1674 +0 2472 +1625 -1900 +2616 1846 +413 639 +-370 -1627 +-1871 -2138 +-1649 -1146 +3192 1120 +0 2048 +3126 -382 +-146 -1212 +-5858 200 +410 2048 +-588 5920 +-1294 242 +1590 2652 +-176 3496 +1464 171 +-1802 2196 +1658 2010 +-254 -2048 +-128 -4345 +4073 -20 +-68 307 +0 0 +-68 -307 +4073 20 +-128 4345 +-254 2048 +1658 -2010 +-1802 -2196 +1464 -171 +-176 -3496 +1590 -2652 +-1294 -242 +-588 -5920 +410 -2048 +-5858 -200 +-146 1212 +3126 382 +0 -2048 +-417 7425 +-4422 584 +-5524 -3402 +3086 2048 +1171 -3473 +1619 -3398 +826 -2169 +-5968 600 +1232 1953 +1477 -1256 +214 -2979 +854 -2048 +3261 125 +495 -4704 +-1962 -5608 +4096 0 +-1962 5608 +495 4704 +3261 -125 +854 2048 +214 2979 +1477 1256 +1232 -1953 +-5968 -600 +826 2169 +1619 3398 +1171 3473 +3086 -2048 +-5524 3402 +-4422 -584 +-417 -7425 +0 2048 +3126 -382 +-146 -1212 +-5858 200 +410 2048 +-588 5920 +-1294 242 +1590 2652 +-176 3496 +1464 171 +-1802 2196 +1658 2010 +-254 -2048 +-128 -4345 +4073 -20 +-68 307 +-5120 -1024 +-32 715 +-106 2694 +-2705 -4205 +-1789 -4626 +-1196 -3445 +1027 -2602 +-314 -230 +-4220 -724 +-3594 -786 +2391 1972 +3368 1031 +-4116 -1396 +-5623 887 +-875 -731 +2549 1535 +4096 0 +2549 -1535 +-875 731 +-5623 -887 +-4116 1396 +3368 -1031 +2391 -1972 +-3594 786 +-4220 724 +-314 230 +1027 2602 +-1196 3445 +-1789 4626 +-2705 4205 +-106 -2694 +-32 -715 +-5120 1024 +2648 -3243 +5614 -4431 +-1389 2434 +3238 -1167 +1830 -4628 +-1979 2121 +2203 -4394 +124 724 +1466 2193 +2658 1644 +671 1361 +2668 -4397 +-747 2014 +-536 -5101 +866 -3823 +-2048 0 +866 3823 +-536 5101 +-747 -2014 +2668 4397 +671 -1361 +2658 -1644 +1466 -2193 +124 -724 +2203 4394 +-1979 -2121 +1830 4628 +3238 1167 +-1389 -2434 +5614 4431 +2648 3243 +-5120 -1024 +-32 715 +-106 2694 +-2705 -4205 +-1789 -4626 +-1196 -3445 +1027 -2602 +-314 -230 +-4220 -724 +-3594 -786 +2391 1972 +3368 1031 +-4116 -1396 +-5623 887 +-875 -731 +2549 1535 +5120 0 +-1031 2145 +-1349 -1670 +172 -136 +-4953 -1084 +-1061 -2250 +2196 5628 +1671 -216 +3072 3920 +-2171 3932 +-870 -2262 +-1387 -1137 +-6386 3640 +2349 7566 +1654 -4571 +-3812 1464 +-1024 0 +-3812 -1464 +1654 4571 +2349 -7566 +-6386 -3640 +-1387 1137 +-870 2262 +-2171 -3932 +3072 -3920 +1671 216 +2196 -5628 +-1061 2250 +-4953 1084 +172 136 +-1349 1670 +-1031 -2145 +5120 0 +1979 2359 +-3884 3014 +-3364 -258 +857 484 +236 2500 +-2315 2176 +786 -1172 +3072 -1872 +3498 343 +3886 1377 +3780 1075 +2290 -144 +-725 -79 +682 -2774 +-921 512 +-5120 0 +-921 -512 +682 2774 +-725 79 +2290 144 +3780 -1075 +3886 -1377 +3498 -343 +3072 1872 +786 1172 +-2315 -2176 +236 -2500 +857 -484 +-3364 258 +-3884 -3014 +1979 -2359 +5120 0 +-1031 2145 +-1349 -1670 +172 -136 +-4953 -1084 +-1061 -2250 +2196 5628 +1671 -216 +3072 3920 +-2171 3932 +-870 -2262 +-1387 -1137 +-6386 3640 +2349 7566 +1654 -4571 +-3812 1464 +-3072 2048 +-4895 -2868 +504 -351 +2124 3982 +-2376 470 +-1635 -1512 +311 2922 +-2280 784 +-1448 2896 +1480 1276 +-872 -1928 +-2599 4792 +640 -2362 +2186 -2697 +-250 3744 +-2505 -1020 +-3072 0 +-2505 1020 +-250 -3744 +2186 2697 +640 2362 +-2599 -4792 +-872 1928 +1480 -1276 +-1448 -2896 +-2280 -784 +311 -2922 +-1635 1512 +-2376 -470 +2124 -3982 +504 351 +-4895 2868 +-3072 -2048 +-412 -1255 +-61 1319 +3462 3681 +2976 1578 +-1620 1506 +1920 4358 +6300 -4241 +1448 -2896 +-1477 -4366 +1536 2216 +2749 5978 +2856 314 +1124 7152 +-3090 -1577 +-2003 -335 +1024 0 +-2003 335 +-3090 1577 +1124 -7152 +2856 -314 +2749 -5978 +1536 -2216 +-1477 4366 +1448 2896 +6300 4241 +1920 -4358 +-1620 -1506 +2976 -1578 +3462 -3681 +-61 -1319 +-412 1255 +-3072 2048 +-4895 -2868 +504 -351 +2124 3982 +-2376 470 +-1635 -1512 +311 2922 +-2280 784 +-1448 2896 +1480 1276 +-872 -1928 +-2599 4792 +640 -2362 +2186 -2697 +-250 3744 +-2505 -1020 +2048 -5120 +-850 -2907 +4868 -1812 +1079 2057 +-5056 907 +780 117 +3803 3254 +-231 -571 +-1748 724 +3167 -1749 +-1383 -1744 +-702 -4532 +-1486 -8142 +-3471 870 +970 -718 +-373 -3017 +-1024 0 +-373 3017 +970 718 +-3471 -870 +-1486 8142 +-702 4532 +-1383 1744 +3167 1749 +-1748 -724 +-231 571 +3803 -3254 +780 -117 +-5056 -907 +1079 -2057 +4868 1812 +-850 2907 +2048 5120 +878 -1469 +1628 -2481 +1539 -309 +-488 2340 +-2409 4094 +257 -2507 +4277 2065 +-300 -724 +-532 -1221 +-1477 9484 +1002 2062 +-1162 -898 +-3610 -11 +-474 -4774 +-543 -30 +1024 0 +-543 30 +-474 4774 +-3610 11 +-1162 898 +1002 -2062 +-1477 -9484 +-532 1221 +-300 724 +4277 -2065 +257 2507 +-2409 -4094 +-488 -2340 +1539 309 +1628 2481 +878 1469 +2048 -5120 +-850 -2907 +4868 -1812 +1079 2057 +-5056 907 +780 117 +3803 3254 +-231 -571 +-1748 724 +3167 -1749 +-1383 -1744 +-702 -4532 +-1486 -8142 +-3471 870 +970 -718 +-373 -3017 +-5120 -2048 +715 1819 +3733 3154 +-41 1048 +-1892 1858 +1910 4241 +-2832 -1186 +-246 1205 +2472 1872 +-1143 -142 +81 -520 +-3734 1184 +784 1702 +2672 -548 +-1546 4480 +2718 -3056 +5120 0 +2718 3056 +-1546 -4480 +2672 548 +784 -1702 +-3734 -1184 +81 520 +-1143 142 +2472 -1872 +-246 -1205 +-2832 1186 +1910 -4241 +-1892 -1858 +-41 -1048 +3733 -3154 +715 -1819 +-5120 2048 +-6004 1680 +-717 -1602 +1989 1608 +1892 4534 +-341 -331 +-2400 -3721 +-4275 607 +-424 -3920 +1439 -870 +2255 -1492 +4173 -7370 +-784 594 +4958 1298 +1427 -31 +-4789 -4460 +1024 0 +-4789 4460 +1427 31 +4958 -1298 +-784 -594 +4173 7370 +2255 1492 +1439 870 +-424 3920 +-4275 -607 +-2400 3721 +-341 331 +1892 -4534 +1989 -1608 +-717 1602 +-6004 -1680 +-5120 -2048 +715 1819 +3733 3154 +-41 1048 +-1892 1858 +1910 4241 +-2832 -1186 +-246 1205 +2472 1872 +-1143 -142 +81 -520 +-3734 1184 +784 1702 +2672 -548 +-1546 4480 +2718 -3056 +1024 -2048 +-3482 -4702 +132 430 +5799 -1447 +1748 -794 +3101 146 +2785 536 +-45 -77 +-2048 -4096 +-1155 -2829 +-1825 -2361 +-1171 -1644 +300 -2206 +769 -3114 +751 924 +-1154 -389 +-1024 0 +-1154 389 +751 -924 +769 3114 +300 2206 +-1171 1644 +-1825 2361 +-1155 2829 +-2048 4096 +-45 77 +2785 -536 +3101 -146 +1748 794 +5799 1447 +132 -430 +-3482 4702 +1024 2048 +597 -3259 +-2144 1866 +-6761 2096 +1748 -1254 +-453 -86 +-1232 5857 +812 3313 +-2048 -4096 +7069 -2495 +-2624 -4031 +-2805 -880 +300 4254 +-4271 -1221 +4157 -3220 +3151 -2148 +-1024 0 +3151 2148 +4157 3220 +-4271 1221 +300 -4254 +-2805 880 +-2624 4031 +7069 2495 +-2048 4096 +812 -3313 +-1232 -5857 +-453 86 +1748 1254 +-6761 -2096 +-2144 -1866 +597 3259 +1024 -2048 +-3482 -4702 +132 430 +5799 -1447 +1748 -794 +3101 146 +2785 536 +-45 -77 +-2048 -4096 +-1155 -2829 +-1825 -2361 +-1171 -1644 +300 -2206 +769 -3114 +751 924 +-1154 -389 +-4096 1024 +-4494 1929 +-527 -1359 +559 136 +-4842 -2634 +4391 -2141 +3927 386 +278 67 +1748 -124 +622 -2274 +3936 37 +3395 -1448 +-4052 -2708 +-622 1960 +467 1199 +-2221 1091 +1024 0 +-2221 -1091 +467 -1199 +-622 -1960 +-4052 2708 +3395 1448 +3936 -37 +622 2274 +1748 124 +278 -67 +3927 -386 +4391 2141 +-4842 2634 +559 -136 +-527 1359 +-4494 -1929 +-4096 -1024 +-4804 4251 +4348 4855 +-1865 2937 +-3599 -2310 +988 -1700 +1241 -986 +309 -188 +300 4220 +1895 1265 +-7904 563 +1041 2071 +4300 1860 +-2095 -217 +2704 -4695 +2621 -1591 +3072 0 +2621 1591 +2704 4695 +-2095 217 +4300 -1860 +1041 -2071 +-7904 -563 +1895 -1265 +300 -4220 +309 188 +1241 986 +988 1700 +-3599 2310 +-1865 -2937 +4348 -4855 +-4804 -4251 +-4096 1024 +-4494 1929 +-527 -1359 +559 136 +-4842 -2634 +4391 -2141 +3927 386 +278 67 +1748 -124 +622 -2274 +3936 37 +3395 -1448 +-4052 -2708 +-622 1960 +467 1199 +-2221 1091 +3072 -1024 +-324 -2714 +4899 693 +-122 45 +-2804 -32 +3970 1939 +-1400 23 +-3844 -2128 +2172 2772 +1265 4068 +-1634 -449 +69 -2027 +2094 -3418 +-71 -2033 +-1908 1496 +-716 7428 +0 0 +-716 -7428 +-1908 -1496 +-71 2033 +2094 3418 +69 2027 +-1634 449 +1265 -4068 +2172 -2772 +-3844 2128 +-1400 -23 +3970 -1939 +-2804 32 +-122 -45 +4899 -693 +-324 2714 +3072 1024 +1732 2796 +-1559 4371 +2825 4970 +-3588 -816 +-4011 -4529 +735 3162 +-4346 -796 +-2172 1324 +244 944 +-598 4833 +1301 7079 +202 -1526 +1831 705 +1464 -3425 +195 2989 +2048 0 +195 -2989 +1464 3425 +1831 -705 +202 1526 +1301 -7079 +-598 -4833 +244 -944 +-2172 -1324 +-4346 796 +735 -3162 +-4011 4529 +-3588 816 +2825 -4970 +-1559 -4371 +1732 -2796 +3072 -1024 +-324 -2714 +4899 693 +-122 45 +-2804 -32 +3970 1939 +-1400 23 +-3844 -2128 +2172 2772 +1265 4068 +-1634 -449 +69 -2027 +2094 -3418 +-71 -2033 +-1908 1496 +-716 7428 +-1024 -1024 +2111 5298 +-495 513 +853 -2637 +-1116 2765 +951 2829 +1848 -348 +-1104 -322 +4220 2772 +4136 1084 +3284 -1891 +-1679 -1644 +-222 1574 +-3023 3521 +396 -36 +4507 -1110 +-4096 0 +4507 1110 +396 36 +-3023 -3521 +-222 -1574 +-1679 1644 +3284 1891 +4136 -1084 +4220 -2772 +-1104 322 +1848 348 +951 -2829 +-1116 -2765 +853 2637 +-495 -513 +2111 -5298 +-1024 1024 +3688 -2821 +1279 -1622 +-3516 6411 +-332 1331 +-2141 3997 +-3740 3024 +-7236 -2529 +-124 1324 +4646 2378 +-1392 4567 +-707 -978 +1670 -5670 +-2324 -1003 +-1180 -1072 +839 1708 +-2048 0 +839 -1708 +-1180 1072 +-2324 1003 +1670 5670 +-707 978 +-1392 -4567 +4646 -2378 +-124 -1324 +-7236 2529 +-3740 -3024 +-2141 -3997 +-332 -1331 +-3516 -6411 +1279 1622 +3688 2821 +-1024 -1024 +2111 5298 +-495 513 +853 -2637 +-1116 2765 +951 2829 +1848 -348 +-1104 -322 +4220 2772 +4136 1084 +3284 -1891 +-1679 -1644 +-222 1574 +-3023 3521 +396 -36 +4507 -1110 +-1024 1024 +2671 -2237 +-275 -1872 +-3862 4519 +488 1176 +5658 -2846 +-243 266 +-1598 -2707 +124 -724 +-1274 2981 +1264 3512 +-113 -3429 +1162 -2838 +1 6228 +341 -1722 +2623 1773 +0 0 +2623 -1773 +341 1722 +1 -6228 +1162 2838 +-113 3429 +1264 -3512 +-1274 -2981 +124 724 +-1598 2707 +-243 -266 +5658 2846 +488 -1176 +-3862 -4519 +-275 1872 +2671 2237 +-1024 -1024 +905 4382 +2167 1872 +1780 -2129 +5056 -1176 +-736 -4385 +1027 -266 +430 -1239 +-4220 724 +-693 304 +-2048 -3512 +2759 -329 +1486 2838 +-5488 7827 +-2233 1722 +-3064 -590 +-6144 0 +-3064 590 +-2233 -1722 +-5488 -7827 +1486 -2838 +2759 329 +-2048 3512 +-693 -304 +-4220 -724 +430 1239 +1027 266 +-736 4385 +5056 1176 +1780 2129 +2167 -1872 +905 -4382 +-1024 1024 +2671 -2237 +-275 -1872 +-3862 4519 +488 1176 +5658 -2846 +-243 266 +-1598 -2707 +124 -724 +-1274 2981 +1264 3512 +-113 -3429 +1162 -2838 +1 6228 +341 -1722 +2623 1773 +-1024 1024 +-1698 3043 +1268 -2157 +2605 -1590 +-2889 -117 +-4223 -1664 +-793 -2308 +-2297 -733 +2772 1324 +4478 -5645 +-322 -1809 +215 -2520 +5794 -7118 +-3671 -1969 +-4575 -2839 +2327 3774 +-2048 0 +2327 -3774 +-4575 2839 +-3671 1969 +5794 7118 +215 2520 +-322 1809 +4478 5645 +2772 -1324 +-2297 733 +-793 2308 +-4223 1664 +-2889 117 +2605 1590 +1268 2157 +-1698 -3043 +-1024 -1024 +2972 -862 +624 590 +2818 1418 +-1456 1316 +-2564 1446 +1577 -1476 +357 5380 +1324 2772 +-4754 -4949 +-462 -1975 +1220 -1611 +-1450 126 +3600 1276 +2683 1272 +-1384 2944 +-4096 0 +-1384 -2944 +2683 -1272 +3600 -1276 +-1450 -126 +1220 1611 +-462 1975 +-4754 4949 +1324 -2772 +357 -5380 +1577 1476 +-2564 -1446 +-1456 -1316 +2818 -1418 +624 -590 +2972 862 +-1024 1024 +-1698 3043 +1268 -2157 +2605 -1590 +-2889 -117 +-4223 -1664 +-793 -2308 +-2297 -733 +2772 1324 +4478 -5645 +-322 -1809 +215 -2520 +5794 -7118 +-3671 -1969 +-4575 -2839 +2327 3774 +0 -3072 +-2495 -2845 +-3957 -2327 +764 465 +248 3040 +-1310 1890 +2056 2060 +-1329 -4961 +300 -724 +2473 -2172 +-3656 -282 +-1037 -297 +2030 -6490 +-2491 5041 +-1642 -601 +1151 -3144 +1024 0 +1151 3144 +-1642 601 +-2491 -5041 +2030 6490 +-1037 297 +-3656 282 +2473 2172 +300 724 +-1329 4961 +2056 -2060 +-1310 -1890 +248 -3040 +764 -465 +-3957 2327 +-2495 2845 +0 3072 +5298 -1431 +4910 1219 +-420 2448 +3248 2256 +-143 1389 +-644 616 +7771 1382 +1748 724 +-3122 -1407 +-1852 2958 +-3303 3576 +-1430 -4598 +-3645 -2129 +-3406 -507 +1839 -1132 +3072 0 +1839 1132 +-3406 507 +-3645 2129 +-1430 4598 +-3303 -3576 +-1852 -2958 +-3122 1407 +1748 -724 +7771 -1382 +-644 -616 +-143 -1389 +3248 -2256 +-420 -2448 +4910 -1219 +5298 1431 +0 -3072 +-2495 -2845 +-3957 -2327 +764 465 +248 3040 +-1310 1890 +2056 2060 +-1329 -4961 +300 -724 +2473 -2172 +-3656 -282 +-1037 -297 +2030 -6490 +-2491 5041 +-1642 -601 +1151 -3144 +-1024 -6144 +54 -42 +-1010 1082 +977 1829 +-1708 -2302 +-1048 -5891 +-350 3212 +-1108 1234 +-424 -5968 +-203 -826 +550 -1771 +4301 -4063 +-820 -1038 +-1045 -504 +3369 594 +-1135 676 +-3072 0 +-1135 -676 +3369 -594 +-1045 504 +-820 1038 +4301 4063 +550 1771 +-203 826 +-424 5968 +-1108 -1234 +-350 -3212 +-1048 5891 +-1708 2302 +977 -1829 +-1010 -1082 +54 42 +-1024 6144 +-3199 6638 +1830 211 +-2250 -4094 +509 -1194 +2245 2372 +2058 -500 +3531 271 +2472 -176 +885 -4349 +-1059 -2509 +4318 -785 +-6172 1638 +-1704 2703 +2803 1898 +-4617 5032 +1024 0 +-4617 -5032 +2803 -1898 +-1704 -2703 +-6172 -1638 +4318 785 +-1059 2509 +885 4349 +2472 176 +3531 -271 +2058 500 +2245 -2372 +509 1194 +-2250 4094 +1830 -211 +-3199 -6638 +-1024 -6144 +54 -42 +-1010 1082 +977 1829 +-1708 -2302 +-1048 -5891 +-350 3212 +-1108 1234 +-424 -5968 +-203 -826 +550 -1771 +4301 -4063 +-820 -1038 +-1045 -504 +3369 594 +-1135 676 +-4096 1024 +-932 -1728 +1663 -2598 +-4766 4300 +762 2270 +-387 -5744 +-4345 4119 +-2306 2306 +124 -300 +2521 4180 +244 2604 +2095 -660 +1212 -2380 +529 2703 +1516 1846 +97 4144 +1024 0 +97 -4144 +1516 -1846 +529 -2703 +1212 2380 +2095 660 +244 -2604 +2521 -4180 +124 300 +-2306 -2306 +-4345 -4119 +-387 5744 +762 -2270 +-4766 -4300 +1663 2598 +-932 1728 +-4096 -1024 +1765 -2164 +2801 -402 +4702 751 +438 378 +-3754 -159 +-2336 -659 +-1602 -3099 +-4220 -1748 +-3966 -3717 +644 855 +4263 1069 +5780 -3164 +-2682 468 +-187 -4846 +4422 1412 +-1024 0 +4422 -1412 +-187 4846 +-2682 -468 +5780 3164 +4263 -1069 +644 -855 +-3966 3717 +-4220 1748 +-1602 3099 +-2336 659 +-3754 159 +438 -378 +4702 -751 +2801 402 +1765 2164 +-4096 1024 +-932 -1728 +1663 -2598 +-4766 4300 +762 2270 +-387 -5744 +-4345 4119 +-2306 2306 +124 -300 +2521 4180 +244 2604 +2095 -660 +1212 -2380 +529 2703 +1516 1846 +97 4144 +-1024 3072 +1097 827 +-9544 -700 +-1095 -4797 +1540 1860 +-1644 1708 +699 -2081 +-3375 -2636 +-2172 -2172 +-1326 -1156 +-35 3090 +745 1558 +-2250 -2634 +-1842 5883 +-705 3832 +2861 -196 +6144 0 +2861 196 +-705 -3832 +-1842 -5883 +-2250 2634 +745 -1558 +-35 -3090 +-1326 1156 +-2172 2172 +-3375 2636 +699 2081 +-1644 -1708 +1540 -1860 +-1095 4797 +-9544 700 +1097 -827 +-1024 -3072 +-2411 403 +3528 3976 +-802 -5369 +756 -2708 +-1152 366 +1074 -307 +3946 824 +2172 2172 +2084 -106 +1158 1514 +2939 2660 +-4142 -2310 +-2942 79 +3825 -1756 +2917 -423 +4096 0 +2917 423 +3825 1756 +-2942 -79 +-4142 2310 +2939 -2660 +1158 -1514 +2084 106 +2172 -2172 +3946 -824 +1074 307 +-1152 -366 +756 2708 +-802 5369 +3528 -3976 +-2411 -403 +-1024 3072 +1097 827 +-9544 -700 +-1095 -4797 +1540 1860 +-1644 1708 +699 -2081 +-3375 -2636 +-2172 -2172 +-1326 -1156 +-35 3090 +745 1558 +-2250 -2634 +-1842 5883 +-705 3832 +2861 -196 +3072 -2048 +2643 -2272 +3943 -180 +143 3478 +-4894 -340 +3260 3450 +2707 -1307 +-2880 3020 +1448 3496 +-174 -2803 +3104 -396 +4678 108 +-3770 1228 +7 -1298 +1035 -219 +-1759 -3711 +1024 0 +-1759 3711 +1035 219 +7 1298 +-3770 -1228 +4678 -108 +3104 396 +-174 2803 +1448 -3496 +-2880 -3020 +2707 1307 +3260 -3450 +-4894 340 +143 -3478 +3943 180 +2643 2272 +3072 2048 +758 4490 +-3 2228 +600 5202 +-650 -2557 +-2284 -2548 +125 -741 +2396 -1158 +-1448 600 +-2239 -3142 +-1839 2444 +-2759 -744 +1122 -4124 +2147 2648 +-880 -1829 +-4539 -7672 +-7168 0 +-4539 7672 +-880 1829 +2147 -2648 +1122 4124 +-2759 744 +-1839 -2444 +-2239 3142 +-1448 -600 +2396 1158 +125 741 +-2284 2548 +-650 2557 +600 -5202 +-3 -2228 +758 -4490 +3072 -2048 +2643 -2272 +3943 -180 +143 3478 +-4894 -340 +3260 3450 +2707 -1307 +-2880 3020 +1448 3496 +-174 -2803 +3104 -396 +4678 108 +-3770 1228 +7 -1298 +1035 -219 +-1759 -3711 +3072 -2048 +609 -4446 +-2586 1154 +-788 3344 +-1388 3606 +1066 -2913 +-4040 -3779 +-646 -1881 +3496 -2896 +-24 508 +-110 2446 +-2673 -2204 +4064 1402 +2604 3030 +-1000 -4280 +1725 7211 +-1024 0 +1725 -7211 +-1000 4280 +2604 -3030 +4064 -1402 +-2673 2204 +-110 -2446 +-24 -508 +3496 2896 +-646 1881 +-4040 3779 +1066 2913 +-1388 -3606 +-788 -3344 +-2586 -1154 +609 4446 +3072 2048 +-1734 2055 +-1354 2223 +-3972 -817 +-2956 6282 +-1244 4319 +1209 844 +4535 -1020 +600 2896 +-969 1263 +-1154 -7079 +-46 -2421 +280 294 +-740 -741 +844 -2232 +2297 862 +3072 0 +2297 -862 +844 2232 +-740 741 +280 -294 +-46 2421 +-1154 7079 +-969 -1263 +600 -2896 +4535 1020 +1209 -844 +-1244 -4319 +-2956 -6282 +-3972 817 +-1354 -2223 +-1734 -2055 +3072 -2048 +609 -4446 +-2586 1154 +-788 3344 +-1388 3606 +1066 -2913 +-4040 -3779 +-646 -1881 +3496 -2896 +-24 508 +-110 2446 +-2673 -2204 +4064 1402 +2604 3030 +-1000 -4280 +1725 7211 +-1024 -3072 +-3805 -378 +1011 772 +3589 -1265 +3308 -1257 +-115 -5442 +-3975 -2504 +244 818 +-3196 300 +663 -4585 +2100 -4501 +-238 -1392 +1186 -2742 +1138 -850 +1951 850 +-1636 3187 +-4096 0 +-1636 -3187 +1951 -850 +1138 850 +1186 2742 +-238 1392 +2100 4501 +663 4585 +-3196 -300 +244 -818 +-3975 2504 +-115 5442 +3308 1257 +3589 1265 +1011 -772 +-3805 378 +-1024 3072 +-884 -3353 +3452 2709 +-2642 2027 +-1260 -1391 +2863 422 +-2705 -6788 +3825 -2572 +1148 1748 +-2276 1191 +-1212 -695 +-1830 -212 +862 8286 +3028 2629 +-622 -1465 +-1923 5426 +2048 0 +-1923 -5426 +-622 1465 +3028 -2629 +862 -8286 +-1830 212 +-1212 695 +-2276 -1191 +1148 -1748 +3825 2572 +-2705 6788 +2863 -422 +-1260 1391 +-2642 -2027 +3452 -2709 +-884 3353 +-1024 -3072 +-3805 -378 +1011 772 +3589 -1265 +3308 -1257 +-115 -5442 +-3975 -2504 +244 818 +-3196 300 +663 -4585 +2100 -4501 +-238 -1392 +1186 -2742 +1138 -850 +1951 850 +-1636 3187 +6144 2048 +-1471 302 +2021 -972 +-1368 885 +-540 7150 +883 88 +-1443 -877 +2465 1069 +-1024 0 +-1202 -332 +2420 -711 +620 628 +-880 2102 +5 2881 +1381 -6408 +2819 -6012 +4096 0 +2819 6012 +1381 6408 +5 -2881 +-880 -2102 +620 -628 +2420 711 +-1202 332 +-1024 0 +2465 -1069 +-1443 877 +883 -88 +-540 -7150 +-1368 -885 +2021 972 +-1471 -302 +6144 -2048 +2399 -1212 +-3793 2420 +1681 -376 +-2108 690 +-961 3041 +-1677 2325 +493 -4333 +-1024 0 +-5540 2732 +3596 -737 +-2109 2189 +-4664 1643 +1250 5509 +-2504 4960 +38 2573 +4096 0 +38 -2573 +-2504 -4960 +1250 -5509 +-4664 -1643 +-2109 -2189 +3596 737 +-5540 -2732 +-1024 0 +493 4333 +-1677 -2325 +-961 -3041 +-2108 -690 +1681 376 +-3793 -2420 +2399 1212 +6144 2048 +-1471 302 +2021 -972 +-1368 885 +-540 7150 +883 88 +-1443 -877 +2465 1069 +-1024 0 +-1202 -332 +2420 -711 +620 628 +-880 2102 +5 2881 +1381 -6408 +2819 -6012 +3072 -1024 +1294 2412 +-2724 -2857 +532 4888 +3118 -162 +-1891 -3849 +241 -4858 +6112 -3323 +2172 2172 +970 -501 +3766 2865 +-1296 -1416 +-2564 -2284 +780 1670 +-3695 -1964 +-5096 356 +-2048 0 +-5096 -356 +-3695 1964 +780 -1670 +-2564 2284 +-1296 1416 +3766 -2865 +970 501 +2172 -2172 +6112 3323 +241 4858 +-1891 3849 +3118 162 +532 -4888 +-2724 2857 +1294 -2412 +3072 1024 +314 3700 +-2065 -39 +-1738 2654 +1226 162 +2719 6476 +1872 1961 +369 -6135 +-2172 -2172 +-2100 3148 +-86 32 +-1750 388 +-1780 2284 +2643 -1177 +2691 4861 +-1864 -1916 +-4096 0 +-1864 1916 +2691 -4861 +2643 1177 +-1780 -2284 +-1750 -388 +-86 -32 +-2100 -3148 +-2172 2172 +369 6135 +1872 -1961 +2719 -6476 +1226 -162 +-1738 -2654 +-2065 39 +314 -3700 +3072 -1024 +1294 2412 +-2724 -2857 +532 4888 +3118 -162 +-1891 -3849 +241 -4858 +6112 -3323 +2172 2172 +970 -501 +3766 2865 +-1296 -1416 +-2564 -2284 +780 1670 +-3695 -1964 +-5096 356 +-3072 5120 +187 785 +967 -183 +-1472 4156 +3524 32 +1482 3159 +1332 1580 +-1233 -6149 +-124 -724 +4357 -268 +-983 -1811 +-1590 2786 +6634 3418 +4178 -649 +1590 -1043 +-1339 4708 +-8192 0 +-1339 -4708 +1590 1043 +4178 649 +6634 -3418 +-1590 -2786 +-983 1811 +4357 268 +-124 724 +-1233 6149 +1332 -1580 +1482 -3159 +3524 -32 +-1472 -4156 +967 183 +187 -785 +-3072 -5120 +-1309 -1705 +-5247 523 +3097 1383 +1172 816 +-367 2135 +-40 2544 +-3704 1722 +4220 724 +2147 -375 +-1509 3038 +-3309 941 +958 1526 +-2019 4621 +-4303 -1514 +894 -1844 +-2048 0 +894 1844 +-4303 1514 +-2019 -4621 +958 -1526 +-3309 -941 +-1509 -3038 +2147 375 +4220 -724 +-3704 -1722 +-40 -2544 +-367 -2135 +1172 -816 +3097 -1383 +-5247 -523 +-1309 1705 +-3072 5120 +187 785 +967 -183 +-1472 4156 +3524 32 +1482 3159 +1332 1580 +-1233 -6149 +-124 -724 +4357 -268 +-983 -1811 +-1590 2786 +6634 3418 +4178 -649 +1590 -1043 +-1339 4708 +-5120 0 +2080 1086 +3827 -1628 +-2856 1148 +-7464 1038 +-4531 752 +-1815 -478 +784 -3620 +176 -2472 +-1865 -541 +3836 2707 +-1749 1105 +2572 1194 +1450 2923 +-1657 -2295 +1238 -1701 +-5120 0 +1238 1701 +-1657 2295 +1450 -2923 +2572 -1194 +-1749 -1105 +3836 -2707 +-1865 541 +176 2472 +784 3620 +-1815 478 +-4531 -752 +-7464 -1038 +-2856 -1148 +3827 1628 +2080 -1086 +-5120 0 +-273 208 +-2599 -2600 +-251 -404 +1672 -1638 +-3404 2479 +2155 4277 +1358 -2023 +5968 424 +7082 2778 +-1280 -1804 +107 -3537 +3221 2302 +-352 350 +-2467 -4830 +1180 2683 +3072 0 +1180 -2683 +-2467 4830 +-352 -350 +3221 -2302 +107 3537 +-1280 1804 +7082 -2778 +5968 -424 +1358 2023 +2155 -4277 +-3404 -2479 +1672 1638 +-251 404 +-2599 2600 +-273 -208 +-5120 0 +2080 1086 +3827 -1628 +-2856 1148 +-7464 1038 +-4531 752 +-1815 -478 +784 -3620 +176 -2472 +-1865 -541 +3836 2707 +-1749 1105 +2572 1194 +1450 2923 +-1657 -2295 +1238 -1701 +-2048 2048 +-2728 80 +-543 1072 +1533 1166 +-170 3072 +1420 3131 +500 6547 +-1874 6566 +-4520 -1448 +-782 -684 +2812 2086 +-1139 -2184 +-614 -3072 +-442 3849 +1492 1201 +2808 -3359 +0 0 +2808 3359 +1492 -1201 +-442 -3849 +-614 3072 +-1139 2184 +2812 -2086 +-782 684 +-4520 1448 +-1874 -6566 +500 -6547 +1420 -3131 +-170 -3072 +1533 -1166 +-543 -1072 +-2728 -80 +-2048 -2048 +-4018 1651 +3035 -105 +3977 2339 +-1278 3072 +3436 -4798 +3779 733 +521 -3713 +-1624 1448 +-2328 2648 +-100 -1798 +2963 4981 +2062 -3072 +-5957 -1672 +-2784 967 +2609 -1590 +0 0 +2609 1590 +-2784 -967 +-5957 1672 +2062 3072 +2963 -4981 +-100 1798 +-2328 -2648 +-1624 -1448 +521 3713 +3779 -733 +3436 4798 +-1278 -3072 +3977 -2339 +3035 105 +-4018 -1651 +-2048 2048 +-2728 80 +-543 1072 +1533 1166 +-170 3072 +1420 3131 +500 6547 +-1874 6566 +-4520 -1448 +-782 -684 +2812 2086 +-1139 -2184 +-614 -3072 +-442 3849 +1492 1201 +2808 -3359 +1024 1024 +-385 -1707 +1033 1797 +1017 3130 +307 2604 +2186 1671 +145 -592 +-2311 -1088 +-300 300 +202 -1469 +-5222 -1396 +-3505 -1785 +4646 -5047 +2067 305 +-1445 377 +1098 -1572 +2048 0 +1098 1572 +-1445 -377 +2067 -305 +4646 5047 +-3505 1785 +-5222 1396 +202 1469 +-300 -300 +-2311 1088 +145 592 +2186 -1671 +307 -2604 +1017 -3130 +1033 -1797 +-385 1707 +1024 -1024 +-2707 1778 +-1973 95 +3817 -5071 +1741 -5748 +1746 -2945 +483 -192 +-2565 -5699 +-1748 1748 +-3336 34 +498 612 +14 2728 +-2598 -6290 +4244 -29 +-1712 1515 +-1583 6995 +8192 0 +-1583 -6995 +-1712 -1515 +4244 29 +-2598 6290 +14 -2728 +498 -612 +-3336 -34 +-1748 -1748 +-2565 5699 +483 192 +1746 2945 +1741 5748 +3817 5071 +-1973 -95 +-2707 -1778 +1024 1024 +-385 -1707 +1033 1797 +1017 3130 +307 2604 +2186 1671 +145 -592 +-2311 -1088 +-300 300 +202 -1469 +-5222 -1396 +-3505 -1785 +4646 -5047 +2067 305 +-1445 377 +1098 -1572 +-2048 1024 +-1643 4685 +-2665 1698 +-64 -2175 +646 946 +4001 1765 +4078 1075 +1848 -1157 +-5244 724 +-5028 -3002 +4189 304 +-689 5604 +-2140 392 +325 1856 +2389 -1438 +2976 852 +-1024 0 +2976 -852 +2389 1438 +325 -1856 +-2140 -392 +-689 -5604 +4189 -304 +-5028 3002 +-5244 -724 +1848 1157 +4078 -1075 +4001 -1765 +646 -946 +-64 2175 +-2665 -1698 +-1643 -4685 +-2048 -1024 +-2718 -125 +2821 -2586 +2325 -2297 +-1246 -946 +-2510 243 +-2813 3389 +-3411 -1375 +-900 -724 +-1418 -1747 +-1358 -1633 +-361 1756 +-1356 -392 +8559 -977 +1551 -5243 +-2191 1492 +9216 0 +-2191 -1492 +1551 5243 +8559 977 +-1356 392 +-361 -1756 +-1358 1633 +-1418 1747 +-900 724 +-3411 1375 +-2813 -3389 +-2510 -243 +-1246 946 +2325 2297 +2821 2586 +-2718 125 +-2048 1024 +-1643 4685 +-2665 1698 +-64 -2175 +646 946 +4001 1765 +4078 1075 +1848 -1157 +-5244 724 +-5028 -3002 +4189 304 +-689 5604 +-2140 392 +325 1856 +2389 -1438 +2976 852 +-1024 2048 +671 4264 +1468 -6385 +-2910 -1700 +-829 -300 +-3053 -4984 +-1501 -1083 +-3782 -898 +-1872 -5368 +3666 548 +1124 -1212 +803 -3796 +-6726 1748 +-1321 -2207 +6810 1961 +1688 2759 +1024 0 +1688 -2759 +6810 -1961 +-1321 2207 +-6726 -1748 +803 3796 +1124 1212 +3666 -548 +-1872 5368 +-3782 898 +-1501 1083 +-3053 4984 +-829 300 +-2910 1700 +1468 6385 +671 -4264 +-1024 -2048 +5337 1280 +3816 -571 +2317 2599 +-370 -300 +-1893 2854 +-3471 -1317 +-2649 -1037 +3920 3320 +1877 -1154 +-744 -2388 +-321 778 +-266 1748 +584 -3574 +691 -1924 +-1015 -1679 +-3072 0 +-1015 1679 +691 1924 +584 3574 +-266 -1748 +-321 -778 +-744 2388 +1877 1154 +3920 -3320 +-2649 1037 +-3471 1317 +-1893 -2854 +-370 300 +2317 -2599 +3816 571 +5337 -1280 +-1024 2048 +671 4264 +1468 -6385 +-2910 -1700 +-829 -300 +-3053 -4984 +-1501 -1083 +-3782 -898 +-1872 -5368 +3666 548 +1124 -1212 +803 -3796 +-6726 1748 +-1321 -2207 +6810 1961 +1688 2759 +2048 -3072 +347 -2380 +-348 -464 +2065 -312 +-4646 -3478 +-1536 -3244 +1167 -2036 +2647 -249 +1324 -1148 +-1984 -6095 +2300 -848 +3681 2760 +-1741 1800 +949 1933 +211 -1053 +-3629 947 +-1024 0 +-3629 -947 +211 1053 +949 -1933 +-1741 -1800 +3681 -2760 +2300 848 +-1984 6095 +1324 1148 +2647 249 +1167 2036 +-1536 3244 +-4646 3478 +2065 312 +-348 464 +347 2380 +2048 3072 +-3492 -1003 +688 501 +-3338 4799 +2598 -18 +2732 540 +-5291 -1565 +-223 -2846 +2772 3196 +2665 -1985 +-1073 -1553 +4938 3096 +-307 -1200 +-3699 -2871 +2346 -5903 +-2122 -6916 +-3072 0 +-2122 6916 +2346 5903 +-3699 2871 +-307 1200 +4938 -3096 +-1073 1553 +2665 1985 +2772 -3196 +-223 2846 +-5291 1565 +2732 -540 +2598 18 +-3338 -4799 +688 -501 +-3492 1003 +2048 -3072 +347 -2380 +-348 -464 +2065 -312 +-4646 -3478 +-1536 -3244 +1167 -2036 +2647 -249 +1324 -1148 +-1984 -6095 +2300 -848 +3681 2760 +-1741 1800 +949 1933 +211 -1053 +-3629 947 +-3072 1024 +-5419 -355 +-3402 5391 +3293 2277 +3308 -5056 +2397 -405 +2510 -4390 +248 -700 +3196 2348 +1877 -359 +2113 2352 +-1468 -650 +1186 1486 +4479 450 +-392 -3123 +-86 3265 +0 0 +-86 -3265 +-392 3123 +4479 -450 +1186 -1486 +-1468 650 +2113 -2352 +1877 359 +3196 -2348 +248 700 +2510 4390 +2397 405 +3308 5056 +3293 -2277 +-3402 -5391 +-5419 355 +-3072 -1024 +-479 1709 +-4846 -1230 +-2277 -972 +-1260 -488 +1225 -666 +2603 3346 +-4670 3232 +-1148 3796 +-352 -4102 +-1433 -5093 +742 779 +862 1162 +-2598 -344 +2848 -2605 +3088 5080 +-6144 0 +3088 -5080 +2848 2605 +-2598 344 +862 -1162 +742 -779 +-1433 5093 +-352 4102 +-1148 -3796 +-4670 -3232 +2603 -3346 +1225 666 +-1260 488 +-2277 972 +-4846 1230 +-479 -1709 +-3072 1024 +-5419 -355 +-3402 5391 +3293 2277 +3308 -5056 +2397 -405 +2510 -4390 +248 -700 +3196 2348 +1877 -359 +2113 2352 +-1468 -650 +1186 1486 +4479 450 +-392 -3123 +-86 3265 +2048 -2048 +-1786 -2023 +1008 -665 +3441 6197 +-4594 -1148 +-3285 1106 +-901 3651 +-2644 1219 +600 5368 +691 1871 +4064 988 +2916 -2810 +-2022 -3196 +3084 1392 +-1574 617 +-2000 891 +6144 0 +-2000 -891 +-1574 -617 +3084 -1392 +-2022 3196 +2916 2810 +4064 -988 +691 -1871 +600 -5368 +-2644 -1219 +-901 -3651 +-3285 -1106 +-4594 1148 +3441 -6197 +1008 665 +-1786 2023 +2048 2048 +-841 -875 +-2937 1 +-505 1683 +-350 -1148 +-3856 4732 +-3483 -3207 +2197 -3985 +3496 -3320 +1324 -3988 +-879 2352 +440 -487 +2870 -3196 +-2236 -2648 +-3489 1615 +3060 -3140 +6144 0 +3060 3140 +-3489 -1615 +-2236 2648 +2870 3196 +440 487 +-879 -2352 +1324 3988 +3496 3320 +2197 3985 +-3483 3207 +-3856 -4732 +-350 1148 +-505 -1683 +-2937 -1 +-841 875 +2048 -2048 +-1786 -2023 +1008 -665 +3441 6197 +-4594 -1148 +-3285 1106 +-901 3651 +-2644 1219 +600 5368 +691 1871 +4064 988 +2916 -2810 +-2022 -3196 +3084 1392 +-1574 617 +-2000 891 +2048 3072 +-1218 1113 +-2771 -715 +-807 4977 +-4442 886 +-2387 -1031 +1669 2298 +-1270 -1937 +3372 300 +5766 -3666 +2432 -2002 +-2652 4482 +-208 3008 +-1364 -1621 +-407 -4925 +3107 5465 +-1024 0 +3107 -5465 +-407 4925 +-1364 1621 +-208 -3008 +-2652 -4482 +2432 2002 +5766 3666 +3372 -300 +-1270 1937 +1669 -2298 +-2387 1031 +-4442 -886 +-807 -4977 +-2771 715 +-1218 -1113 +2048 -3072 +174 -5501 +-3909 -3853 +-425 -1455 +-2550 562 +544 4097 +-340 -2623 +-3979 -3040 +4820 1748 +-518 2162 +2032 1677 +4495 -455 +-992 -1560 +2596 -2088 +1295 357 +-2063 1811 +-3072 0 +-2063 -1811 +1295 -357 +2596 2088 +-992 1560 +4495 455 +2032 -1677 +-518 -2162 +4820 -1748 +-3979 3040 +-340 2623 +544 -4097 +-2550 -562 +-425 1455 +-3909 3853 +174 5501 +2048 3072 +-1218 1113 +-2771 -715 +-807 4977 +-4442 886 +-2387 -1031 +1669 2298 +-1270 -1937 +3372 300 +5766 -3666 +2432 -2002 +-2652 4482 +-208 3008 +-1364 -1621 +-407 -4925 +3107 5465 +4096 4096 +-5157 -1560 +1274 3368 +-2739 4016 +-3810 -1408 +511 -2660 +1132 306 +804 -1478 +-1448 -3072 +1375 2722 +-3973 3802 +94 2920 +-130 -928 +364 -2545 +4501 2768 +-4651 65 +-8192 0 +-4651 -65 +4501 -2768 +364 2545 +-130 928 +94 -2920 +-3973 -3802 +1375 -2722 +-1448 3072 +804 1478 +1132 -306 +511 2660 +-3810 1408 +-2739 -4016 +1274 -3368 +-5157 1560 +4096 -4096 +1817 -3209 +-1393 3368 +3404 293 +-1134 808 +1721 542 +1204 306 +-360 -481 +1448 -3072 +-931 3255 +-1259 3802 +2138 2604 +978 4424 +301 511 +-1486 2768 +1310 5502 +8192 0 +1310 -5502 +-1486 -2768 +301 -511 +978 -4424 +2138 -2604 +-1259 -3802 +-931 -3255 +1448 3072 +-360 481 +1204 -306 +1721 -542 +-1134 -808 +3404 -293 +-1393 -3368 +1817 3209 +4096 4096 +-5157 -1560 +1274 3368 +-2739 4016 +-3810 -1408 +511 -2660 +1132 306 +804 -1478 +-1448 -3072 +1375 2722 +-3973 3802 +94 2920 +-130 -928 +364 -2545 +4501 2768 +-4651 65 +-2048 2048 +2228 2977 +-1857 -4949 +3017 -923 +3726 724 +-3777 1684 +-2076 278 +4582 -380 +2896 -424 +2634 118 +3429 3623 +-482 2013 +3830 724 +-1527 946 +-6222 4030 +1682 839 +4096 0 +1682 -839 +-6222 -4030 +-1527 -946 +3830 -724 +-482 -2013 +3429 -3623 +2634 -118 +2896 424 +4582 380 +-2076 -278 +-3777 -1684 +3726 -724 +3017 923 +-1857 4949 +2228 -2977 +-2048 -2048 +-1579 -1750 +1376 -2108 +-2190 4630 +3267 724 +-1077 2266 +-3757 -2130 +884 431 +-2896 2472 +-5203 -2964 +-1693 2014 +2439 -960 +-2630 724 +-2197 5657 +2606 4595 +565 3284 +0 0 +565 -3284 +2606 -4595 +-2197 -5657 +-2630 -724 +2439 960 +-1693 -2014 +-5203 2964 +-2896 -2472 +884 -431 +-3757 2130 +-1077 -2266 +3267 -724 +-2190 -4630 +1376 2108 +-1579 1750 +-2048 2048 +2228 2977 +-1857 -4949 +3017 -923 +3726 724 +-3777 1684 +-2076 278 +4582 -380 +2896 -424 +2634 118 +3429 3623 +-482 2013 +3830 724 +-1527 946 +-6222 4030 +1682 839 +0 2048 +1104 2242 +-99 3074 +2349 5085 +2037 928 +-265 -2736 +-1225 3178 +-4307 -75 +600 -2472 +-3523 709 +-5799 459 +515 -3524 +6146 -808 +5025 3220 +454 -948 +642 2363 +0 0 +642 -2363 +454 948 +5025 -3220 +6146 808 +515 3524 +-5799 -459 +-3523 -709 +600 2472 +-4307 75 +-1225 -3178 +-265 2736 +2037 -928 +2349 -5085 +-99 -3074 +1104 -2242 +0 -2048 +-2044 -3302 +-5852 2942 +2776 -190 +11 -4424 +-2142 772 +-54 -1405 +-6839 -1983 +3496 424 +2875 1017 +86 -1583 +765 -7 +-4098 1408 +2563 107 +4298 4067 +506 361 +0 0 +506 -361 +4298 -4067 +2563 -107 +-4098 -1408 +765 7 +86 1583 +2875 -1017 +3496 -424 +-6839 1983 +-54 1405 +-2142 -772 +11 4424 +2776 190 +-5852 -2942 +-2044 3302 +0 2048 +1104 2242 +-99 3074 +2349 5085 +2037 928 +-265 -2736 +-1225 3178 +-4307 -75 +600 -2472 +-3523 709 +-5799 459 +515 -3524 +6146 -808 +5025 3220 +454 -948 +642 2363 +3072 1024 +-71 -2829 +-4477 -4246 +483 -829 +-1116 1056 +1764 -371 +-3527 -5045 +-2726 -7140 +2772 -3620 +-5153 3543 +962 2351 +-1066 -3739 +-222 2394 +-235 -246 +-651 -7682 +5474 1276 +2048 0 +5474 -1276 +-651 7682 +-235 246 +-222 -2394 +-1066 3739 +962 -2351 +-5153 -3543 +2772 3620 +-2726 7140 +-3527 5045 +1764 371 +-1116 -1056 +483 829 +-4477 4246 +-71 2829 +3072 -1024 +704 -1044 +3213 -1733 +2932 698 +-332 1840 +125 -1612 +-413 -328 +-1369 1602 +1324 3620 +-1217 1383 +-1118 -3628 +-1062 1517 +1670 502 +2851 -5916 +-2181 -2394 +-1435 -477 +0 0 +-1435 477 +-2181 2394 +2851 5916 +1670 -502 +-1062 -1517 +-1118 3628 +-1217 -1383 +1324 -3620 +-1369 -1602 +-413 328 +125 1612 +-332 -1840 +2932 -698 +3213 1733 +704 1044 +3072 1024 +-71 -2829 +-4477 -4246 +483 -829 +-1116 1056 +1764 -371 +-3527 -5045 +-2726 -7140 +2772 -3620 +-5153 3543 +962 2351 +-1066 -3739 +-222 2394 +-235 -246 +-651 -7682 +5474 1276 +0 3072 +-1939 872 +-860 -356 +2112 3244 +118 -1186 +-4222 3934 +1941 3224 +-1165 -416 +-300 2172 +-886 2248 +-8078 3990 +-283 6166 +895 -1260 +4442 -6858 +2551 1425 +-1300 -2158 +5120 0 +-1300 2158 +2551 -1425 +4442 6858 +895 1260 +-283 -6166 +-8078 -3990 +-886 -2248 +-300 -2172 +-1165 416 +1941 -3224 +-4222 -3934 +118 1186 +2112 -3244 +-860 356 +-1939 -872 +0 -3072 +1864 -238 +-1152 476 +3594 2228 +4227 -862 +-2049 -128 +-388 -887 +2849 -315 +-1748 -2172 +-1447 -2850 +3629 1243 +-2583 3744 +-5240 3308 +-1012 -1343 +2356 1591 +2024 -471 +-1024 0 +2024 471 +2356 -1591 +-1012 1343 +-5240 -3308 +-2583 -3744 +3629 -1243 +-1447 2850 +-1748 2172 +2849 315 +-388 887 +-2049 128 +4227 862 +3594 -2228 +-1152 -476 +1864 238 +0 3072 +-1939 872 +-860 -356 +2112 3244 +118 -1186 +-4222 3934 +1941 3224 +-1165 -416 +-300 2172 +-886 2248 +-8078 3990 +-283 6166 +895 -1260 +4442 -6858 +2551 1425 +-1300 -2158 +1024 1024 +2503 -3526 +4788 -2476 +2874 149 +-2584 632 +-2088 2158 +4029 -474 +-87 -186 +124 3372 +4481 -2253 +3695 -3071 +-833 3693 +-1910 -78 +-3084 -3035 +-2411 1098 +1938 519 +2048 0 +1938 -519 +-2411 -1098 +-3084 3035 +-1910 78 +-833 -3693 +3695 3071 +4481 2253 +124 -3372 +-87 186 +4029 474 +-2088 -2158 +-2584 -632 +2874 -149 +4788 2476 +2503 3526 +1024 -1024 +2562 -1207 +-728 -539 +1800 -1773 +1984 1416 +-1521 235 +-3534 -4758 +-183 -1141 +-4220 4820 +-4419 4710 +2802 735 +-8270 -2868 +-1586 -1970 +-464 -157 +-449 -1217 +4791 -1467 +-4096 0 +4791 1467 +-449 1217 +-464 157 +-1586 1970 +-8270 2868 +2802 -735 +-4419 -4710 +-4220 -4820 +-183 1141 +-3534 4758 +-1521 -235 +1984 -1416 +1800 1773 +-728 539 +2562 1207 +1024 1024 +2503 -3526 +4788 -2476 +2874 149 +-2584 632 +-2088 2158 +4029 -474 +-87 -186 +124 3372 +4481 -2253 +3695 -3071 +-833 3693 +-1910 -78 +-3084 -3035 +-2411 1098 +1938 519 +2048 3072 +2885 -2699 +3405 -4026 +-128 -2497 +1656 -3348 +1796 -1569 +-5060 1333 +-2408 3712 +-3372 -300 +-1479 829 +-1720 -1048 +-3150 -3712 +1102 666 +1950 733 +-4216 1451 +-1556 -510 +7168 0 +-1556 510 +-4216 -1451 +1950 -733 +1102 -666 +-3150 3712 +-1720 1048 +-1479 -829 +-3372 300 +-2408 -3712 +-5060 -1333 +1796 1569 +1656 3348 +-128 2497 +3405 4026 +2885 2699 +2048 -3072 +-2740 1722 +-1210 85 +-2058 -3801 +2440 -997 +468 2053 +-1881 1499 +2985 -2970 +-4820 -1748 +3798 -2983 +4565 -216 +-2010 1299 +2994 -5010 +-2660 -4135 +-2075 -1296 +4308 2429 +5120 0 +4308 -2429 +-2075 1296 +-2660 4135 +2994 5010 +-2010 -1299 +4565 216 +3798 2983 +-4820 1748 +2985 2970 +-1881 -1499 +468 -2053 +2440 997 +-2058 3801 +-1210 -85 +-2740 -1722 +2048 3072 +2885 -2699 +3405 -4026 +-128 -2497 +1656 -3348 +1796 -1569 +-5060 1333 +-2408 3712 +-3372 -300 +-1479 829 +-1720 -1048 +-3150 -3712 +1102 666 +1950 733 +-4216 1451 +-1556 -510 +7168 -1024 +2795 -1046 +1454 -1281 +2385 -5174 +-937 3913 +-883 6053 +-247 -2643 +293 618 +-3196 1748 +421 1991 +-2567 919 +1009 2756 +7627 4770 +-283 -750 +-1721 410 +2428 -658 +4096 0 +2428 658 +-1721 -410 +-283 750 +7627 -4770 +1009 -2756 +-2567 -919 +421 -1991 +-3196 -1748 +293 -618 +-247 2643 +-883 -6053 +-937 -3913 +2385 5174 +1454 1281 +2795 1046 +7168 1024 +-3668 -1589 +622 -11 +1205 3545 +-4856 2480 +-4560 1028 +-4358 -69 +2244 -591 +1148 300 +1505 -1076 +180 3361 +-2247 -138 +-1834 -2474 +-2420 449 +-1555 -2902 +-227 4703 +2048 0 +-227 -4703 +-1555 2902 +-2420 -449 +-1834 2474 +-2247 138 +180 -3361 +1505 1076 +1148 -300 +2244 591 +-4358 69 +-4560 -1028 +-4856 -2480 +1205 -3545 +622 11 +-3668 1589 +7168 -1024 +2795 -1046 +1454 -1281 +2385 -5174 +-937 3913 +-883 6053 +-247 -2643 +293 618 +-3196 1748 +421 1991 +-2567 919 +1009 2756 +7627 4770 +-283 -750 +-1721 410 +2428 -658 +1024 1024 +-887 -3170 +3369 -668 +2304 -1405 +-2754 -4383 +2489 -1829 +2973 -534 +-15 1566 +-300 3796 +-1253 720 +5145 6624 +-1061 2791 +-2524 -2408 +1151 2446 +1620 -4962 +1253 -4529 +-4096 0 +1253 4529 +1620 4962 +1151 -2446 +-2524 2408 +-1061 -2791 +5145 -6624 +-1253 -720 +-300 -3796 +-15 -1566 +2973 534 +2489 1829 +-2754 4383 +2304 1405 +3369 668 +-887 3170 +1024 -1024 +2301 -877 +-1541 6656 +2474 126 +706 -4058 +-2019 -3522 +863 -4345 +444 2044 +-1748 2348 +-1393 673 +907 -3312 +-4761 -2790 +476 2160 +-577 1627 +-5144 2759 +-451 -1734 +-2048 0 +-451 1734 +-5144 -2759 +-577 -1627 +476 -2160 +-4761 2790 +907 3312 +-1393 -673 +-1748 -2348 +444 -2044 +863 4345 +-2019 3522 +706 4058 +2474 -126 +-1541 -6656 +2301 877 +1024 1024 +-887 -3170 +3369 -668 +2304 -1405 +-2754 -4383 +2489 -1829 +2973 -534 +-15 1566 +-300 3796 +-1253 720 +5145 6624 +-1061 2791 +-2524 -2408 +1151 2446 +1620 -4962 +1253 -4529 +-2048 7168 +2631 4637 +-884 -1351 +-2798 -461 +4286 -2714 +4507 2633 +-2153 1965 +-1279 523 +2772 300 +-1072 -1240 +3264 2741 +-783 3544 +-2624 3045 +1570 3688 +-2639 3338 +-1161 1823 +1024 0 +-1161 -1823 +-2639 -3338 +1570 -3688 +-2624 -3045 +-783 -3544 +3264 -2741 +-1072 1240 +2772 -300 +-1279 -523 +-2153 -1965 +4507 -2633 +4286 2714 +-2798 461 +-884 1351 +2631 -4637 +-2048 -7168 +1582 1500 +-225 -4637 +-1456 -1210 +-1390 2962 +-1297 2387 +-522 2915 +-1703 -4397 +1324 1748 +-1298 -755 +-588 -6054 +-211 2732 +-273 5396 +468 4088 +3748 -1134 +2299 -1999 +-5120 0 +2299 1999 +3748 1134 +468 -4088 +-273 -5396 +-211 -2732 +-588 6054 +-1298 755 +1324 -1748 +-1703 4397 +-522 -2915 +-1297 -2387 +-1390 -2962 +-1456 1210 +-225 4637 +1582 -1500 +-2048 7168 +2631 4637 +-884 -1351 +-2798 -461 +4286 -2714 +4507 2633 +-2153 1965 +-1279 523 +2772 300 +-1072 -1240 +3264 2741 +-783 3544 +-2624 3045 +1570 3688 +-2639 3338 +-1161 1823 +-1024 1024 +-3032 -1773 +2091 -2299 +1516 692 +-5421 1272 +-5686 -1722 +-3076 1232 +3070 -1387 +3796 -900 +1132 -1717 +-918 233 +-2484 -1472 +3602 -3054 +3451 4184 +16 -3586 +734 1242 +0 0 +734 -1242 +16 3586 +3451 -4184 +3602 3054 +-2484 1472 +-918 -233 +1132 1717 +3796 900 +3070 1387 +-3076 -1232 +-5686 1722 +-5421 -1272 +1516 -692 +2091 2299 +-3032 1773 +-1024 -1024 +-1169 4313 +-2091 5131 +-4030 -2753 +-2420 4272 +2697 -205 +3076 -1388 +5503 1730 +2348 -5244 +-1458 1043 +918 3707 +360 -2095 +143 406 +-1617 6099 +-16 2322 +1012 -2118 +-2048 0 +1012 2118 +-16 -2322 +-1617 -6099 +143 -406 +360 2095 +918 -3707 +-1458 -1043 +2348 5244 +5503 -1730 +3076 1388 +2697 205 +-2420 -4272 +-4030 2753 +-2091 -5131 +-1169 -4313 +-1024 1024 +-3032 -1773 +2091 -2299 +1516 692 +-5421 1272 +-5686 -1722 +-3076 1232 +3070 -1387 +3796 -900 +1132 -1717 +-918 233 +-2484 -1472 +3602 -3054 +3451 4184 +16 -3586 +734 1242 +1024 -1024 +-945 -2039 +-3381 -1261 +-4286 1098 +3913 2708 +5350 785 +-461 2755 +2940 4189 +1324 724 +-4437 756 +2585 -2721 +3650 -3489 +-4770 2310 +-3187 -1703 +351 -3102 +-1263 -2861 +-2048 0 +-1263 2861 +351 3102 +-3187 1703 +-4770 -2310 +3650 3489 +2585 2721 +-4437 -756 +1324 -724 +2940 -4189 +-461 -2755 +5350 -785 +3913 -2708 +-4286 -1098 +-3381 1261 +-945 2039 +1024 1024 +3367 -6060 +669 -2863 +550 -4817 +2480 -1860 +-948 4075 +-2031 -5312 +1495 2159 +2772 -724 +650 -1769 +-1292 3060 +1083 -1227 +2474 2634 +-2213 -7 +-4631 1874 +-1808 -1014 +0 0 +-1808 1014 +-4631 -1874 +-2213 7 +2474 -2634 +1083 1227 +-1292 -3060 +650 1769 +2772 724 +1495 -2159 +-2031 5312 +-948 -4075 +2480 1860 +550 4817 +669 2863 +3367 6060 +1024 -1024 +-945 -2039 +-3381 -1261 +-4286 1098 +3913 2708 +5350 785 +-461 2755 +2940 4189 +1324 724 +-4437 756 +2585 -2721 +3650 -3489 +-4770 2310 +-3187 -1703 +351 -3102 +-1263 -2861 +-1024 2048 +83 2406 +-1951 2202 +326 -1111 +-280 -1938 +270 1635 +-360 -4645 +-2356 -1620 +-2648 0 +3186 -1480 +7652 -1526 +524 -750 +1388 4050 +1119 -713 +-867 1696 +2989 2968 +3072 0 +2989 -2968 +-867 -1696 +1119 713 +1388 -4050 +524 750 +7652 1526 +3186 1480 +-2648 0 +-2356 1620 +-360 4645 +270 -1635 +-280 1938 +326 1111 +-1951 -2202 +83 -2406 +-1024 -2048 +-1616 -87 +1887 -1942 +1279 -1152 +-4064 738 +-7366 -2345 +3412 -2975 +870 1475 +-5544 0 +1196 4232 +-815 3795 +3676 2937 +2956 2942 +-5620 -4447 +-765 260 +1440 -3545 +-1024 0 +1440 3545 +-765 -260 +-5620 4447 +2956 -2942 +3676 -2937 +-815 -3795 +1196 -4232 +-5544 0 +870 -1475 +3412 2975 +-7366 2345 +-4064 -738 +1279 1152 +1887 1942 +-1616 87 +-1024 2048 +83 2406 +-1951 2202 +326 -1111 +-280 -1938 +270 1635 +-360 -4645 +-2356 -1620 +-2648 0 +3186 -1480 +7652 -1526 +524 -750 +1388 4050 +1119 -713 +-867 1696 +2989 2968 +2048 -3072 +-911 -550 +3285 -4814 +-2226 -2201 +-1800 4516 +3614 -3657 +-1971 567 +-683 6740 +2348 2172 +2901 1551 +-2800 -166 +-1134 -4222 +-18 -606 +-5047 4016 +-5799 -247 +-2075 -1749 +3072 0 +-2075 1749 +-5799 247 +-5047 -4016 +-18 606 +-1134 4222 +-2800 166 +2901 -1551 +2348 -2172 +-683 -6740 +-1971 -567 +3614 3657 +-1800 -4516 +-2226 2201 +3285 4814 +-911 550 +2048 3072 +-1603 1753 +-765 2138 +3233 -1281 +1200 -1620 +395 -1856 +-401 -1676 +1155 1987 +3796 -2172 +4195 -393 +1077 -943 +260 1844 +-3478 3503 +905 -4363 +-817 -2429 +-2979 -4617 +5120 0 +-2979 4617 +-817 2429 +905 4363 +-3478 -3503 +260 -1844 +1077 943 +4195 393 +3796 2172 +1155 -1987 +-401 1676 +395 1856 +1200 1620 +3233 1281 +-765 -2138 +-1603 -1753 +2048 -3072 +-911 -550 +3285 -4814 +-2226 -2201 +-1800 4516 +3614 -3657 +-1971 567 +-683 6740 +2348 2172 +2901 1551 +-2800 -166 +-1134 -4222 +-18 -606 +-5047 4016 +-5799 -247 +-2075 -1749 +3072 1024 +-1012 848 +-3893 8517 +-4459 1643 +-476 1116 +1166 3249 +-3609 -4545 +2397 -2901 +1148 3196 +-815 1660 +-1453 -2557 +-1576 -886 +2754 -222 +1586 98 +2799 2262 +1553 -2013 +-2048 0 +1553 2013 +2799 -2262 +1586 -98 +2754 222 +-1576 886 +-1453 2557 +-815 -1660 +1148 -3196 +2397 2901 +-3609 4545 +1166 -3249 +-476 -1116 +-4459 -1643 +-3893 -8517 +-1012 -848 +3072 -1024 +-597 -2623 +957 2364 +1619 -1778 +2524 332 +-601 -544 +-2903 -4578 +-4805 -1283 +-3196 -1148 +3223 1725 +-1924 1626 +1011 457 +-706 1670 +1255 -3368 +1834 427 +57 7806 +8192 0 +57 -7806 +1834 -427 +1255 3368 +-706 -1670 +1011 -457 +-1924 -1626 +3223 -1725 +-3196 1148 +-4805 1283 +-2903 4578 +-601 544 +2524 -332 +1619 1778 +957 -2364 +-597 2623 +3072 1024 +-1012 848 +-3893 8517 +-4459 1643 +-476 1116 +1166 3249 +-3609 -4545 +2397 -2901 +1148 3196 +-815 1660 +-1453 -2557 +-1576 -886 +2754 -222 +1586 98 +2799 2262 +1553 -2013 +-3072 0 +3164 -1254 +5443 1890 +2795 2436 +-2446 -337 +2215 1752 +-1993 -2112 +-1011 -511 +-176 -3072 +-5202 -3948 +3515 191 +2435 -3791 +2122 -653 +3821 501 +-1425 -2006 +677 -4777 +3072 0 +677 4777 +-1425 2006 +3821 -501 +2122 653 +2435 3791 +3515 -191 +-5202 3948 +-176 3072 +-1011 511 +-1993 2112 +2215 -1752 +-2446 337 +2795 -2436 +5443 -1890 +3164 1254 +-3072 0 +-4822 -680 +2297 3499 +975 581 +2446 -7256 +-1245 -853 +-2299 729 +817 -569 +-5968 -3072 +-1284 -1595 +1978 -374 +-2076 -1990 +-2122 5348 +-3126 1628 +678 402 +1870 4171 +-1024 0 +1870 -4171 +678 -402 +-3126 -1628 +-2122 -5348 +-2076 1990 +1978 374 +-1284 1595 +-5968 3072 +817 569 +-2299 -729 +-1245 853 +2446 7256 +975 -581 +2297 -3499 +-4822 680 +-3072 0 +3164 -1254 +5443 1890 +2795 2436 +-2446 -337 +2215 1752 +-1993 -2112 +-1011 -511 +-176 -3072 +-5202 -3948 +3515 191 +2435 -3791 +2122 -653 +3821 501 +-1425 -2006 +677 -4777 +-3072 5120 +3184 2457 +-2933 -5005 +-2008 -3181 +-1356 -1741 +2390 3553 +3418 3406 +24 2860 +724 -724 +-1353 -7254 +3108 410 +-2531 -857 +646 -2598 +4742 2775 +1896 -293 +3472 2993 +0 0 +3472 -2993 +1896 293 +4742 -2775 +646 2598 +-2531 857 +3108 -410 +-1353 7254 +724 724 +24 -2860 +3418 -3406 +2390 -3553 +-1356 1741 +-2008 3181 +-2933 5005 +3184 -2457 +-3072 -5120 +-3865 4505 +73 -227 +-520 -4172 +-2140 -307 +-1904 -3450 +3079 -3287 +525 1673 +-724 724 +-2980 -7 +-2612 2606 +476 2087 +-1246 4646 +-646 2583 +2163 -2043 +994 3761 +-2048 0 +994 -3761 +2163 2043 +-646 -2583 +-1246 -4646 +476 -2087 +-2612 -2606 +-2980 7 +-724 -724 +525 -1673 +3079 3287 +-1904 3450 +-2140 307 +-520 4172 +73 227 +-3865 -4505 +-3072 5120 +3184 2457 +-2933 -5005 +-2008 -3181 +-1356 -1741 +2390 3553 +3418 3406 +24 2860 +724 -724 +-1353 -7254 +3108 410 +-2531 -857 +646 -2598 +4742 2775 +1896 -293 +3472 2993 +2048 2048 +2502 545 +-719 1230 +1489 1300 +614 -204 +593 -4058 +-547 -1755 +771 -3214 +1872 1448 +-627 1913 +-1517 -983 +-5022 3198 +1278 1533 +4517 -798 +-457 -1435 +-1262 6579 +-2048 0 +-1262 -6579 +-457 1435 +4517 798 +1278 -1533 +-5022 -3198 +-1517 983 +-627 -1913 +1872 -1448 +771 3214 +-547 1755 +593 4058 +614 204 +1489 -1300 +-719 -1230 +2502 -545 +2048 -2048 +1327 -2783 +1383 3206 +-4990 5978 +-2062 5148 +2429 2178 +991 1783 +-4978 435 +-3920 -1448 +1049 348 +-1823 6307 +433 -332 +170 -684 +551 4630 +2689 -5218 +1217 4415 +2048 0 +1217 -4415 +2689 5218 +551 -4630 +170 684 +433 332 +-1823 -6307 +1049 -348 +-3920 1448 +-4978 -435 +991 -1783 +2429 -2178 +-2062 -5148 +-4990 -5978 +1383 -3206 +1327 2783 +2048 2048 +2502 545 +-719 1230 +1489 1300 +614 -204 +593 -4058 +-547 -1755 +771 -3214 +1872 1448 +-627 1913 +-1517 -983 +-5022 3198 +1278 1533 +4517 -798 +-457 -1435 +-1262 6579 +2048 0 +648 1540 +1586 1079 +832 859 +-2082 2048 +-838 901 +-1459 -5435 +-764 2921 +3920 2048 +2835 -4543 +-2163 309 +-6064 -901 +-2967 -2048 +-2850 -3070 +-3872 -349 +494 -298 +2048 0 +494 298 +-3872 349 +-2850 3070 +-2967 2048 +-6064 901 +-2163 -309 +2835 4543 +3920 -2048 +-764 -2921 +-1459 5435 +-838 -901 +-2082 -2048 +832 -859 +1586 -1079 +648 -1540 +2048 0 +2636 -2597 +-2370 -4603 +1902 -186 +4378 2048 +-577 3022 +3351 -618 +-3941 3446 +-1872 2048 +4765 783 +271 3527 +4583 -1545 +-3426 -2048 +-2781 -5025 +4656 -1479 +-881 3097 +-2048 0 +-881 -3097 +4656 1479 +-2781 5025 +-3426 2048 +4583 1545 +271 -3527 +4765 -783 +-1872 -2048 +-3941 -3446 +3351 618 +-577 -3022 +4378 -2048 +1902 186 +-2370 4603 +2636 2597 +2048 0 +648 1540 +1586 1079 +832 859 +-2082 2048 +-838 901 +-1459 -5435 +-764 2921 +3920 2048 +2835 -4543 +-2163 309 +-6064 -901 +-2967 -2048 +-2850 -3070 +-3872 -349 +494 -298 +-1024 -4096 +-2466 -1734 +5311 1544 +3469 -5167 +0 -475 +-3433 1052 +-470 4661 +1614 3327 +-3920 2472 +39 3575 +-233 -339 +-2429 -2508 +0 -7091 +-1230 -1487 +-1076 3575 +-2631 2829 +-5120 0 +-2631 -2829 +-1076 -3575 +-1230 1487 +0 7091 +-2429 2508 +-233 339 +39 -3575 +-3920 -2472 +1614 -3327 +-470 -4661 +-3433 -1052 +0 475 +3469 5167 +5311 -1544 +-2466 1734 +-1024 4096 +3437 -2744 +861 4212 +-923 2494 +0 -4718 +3239 780 +-38 4732 +2156 -2069 +1872 -424 +655 -1428 +1941 -3053 +-4058 -123 +0 -2198 +-429 143 +1897 -2412 +2990 -627 +-5120 0 +2990 627 +1897 2412 +-429 -143 +0 2198 +-4058 123 +1941 3053 +655 1428 +1872 424 +2156 2069 +-38 -4732 +3239 -780 +0 4718 +-923 -2494 +861 -4212 +3437 2744 +-1024 -4096 +-2466 -1734 +5311 1544 +3469 -5167 +0 -475 +-3433 1052 +-470 4661 +1614 3327 +-3920 2472 +39 3575 +-233 -339 +-2429 -2508 +0 -7091 +-1230 -1487 +-1076 3575 +-2631 2829 +1024 2048 +298 2745 +23 1435 +2676 -4842 +1782 -5872 +-4477 -1534 +-940 1905 +-2620 820 +4520 424 +3203 2435 +-5902 1596 +-519 920 +1219 -40 +208 812 +103 2772 +136 -52 +1024 0 +136 52 +103 -2772 +208 -812 +1219 40 +-519 -920 +-5902 -1596 +3203 -2435 +4520 -424 +-2620 -820 +-940 -1905 +-4477 1534 +1782 5872 +2676 4842 +23 -1435 +298 -2745 +1024 -2048 +4142 -1665 +-2411 1057 +515 -939 +-4678 -520 +-4254 -1484 +3016 -6185 +-2243 -1426 +1624 -2472 +3908 -4370 +2626 1117 +-2783 -3050 +1678 -2257 +2840 87 +-4707 -1480 +-1030 5596 +1024 0 +-1030 -5596 +-4707 1480 +2840 -87 +1678 2257 +-2783 3050 +2626 -1117 +3908 4370 +1624 2472 +-2243 1426 +3016 6185 +-4254 1484 +-4678 520 +515 939 +-2411 -1057 +4142 1665 +1024 2048 +298 2745 +23 1435 +2676 -4842 +1782 -5872 +-4477 -1534 +-940 1905 +-2620 820 +4520 424 +3203 2435 +-5902 1596 +-519 920 +1219 -40 +208 812 +103 2772 +136 -52 +-1024 0 +-392 -217 +-2287 1309 +-360 -148 +144 -3966 +-4524 1695 +2243 -1316 +5838 1586 +1448 2648 +441 -2453 +4964 293 +8536 -1675 +-1084 2962 +-456 1555 +1584 -4034 +-2839 -932 +-1024 0 +-2839 932 +1584 4034 +-456 -1555 +-1084 -2962 +8536 1675 +4964 -293 +441 2453 +1448 -2648 +5838 -1586 +2243 1316 +-4524 -1695 +144 3966 +-360 148 +-2287 -1309 +-392 217 +-1024 0 +-2205 -2766 +-113 1248 +-1388 2855 +-3640 -5074 +459 2355 +-2207 89 +-3475 791 +-1448 5544 +979 -2531 +1991 -4417 +-2903 -3852 +484 286 +637 3161 +2016 3695 +1653 2174 +-5120 0 +1653 -2174 +2016 -3695 +637 -3161 +484 -286 +-2903 3852 +1991 4417 +979 2531 +-1448 -5544 +-3475 -791 +-2207 -89 +459 -2355 +-3640 5074 +-1388 -2855 +-113 -1248 +-2205 2766 +-1024 0 +-392 -217 +-2287 1309 +-360 -148 +144 -3966 +-4524 1695 +2243 -1316 +5838 1586 +1448 2648 +441 -2453 +4964 293 +8536 -1675 +-1084 2962 +-456 1555 +1584 -4034 +-2839 -932 +3072 -1024 +-1013 -3955 +-2558 -5909 +4288 1603 +-3528 -2500 +-1743 -609 +-81 1089 +-292 972 +3196 3796 +1653 852 +1102 -1675 +-1999 5784 +2818 5610 +4657 -6555 +2625 -1812 +1838 980 +-2048 0 +1838 -980 +2625 1812 +4657 6555 +2818 -5610 +-1999 -5784 +1102 1675 +1653 -852 +3196 -3796 +-292 -972 +-81 -1089 +-1743 609 +-3528 2500 +4288 -1603 +-2558 5909 +-1013 3955 +3072 1024 +-2818 2217 +-117 -2495 +-3256 -115 +-4312 -148 +-2618 -3287 +1189 -4937 +4045 1331 +-1148 2348 +625 251 +-2210 -477 +-4104 -2688 +926 -66 +-1018 1050 +51 3297 +1754 -1518 +0 0 +1754 1518 +51 -3297 +-1018 -1050 +926 66 +-4104 2688 +-2210 477 +625 -251 +-1148 -2348 +4045 -1331 +1189 4937 +-2618 3287 +-4312 148 +-3256 115 +-117 2495 +-2818 -2217 +3072 -1024 +-1013 -3955 +-2558 -5909 +4288 1603 +-3528 -2500 +-1743 -609 +-81 1089 +-292 972 +3196 3796 +1653 852 +1102 -1675 +-1999 5784 +2818 5610 +4657 -6555 +2625 -1812 +1838 980 +4096 -4096 +2221 -88 +2539 3916 +3321 -2785 +1782 4000 +4352 607 +-4191 -2962 +-4327 -2280 +0 -1872 +-2199 2141 +-1024 4281 +-3411 620 +1219 -3880 +-734 2205 +-2667 -486 +-1333 -4089 +-6144 0 +-1333 4089 +-2667 486 +-734 -2205 +1219 3880 +-3411 -620 +-1024 -4281 +-2199 -2141 +0 1872 +-4327 2280 +-4191 2962 +4352 -607 +1782 -4000 +3321 2785 +2539 -3916 +2221 88 +4096 4096 +4401 -2243 +3033 2072 +-7010 -770 +-4678 -1352 +1699 2341 +187 -1918 +-264 -3582 +0 3920 +1438 1445 +-764 -968 +-424 449 +1678 -1664 +2207 552 +2888 -1718 +64 3014 +-2048 0 +64 -3014 +2888 1718 +2207 -552 +1678 1664 +-424 -449 +-764 968 +1438 -1445 +0 -3920 +-264 3582 +187 1918 +1699 -2341 +-4678 1352 +-7010 770 +3033 -2072 +4401 2243 +4096 -4096 +2221 -88 +2539 3916 +3321 -2785 +1782 4000 +4352 607 +-4191 -2962 +-4327 -2280 +0 -1872 +-2199 2141 +-1024 4281 +-3411 620 +1219 -3880 +-734 2205 +-2667 -486 +-1333 -4089 +-3072 -1024 +-3616 3238 +-175 1635 +271 268 +3548 -1200 +200 -1535 +-1803 470 +814 -3932 +-3196 3796 +-1830 -902 +1392 -479 +1723 4381 +318 -3478 +-3301 4185 +4208 -496 +3093 -3037 +-6144 0 +3093 3037 +4208 496 +-3301 -4185 +318 3478 +1723 -4381 +1392 479 +-1830 902 +-3196 -3796 +814 3932 +-1803 -470 +200 1535 +3548 1200 +271 -268 +-175 -1635 +-3616 -3238 +-3072 1024 +925 4485 +-1273 4382 +1221 -620 +548 1800 +-2822 2625 +3251 1302 +5096 3487 +1148 2348 +-295 -1448 +56 -645 +2467 -467 +3778 -18 +241 6478 +-5656 3615 +-4186 664 +0 0 +-4186 -664 +-5656 -3615 +241 -6478 +3778 18 +2467 467 +56 645 +-295 1448 +1148 -2348 +5096 -3487 +3251 -1302 +-2822 -2625 +548 -1800 +1221 620 +-1273 -4382 +925 -4485 +-3072 -1024 +-3616 3238 +-175 1635 +271 268 +3548 -1200 +200 -1535 +-1803 470 +814 -3932 +-3196 3796 +-1830 -902 +1392 -479 +1723 4381 +318 -3478 +-3301 4185 +4208 -496 +3093 -3037 +1024 -1024 +3516 -4470 +602 1016 +-450 1142 +-5760 -1885 +-3830 -1354 +561 -714 +-857 -4067 +-3796 -3196 +-623 4294 +-1526 3237 +-1027 -1 +2374 -3682 +1955 -4054 +2400 2131 +3113 -107 +4096 0 +3113 107 +2400 -2131 +1955 4054 +2374 3682 +-1027 1 +-1526 -3237 +-623 -4294 +-3796 3196 +-857 4067 +561 714 +-3830 1354 +-5760 1885 +-450 -1142 +602 -1016 +3516 4470 +1024 1024 +-926 -452 +5451 876 +-4549 -1583 +-4977 3333 +-2213 2522 +1266 -70 +2216 1986 +-2348 1148 +5057 1193 +-1998 -4021 +1278 -1966 +4267 5130 +-2749 478 +1436 -239 +89 2753 +-2048 0 +89 -2753 +1436 239 +-2749 -478 +4267 -5130 +1278 1966 +-1998 4021 +5057 -1193 +-2348 -1148 +2216 -1986 +1266 70 +-2213 -2522 +-4977 -3333 +-4549 1583 +5451 -876 +-926 452 +1024 -1024 +3516 -4470 +602 1016 +-450 1142 +-5760 -1885 +-3830 -1354 +561 -714 +-857 -4067 +-3796 -3196 +-623 4294 +-1526 3237 +-1027 -1 +2374 -3682 +1955 -4054 +2400 2131 +3113 -107 +2048 -5120 +-1580 7457 +-1826 1372 +471 -1239 +6804 -536 +4121 -134 +-281 3623 +1131 -205 +-1324 1748 +1547 -2801 +-3239 -2435 +-2619 3427 +1786 -138 +1618 1370 +2524 441 +-383 74 +-1024 0 +-383 -74 +2524 -441 +1618 -1370 +1786 138 +-2619 -3427 +-3239 2435 +1547 2801 +-1324 -1748 +1131 205 +-281 -3623 +4121 134 +6804 536 +471 1239 +-1826 -1372 +-1580 -7457 +2048 5120 +2096 4801 +1226 -708 +-689 4678 +2236 4032 +-497 -1622 +-3215 -4067 +-848 3244 +-2772 300 +1955 -3297 +-258 -905 +562 -5832 +1462 -462 +-2967 1418 +-3124 -2673 +-3917 3048 +-3072 0 +-3917 -3048 +-3124 2673 +-2967 -1418 +1462 462 +562 5832 +-258 905 +1955 3297 +-2772 -300 +-848 -3244 +-3215 4067 +-497 1622 +2236 -4032 +-689 -4678 +1226 708 +2096 -4801 +2048 -5120 +-1580 7457 +-1826 1372 +471 -1239 +6804 -536 +4121 -134 +-281 3623 +1131 -205 +-1324 1748 +1547 -2801 +-3239 -2435 +-2619 3427 +1786 -138 +1618 1370 +2524 441 +-383 74 +1024 4096 +-1532 -1534 +0 4204 +1957 -49 +-1688 170 +-516 982 +0 673 +1373 -3672 +424 -1624 +-1333 3068 +0 -3538 +-507 -3261 +2316 -614 +-3086 -118 +0 1052 +8913 4521 +7168 0 +8913 -4521 +0 -1052 +-3086 118 +2316 614 +-507 3261 +0 3538 +-1333 -3068 +424 1624 +1373 3672 +0 -673 +-516 -982 +-1688 -170 +1957 49 +0 -4204 +-1532 1534 +1024 -4096 +973 -2551 +0 5309 +-1061 -2853 +-3256 1278 +-3463 268 +0 500 +-4397 796 +-2472 -4520 +1902 607 +0 3014 +3807 -264 +-1468 2062 +-2922 6425 +0 -1428 +-105 -4454 +3072 0 +-105 4454 +0 1428 +-2922 -6425 +-1468 -2062 +3807 264 +0 -3014 +1902 -607 +-2472 4520 +-4397 -796 +0 -500 +-3463 -268 +-3256 -1278 +-1061 2853 +0 -5309 +973 2551 +1024 4096 +-1532 -1534 +0 4204 +1957 -49 +-1688 170 +-516 982 +0 673 +1373 -3672 +424 -1624 +-1333 3068 +0 -3538 +-507 -3261 +2316 -614 +-3086 -118 +0 1052 +8913 4521 +-1024 4096 +-3253 5180 +2673 2660 +-563 2034 +-1108 -1038 +4226 -1941 +-3260 850 +234 -410 +2472 424 +5240 917 +924 -2085 +-5281 -1344 +2676 -1194 +79 -846 +-32 125 +-714 -2294 +-7168 0 +-714 2294 +-32 -125 +79 846 +2676 1194 +-5281 1344 +924 2085 +5240 -917 +2472 -424 +234 410 +-3260 -850 +4226 1941 +-1108 1038 +-563 -2034 +2673 -2660 +-3253 -5180 +-1024 -4096 +1595 -1107 +3238 1789 +-4105 6073 +1108 1638 +2372 -4423 +-4869 -2861 +481 -2228 +-424 -2472 +-2171 -3426 +-1484 -2823 +251 1085 +-2676 -2302 +3022 -4720 +2809 1428 +-1413 3103 +5120 0 +-1413 -3103 +2809 -1428 +3022 4720 +-2676 2302 +251 -1085 +-1484 2823 +-2171 3426 +-424 2472 +481 2228 +-4869 2861 +2372 4423 +1108 -1638 +-4105 -6073 +3238 -1789 +1595 1107 +-1024 4096 +-3253 5180 +2673 2660 +-563 2034 +-1108 -1038 +4226 -1941 +-3260 850 +234 -410 +2472 424 +5240 917 +924 -2085 +-5281 -1344 +2676 -1194 +79 -846 +-32 125 +-714 -2294 +4096 -4096 +-599 -2362 +-2281 1054 +-2515 3069 +-1808 -410 +2929 2360 +-1166 -2168 +-2383 -6470 +4096 2472 +-4802 -3942 +-1137 -4121 +2845 -1510 +-2916 -254 +-3180 5529 +-1614 -3527 +657 -2354 +0 0 +657 2354 +-1614 3527 +-3180 -5529 +-2916 254 +2845 1510 +-1137 4121 +-4802 3942 +4096 -2472 +-2383 6470 +-1166 2168 +2929 -2360 +-1808 410 +-2515 -3069 +-2281 -1054 +-599 2362 +4096 4096 +4797 -257 +-3891 -2178 +-1356 -778 +-240 -3086 +-1232 -4285 +1675 -3848 +2635 -466 +4096 -424 +-2369 -1427 +-572 1001 +1458 3369 +868 854 +1050 546 +794 5300 +2064 1302 +0 0 +2064 -1302 +794 -5300 +1050 -546 +868 -854 +1458 -3369 +-572 -1001 +-2369 1427 +4096 424 +2635 466 +1675 3848 +-1232 4285 +-240 3086 +-1356 778 +-3891 2178 +4797 257 +4096 -4096 +-599 -2362 +-2281 1054 +-2515 3069 +-1808 -410 +2929 2360 +-1166 -2168 +-2383 -6470 +4096 2472 +-4802 -3942 +-1137 -4121 +2845 -1510 +-2916 -254 +-3180 5529 +-1614 -3527 +657 -2354 +0 0 +1709 368 +1121 1468 +435 2562 +-5702 -4424 +2219 3483 +3019 2887 +-1728 6 +2048 1024 +1118 457 +1359 1417 +327 -4466 +654 1408 +-725 1995 +-3750 1253 +-4377 9538 +-6144 0 +-4377 -9538 +-3750 -1253 +-725 -1995 +654 -1408 +327 4466 +1359 -1417 +1118 -457 +2048 -1024 +-1728 -6 +3019 -2887 +2219 -3483 +-5702 4424 +435 -2562 +1121 -1468 +1709 -368 +0 0 +-5788 -478 +-1461 -1505 +1100 5349 +758 928 +1317 1008 +1105 713 +-1539 -169 +2048 1024 +3038 -1612 +-2587 984 +601 3237 +195 -808 +519 -2202 +1193 5703 +1775 3345 +6144 0 +1775 -3345 +1193 -5703 +519 2202 +195 808 +601 -3237 +-2587 -984 +3038 1612 +2048 -1024 +-1539 169 +1105 -713 +1317 -1008 +758 -928 +1100 -5349 +-1461 1505 +-5788 478 +0 0 +1709 368 +1121 1468 +435 2562 +-5702 -4424 +2219 3483 +3019 2887 +-1728 6 +2048 1024 +1118 457 +1359 1417 +327 -4466 +654 1408 +-725 1995 +-3750 1253 +-4377 9538 +2048 -1024 +1675 378 +4292 2048 +2885 -2299 +-202 946 +-2129 -252 +-2297 -5180 +1327 395 +1148 1324 +1298 -417 +-1915 2745 +5461 1853 +2804 392 +-2198 -2997 +1123 -2687 +1508 4737 +5120 0 +1508 -4737 +1123 2687 +-2198 2997 +2804 -392 +5461 -1853 +-1915 -2745 +1298 417 +1148 -1324 +1327 -395 +-2297 5180 +-2129 252 +-202 -946 +2885 2299 +4292 -2048 +1675 -378 +2048 1024 +-8062 -3291 +-2660 -628 +967 155 +-2094 -946 +498 2557 +-4540 -25 +-1986 -2876 +-3196 2772 +-640 5504 +-1138 243 +-3830 -2682 +3588 -392 +-1654 -2282 +-1059 -4084 +4879 -82 +3072 0 +4879 82 +-1059 4084 +-1654 2282 +3588 392 +-3830 2682 +-1138 -243 +-640 -5504 +-3196 -2772 +-1986 2876 +-4540 25 +498 -2557 +-2094 946 +967 -155 +-2660 628 +-8062 3291 +2048 -1024 +1675 378 +4292 2048 +2885 -2299 +-202 946 +-2129 -252 +-2297 -5180 +1327 395 +1148 1324 +1298 -417 +-1915 2745 +5461 1853 +2804 392 +-2198 -2997 +1123 -2687 +1508 4737 +-2048 0 +800 -566 +-1683 128 +-2363 -1400 +-3530 -3340 +4786 -1166 +-2739 1005 +264 -2819 +11512 -6992 +-3968 -3778 +75 1837 +1274 1623 +-1519 -2232 +-314 -4123 +-2989 -3983 +670 -2774 +0 0 +670 2774 +-2989 3983 +-314 4123 +-1519 2232 +1274 -1623 +75 -1837 +-3968 3778 +11512 6992 +264 2819 +-2739 -1005 +4786 1166 +-3530 3340 +-2363 1400 +-1683 -128 +800 566 +-2048 0 +-3068 -1536 +419 -1236 +1154 -137 +2930 444 +-370 1471 +-1201 1671 +3714 -327 +2824 -1200 +-10 632 +-231 839 +-5691 -1317 +-1978 -664 +1524 2585 +157 2875 +1598 672 +0 0 +1598 -672 +157 -2875 +1524 -2585 +-1978 664 +-5691 1317 +-231 -839 +-10 -632 +2824 1200 +3714 327 +-1201 -1671 +-370 -1471 +2930 -444 +1154 137 +419 1236 +-3068 1536 +-2048 0 +800 -566 +-1683 128 +-2363 -1400 +-3530 -3340 +4786 -1166 +-2739 1005 +264 -2819 +11512 -6992 +-3968 -3778 +75 1837 +1274 1623 +-1519 -2232 +-314 -4123 +-2989 -3983 +670 -2774 +1024 -5120 +-4474 -4592 +5482 -3173 +-411 235 +2224 -1212 +1232 2608 +-456 4284 +3269 -1954 +-3372 -1324 +732 1455 +-1090 1431 +-254 -828 +-2454 438 +-5045 -70 +-188 -2545 +-3208 3320 +-4096 0 +-3208 -3320 +-188 2545 +-5045 70 +-2454 -438 +-254 828 +-1090 -1431 +732 -1455 +-3372 1324 +3269 1954 +-456 -4284 +1232 -2608 +2224 1212 +-411 -235 +5482 3173 +-4474 4592 +1024 5120 +6822 468 +-1542 -4876 +-2010 -2791 +-776 -5780 +1134 -2268 +3288 4683 +-313 726 +-4820 -2772 +1425 -227 +2354 3440 +344 488 +1006 762 +-782 2627 +344 -1408 +1539 804 +2048 0 +1539 -804 +344 1408 +-782 -2627 +1006 -762 +344 -488 +2354 -3440 +1425 227 +-4820 2772 +-313 -726 +3288 -4683 +1134 2268 +-776 5780 +-2010 2791 +-1542 4876 +6822 -468 +1024 -5120 +-4474 -4592 +5482 -3173 +-411 235 +2224 -1212 +1232 2608 +-456 4284 +3269 -1954 +-3372 -1324 +732 1455 +-1090 1431 +-254 -828 +-2454 438 +-5045 -70 +-188 -2545 +-3208 3320 +5120 4096 +2457 3018 +108 -3024 +140 -132 +328 130 +-2391 1179 +131 856 +948 -1538 +-2048 600 +914 -418 +957 495 +4735 1053 +-2688 -1134 +-654 -3360 +1057 -2247 +-1396 5002 +7168 0 +-1396 -5002 +1057 2247 +-654 3360 +-2688 1134 +4735 -1053 +957 -495 +914 418 +-2048 -600 +948 1538 +131 -856 +-2391 -1179 +328 -130 +140 132 +108 3024 +2457 -3018 +5120 -4096 +-64 1783 +2384 688 +-3238 -1382 +-5024 -978 +5112 2989 +4149 2159 +4749 1523 +-2048 3496 +-2827 -1165 +1756 -376 +-5888 -670 +-4904 -3810 +2185 -1938 +-2349 -2985 +-4781 -1768 +-1024 0 +-4781 1768 +-2349 2985 +2185 1938 +-4904 3810 +-5888 670 +1756 376 +-2827 1165 +-2048 -3496 +4749 -1523 +4149 -2159 +5112 -2989 +-5024 978 +-3238 1382 +2384 -688 +-64 -1783 +5120 4096 +2457 3018 +108 -3024 +140 -132 +328 130 +-2391 1179 +131 856 +948 -1538 +-2048 600 +914 -418 +957 495 +4735 1053 +-2688 -1134 +-654 -3360 +1057 -2247 +-1396 5002 +-3072 0 +1602 656 +992 -2718 +-52 2722 +1448 254 +2536 -3814 +5500 -521 +2992 3644 +-1024 -2472 +2857 618 +1142 4800 +-5964 -273 +-1448 3086 +-432 -1607 +-86 -1964 +2859 2786 +1024 0 +2859 -2786 +-86 1964 +-432 1607 +-1448 -3086 +-5964 273 +1142 -4800 +2857 -618 +-1024 2472 +2992 -3644 +5500 521 +2536 3814 +1448 -254 +-52 -2722 +992 2718 +1602 -656 +-3072 0 +332 3278 +-851 1426 +-977 1017 +1448 -854 +-2041 2249 +4456 -2191 +1 -3170 +-1024 424 +3966 1184 +-4106 -520 +-3427 -2180 +-1448 410 +4565 -1334 +1145 -528 +-8816 -3315 +-7168 0 +-8816 3315 +1145 528 +4565 1334 +-1448 -410 +-3427 2180 +-4106 520 +3966 -1184 +-1024 -424 +1 3170 +4456 2191 +-2041 -2249 +1448 854 +-977 -1017 +-851 -1426 +332 -3278 +-3072 0 +1602 656 +992 -2718 +-52 2722 +1448 254 +2536 -3814 +5500 -521 +2992 3644 +-1024 -2472 +2857 618 +1142 4800 +-5964 -273 +-1448 3086 +-432 -1607 +-86 -1964 +2859 2786 +1024 -2048 +3772 -5922 +-199 -1346 +-2087 2834 +-2702 -1388 +2343 868 +166 1530 +-2324 3548 +-1624 4520 +-94 -4207 +379 -1311 +-1204 403 +-2806 -4064 +171 -111 +817 1063 +-901 953 +1024 0 +-901 -953 +817 -1063 +171 111 +-2806 4064 +-1204 -403 +379 1311 +-94 4207 +-1624 -4520 +-2324 -3548 +166 -1530 +2343 -868 +-2702 1388 +-2087 -2834 +-199 1346 +3772 5922 +1024 2048 +-2276 1323 +2468 -547 +-7684 -6130 +-2243 -2956 +4109 4037 +94 -746 +-1957 -1789 +-4520 1624 +1241 173 +-2335 2095 +2320 -1291 +3654 -280 +2032 2608 +6804 -2955 +2540 240 +1024 0 +2540 -240 +6804 2955 +2032 -2608 +3654 280 +2320 1291 +-2335 -2095 +1241 -173 +-4520 -1624 +-1957 1789 +94 746 +4109 -4037 +-2243 2956 +-7684 6130 +2468 547 +-2276 -1323 +1024 -2048 +3772 -5922 +-199 -1346 +-2087 2834 +-2702 -1388 +2343 868 +166 1530 +-2324 3548 +-1624 4520 +-94 -4207 +379 -1311 +-1204 403 +-2806 -4064 +171 -111 +817 1063 +-901 953 +0 -2048 +-493 -3259 +-3181 769 +1091 -2250 +5064 -2062 +114 -2454 +-6972 -5053 +-1231 4826 +0 1024 +-2931 -742 +-3 2210 +-546 -3077 +4384 -170 +485 -404 +-3495 -1407 +814 -5550 +0 0 +814 5550 +-3495 1407 +485 404 +4384 170 +-546 3077 +-3 -2210 +-2931 742 +0 -1024 +-1231 -4826 +-6972 5053 +114 2454 +5064 2062 +1091 2250 +-3181 -769 +-493 3259 +0 2048 +1024 -3509 +6705 275 +6745 899 +1929 614 +-603 3945 +919 -675 +-2629 2365 +0 1024 +1678 -315 +-3833 1951 +-1420 -546 +-3184 -1278 +-72 -268 +1668 4148 +-2024 -3673 +0 0 +-2024 3673 +1668 -4148 +-72 268 +-3184 1278 +-1420 546 +-3833 -1951 +1678 315 +0 -1024 +-2629 -2365 +919 675 +-603 -3945 +1929 -614 +6745 -899 +6705 -275 +1024 3509 +0 -2048 +-493 -3259 +-3181 769 +1091 -2250 +5064 -2062 +114 -2454 +-6972 -5053 +-1231 4826 +0 1024 +-2931 -742 +-3 2210 +-546 -3077 +4384 -170 +485 -404 +-3495 -1407 +814 -5550 +-4096 -1024 +-1628 -5826 +-228 1718 +3687 933 +-1116 -2368 +403 1840 +3305 -1456 +1631 -3142 +1748 1572 +-4674 -3386 +-1575 -8144 +-2994 -1547 +-222 -3538 +-684 -4912 +-2025 2679 +4596 305 +3072 0 +4596 -305 +-2025 -2679 +-684 4912 +-222 3538 +-2994 1547 +-1575 8144 +-4674 3386 +1748 -1572 +1631 3142 +3305 1456 +403 -1840 +-1116 2368 +3687 -933 +-228 -1718 +-1628 5826 +-4096 1024 +1817 -4165 +1780 -3258 +-2503 629 +-332 4416 +822 1486 +1602 4325 +-2385 -392 +300 -5668 +1644 1757 +-437 -76 +201 2051 +1670 1490 +1069 -4541 +-2424 1077 +-1001 -199 +1024 0 +-1001 199 +-2424 -1077 +1069 4541 +1670 -1490 +201 -2051 +-437 76 +1644 -1757 +300 5668 +-2385 392 +1602 -4325 +822 -1486 +-332 -4416 +-2503 -629 +1780 3258 +1817 4165 +-4096 -1024 +-1628 -5826 +-228 1718 +3687 933 +-1116 -2368 +403 1840 +3305 -1456 +1631 -3142 +1748 1572 +-4674 -3386 +-1575 -8144 +-2994 -1547 +-222 -3538 +-684 -4912 +-2025 2679 +4596 305 +2048 -5120 +3201 519 +2635 3392 +3646 -1989 +862 -3986 +1053 -6336 +-4356 785 +-4756 480 +1324 1148 +-1074 -755 +-1970 324 +448 1600 +3308 -4372 +3144 3831 +-2629 3713 +-3406 3236 +-1024 0 +-3406 -3236 +-2629 -3713 +3144 -3831 +3308 4372 +448 -1600 +-1970 -324 +-1074 755 +1324 -1148 +-4756 -480 +-4356 -785 +1053 6336 +862 3986 +3646 1989 +2635 -3392 +3201 -519 +2048 5120 +-1059 400 +3905 1516 +-271 497 +1186 1690 +2597 2435 +-6929 3664 +2070 -126 +2772 -3196 +-5816 2676 +471 1228 +127 -1717 +-1260 -2021 +842 -1539 +683 -1701 +-744 -750 +-3072 0 +-744 750 +683 1701 +842 1539 +-1260 2021 +127 1717 +471 -1228 +-5816 -2676 +2772 3196 +2070 126 +-6929 -3664 +2597 -2435 +1186 -1690 +-271 -497 +3905 -1516 +-1059 -400 +2048 -5120 +3201 519 +2635 3392 +3646 -1989 +862 -3986 +1053 -6336 +-4356 785 +-4756 480 +1324 1148 +-1074 -755 +-1970 324 +448 1600 +3308 -4372 +3144 3831 +-2629 3713 +-3406 3236 +5120 -3072 +-4215 -1395 +-2591 -4094 +1853 -2071 +-1826 -502 +-886 -3170 +-2118 -3187 +731 4183 +724 724 +-3299 5877 +608 3704 +508 -4796 +-1716 -1056 +1007 -5806 +4976 910 +2543 2324 +-2048 0 +2543 -2324 +4976 -910 +1007 5806 +-1716 1056 +508 4796 +608 -3704 +-3299 -5877 +724 -724 +731 -4183 +-2118 3187 +-886 3170 +-1826 502 +1853 2071 +-2591 4094 +-4215 1395 +5120 3072 +1212 2515 +-409 89 +658 3883 +-3718 -2394 +-2622 519 +-1341 2966 +907 335 +-724 -724 +-556 -3238 +2851 1868 +-2351 889 +-932 -1840 +1834 -1830 +-1976 878 +2676 5109 +8192 0 +2676 -5109 +-1976 -878 +1834 1830 +-932 1840 +-2351 -889 +2851 -1868 +-556 3238 +-724 724 +907 -335 +-1341 -2966 +-2622 -519 +-3718 2394 +658 -3883 +-409 -89 +1212 -2515 +5120 -3072 +-4215 -1395 +-2591 -4094 +1853 -2071 +-1826 -502 +-886 -3170 +-2118 -3187 +731 4183 +724 724 +-3299 5877 +608 3704 +508 -4796 +-1716 -1056 +1007 -5806 +4976 910 +2543 2324 +-3072 3072 +-4686 5579 +-2595 -1386 +617 1660 +138 522 +468 2272 +-294 -2266 +-1433 -2400 +-724 1324 +2111 120 +83 680 +-316 -3882 +4032 -2080 +-3223 1552 +5596 2929 +8652 1768 +-4096 0 +8652 -1768 +5596 -2929 +-3223 -1552 +4032 2080 +-316 3882 +83 -680 +2111 -120 +-724 -1324 +-1433 2400 +-294 2266 +468 -2272 +138 -522 +617 -1660 +-2595 1386 +-4686 -5579 +-3072 -3072 +2069 4450 +584 1986 +-3252 1311 +462 -1370 +447 3562 +1847 -1230 +1133 -2785 +724 2772 +-482 2336 +-4532 2816 +289 -620 +-536 -2864 +-823 -6517 +-689 -3529 +-1571 1918 +2048 0 +-1571 -1918 +-689 3529 +-823 6517 +-536 2864 +289 620 +-4532 -2816 +-482 -2336 +724 -2772 +1133 2785 +1847 1230 +447 -3562 +462 1370 +-3252 -1311 +584 -1986 +2069 -4450 +-3072 3072 +-4686 5579 +-2595 -1386 +617 1660 +138 522 +468 2272 +-294 -2266 +-1433 -2400 +-724 1324 +2111 120 +83 680 +-316 -3882 +4032 -2080 +-3223 1552 +5596 2929 +8652 1768 +-3072 0 +-3620 -2654 +-5028 -1493 +-293 1851 +6466 144 +-922 2182 +-2731 -2497 +2088 1755 +4520 1024 +3754 -3359 +-438 -1034 +693 3107 +-1898 1084 +-3903 -4551 +3678 4217 +2530 -1378 +-3072 0 +2530 1378 +3678 -4217 +-3903 4551 +-1898 -1084 +693 -3107 +-438 1034 +3754 3359 +4520 -1024 +2088 -1755 +-2731 2497 +-922 -2182 +6466 -144 +-293 -1851 +-5028 1493 +-3620 2654 +-3072 0 +2599 -313 +3800 -2159 +3220 1689 +2223 -3640 +2444 -329 +2391 4361 +-1499 -61 +1624 1024 +-558 -611 +-2119 -2398 +-648 -943 +-6791 -484 +-592 211 +446 3219 +-5293 939 +-3072 0 +-5293 -939 +446 -3219 +-592 -211 +-6791 484 +-648 943 +-2119 2398 +-558 611 +1624 -1024 +-1499 61 +2391 -4361 +2444 329 +2223 3640 +3220 -1689 +3800 2159 +2599 313 +-3072 0 +-3620 -2654 +-5028 -1493 +-293 1851 +6466 144 +-922 2182 +-2731 -2497 +2088 1755 +4520 1024 +3754 -3359 +-438 -1034 +693 3107 +-1898 1084 +-3903 -4551 +3678 4217 +2530 -1378 +3072 -2048 +3003 754 +-402 -1315 +-3154 -455 +7380 -2882 +5546 2688 +8 827 +1235 22 +-424 3072 +1934 -329 +2378 1044 +3933 1068 +1128 -678 +-3049 -3321 +-1396 -2802 +-2109 -3243 +-3072 0 +-2109 3243 +-1396 2802 +-3049 3321 +1128 678 +3933 -1068 +2378 -1044 +1934 329 +-424 -3072 +1235 -22 +8 -827 +5546 -2688 +7380 2882 +-3154 455 +-402 1315 +3003 -754 +3072 2048 +-3240 -669 +-5651 -577 +4190 5628 +461 -5558 +-2132 -899 +-1835 -44 +-1430 -5165 +2472 3072 +478 3196 +1146 -260 +-1995 1161 +-4873 430 +-3338 -2650 +-2440 910 +130 -248 +1024 0 +130 248 +-2440 -910 +-3338 2650 +-4873 -430 +-1995 -1161 +1146 260 +478 -3196 +2472 -3072 +-1430 5165 +-1835 44 +-2132 899 +461 5558 +4190 -5628 +-5651 577 +-3240 669 +3072 -2048 +3003 754 +-402 -1315 +-3154 -455 +7380 -2882 +5546 2688 +8 827 +1235 22 +-424 3072 +1934 -329 +2378 1044 +3933 1068 +1128 -678 +-3049 -3321 +-1396 -2802 +-2109 -3243 +-3072 -2048 +-2400 2959 +2592 1659 +2545 -4724 +-1508 -674 +670 805 +-3513 2052 +-3011 1123 +-2048 -2048 +-2332 -2346 +656 1303 +-2778 3761 +-1168 3894 +-2935 826 +4055 -5093 +5560 4992 +-5120 0 +5560 -4992 +4055 5093 +-2935 -826 +-1168 -3894 +-2778 -3761 +656 -1303 +-2332 2346 +-2048 2048 +-3011 -1123 +-3513 -2052 +670 -805 +-1508 674 +2545 4724 +2592 -1659 +-2400 -2959 +-3072 2048 +1674 3559 +2027 -810 +-4396 -398 +60 3570 +-539 -1366 +-1904 2892 +819 70 +-2048 -2048 +2069 -1575 +3064 -6247 +1967 -1867 +2616 -998 +-327 2301 +1214 4245 +3414 2206 +3072 0 +3414 -2206 +1214 -4245 +-327 -2301 +2616 998 +1967 1867 +3064 6247 +2069 1575 +-2048 2048 +819 -70 +-1904 -2892 +-539 1366 +60 -3570 +-4396 398 +2027 810 +1674 -3559 +-3072 -2048 +-2400 2959 +2592 1659 +2545 -4724 +-1508 -674 +670 805 +-3513 2052 +-3011 1123 +-2048 -2048 +-2332 -2346 +656 1303 +-2778 3761 +-1168 3894 +-2935 826 +4055 -5093 +5560 4992 +0 2048 +-3436 4100 +739 2395 +289 3323 +-3810 3012 +3262 -1698 +-4189 -1202 +-2709 3084 +1448 -4520 +-669 -7659 +117 685 +3 140 +-130 -456 +-2142 -552 +-213 -1046 +1219 5556 +0 0 +1219 -5556 +-213 1046 +-2142 552 +-130 456 +3 -140 +117 -685 +-669 7659 +1448 4520 +-2709 -3084 +-4189 1202 +3262 1698 +-3810 -3012 +289 -3323 +739 -2395 +-3436 -4100 +0 -2048 +-4354 933 +2276 -1011 +-57 2771 +-1134 4580 +1144 -377 +-1043 -402 +179 -2665 +-1448 -1624 +4086 5311 +2219 4703 +55 993 +978 -4240 +3239 -4131 +93 1230 +-109 -890 +8192 0 +-109 890 +93 -1230 +3239 4131 +978 4240 +55 -993 +2219 -4703 +4086 -5311 +-1448 1624 +179 2665 +-1043 402 +1144 377 +-1134 -4580 +-57 -2771 +2276 1011 +-4354 -933 +0 2048 +-3436 4100 +739 2395 +289 3323 +-3810 3012 +3262 -1698 +-4189 -1202 +-2709 3084 +1448 -4520 +-669 -7659 +117 685 +3 140 +-130 -456 +-2142 -552 +-213 -1046 +1219 5556 +-4096 2048 +-520 -1402 +1474 -1813 +2412 -446 +-4384 -3954 +-2221 -4134 +1966 -2139 +465 896 +600 176 +-6431 -2339 +3628 -675 +2227 -2197 +-1929 -954 +6660 1862 +2018 507 +-1956 2105 +-2048 0 +-1956 -2105 +2018 -507 +6660 -1862 +-1929 954 +2227 2197 +3628 675 +-6431 2339 +600 -176 +465 -896 +1966 2139 +-2221 4134 +-4384 3954 +2412 446 +1474 1813 +-520 1402 +-4096 -2048 +613 -381 +-3210 -3915 +-1261 -3584 +3184 2506 +-3456 -640 +-1486 -602 +-847 4901 +3496 5968 +1700 3023 +-12 -369 +995 -121 +-5064 -495 +438 2356 +3814 3654 +1184 -3209 +2048 0 +1184 3209 +3814 -3654 +438 -2356 +-5064 495 +995 121 +-12 369 +1700 -3023 +3496 -5968 +-847 -4901 +-1486 602 +-3456 640 +3184 -2506 +-1261 3584 +-3210 3915 +613 381 +-4096 2048 +-520 -1402 +1474 -1813 +2412 -446 +-4384 -3954 +-2221 -4134 +1966 -2139 +465 896 +600 176 +-6431 -2339 +3628 -675 +2227 -2197 +-1929 -954 +6660 1862 +2018 507 +-1956 2105 +-2048 -3072 +4806 -1636 +-1301 427 +-3514 -422 +-2827 -1956 +-716 -1868 +61 -3894 +475 -3086 +724 -3796 +-828 3197 +1214 101 +-2723 -5239 +-2923 2850 +1632 -1695 +3215 -855 +1922 1013 +-1024 0 +1922 -1013 +3215 855 +1632 1695 +-2923 -2850 +-2723 5239 +1214 -101 +-828 -3197 +724 3796 +475 3086 +61 3894 +-716 1868 +-2827 1956 +-3514 422 +-1301 -427 +4806 1636 +-2048 3072 +-1067 2840 +2749 3174 +-2335 -532 +4875 -2740 +1581 1548 +-1509 -3062 +1145 -4311 +-724 -2348 +3671 183 +-2663 -64 +-4822 2152 +4971 4742 +5105 374 +-1767 3256 +-4332 -3016 +-3072 0 +-4332 3016 +-1767 -3256 +5105 -374 +4971 -4742 +-4822 -2152 +-2663 64 +3671 -183 +-724 2348 +1145 4311 +-1509 3062 +1581 -1548 +4875 2740 +-2335 532 +2749 -3174 +-1067 -2840 +-2048 -3072 +4806 -1636 +-1301 427 +-3514 -422 +-2827 -1956 +-716 -1868 +61 -3894 +475 -3086 +724 -3796 +-828 3197 +1214 101 +-2723 -5239 +-2923 2850 +1632 -1695 +3215 -855 +1922 1013 +0 -5120 +-603 -482 +78 4368 +589 -705 +-3118 -78 +-1768 2875 +6990 556 +288 3663 +-3196 -2772 +2922 949 +284 5213 +1019 -1868 +2564 1416 +4346 297 +-3073 3058 +-8239 3510 +-3072 0 +-8239 -3510 +-3073 -3058 +4346 -297 +2564 -1416 +1019 1868 +284 -5213 +2922 -949 +-3196 2772 +288 -3663 +6990 -556 +-1768 -2875 +-3118 78 +589 705 +78 -4368 +-603 482 +0 5120 +-194 689 +3522 -1093 +4025 -2563 +-1226 -1970 +1048 1204 +-34 -2944 +-1023 -128 +1148 -1324 +1836 -1877 +-248 -609 +-3403 -734 +1780 632 +-63 -4452 +672 -982 +-779 -1974 +-9216 0 +-779 1974 +672 982 +-63 4452 +1780 -632 +-3403 734 +-248 609 +1836 1877 +1148 1324 +-1023 128 +-34 2944 +1048 -1204 +-1226 1970 +4025 2563 +3522 1093 +-194 -689 +0 -5120 +-603 -482 +78 4368 +589 -705 +-3118 -78 +-1768 2875 +6990 556 +288 3663 +-3196 -2772 +2922 949 +284 5213 +1019 -1868 +2564 1416 +4346 297 +-3073 3058 +-8239 3510 +-1024 -2048 +1166 -920 +-2396 6247 +-2708 4729 +-2746 -580 +-1189 1314 +4388 1017 +169 5093 +-600 -1448 +5291 1715 +2353 2699 +-634 -1991 +374 360 +-1287 -3710 +-2996 3810 +-1027 -358 +1024 0 +-1027 358 +-2996 -3810 +-1287 3710 +374 -360 +-634 1991 +2353 -2699 +5291 -1715 +-600 1448 +169 -5093 +4388 -1017 +-1189 -1314 +-2746 580 +-2708 -4729 +-2396 -6247 +1166 920 +-1024 2048 +976 1531 +883 -3452 +-2981 -5317 +2146 -4364 +-524 -527 +112 2428 +6322 2243 +-3496 1448 +-2206 4053 +5932 3642 +-1878 -1006 +-3870 -1208 +-385 -662 +-84 1881 +893 -599 +1024 0 +893 599 +-84 -1881 +-385 662 +-3870 1208 +-1878 1006 +5932 -3642 +-2206 -4053 +-3496 -1448 +6322 -2243 +112 -2428 +-524 527 +2146 4364 +-2981 5317 +883 3452 +976 -1531 +-1024 -2048 +1166 -920 +-2396 6247 +-2708 4729 +-2746 -580 +-1189 1314 +4388 1017 +169 5093 +-600 -1448 +5291 1715 +2353 2699 +-634 -1991 +374 360 +-1287 -3710 +-2996 3810 +-1027 -358 +0 -4096 +-2711 -1041 +2042 1690 +-1687 1471 +-254 -444 +3236 1534 +2255 -3453 +4374 -281 +-4520 -2048 +-5466 -162 +-1583 1403 +-3856 -2079 +3086 664 +-1473 -4626 +-4722 -931 +3987 -1106 +6144 0 +3987 1106 +-4722 931 +-1473 4626 +3086 -664 +-3856 2079 +-1583 -1403 +-5466 162 +-4520 2048 +4374 281 +2255 3453 +3236 -1534 +-254 444 +-1687 -1471 +2042 -1690 +-2711 1041 +0 4096 +2762 2008 +738 -3426 +2741 5809 +854 3340 +471 -1246 +2993 2972 +-251 2448 +-1624 -2048 +4239 -2006 +2128 2213 +-2747 1791 +410 2232 +-2477 -2654 +-3851 -4901 +-1142 138 +-2048 0 +-1142 -138 +-3851 4901 +-2477 2654 +410 -2232 +-2747 -1791 +2128 -2213 +4239 2006 +-1624 2048 +-251 -2448 +2993 -2972 +471 1246 +854 -3340 +2741 -5809 +738 3426 +2762 -2008 +0 -4096 +-2711 -1041 +2042 1690 +-1687 1471 +-254 -444 +3236 1534 +2255 -3453 +4374 -281 +-4520 -2048 +-5466 -162 +-1583 1403 +-3856 -2079 +3086 664 +-1473 -4626 +-4722 -931 +3987 -1106 +1024 1024 +-874 -339 +207 5762 +2278 -2257 +-1011 1246 +-931 -1266 +-2030 -1017 +-743 483 +-1148 -5244 +-3558 -2374 +-2364 -1493 +236 -4509 +7228 -1356 +1325 3780 +-2627 -2972 +-2533 -4930 +-8192 0 +-2533 4930 +-2627 2972 +1325 -3780 +7228 1356 +236 4509 +-2364 1493 +-3558 2374 +-1148 5244 +-743 -483 +-2030 1017 +-931 1266 +-1011 -1246 +2278 2257 +207 -5762 +-874 339 +1024 -1024 +-55 -6547 +301 2522 +1800 149 +-686 -646 +-3225 258 +1210 2529 +950 -833 +3196 -900 +2023 2912 +-3808 -1587 +3031 -963 +2660 -2140 +1277 -4560 +919 -1528 +-1002 4724 +2048 0 +-1002 -4724 +919 1528 +1277 4560 +2660 2140 +3031 963 +-3808 1587 +2023 -2912 +3196 900 +950 833 +1210 -2529 +-3225 -258 +-686 646 +1800 -149 +301 -2522 +-55 6547 +1024 1024 +-874 -339 +207 5762 +2278 -2257 +-1011 1246 +-931 -1266 +-2030 -1017 +-743 483 +-1148 -5244 +-3558 -2374 +-2364 -1493 +236 -4509 +7228 -1356 +1325 3780 +-2627 -2972 +-2533 -4930 +-1024 1024 +-1042 -388 +-3965 1185 +-3398 -4286 +3334 -138 +920 1872 +-3254 -2454 +-908 -1652 +1148 -1148 +5200 3399 +-2584 -1156 +261 2601 +2884 4032 +-3417 -6109 +1639 -1962 +2429 -4851 +0 0 +2429 4851 +1639 1962 +-3417 6109 +2884 -4032 +261 -2601 +-2584 1156 +5200 -3399 +1148 1148 +-908 1652 +-3254 2454 +920 -1872 +3334 138 +-3398 4286 +-3965 -1185 +-1042 388 +-1024 -1024 +-3852 1788 +-419 8208 +733 3515 +3658 -462 +589 1318 +-1810 1291 +1275 1153 +-3196 3196 +1114 -2569 +656 -4600 +-3100 -299 +-1684 -536 +1617 -1343 +1545 -1430 +1577 1787 +6144 0 +1577 -1787 +1545 1430 +1617 1343 +-1684 536 +-3100 299 +656 4600 +1114 2569 +-3196 -3196 +1275 -1153 +-1810 -1291 +589 -1318 +3658 462 +733 -3515 +-419 -8208 +-3852 -1788 +-1024 1024 +-1042 -388 +-3965 1185 +-3398 -4286 +3334 -138 +920 1872 +-3254 -2454 +-908 -1652 +1148 -1148 +5200 3399 +-2584 -1156 +261 2601 +2884 4032 +-3417 -6109 +1639 -1962 +2429 -4851 +3072 4096 +5255 -1466 +-2471 2631 +-3747 1981 +-60 -6062 +-2209 2003 +-328 845 +-679 5762 +-848 4344 +-753 622 +-3728 -126 +-4147 -3284 +-2616 3711 +3154 -2551 +2126 -4067 +-1098 -45 +1024 0 +-1098 45 +2126 4067 +3154 2551 +-2616 -3711 +-4147 3284 +-3728 126 +-753 -622 +-848 -4344 +-679 -5762 +-328 -845 +-2209 -2003 +-60 6062 +-3747 -1981 +-2471 -2631 +5255 1466 +3072 -4096 +-566 541 +-3036 96 +-1247 1587 +1508 1966 +855 1386 +1281 5355 +684 2503 +4944 -4344 +3645 1611 +-1320 4629 +2605 -3791 +1168 385 +-1057 1447 +-715 -3094 +-694 -1118 +1024 0 +-694 1118 +-715 3094 +-1057 -1447 +1168 -385 +2605 3791 +-1320 -4629 +3645 -1611 +4944 4344 +684 -2503 +1281 -5355 +855 -1386 +1508 -1966 +-1247 -1587 +-3036 -96 +-566 -541 +3072 4096 +5255 -1466 +-2471 2631 +-3747 1981 +-60 -6062 +-2209 2003 +-328 845 +-679 5762 +-848 4344 +-753 622 +-3728 -126 +-4147 -3284 +-2616 3711 +3154 -2551 +2126 -4067 +-1098 -45 +1024 -2048 +-3532 -188 +-4550 946 +4446 952 +3470 908 +111 -214 +1182 -392 +-1918 -1668 +3320 2472 +5672 413 +-839 -392 +5953 2478 +-1098 2328 +-4320 4098 +-461 946 +-797 682 +5120 0 +-797 -682 +-461 -946 +-4320 -4098 +-1098 -2328 +5953 -2478 +-839 392 +5672 -413 +3320 -2472 +-1918 1668 +1182 392 +111 214 +3470 -908 +4446 -952 +-4550 -946 +-3532 188 +1024 2048 +-646 -2959 +3611 946 +-1745 2789 +-1422 -660 +4356 70 +-554 -392 +-6422 -303 +-5368 -424 +-908 2968 +-3884 -392 +724 -405 +3146 6112 +2060 1859 +-2696 946 +-3034 1523 +5120 0 +-3034 -1523 +-2696 -946 +2060 -1859 +3146 -6112 +724 405 +-3884 392 +-908 -2968 +-5368 424 +-6422 303 +-554 392 +4356 -70 +-1422 660 +-1745 -2789 +3611 -946 +-646 2959 +1024 -2048 +-3532 -188 +-4550 946 +4446 952 +3470 908 +111 -214 +1182 -392 +-1918 -1668 +3320 2472 +5672 413 +-839 -392 +5953 2478 +-1098 2328 +-4320 4098 +-461 946 +-797 682 +0 4096 +910 -341 +3194 -985 +3074 -3698 +2122 384 +3514 8499 +-1206 753 +-465 -434 +-848 3920 +-6576 883 +119 -1273 +81 4000 +2446 1952 +1155 -3633 +-969 -169 +-199 -3416 +-6144 0 +-199 3416 +-969 169 +1155 3633 +2446 -1952 +81 -4000 +119 1273 +-6576 -883 +-848 -3920 +-465 434 +-1206 -753 +3514 -8499 +2122 -384 +3074 3698 +3194 985 +910 341 +0 -4096 +-2392 755 +-518 1989 +4600 -1888 +-2122 -1832 +-147 2118 +97 2927 +-2002 -4094 +4944 -1872 +1034 902 +990 -840 +-3007 -2830 +-2446 -3400 +2315 -3208 +-1707 -4619 +-1895 1950 +-2048 0 +-1895 -1950 +-1707 4619 +2315 3208 +-2446 3400 +-3007 2830 +990 840 +1034 -902 +4944 1872 +-2002 4094 +97 -2927 +-147 -2118 +-2122 1832 +4600 1888 +-518 -1989 +-2392 -755 +0 4096 +910 -341 +3194 -985 +3074 -3698 +2122 384 +3514 8499 +-1206 753 +-465 -434 +-848 3920 +-6576 883 +119 -1273 +81 4000 +2446 1952 +1155 -3633 +-969 -169 +-199 -3416 +-2048 -2048 +961 -1034 +-3503 2300 +95 -2582 +-481 -954 +-558 4569 +2266 -5060 +3525 253 +2896 1024 +2351 -3190 +2262 1388 +-1304 1391 +1736 2506 +374 -559 +-1001 1717 +2786 1768 +2048 0 +2786 -1768 +-1001 -1717 +374 559 +1736 -2506 +-1304 -1391 +2262 -1388 +2351 3190 +2896 -1024 +3525 -253 +2266 5060 +-558 -4569 +-481 954 +95 2582 +-3503 -2300 +961 1034 +-2048 2048 +-3224 2466 +2876 -368 +-2847 -4281 +-3615 -495 +-19 -1245 +891 -5132 +-1339 2099 +-2896 1024 +-1641 2645 +-1323 4102 +-1015 -963 +-5832 -3954 +-519 -3407 +5725 7704 +2374 2559 +2048 0 +2374 -2559 +5725 -7704 +-519 3407 +-5832 3954 +-1015 963 +-1323 -4102 +-1641 -2645 +-2896 -1024 +-1339 -2099 +891 5132 +-19 1245 +-3615 495 +-2847 4281 +2876 368 +-3224 -2466 +-2048 -2048 +961 -1034 +-3503 2300 +95 -2582 +-481 -954 +-558 4569 +2266 -5060 +3525 253 +2896 1024 +2351 -3190 +2262 1388 +-1304 1391 +1736 2506 +374 -559 +-1001 1717 +2786 1768 +3072 1024 +528 2245 +-1577 -1294 +3452 -1838 +2824 -2284 +-3241 1037 +-286 -1217 +576 -2790 +-1324 -2772 +-2434 1115 +-1157 -4807 +1823 -5258 +1042 162 +2815 -5506 +-860 204 +-2468 -5005 +2048 0 +-2468 5005 +-860 -204 +2815 5506 +1042 -162 +1823 5258 +-1157 4807 +-2434 -1115 +-1324 2772 +576 2790 +-286 1217 +-3241 -1037 +2824 2284 +3452 1838 +-1577 1294 +528 -2245 +3072 -1024 +-507 -1332 +-4151 -3430 +1481 5533 +-176 2284 +-3133 1605 +3026 2156 +-1985 -237 +-2772 -1324 +947 -4381 +113 1651 +7448 3227 +4502 -162 +-4852 -1264 +-3301 -832 +-449 -113 +0 0 +-449 113 +-3301 832 +-4852 1264 +4502 162 +7448 -3227 +113 -1651 +947 4381 +-2772 1324 +-1985 237 +3026 -2156 +-3133 -1605 +-176 -2284 +1481 -5533 +-4151 3430 +-507 1332 +3072 1024 +528 2245 +-1577 -1294 +3452 -1838 +2824 -2284 +-3241 1037 +-286 -1217 +576 -2790 +-1324 -2772 +-2434 1115 +-1157 -4807 +1823 -5258 +1042 162 +2815 -5506 +-860 204 +-2468 -5005 +-2048 2048 +1654 -3810 +-1371 -2302 +1716 3666 +-1787 -4484 +-1974 -2778 +2219 1638 +-1221 -4549 +1024 -600 +-2890 5544 +-195 1038 +3281 -1034 +8234 4024 +7079 5230 +-1203 1194 +-1145 -70 +-2048 0 +-1145 70 +-1203 -1194 +7079 -5230 +8234 -4024 +3281 1034 +-195 -1038 +-2890 -5544 +1024 600 +-1221 4549 +2219 -1638 +-1974 2778 +-1787 4484 +1716 -3666 +-1371 2302 +1654 3810 +-2048 -2048 +925 384 +-2909 -2302 +-2856 -440 +1538 2436 +-3820 -2142 +-927 1638 +-1083 71 +1024 -3496 +-1486 2009 +-2297 1038 +3841 -340 +206 -1976 +-1474 -4251 +-1509 1194 +-547 2884 +2048 0 +-547 -2884 +-1509 -1194 +-1474 4251 +206 1976 +3841 340 +-2297 -1038 +-1486 -2009 +1024 3496 +-1083 -71 +-927 -1638 +-3820 2142 +1538 -2436 +-2856 440 +-2909 2302 +925 -384 +-2048 2048 +1654 -3810 +-1371 -2302 +1716 3666 +-1787 -4484 +-1974 -2778 +2219 1638 +-1221 -4549 +1024 -600 +-2890 5544 +-195 1038 +3281 -1034 +8234 4024 +7079 5230 +-1203 1194 +-1145 -70 +-2048 0 +-770 -4906 +-358 -511 +-1838 6923 +-419 710 +-1079 -125 +4885 -1232 +5216 2117 +1624 5544 +-323 -850 +513 1920 +-3937 -101 +-6980 -1494 +-890 1792 +137 -2852 +-1684 871 +-2048 0 +-1684 -871 +137 2852 +-890 -1792 +-6980 1494 +-3937 101 +513 -1920 +-323 850 +1624 -5544 +5216 -2117 +4885 1232 +-1079 125 +-419 -710 +-1838 -6923 +-358 511 +-770 4906 +-2048 0 +1254 2642 +-4430 -338 +-81 -1075 +2716 3386 +337 -1519 +-2772 -3712 +1082 3222 +4520 2648 +-3078 -803 +3167 3025 +1784 -343 +588 -2602 +-86 2857 +-1141 3700 +4097 3857 +2048 0 +4097 -3857 +-1141 -3700 +-86 -2857 +588 2602 +1784 343 +3167 -3025 +-3078 803 +4520 -2648 +1082 -3222 +-2772 3712 +337 1519 +2716 -3386 +-81 1075 +-4430 338 +1254 -2642 +-2048 0 +-770 -4906 +-358 -511 +-1838 6923 +-419 710 +-1079 -125 +4885 -1232 +5216 2117 +1624 5544 +-323 -850 +513 1920 +-3937 -101 +-6980 -1494 +-890 1792 +137 -2852 +-1684 871 +3072 -3072 +1246 415 +3953 -1241 +3223 -3323 +417 596 +-701 -500 +-4896 6542 +2364 -993 +2172 -2772 +-1092 -995 +7144 -4294 +-332 -1185 +-5370 -2479 +3707 1923 +2716 -274 +-802 -83 +0 0 +-802 83 +2716 274 +3707 -1923 +-5370 2479 +-332 1185 +7144 4294 +-1092 995 +2172 2772 +2364 993 +-4896 -6542 +-701 500 +417 -596 +3223 3323 +3953 1241 +1246 -415 +3072 3072 +-1202 1010 +-1262 3537 +147 -4906 +-1016 -5540 +-337 1539 +-4904 -150 +-3696 -2799 +-2172 -1324 +-4257 -30 +-240 -2098 +2699 -984 +1874 1630 +-2613 624 +-2511 -2023 +1645 1876 +2048 0 +1645 -1876 +-2511 2023 +-2613 -624 +1874 -1630 +2699 984 +-240 2098 +-4257 30 +-2172 1324 +-3696 2799 +-4904 150 +-337 -1539 +-1016 5540 +147 4906 +-1262 -3537 +-1202 -1010 +3072 -3072 +1246 415 +3953 -1241 +3223 -3323 +417 596 +-701 -500 +-4896 6542 +2364 -993 +2172 -2772 +-1092 -995 +7144 -4294 +-332 -1185 +-5370 -2479 +3707 1923 +2716 -274 +-802 -83 +-1024 -1024 +2549 4005 +-2267 2744 +-41 5 +4827 -3658 +-6332 -3384 +-278 -4547 +1292 -2822 +-724 -724 +7021 1796 +-966 2123 +-3778 10 +-1744 -1684 +-161 -2269 +-1201 4491 +-4297 -741 +-2048 0 +-4297 741 +-1201 -4491 +-161 2269 +-1744 1684 +-3778 -10 +-966 -2123 +7021 -1796 +-724 724 +1292 2822 +-278 4547 +-6332 3384 +4827 3658 +-41 -5 +-2267 -2744 +2549 -4005 +-1024 1024 +-965 2198 +-564 1300 +1977 -1091 +718 -3334 +-909 2908 +122 -4641 +586 -3136 +724 724 +-2868 -4858 +-2974 -1423 +554 2411 +4392 2884 +2896 -1714 +-63 1250 +2475 4049 +4096 0 +2475 -4049 +-63 -1250 +2896 1714 +4392 -2884 +554 -2411 +-2974 1423 +-2868 4858 +724 -724 +586 3136 +122 4641 +-909 -2908 +718 3334 +1977 1091 +-564 -1300 +-965 -2198 +-1024 -1024 +2549 4005 +-2267 2744 +-41 5 +4827 -3658 +-6332 -3384 +-278 -4547 +1292 -2822 +-724 -724 +7021 1796 +-966 2123 +-3778 10 +-1744 -1684 +-161 -2269 +-1201 4491 +-4297 -741 +2048 0 +-1786 -2065 +-445 -1007 +-4053 -445 +-3326 1808 +2270 1708 +-2683 -1529 +-895 3815 +-424 4096 +-5634 3726 +674 1928 +-4285 -2945 +14 -2916 +1803 -40 +-6036 2144 +2608 -3448 +8192 0 +2608 3448 +-6036 -2144 +1803 40 +14 2916 +-4285 2945 +674 -1928 +-5634 -3726 +-424 -4096 +-895 -3815 +-2683 1529 +2270 -1708 +-3326 -1808 +-4053 445 +-445 1007 +-1786 2065 +2048 0 +4621 1177 +2456 -442 +4766 412 +-2218 240 +-1619 -719 +1130 80 +-1273 -2506 +2472 4096 +1801 5463 +3774 -480 +-3286 -1729 +-2662 868 +4403 2536 +1128 -696 +558 2248 +0 0 +558 -2248 +1128 696 +4403 -2536 +-2662 -868 +-3286 1729 +3774 480 +1801 -5463 +2472 -4096 +-1273 2506 +1130 -80 +-1619 719 +-2218 -240 +4766 -412 +2456 442 +4621 -1177 +2048 0 +-1786 -2065 +-445 -1007 +-4053 -445 +-3326 1808 +2270 1708 +-2683 -1529 +-895 3815 +-424 4096 +-5634 3726 +674 1928 +-4285 -2945 +14 -2916 +1803 -40 +-6036 2144 +2608 -3448 +-1024 1024 +3546 1048 +2063 2135 +-3413 2601 +-4128 -3608 +337 -2954 +2580 -443 +3049 1250 +1748 300 +-1795 -1143 +660 -509 +2770 -1214 +-678 2934 +1057 1354 +4014 -156 +1765 1477 +0 0 +1765 -1477 +4014 156 +1057 -1354 +-678 -2934 +2770 1214 +660 509 +-1795 1143 +1748 -300 +3049 -1250 +2580 443 +337 2954 +-4128 3608 +-3413 -2601 +2063 -2135 +3546 -1048 +-1024 -1024 +362 185 +4449 865 +-2945 -1399 +-4912 960 +-273 517 +-7212 -3017 +-7568 4666 +300 1748 +962 1707 +2276 -2950 +-618 -3441 +-2570 2610 +3084 -2368 +-637 3156 +-321 -5597 +6144 0 +-321 5597 +-637 -3156 +3084 2368 +-2570 -2610 +-618 3441 +2276 2950 +962 -1707 +300 -1748 +-7568 -4666 +-7212 3017 +-273 -517 +-4912 -960 +-2945 1399 +4449 -865 +362 -185 +-1024 1024 +3546 1048 +2063 2135 +-3413 2601 +-4128 -3608 +337 -2954 +2580 -443 +3049 1250 +1748 300 +-1795 -1143 +660 -509 +2770 -1214 +-678 2934 +1057 1354 +4014 -156 +1765 1477 +-4096 -1024 +1375 -1244 +-79 -3547 +405 -1460 +4142 -946 +1506 4364 +-94 2741 +3299 -792 +-300 4220 +-3010 -1008 +4140 -3529 +-3856 727 +-1540 -392 +-947 -1817 +-2589 -1637 +-2356 1300 +-11264 0 +-2356 -1300 +-2589 1637 +-947 1817 +-1540 392 +-3856 -727 +4140 3529 +-3010 1008 +-300 -4220 +3299 792 +-94 -2741 +1506 -4364 +4142 946 +405 1460 +-79 3547 +1375 1244 +-4096 1024 +3739 1550 +15 -2913 +-5633 -642 +2250 946 +5519 -1217 +3146 -2282 +-4772 -745 +-1748 -124 +1348 -530 +2696 3988 +4400 2420 +-756 392 +-1394 -286 +957 -4823 +377 -994 +-1024 0 +377 994 +957 4823 +-1394 286 +-756 -392 +4400 -2420 +2696 -3988 +1348 530 +-1748 124 +-4772 745 +3146 2282 +5519 1217 +2250 -946 +-5633 642 +15 2913 +3739 -1550 +-4096 -1024 +1375 -1244 +-79 -3547 +405 -1460 +4142 -946 +1506 4364 +-94 2741 +3299 -792 +-300 4220 +-3010 -1008 +4140 -3529 +-3856 727 +-1540 -392 +-947 -1817 +-2589 -1637 +-2356 1300 +1024 0 +-2847 -1613 +-2371 -123 +-2727 1280 +2506 -1264 +1270 234 +-1078 350 +-688 -1020 +-1448 2048 +-230 -1173 +-715 -6831 +-1695 -3163 +495 156 +-3207 -592 +5560 -705 +6920 -1180 +-5120 0 +6920 1180 +5560 705 +-3207 592 +495 -156 +-1695 3163 +-715 6831 +-230 1173 +-1448 -2048 +-688 1020 +-1078 -350 +1270 -234 +2506 1264 +-2727 -1280 +-2371 123 +-2847 1613 +1024 0 +2575 3355 +-2197 4128 +3641 -1225 +-3954 -2832 +-4957 -1333 +1402 -129 +1982 509 +1448 2048 +-2840 4758 +390 1259 +-3546 -2032 +954 3940 +-365 4743 +-992 -1083 +6712 -1174 +3072 0 +6712 1174 +-992 1083 +-365 -4743 +954 -3940 +-3546 2032 +390 -1259 +-2840 -4758 +1448 -2048 +1982 -509 +1402 129 +-4957 1333 +-3954 2832 +3641 1225 +-2197 -4128 +2575 -3355 +1024 0 +-2847 -1613 +-2371 -123 +-2727 1280 +2506 -1264 +1270 234 +-1078 350 +-688 -1020 +-1448 2048 +-230 -1173 +-715 -6831 +-1695 -3163 +495 156 +-3207 -592 +5560 -705 +6920 -1180 +2048 -1024 +688 4154 +-1336 791 +-4498 -3659 +-751 3248 +3075 1978 +-2850 -2120 +326 -1318 +6268 900 +1596 -69 +-57 532 +-3857 5511 +-5310 1430 +1804 707 +-2170 2522 +-4121 1261 +1024 0 +-4121 -1261 +-2170 -2522 +1804 -707 +-5310 -1430 +-3857 -5511 +-57 -532 +1596 69 +6268 -900 +326 1318 +-2850 2120 +3075 -1978 +-751 -3248 +-4498 3659 +-1336 -791 +688 -4154 +2048 1024 +66 2557 +-372 -347 +3243 2943 +1600 248 +-2865 -4915 +-3322 -112 +152 983 +1924 5244 +-507 -914 +-763 132 +-137 688 +366 -2030 +3234 7713 +2679 819 +1800 4801 +3072 0 +1800 -4801 +2679 -819 +3234 -7713 +366 2030 +-137 -688 +-763 -132 +-507 914 +1924 -5244 +152 -983 +-3322 112 +-2865 4915 +1600 -248 +3243 -2943 +-372 347 +66 -2557 +2048 -1024 +688 4154 +-1336 791 +-4498 -3659 +-751 3248 +3075 1978 +-2850 -2120 +326 -1318 +6268 900 +1596 -69 +-57 532 +-3857 5511 +-5310 1430 +1804 707 +-2170 2522 +-4121 1261 +2048 2048 +-1270 -842 +-1482 -1059 +-5322 -8781 +-2602 -724 +598 423 +3296 -3052 +332 844 +-1448 -424 +2081 -613 +-1299 2002 +-1344 340 +-710 -724 +368 807 +-704 -2769 +-1448 1840 +2048 0 +-1448 -1840 +-704 2769 +368 -807 +-710 724 +-1344 -340 +-1299 -2002 +2081 613 +-1448 424 +332 -844 +3296 3052 +598 -423 +-2602 724 +-5322 8781 +-1482 1059 +-1270 842 +2048 -2048 +5865 1650 +4638 4999 +3568 -5841 +-1494 -724 +-509 -841 +1428 221 +3219 4290 +1448 2472 +-2735 -1583 +671 -737 +-1641 7049 +-3386 -724 +-1510 -1830 +1643 2613 +-250 -2570 +-6144 0 +-250 2570 +1643 -2613 +-1510 1830 +-3386 724 +-1641 -7049 +671 737 +-2735 1583 +1448 -2472 +3219 -4290 +1428 -221 +-509 841 +-1494 724 +3568 5841 +4638 -4999 +5865 -1650 +2048 2048 +-1270 -842 +-1482 -1059 +-5322 -8781 +-2602 -724 +598 423 +3296 -3052 +332 844 +-1448 -424 +2081 -613 +-1299 2002 +-1344 340 +-710 -724 +368 807 +-704 -2769 +-1448 1840 +-1024 -1024 +953 -99 +200 1273 +845 -465 +-4239 -222 +-1925 3680 +-1828 4370 +1451 2753 +2348 300 +-3372 -2352 +1385 -117 +-4305 2039 +1325 332 +2396 2159 +2186 3543 +4943 195 +-4096 0 +4943 -195 +2186 -3543 +2396 -2159 +1325 -332 +-4305 -2039 +1385 117 +-3372 2352 +2348 -300 +1451 -2753 +-1828 -4370 +-1925 -3680 +-4239 222 +845 465 +200 -1273 +953 99 +-1024 1024 +-142 1542 +4744 1727 +-1451 371 +-7698 1670 +-75 -134 +980 -7830 +-786 -5993 +3796 1748 +-4862 -888 +-2233 -3343 +3170 1507 +-1676 1116 +1346 -2252 +2759 -543 +1814 1249 +2048 0 +1814 -1249 +2759 543 +1346 2252 +-1676 -1116 +3170 -1507 +-2233 3343 +-4862 888 +3796 -1748 +-786 5993 +980 7830 +-75 134 +-7698 -1670 +-1451 -371 +4744 -1727 +-142 -1542 +-1024 -1024 +953 -99 +200 1273 +845 -465 +-4239 -222 +-1925 3680 +-1828 4370 +1451 2753 +2348 300 +-3372 -2352 +1385 -117 +-4305 2039 +1325 332 +2396 2159 +2186 3543 +4943 195 +-1024 -2048 +-1470 -751 +1953 -136 +2276 128 +-1592 -914 +179 1421 +3292 825 +-2845 4632 +-3496 -2048 +-384 -432 +-1335 394 +1359 -676 +2532 3026 +288 -3819 +294 -553 +1992 -6238 +3072 0 +1992 6238 +294 553 +288 3819 +2532 -3026 +1359 676 +-1335 -394 +-384 432 +-3496 2048 +-2845 -4632 +3292 -825 +179 -1421 +-1592 914 +2276 -128 +1953 136 +-1470 751 +-1024 2048 +6818 6539 +5171 -3048 +-1237 -4420 +2192 1762 +-1273 -1296 +-2389 -2754 +2801 -154 +-600 -2048 +-459 2765 +-2465 4670 +-4728 -1048 +964 1918 +-2655 77 +-4522 -3832 +-661 -4102 +-1024 0 +-661 4102 +-4522 3832 +-2655 -77 +964 -1918 +-4728 1048 +-2465 -4670 +-459 -2765 +-600 2048 +2801 154 +-2389 2754 +-1273 1296 +2192 -1762 +-1237 4420 +5171 3048 +6818 -6539 +-1024 -2048 +-1470 -751 +1953 -136 +2276 128 +-1592 -914 +179 1421 +3292 825 +-2845 4632 +-3496 -2048 +-384 -432 +-1335 394 +1359 -676 +2532 3026 +288 -3819 +294 -553 +1992 -6238 +-3072 0 +-5202 -661 +800 -88 +-1237 -3301 +-2786 3040 +502 3949 +1761 -7300 +2800 3321 +-1024 1024 +-3864 -3296 +-3081 -814 +-1721 716 +894 3980 +191 -4630 +810 -1031 +1052 -2652 +-1024 0 +1052 2652 +810 1031 +191 4630 +894 -3980 +-1721 -716 +-3081 814 +-3864 3296 +-1024 -1024 +2800 -3321 +1761 7300 +502 -3949 +-2786 -3040 +-1237 3301 +800 88 +-5202 661 +-3072 0 +-741 -33 +-1547 -1884 +3274 -940 +-110 -744 +-76 -3684 +3731 -3660 +-2726 1722 +-1024 1024 +2461 1658 +-1212 2638 +406 -1780 +2002 2412 +4453 4853 +6930 3652 +428 1071 +-5120 0 +428 -1071 +6930 -3652 +4453 -4853 +2002 -2412 +406 1780 +-1212 -2638 +2461 -1658 +-1024 -1024 +-2726 -1722 +3731 3660 +-76 3684 +-110 744 +3274 940 +-1547 1884 +-741 33 +-3072 0 +-5202 -661 +800 -88 +-1237 -3301 +-2786 3040 +502 3949 +1761 -7300 +2800 3321 +-1024 1024 +-3864 -3296 +-3081 -814 +-1721 716 +894 3980 +191 -4630 +810 -1031 +1052 -2652 +1024 1024 +4791 -3250 +-493 -1267 +-5441 -269 +1851 378 +-502 2054 +2347 3743 +2586 3562 +-1748 900 +-1177 -727 +-5482 -3005 +3145 -5334 +-4600 -3164 +-4644 -1856 +3200 -2291 +-3483 -338 +-2048 0 +-3483 338 +3200 2291 +-4644 1856 +-4600 3164 +3145 5334 +-5482 3005 +-1177 727 +-1748 -900 +2586 -3562 +2347 -3743 +-502 -2054 +1851 -378 +-5441 269 +-493 1267 +4791 3250 +1024 -1024 +-1 -6203 +1822 -1565 +1750 2839 +3094 2270 +-445 494 +-1459 -3587 +2943 -210 +-300 5244 +760 -697 +-1198 -935 +257 3729 +3752 -2380 +88 -2125 +1263 3556 +-627 94 +-4096 0 +-627 -94 +1263 -3556 +88 2125 +3752 2380 +257 -3729 +-1198 935 +760 697 +-300 -5244 +2943 210 +-1459 3587 +-445 -494 +3094 -2270 +1750 -2839 +1822 1565 +-1 6203 +1024 1024 +4791 -3250 +-493 -1267 +-5441 -269 +1851 378 +-502 2054 +2347 3743 +2586 3562 +-1748 900 +-1177 -727 +-5482 -3005 +3145 -5334 +-4600 -3164 +-4644 -1856 +3200 -2291 +-3483 -338 +1024 2048 +1959 1367 +382 -1756 +504 -1743 +1468 -614 +589 1443 +-1260 1181 +-3928 -39 +3320 1024 +1694 617 +-6336 1757 +-3247 3598 +1688 1278 +-1254 1047 +-255 -629 +-57 -5163 +-5120 0 +-57 5163 +-255 629 +-1254 -1047 +1688 -1278 +-3247 -3598 +-6336 -1757 +1694 -617 +3320 -1024 +-3928 39 +-1260 -1181 +589 -1443 +1468 614 +504 1743 +382 1756 +1959 -1367 +1024 -2048 +1232 576 +-382 -3293 +-252 -4591 +-2316 2062 +1410 3797 +1260 4327 +-2991 5797 +-5368 1024 +552 -1228 +6336 -345 +-4783 -2215 +3256 170 +1241 2746 +255 -323 +7331 -1663 +-1024 0 +7331 1663 +255 323 +1241 -2746 +3256 -170 +-4783 2215 +6336 345 +552 1228 +-5368 -1024 +-2991 -5797 +1260 -4327 +1410 -3797 +-2316 -2062 +-252 4591 +-382 3293 +1232 -576 +1024 2048 +1959 1367 +382 -1756 +504 -1743 +1468 -614 +589 1443 +-1260 1181 +-3928 -39 +3320 1024 +1694 617 +-6336 1757 +-3247 3598 +1688 1278 +-1254 1047 +-255 -629 +-57 -5163 +0 0 +1836 -770 +7555 -3866 +-1349 -3280 +-3954 3764 +134 -293 +-2908 1935 +-1008 -4919 +2472 -2048 +2824 2365 +639 -4747 +-1821 1813 +954 4704 +2307 3033 +1839 791 +243 3726 +-2048 0 +243 -3726 +1839 -791 +2307 -3033 +954 -4704 +-1821 -1813 +639 4747 +2824 -2365 +2472 2048 +-1008 4919 +-2908 -1935 +134 293 +-3954 -3764 +-1349 3280 +7555 3866 +1836 770 +0 0 +1491 -3788 +-1538 -1458 +3406 -2075 +2506 -20 +-1194 -2197 +1135 2500 +-956 1514 +-424 -2048 +706 5245 +-1763 -1906 +-903 -2398 +495 3137 +-581 1709 +-4958 -819 +-5138 -5461 +-2048 0 +-5138 5461 +-4958 819 +-581 -1709 +495 -3137 +-903 2398 +-1763 1906 +706 -5245 +-424 2048 +-956 -1514 +1135 -2500 +-1194 2197 +2506 20 +3406 2075 +-1538 1458 +1491 3788 +0 0 +1836 -770 +7555 -3866 +-1349 -3280 +-3954 3764 +134 -293 +-2908 1935 +-1008 -4919 +2472 -2048 +2824 2365 +639 -4747 +-1821 1813 +954 4704 +2307 3033 +1839 791 +243 3726 +1024 3072 +-2468 1079 +-2806 -2015 +20 -99 +-2454 1130 +1882 -615 +-1518 -4204 +-1432 4168 +3620 2172 +1506 -1032 +5125 834 +1085 -1497 +-776 1996 +998 320 +-4751 1324 +-2464 -255 +2048 0 +-2464 255 +-4751 -1324 +998 -320 +-776 -1996 +1085 1497 +5125 -834 +1506 1032 +3620 -2172 +-1432 -4168 +-1518 4204 +1882 615 +-2454 -1130 +20 99 +-2806 2015 +-2468 -1079 +1024 -3072 +-1401 1417 +693 1556 +3585 908 +1006 -2330 +-2102 -6445 +2522 -2256 +73 2525 +-3620 -2172 +-589 -1722 +-336 -7294 +2711 -3683 +2224 4996 +3407 -5824 +1071 -1783 +-4811 1495 +-4096 0 +-4811 -1495 +1071 1783 +3407 5824 +2224 -4996 +2711 3683 +-336 7294 +-589 1722 +-3620 2172 +73 -2525 +2522 2256 +-2102 6445 +1006 2330 +3585 -908 +693 -1556 +-1401 -1417 +1024 3072 +-2468 1079 +-2806 -2015 +20 -99 +-2454 1130 +1882 -615 +-1518 -4204 +-1432 4168 +3620 2172 +1506 -1032 +5125 834 +1085 -1497 +-776 1996 +998 320 +-4751 1324 +-2464 -255 +1024 -2048 +-8292 -569 +-3107 -2284 +1929 3691 +-1098 3436 +747 687 +-1118 -162 +-663 2186 +1024 424 +-2132 -266 +-3478 -162 +249 -1549 +-1422 2016 +4446 -195 +860 -2284 +-4396 962 +3072 0 +-4396 -962 +860 2284 +4446 195 +-1422 -2016 +249 1549 +-3478 162 +-2132 266 +1024 -424 +-663 -2186 +-1118 162 +747 -687 +-1098 -3436 +1929 -3691 +-3107 2284 +-8292 569 +1024 2048 +1111 7529 +172 -2284 +-765 -268 +3146 5004 +1606 2358 +-5394 -162 +-1966 -3316 +1024 -2472 +4320 -6215 +101 -162 +974 2378 +3470 -1768 +2399 1401 +3772 -2284 +433 646 +-1024 0 +433 -646 +3772 2284 +2399 -1401 +3470 1768 +974 -2378 +101 162 +4320 6215 +1024 2472 +-1966 3316 +-5394 162 +1606 -2358 +3146 -5004 +-765 268 +172 2284 +1111 -7529 +1024 -2048 +-8292 -569 +-3107 -2284 +1929 3691 +-1098 3436 +747 687 +-1118 -162 +-663 2186 +1024 424 +-2132 -266 +-3478 -162 +249 -1549 +-1422 2016 +4446 -195 +860 -2284 +-4396 962 +5120 -1024 +7326 -458 +-6143 1789 +2649 165 +1416 3008 +-2731 2103 +4075 -67 +1045 -78 +548 -1148 +-1109 2208 +-425 2220 +-2143 2280 +1970 562 +-344 -3560 +-2407 -447 +-278 86 +-6144 0 +-278 -86 +-2407 447 +-344 3560 +1970 -562 +-2143 -2280 +-425 -2220 +-1109 -2208 +548 1148 +1045 78 +4075 67 +-2731 -2103 +1416 -3008 +2649 -165 +-6143 -1789 +7326 458 +5120 1024 +315 -409 +1679 -525 +-1965 -2389 +632 -1560 +2556 -5261 +2606 -3873 +-4990 3684 +-6692 3196 +382 198 +-463 -2064 +-3713 1555 +78 886 +-102 -5656 +1078 -2384 +3102 247 +0 0 +3102 -247 +1078 2384 +-102 5656 +78 -886 +-3713 -1555 +-463 2064 +382 -198 +-6692 -3196 +-4990 -3684 +2606 3873 +2556 5261 +632 1560 +-1965 2389 +1679 525 +315 409 +5120 -1024 +7326 -458 +-6143 1789 +2649 165 +1416 3008 +-2731 2103 +4075 -67 +1045 -78 +548 -1148 +-1109 2208 +-425 2220 +-2143 2280 +1970 562 +-344 -3560 +-2407 -447 +-278 86 +0 -1024 +312 -1294 +1660 -3766 +1745 3335 +78 1430 +1933 -5577 +-536 -466 +-3388 -729 +-724 -1748 +1064 -6142 +3438 -5217 +2085 1592 +1416 248 +-7787 1225 +-189 784 +5119 -210 +-7168 0 +5119 210 +-189 -784 +-7787 -1225 +1416 -248 +2085 -1592 +3438 5217 +1064 6142 +-724 1748 +-3388 729 +-536 466 +1933 5577 +78 -1430 +1745 -3335 +1660 3766 +312 1294 +0 1024 +-1570 1316 +-1321 3491 +4933 1951 +1970 -2030 +-654 -1144 +-3588 -606 +-2888 -91 +724 -300 +-6821 338 +-2210 -2847 +181 247 +632 3248 +3356 -1364 +2745 140 +2379 -2352 +-1024 0 +2379 2352 +2745 -140 +3356 1364 +632 -3248 +181 -247 +-2210 2847 +-6821 -338 +724 300 +-2888 91 +-3588 606 +-654 1144 +1970 2030 +4933 -1951 +-1321 -3491 +-1570 -1316 +0 -1024 +312 -1294 +1660 -3766 +1745 3335 +78 1430 +1933 -5577 +-536 -466 +-3388 -729 +-724 -1748 +1064 -6142 +3438 -5217 +2085 1592 +1416 248 +-7787 1225 +-189 784 +5119 -210 +1024 -4096 +-116 -254 +-71 -1200 +-1306 -4766 +170 2557 +2756 1705 +3562 -2968 +737 210 +-2048 -2896 +1292 -1081 +1221 178 +2690 2282 +614 4124 +2963 -1654 +-2774 -1506 +-2999 -3697 +9216 0 +-2999 3697 +-2774 1506 +2963 1654 +614 -4124 +2690 -2282 +1221 -178 +1292 1081 +-2048 2896 +737 -210 +3562 2968 +2756 -1705 +170 -2557 +-1306 4766 +-71 1200 +-116 254 +1024 4096 +-2021 199 +-2041 -3043 +-173 1181 +1278 340 +-1910 649 +-2558 -1924 +-422 -5404 +-2048 2896 +169 -17 +3568 -5071 +5392 -4024 +-2062 -1228 +1173 2165 +-906 -2737 +-8225 -453 +-3072 0 +-8225 453 +-906 2737 +1173 -2165 +-2062 1228 +5392 4024 +3568 5071 +169 17 +-2048 -2896 +-422 5404 +-2558 1924 +-1910 -649 +1278 -340 +-173 -1181 +-2041 3043 +-2021 -199 +1024 -4096 +-116 -254 +-71 -1200 +-1306 -4766 +170 2557 +2756 1705 +3562 -2968 +737 210 +-2048 -2896 +1292 -1081 +1221 178 +2690 2282 +614 4124 +2963 -1654 +-2774 -1506 +-2999 -3697 +-1024 -6144 +670 997 +3291 1116 +-1568 -3471 +-2446 3132 +3124 -3429 +-1607 1670 +-3555 2759 +-424 -2472 +1638 -2388 +987 222 +-741 -1077 +2122 -5688 +-2221 -998 +-4561 -332 +1208 6766 +3072 0 +1208 -6766 +-4561 332 +-2221 998 +2122 5688 +-741 1077 +987 -222 +1638 2388 +-424 2472 +-3555 -2759 +-1607 -1670 +3124 3429 +-2446 -3132 +-1568 3471 +3291 -1116 +670 -997 +-1024 6144 +-884 -2419 +1941 1116 +1040 2503 +2446 1564 +5626 2181 +1727 1670 +3438 2169 +2472 424 +-3088 1212 +-4003 222 +-4224 -3435 +-2122 -1904 +-1035 159 +2225 -332 +573 5485 +-5120 0 +573 -5485 +2225 332 +-1035 -159 +-2122 1904 +-4224 3435 +-4003 -222 +-3088 -1212 +2472 -424 +3438 -2169 +1727 -1670 +5626 -2181 +2446 -1564 +1040 -2503 +1941 -1116 +-884 2419 +-1024 -6144 +670 997 +3291 1116 +-1568 -3471 +-2446 3132 +3124 -3429 +-1607 1670 +-3555 2759 +-424 -2472 +1638 -2388 +987 222 +-741 -1077 +2122 -5688 +-2221 -998 +-4561 -332 +1208 6766 +3072 6144 +198 918 +412 -5092 +157 -716 +-3340 -1194 +1524 -1100 +748 -1832 +-45 390 +-1024 5968 +-2932 1212 +-2595 -153 +3321 928 +2232 1638 +-4683 569 +-102 -869 +1692 6479 +-1024 0 +1692 -6479 +-102 869 +-4683 -569 +2232 -1638 +3321 -928 +-2595 153 +-2932 -1212 +-1024 -5968 +-45 -390 +748 1832 +1524 1100 +-3340 1194 +157 716 +412 5092 +198 -918 +3072 -6144 +-481 -5525 +3253 -5020 +1899 736 +444 -2302 +-1305 -134 +3156 4155 +4345 -3310 +-1024 176 +2416 909 +-4204 -2820 +-1972 2583 +664 -1038 +1059 -3995 +-667 1845 +-5194 2146 +-1024 0 +-5194 -2146 +-667 -1845 +1059 3995 +664 1038 +-1972 -2583 +-4204 2820 +2416 -909 +-1024 -176 +4345 3310 +3156 -4155 +-1305 134 +444 2302 +1899 -736 +3253 5020 +-481 5525 +3072 6144 +198 918 +412 -5092 +157 -716 +-3340 -1194 +1524 -1100 +748 -1832 +-45 390 +-1024 5968 +-2932 1212 +-2595 -153 +3321 928 +2232 1638 +-4683 569 +-102 -869 +1692 6479 +-2048 2048 +658 3466 +3054 1156 +-2943 -2421 +-1796 2362 +3866 -246 +-1921 3538 +831 1082 +424 -4096 +-4811 -3071 +701 -3886 +-368 1162 +999 -1578 +1327 -727 +363 1939 +1041 -1596 +-2048 0 +1041 1596 +363 -1939 +1327 727 +999 1578 +-368 -1162 +701 3886 +-4811 3071 +424 4096 +831 -1082 +-1921 -3538 +3866 246 +-1796 -2362 +-2943 2421 +3054 -1156 +658 -3466 +-2048 -2048 +-379 -248 +-1762 -1756 +2428 -4839 +7340 -314 +910 -3618 +-791 -41 +-3339 -1373 +-2472 -4096 +639 -325 +-4981 390 +-3079 4789 +1649 -470 +3652 -2510 +-2855 -1340 +-432 -4084 +10240 0 +-432 4084 +-2855 1340 +3652 2510 +1649 470 +-3079 -4789 +-4981 -390 +639 325 +-2472 4096 +-3339 1373 +-791 41 +910 3618 +7340 314 +2428 4839 +-1762 1756 +-379 248 +-2048 2048 +658 3466 +3054 1156 +-2943 -2421 +-1796 2362 +3866 -246 +-1921 3538 +831 1082 +424 -4096 +-4811 -3071 +701 -3886 +-368 1162 +999 -1578 +1327 -727 +363 1939 +1041 -1596 +2048 -1024 +351 -1098 +151 3189 +-498 -1071 +-3577 -3354 +426 1936 +-2855 -5108 +-5363 93 +-2348 -724 +-2049 -3360 +1851 -1982 +915 -1729 +-3896 6020 +-1732 739 +2490 -1685 +1431 -3621 +-1024 0 +1431 3621 +2490 1685 +-1732 -739 +-3896 -6020 +915 1729 +1851 1982 +-2049 3360 +-2348 724 +-5363 -93 +-2855 5108 +426 -1936 +-3577 3354 +-498 1071 +151 -3189 +351 1098 +2048 1024 +-1045 -1669 +4129 -3936 +4738 -22 +-767 106 +-161 1710 +1562 -385 +320 -1008 +-3796 724 +412 -2018 +641 -2310 +149 -1305 +8240 3020 +1955 -2721 +222 -6055 +150 2183 +-7168 0 +150 -2183 +222 6055 +1955 2721 +8240 -3020 +149 1305 +641 2310 +412 2018 +-3796 -724 +320 1008 +1562 385 +-161 -1710 +-767 -106 +4738 22 +4129 3936 +-1045 1669 +2048 -1024 +351 -1098 +151 3189 +-498 -1071 +-3577 -3354 +426 1936 +-2855 -5108 +-5363 93 +-2348 -724 +-2049 -3360 +1851 -1982 +915 -1729 +-3896 6020 +-1732 739 +2490 -1685 +1431 -3621 +-3072 -1024 +768 2434 +-784 261 +537 -1831 +-3322 262 +-570 -1232 +716 -4519 +-537 -2439 +-4220 -724 +-8175 -1396 +4377 780 +-360 1732 +1032 188 +4623 1012 +324 -163 +3860 -3139 +2048 0 +3860 3139 +324 163 +4623 -1012 +1032 -188 +-360 -1732 +4377 -780 +-8175 1396 +-4220 724 +-537 2439 +716 4519 +-570 1232 +-3322 -262 +537 1831 +-784 -261 +768 -2434 +-3072 1024 +-995 3260 +120 559 +-300 -1655 +3922 586 +-2762 4195 +-1160 2811 +4573 -702 +124 724 +1035 1463 +-1037 -1289 +-6124 1599 +2465 4756 +-837 -1731 +-2556 -6009 +5264 -1944 +4096 0 +5264 1944 +-2556 6009 +-837 1731 +2465 -4756 +-6124 -1599 +-1037 1289 +1035 -1463 +124 -724 +4573 702 +-1160 -2811 +-2762 -4195 +3922 -586 +-300 1655 +120 -559 +-995 -3260 +-3072 -1024 +768 2434 +-784 261 +537 -1831 +-3322 262 +-570 -1232 +716 -4519 +-537 -2439 +-4220 -724 +-8175 -1396 +4377 780 +-360 1732 +1032 188 +4623 1012 +324 -163 +3860 -3139 +-1024 -5120 +-631 -4470 +-2533 -2285 +-2491 1412 +-392 5036 +-2884 -2756 +-1433 2830 +169 1957 +1748 -5244 +2367 -3860 +-548 -3918 +-1396 2792 +-946 1650 +7191 -1506 +1584 81 +-3355 754 +4096 0 +-3355 -754 +1584 -81 +7191 1506 +-946 -1650 +-1396 -2792 +-548 3918 +2367 3860 +1748 5244 +169 -1957 +-1433 -2830 +-2884 2756 +-392 -5036 +-2491 -1412 +-2533 2285 +-631 4470 +-1024 5120 +-4438 3647 +-2699 -1147 +2651 -2959 +392 4253 +448 -46 +1314 822 +882 -1092 +300 -900 +3501 2196 +3563 -3518 +-2170 2286 +946 3542 +-1350 -353 +752 1783 +1505 4086 +-6144 0 +1505 -4086 +752 -1783 +-1350 353 +946 -3542 +-2170 -2286 +3563 3518 +3501 -2196 +300 900 +882 1092 +1314 -822 +448 46 +392 -4253 +2651 2959 +-2699 1147 +-4438 -3647 +-1024 -5120 +-631 -4470 +-2533 -2285 +-2491 1412 +-392 5036 +-2884 -2756 +-1433 2830 +169 1957 +1748 -5244 +2367 -3860 +-548 -3918 +-1396 2792 +-946 1650 +7191 -1506 +1584 81 +-3355 754 +0 -1024 +235 2496 +3640 1367 +-4 231 +3248 1944 +3254 1816 +-1928 -1177 +-344 -4033 +1748 -2172 +2144 1739 +-59 -4007 +2380 826 +-1430 1065 +1551 -8639 +1559 762 +-2452 3471 +3072 0 +-2452 -3471 +1559 -762 +1551 8639 +-1430 -1065 +2380 -826 +-59 4007 +2144 -1739 +1748 2172 +-344 4033 +-1928 1177 +3254 -1816 +3248 -1944 +-4 -231 +3640 -1367 +235 -2496 +0 1024 +1632 4613 +-2008 2638 +-1696 1197 +248 -4840 +-7981 -3472 +-4909 1397 +2447 -1642 +300 2172 +1105 596 +-2993 -1566 +130 -2042 +2030 -3962 +2365 -1077 +-1494 -2550 +-4767 62 +1024 0 +-4767 -62 +-1494 2550 +2365 1077 +2030 3962 +130 2042 +-2993 1566 +1105 -596 +300 -2172 +2447 1642 +-4909 -1397 +-7981 3472 +248 4840 +-1696 -1197 +-2008 -2638 +1632 -4613 +0 -1024 +235 2496 +3640 1367 +-4 231 +3248 1944 +3254 1816 +-1928 -1177 +-344 -4033 +1748 -2172 +2144 1739 +-59 -4007 +2380 826 +-1430 1065 +1551 -8639 +1559 762 +-2452 3471 +0 1024 +3640 2573 +2213 1950 +-3931 614 +946 -3054 +1178 2260 +-1652 460 +-3849 -988 +-4220 900 +1571 7633 +3900 177 +1467 -5739 +-392 4272 +-1033 -615 +-3727 1146 +-3653 1073 +-1024 0 +-3653 -1073 +-3727 -1146 +-1033 615 +-392 -4272 +1467 5739 +3900 -177 +1571 -7633 +-4220 -900 +-3849 988 +-1652 -460 +1178 -2260 +946 3054 +-3931 -614 +2213 -1950 +3640 -2573 +0 -1024 +3979 1357 +463 -58 +-1123 6150 +-946 406 +-1985 -1876 +544 -1243 +-1408 -1518 +124 5244 +-1665 1966 +-2792 -961 +1557 2468 +392 1272 +3870 331 +1051 746 +1386 -4815 +9216 0 +1386 4815 +1051 -746 +3870 -331 +392 -1272 +1557 -2468 +-2792 961 +-1665 -1966 +124 -5244 +-1408 1518 +544 1243 +-1985 1876 +-946 -406 +-1123 -6150 +463 58 +3979 -1357 +0 1024 +3640 2573 +2213 1950 +-3931 614 +946 -3054 +1178 2260 +-1652 460 +-3849 -988 +-4220 900 +1571 7633 +3900 177 +1467 -5739 +-392 4272 +-1033 -615 +-3727 1146 +-3653 1073 +3072 3072 +603 -660 +1094 -3381 +-6161 3457 +-3828 -1840 +-2114 -3120 +1263 2417 +141 3079 +-6268 4820 +5655 -1488 +1900 -4382 +-947 -572 +1070 -502 +854 915 +4261 -172 +3905 -1791 +6144 0 +3905 1791 +4261 172 +854 -915 +1070 502 +-947 572 +1900 4382 +5655 1488 +-6268 -4820 +141 -3079 +1263 -2417 +-2114 3120 +-3828 1840 +-6161 -3457 +1094 3381 +603 660 +3072 -3072 +939 1237 +1738 2441 +1473 1703 +-4612 -1056 +-778 933 +-1107 -3044 +-2239 -5830 +-1924 3372 +-661 1633 +2040 -342 +942 1282 +-822 -2394 +938 1349 +-2997 3328 +-2551 -528 +4096 0 +-2551 528 +-2997 -3328 +938 -1349 +-822 2394 +942 -1282 +2040 342 +-661 -1633 +-1924 -3372 +-2239 5830 +-1107 3044 +-778 -933 +-4612 1056 +1473 -1703 +1738 -2441 +939 -1237 +3072 3072 +603 -660 +1094 -3381 +-6161 3457 +-3828 -1840 +-2114 -3120 +1263 2417 +141 3079 +-6268 4820 +5655 -1488 +1900 -4382 +-947 -572 +1070 -502 +854 915 +4261 -172 +3905 -1791 +-2048 1024 +-2417 1439 +3275 -4163 +2687 332 +78 -3802 +-103 -2982 +-302 2544 +-51 -486 +-1324 4644 +860 2217 +-1136 1033 +4248 -889 +1416 -2768 +-4765 1049 +1187 -3158 +-214 2418 +-3072 0 +-214 -2418 +1187 3158 +-4765 -1049 +1416 2768 +4248 889 +-1136 -1033 +860 -2217 +-1324 -4644 +-51 486 +-302 -2544 +-103 2982 +78 3802 +2687 -332 +3275 4163 +-2417 -1439 +-2048 -1024 +215 -53 +3746 -545 +16 5620 +1970 306 +1341 -2741 +-5151 7088 +-1875 6524 +-2772 -2596 +-3398 -1163 +-2100 1606 +1195 3725 +632 3368 +1174 -521 +482 -351 +1087 -3617 +7168 0 +1087 3617 +482 351 +1174 521 +632 -3368 +1195 -3725 +-2100 -1606 +-3398 1163 +-2772 2596 +-1875 -6524 +-5151 -7088 +1341 2741 +1970 -306 +16 -5620 +3746 545 +215 53 +-2048 1024 +-2417 1439 +3275 -4163 +2687 332 +78 -3802 +-103 -2982 +-302 2544 +-51 -486 +-1324 4644 +860 2217 +-1136 1033 +4248 -889 +1416 -2768 +-4765 1049 +1187 -3158 +-214 2418 +3072 -3072 +-3396 2401 +516 1784 +743 -342 +-3902 -1286 +-2339 -207 +-510 -1338 +-1636 -5266 +1324 -7117 +-488 -3668 +-8385 4461 +2640 2386 +672 836 +-258 3628 +1447 -181 +-3113 845 +2048 0 +-3113 -845 +1447 181 +-258 -3628 +672 -836 +2640 -2386 +-8385 -4461 +-488 3668 +1324 7117 +-1636 5266 +-510 1338 +-2339 207 +-3902 1286 +743 342 +516 -1784 +-3396 -2401 +3072 3072 +-1128 23 +657 -2633 +2952 3696 +-442 -1610 +976 -5959 +134 -3606 +4873 -88 +2772 3021 +-293 -1007 +-1127 483 +-597 -303 +3672 -3732 +1676 2182 +-923 1029 +-611 -3534 +0 0 +-611 3534 +-923 -1029 +1676 -2182 +3672 3732 +-597 303 +-1127 -483 +-293 1007 +2772 -3021 +4873 88 +134 3606 +976 5959 +-442 1610 +2952 -3696 +657 2633 +-1128 -23 +3072 -3072 +-3396 2401 +516 1784 +743 -342 +-3902 -1286 +-2339 -207 +-510 -1338 +-1636 -5266 +1324 -7117 +-488 -3668 +-8385 4461 +2640 2386 +672 836 +-258 3628 +1447 -181 +-3113 845 +1024 -7168 +1440 -99 +1202 455 +-1361 -3688 +-2708 960 +866 2644 +57 -3499 +568 -2989 +300 -1748 +29 -3097 +-1822 1983 +-4291 3706 +2310 2610 +811 -1044 +-4054 -3130 +931 -2710 +4096 0 +931 2710 +-4054 3130 +811 1044 +2310 -2610 +-4291 -3706 +-1822 -1983 +29 3097 +300 1748 +568 2989 +57 3499 +866 -2644 +-2708 -960 +-1361 3688 +1202 -455 +1440 99 +1024 7168 +-2702 -2169 +3210 2637 +7247 4897 +1860 -3608 +-1504 1773 +-1646 -4277 +2537 -989 +1748 -300 +1 -882 +-685 4226 +-2639 710 +2634 2934 +871 2254 +-4454 3822 +-2805 442 +-2048 0 +-2805 -442 +-4454 -3822 +871 -2254 +2634 -2934 +-2639 -710 +-685 -4226 +1 882 +1748 300 +2537 989 +-1646 4277 +-1504 -1773 +1860 3608 +7247 -4897 +3210 -2637 +-2702 2169 +1024 -7168 +1440 -99 +1202 455 +-1361 -3688 +-2708 960 +866 2644 +57 -3499 +568 -2989 +300 -1748 +29 -3097 +-1822 1983 +-4291 3706 +2310 2610 +811 -1044 +-4054 -3130 +931 -2710 +2048 2048 +2306 -267 +-6123 -2244 +-1444 658 +-2662 580 +1041 2149 +3370 2230 +-6234 -448 +2472 -1448 +7173 896 +3670 476 +-5019 -3909 +-3326 -360 +599 -644 +-3287 -5795 +1473 -630 +4096 0 +1473 630 +-3287 5795 +599 644 +-3326 360 +-5019 3909 +3670 -476 +7173 -896 +2472 1448 +-6234 448 +3370 -2230 +1041 -2149 +-2662 -580 +-1444 -658 +-6123 2244 +2306 267 +2048 -2048 +2088 2360 +994 -1200 +-448 -1685 +14 4364 +-428 3094 +2866 3462 +-813 2896 +-424 1448 +-3910 4080 +-1218 2319 +2838 1272 +-2218 1208 +2860 -71 +-273 -546 +-2083 -2940 +4096 0 +-2083 2940 +-273 546 +2860 71 +-2218 -1208 +2838 -1272 +-1218 -2319 +-3910 -4080 +-424 -1448 +-813 -2896 +2866 -3462 +-428 -3094 +14 -4364 +-448 1685 +994 1200 +2088 -2360 +2048 2048 +2306 -267 +-6123 -2244 +-1444 658 +-2662 580 +1041 2149 +3370 2230 +-6234 -448 +2472 -1448 +7173 896 +3670 476 +-5019 -3909 +-3326 -360 +599 -644 +-3287 -5795 +1473 -630 +3072 7168 +2097 4179 +-1723 3469 +-2249 -300 +2754 3274 +-1421 2414 +-917 3359 +1318 4345 +-1748 -1748 +-1227 -3219 +-719 -612 +2690 -1107 +2524 -268 +2055 5377 +-968 128 +-3233 -2008 +0 0 +-3233 2008 +-968 -128 +2055 -5377 +2524 268 +2690 1107 +-719 612 +-1227 3219 +-1748 1748 +1318 -4345 +-917 -3359 +-1421 -2414 +2754 -3274 +-2249 300 +-1723 -3469 +2097 -4179 +3072 -7168 +-704 1170 +-2061 2259 +1289 -5235 +-706 5166 +1260 -1191 +-650 -619 +-2201 3688 +-300 -300 +-786 4884 +2287 1656 +368 -1527 +-476 516 +1801 -785 +4752 -4289 +-1056 -1412 +-10240 0 +-1056 1412 +4752 4289 +1801 785 +-476 -516 +368 1527 +2287 -1656 +-786 -4884 +-300 300 +-2201 -3688 +-650 619 +1260 1191 +-706 -5166 +1289 5235 +-2061 -2259 +-704 -1170 +3072 7168 +2097 4179 +-1723 3469 +-2249 -300 +2754 3274 +-1421 2414 +-917 3359 +1318 4345 +-1748 -1748 +-1227 -3219 +-719 -612 +2690 -1107 +2524 -268 +2055 5377 +-968 128 +-3233 -2008 +-1024 2048 +-1853 2402 +-3834 -4227 +-861 -7931 +2062 -5388 +1608 3369 +-1288 -326 +2373 -2214 +1448 -1448 +-4339 101 +3420 3873 +3756 1038 +-170 -184 +2832 -237 +377 -593 +-2769 -6895 +-3072 0 +-2769 6895 +377 593 +2832 237 +-170 184 +3756 -1038 +3420 -3873 +-4339 -101 +1448 1448 +2373 2214 +-1288 326 +1608 -3369 +2062 5388 +-861 7931 +-3834 4227 +-1853 -2402 +-1024 -2048 +-1748 895 +-734 223 +2793 -195 +-614 -1604 +-3561 1867 +1613 106 +-4798 2978 +-1448 1448 +972 -2810 +-3744 1699 +3989 3237 +-1278 1384 +1029 -658 +4190 2381 +578 -1472 +5120 0 +578 1472 +4190 -2381 +1029 658 +-1278 -1384 +3989 -3237 +-3744 -1699 +972 2810 +-1448 -1448 +-4798 -2978 +1613 -106 +-3561 -1867 +-614 1604 +2793 195 +-734 -223 +-1748 -895 +-1024 2048 +-1853 2402 +-3834 -4227 +-861 -7931 +2062 -5388 +1608 3369 +-1288 -326 +2373 -2214 +1448 -1448 +-4339 101 +3420 3873 +3756 1038 +-170 -184 +2832 -237 +377 -593 +-2769 -6895 +-1024 0 +1038 1061 +-72 465 +-730 -6029 +-1038 -424 +1923 -2775 +1304 -703 +558 3541 +3496 -848 +-804 -4759 +-1305 1616 +-47 6009 +1194 -2472 +1897 2159 +-3318 5625 +-2582 1475 +1024 0 +-2582 -1475 +-3318 -5625 +1897 -2159 +1194 2472 +-47 -6009 +-1305 -1616 +-804 4759 +3496 848 +558 -3541 +1304 703 +1923 2775 +-1038 424 +-730 6029 +-72 -465 +1038 -1061 +-1024 0 +1984 -1225 +1364 3438 +685 -2000 +1638 -424 +-6129 3944 +-4017 1471 +-4059 1289 +600 4944 +5872 3148 +-2975 2049 +469 -1497 +2302 -2472 +1932 4740 +826 1175 +-2007 3505 +1024 0 +-2007 -3505 +826 -1175 +1932 -4740 +2302 2472 +469 1497 +-2975 -2049 +5872 -3148 +600 -4944 +-4059 -1289 +-4017 -1471 +-6129 -3944 +1638 424 +685 2000 +1364 -3438 +1984 1225 +-1024 0 +1038 1061 +-72 465 +-730 -6029 +-1038 -424 +1923 -2775 +1304 -703 +558 3541 +3496 -848 +-804 -4759 +-1305 1616 +-47 6009 +1194 -2472 +1897 2159 +-3318 5625 +-2582 1475 +-3072 -1024 +-2543 4032 +2419 3740 +2457 280 +488 -2504 +-2808 -721 +-3758 -4660 +-1364 127 +-724 724 +284 -4184 +-119 -120 +-3221 -441 +1162 -3842 +-619 -2740 +-4100 2030 +446 -3438 +2048 0 +446 3438 +-4100 -2030 +-619 2740 +1162 3842 +-3221 441 +-119 120 +284 4184 +-724 -724 +-1364 -127 +-3758 4660 +-2808 721 +488 2504 +2457 -280 +2419 -3740 +-2543 -4032 +-3072 1024 +5500 1701 +-1246 -804 +-2368 -564 +5056 -3288 +387 -183 +3381 -1852 +-305 -1308 +724 -724 +2065 548 +-9393 3497 +-2606 215 +1486 -1950 +2985 -2657 +4623 2603 +1711 923 +4096 0 +1711 -923 +4623 -2603 +2985 2657 +1486 1950 +-2606 -215 +-9393 -3497 +2065 -548 +724 724 +-305 1308 +3381 1852 +387 183 +5056 3288 +-2368 564 +-1246 804 +5500 -1701 +-3072 -1024 +-2543 4032 +2419 3740 +2457 280 +488 -2504 +-2808 -721 +-3758 -4660 +-1364 127 +-724 724 +284 -4184 +-119 -120 +-3221 -441 +1162 -3842 +-619 -2740 +-4100 2030 +446 -3438 +-2048 2048 +-3565 3841 +-2326 4110 +-5465 -2150 +1832 -470 +-288 -1420 +1220 1066 +2388 -1738 +-424 2048 +895 4437 +1061 -3966 +2635 -38 +-3400 2362 +1110 -114 +4989 -3597 +-1817 -2239 +-2048 0 +-1817 2239 +4989 3597 +1110 114 +-3400 -2362 +2635 38 +1061 3966 +895 -4437 +-424 -2048 +2388 1738 +1220 -1066 +-288 1420 +1832 470 +-5465 2150 +-2326 -4110 +-3565 -3841 +-2048 -2048 +6139 -5724 +-3690 -2442 +-3197 -2096 +-384 -1578 +-5874 38 +553 2170 +6277 1009 +2472 2048 +16 497 +63 -1486 +-697 -1655 +1952 -314 +192 3748 +-1869 -3424 +1251 -2172 +2048 0 +1251 2172 +-1869 3424 +192 -3748 +1952 314 +-697 1655 +63 1486 +16 -497 +2472 -2048 +6277 -1009 +553 -2170 +-5874 -38 +-384 1578 +-3197 2096 +-3690 2442 +6139 5724 +-2048 2048 +-3565 3841 +-2326 4110 +-5465 -2150 +1832 -470 +-288 -1420 +1220 1066 +2388 -1738 +-424 2048 +895 4437 +1061 -3966 +2635 -38 +-3400 2362 +1110 -114 +4989 -3597 +-1817 -2239 +-2048 5120 +605 339 +3760 717 +-2884 2614 +-4142 946 +2865 3405 +2432 -2307 +3033 -3708 +-1748 2772 +1541 651 +2679 5706 +-2094 7344 +1540 392 +642 -168 +-1633 -549 +-2192 788 +-3072 0 +-2192 -788 +-1633 549 +642 168 +1540 -392 +-2094 -7344 +2679 -5706 +1541 -651 +-1748 -2772 +3033 3708 +2432 2307 +2865 -3405 +-4142 -946 +-2884 -2614 +3760 -717 +605 -339 +-2048 -5120 +-575 -2043 +4185 3158 +-1800 1034 +-2250 -946 +210 -3 +179 -3577 +-222 -1503 +-300 1324 +-2136 -510 +-6987 2394 +4370 -1725 +756 -392 +-1309 6032 +3577 2025 +-54 2861 +3072 0 +-54 -2861 +3577 -2025 +-1309 -6032 +756 392 +4370 1725 +-6987 -2394 +-2136 510 +-300 -1324 +-222 1503 +179 3577 +210 3 +-2250 946 +-1800 -1034 +4185 -3158 +-575 2043 +-2048 5120 +605 339 +3760 717 +-2884 2614 +-4142 946 +2865 3405 +2432 -2307 +3033 -3708 +-1748 2772 +1541 651 +2679 5706 +-2094 7344 +1540 392 +642 -168 +-1633 -549 +-2192 788 +-3072 -5120 +-2136 -4598 +-3915 -244 +-591 3734 +1172 3204 +-2774 -2865 +-1327 2866 +-1319 -58 +-724 -3372 +-462 -367 +1748 -2627 +133 -579 +958 -1750 +-809 -1290 +485 433 +4955 -3894 +2048 0 +4955 3894 +485 -433 +-809 1290 +958 1750 +133 579 +1748 2627 +-462 367 +-724 3372 +-1319 58 +-1327 -2866 +-2774 2865 +1172 -3204 +-591 -3734 +-3915 244 +-2136 4598 +-3072 5120 +2019 -2420 +-1474 1693 +-328 3131 +3524 4637 +852 4049 +-57 -1418 +-973 -2143 +724 -4820 +-1031 4167 +-1564 1179 +221 -5156 +6634 5494 +3296 1236 +-2089 -1881 +-1054 2877 +-4096 0 +-1054 -2877 +-2089 1881 +3296 -1236 +6634 -5494 +221 5156 +-1564 -1179 +-1031 -4167 +724 4820 +-973 2143 +-57 1418 +852 -4049 +3524 -4637 +-328 -3131 +-1474 -1693 +2019 2420 +-3072 -5120 +-2136 -4598 +-3915 -244 +-591 3734 +1172 3204 +-2774 -2865 +-1327 2866 +-1319 -58 +-724 -3372 +-462 -367 +1748 -2627 +133 -579 +958 -1750 +-809 -1290 +485 433 +4955 -3894 +-2048 3072 +802 846 +-171 333 +1120 3586 +-1441 152 +1079 -543 +-14 -5357 +-789 -1850 +-300 2772 +645 -473 +857 -1435 +-5356 -1688 +4346 -1814 +6899 -844 +-888 4637 +2841 -166 +5120 0 +2841 166 +-888 -4637 +6899 844 +4346 1814 +-5356 1688 +857 1435 +645 473 +-300 -2772 +-789 1850 +-14 5357 +1079 543 +-1441 -152 +1120 -3586 +-171 -333 +802 -846 +-2048 -3072 +-1458 -2416 +2403 -673 +-3499 2457 +-8 -2200 +-3562 -2759 +-3326 1233 +4931 6219 +-1748 1324 +356 -821 +-413 207 +-7090 -1303 +-2898 3862 +-1177 -994 +1553 -2081 +4257 1124 +3072 0 +4257 -1124 +1553 2081 +-1177 994 +-2898 -3862 +-7090 1303 +-413 -207 +356 821 +-1748 -1324 +4931 -6219 +-3326 -1233 +-3562 2759 +-8 2200 +-3499 -2457 +2403 673 +-1458 2416 +-2048 3072 +802 846 +-171 333 +1120 3586 +-1441 152 +1079 -543 +-14 -5357 +-789 -1850 +-300 2772 +645 -473 +857 -1435 +-5356 -1688 +4346 -1814 +6899 -844 +-888 4637 +2841 -166 +0 2048 +-2932 626 +3412 -564 +1348 761 +905 -4075 +4695 -1451 +-3051 -1757 +-2278 -5226 +0 1024 +-3837 -1462 +-2619 3054 +3103 -765 +-4208 -7054 +-4779 2289 +438 -908 +476 -21 +4096 0 +476 21 +438 908 +-4779 -2289 +-4208 7054 +3103 765 +-2619 -3054 +-3837 1462 +0 -1024 +-2278 5226 +-3051 1757 +4695 1451 +905 4075 +1348 -761 +3412 564 +-2932 -626 +0 -2048 +-3929 -2375 +-4011 -1668 +1664 -2182 +4040 -2317 +972 265 +-445 -1584 +3159 -407 +0 1024 +-2187 -2604 +-877 -3498 +6158 3363 +3360 4758 +-1576 75 +-1038 1572 +-57 -160 +4096 0 +-57 160 +-1038 -1572 +-1576 -75 +3360 -4758 +6158 -3363 +-877 3498 +-2187 2604 +0 -1024 +3159 407 +-445 1584 +972 -265 +4040 2317 +1664 2182 +-4011 1668 +-3929 2375 +0 2048 +-2932 626 +3412 -564 +1348 761 +905 -4075 +4695 -1451 +-3051 -1757 +-2278 -5226 +0 1024 +-3837 -1462 +-2619 3054 +3103 -765 +-4208 -7054 +-4779 2289 +438 -908 +476 -21 +-1024 3072 +-1794 -3471 +-2669 -1896 +-4377 -3863 +1560 2100 +2190 -1456 +-2667 1696 +2352 8268 +1924 1572 +-1573 4317 +1945 2155 +1251 -2230 +886 -1766 +1764 -318 +-1416 1173 +-620 -670 +2048 0 +-620 670 +-1416 -1173 +1764 318 +886 1766 +1251 2230 +1945 -2155 +-1573 -4317 +1924 -1572 +2352 -8268 +-2667 -1696 +2190 1456 +1560 -2100 +-4377 3863 +-2669 1896 +-1794 3471 +-1024 -3072 +-495 -2929 +-1272 1416 +-2571 -2711 +-3008 -900 +1595 997 +-165 4137 +314 -6090 +6268 -5668 +-1773 317 +-3210 -419 +3211 1091 +562 -5226 +2729 -1143 +1260 2443 +-2203 2518 +0 0 +-2203 -2518 +1260 -2443 +2729 1143 +562 5226 +3211 -1091 +-3210 419 +-1773 -317 +6268 5668 +314 6090 +-165 -4137 +1595 -997 +-3008 900 +-2571 2711 +-1272 -1416 +-495 2929 +-1024 3072 +-1794 -3471 +-2669 -1896 +-4377 -3863 +1560 2100 +2190 -1456 +-2667 1696 +2352 8268 +1924 1572 +-1573 4317 +1945 2155 +1251 -2230 +886 -1766 +1764 -318 +-1416 1173 +-620 -670 +1024 1024 +-985 -1383 +6128 -2089 +1931 4590 +-2504 4696 +-4057 1697 +-1813 1816 +177 -498 +-6093 1148 +1873 611 +-3230 -3968 +-2683 651 +3842 2878 +-2632 -1447 +-1434 747 +1248 3335 +2048 0 +1248 -3335 +-1434 -747 +-2632 1447 +3842 -2878 +-2683 -651 +-3230 3968 +1873 -611 +-6093 -1148 +177 498 +-1813 -1816 +-4057 -1697 +-2504 -4696 +1931 -4590 +6128 2089 +-985 1383 +1024 -1024 +-5316 -47 +-896 225 +3477 2097 +-3288 1696 +2666 2258 +1932 5621 +2718 2497 +4045 -3196 +2152 -4274 +214 316 +-1927 3616 +1950 -582 +3224 254 +-902 2684 +-1867 -2237 +0 0 +-1867 2237 +-902 -2684 +3224 -254 +1950 582 +-1927 -3616 +214 -316 +2152 4274 +4045 3196 +2718 -2497 +1932 -5621 +2666 -2258 +-3288 -1696 +3477 -2097 +-896 -225 +-5316 47 +1024 1024 +-985 -1383 +6128 -2089 +1931 4590 +-2504 4696 +-4057 1697 +-1813 1816 +177 -498 +-6093 1148 +1873 611 +-3230 -3968 +-2683 651 +3842 2878 +-2632 -1447 +-1434 747 +1248 3335 +-3072 5120 +-1851 -3546 +-1008 3541 +-3648 4491 +-2238 -1874 +2047 -124 +5329 1317 +5128 -3137 +-3796 -5244 +-1054 -1903 +4025 -14 +-304 574 +4672 417 +3412 2086 +-1682 150 +1118 -426 +2048 0 +1118 426 +-1682 -150 +3412 -2086 +4672 -417 +-304 -574 +4025 14 +-1054 1903 +-3796 5244 +5128 3137 +5329 -1317 +2047 124 +-2238 1874 +-3648 -4491 +-1008 -3541 +-1851 3546 +-3072 -5120 +-3014 -1859 +-1769 -1309 +690 -1023 +3438 5370 +-1696 -2545 +-4769 2023 +1214 1961 +-2348 -900 +-1504 -1802 +4104 458 +1520 4636 +2321 -1016 +-2021 1070 +-4230 -815 +-37 684 +0 0 +-37 -684 +-4230 815 +-2021 -1070 +2321 1016 +1520 -4636 +4104 -458 +-1504 1802 +-2348 900 +1214 -1961 +-4769 -2023 +-1696 2545 +3438 -5370 +690 1023 +-1769 1309 +-3014 1859 +-3072 5120 +-1851 -3546 +-1008 3541 +-3648 4491 +-2238 -1874 +2047 -124 +5329 1317 +5128 -3137 +-3796 -5244 +-1054 -1903 +4025 -14 +-304 574 +4672 417 +3412 2086 +-1682 150 +1118 -426 +0 0 +-4291 -1115 +3749 101 +-1484 -3184 +-384 -1238 +748 -27 +-3487 -641 +1106 -2140 +1872 1448 +6546 -216 +681 -4659 +-2582 -1065 +1952 -1542 +240 -2514 +-1052 85 +-4488 1533 +-6144 0 +-4488 -1533 +-1052 -85 +240 2514 +1952 1542 +-2582 1065 +681 4659 +6546 216 +1872 -1448 +1106 2140 +-3487 641 +748 27 +-384 1238 +-1484 3184 +3749 -101 +-4291 1115 +0 0 +5282 -4760 +531 407 +526 -1991 +1832 2087 +-1689 7015 +2195 1461 +2163 724 +-3920 -1448 +2217 -313 +1811 -1513 +-23 3588 +-3400 6486 +-1529 -1333 +3764 1623 +-2742 -727 +-6144 0 +-2742 727 +3764 -1623 +-1529 1333 +-3400 -6486 +-23 -3588 +1811 1513 +2217 313 +-3920 1448 +2163 -724 +2195 -1461 +-1689 -7015 +1832 -2087 +526 1991 +531 -407 +5282 4760 +0 0 +-4291 -1115 +3749 101 +-1484 -3184 +-384 -1238 +748 -27 +-3487 -641 +1106 -2140 +1872 1448 +6546 -216 +681 -4659 +-2582 -1065 +1952 -1542 +240 -2514 +-1052 85 +-4488 1533 +4096 2048 +4426 -545 +-3658 3437 +1790 -4564 +3256 -2930 +-794 -4647 +-973 -3772 +4789 -2684 +2896 -1024 +-4481 761 +-3355 -2478 +-3641 1661 +1468 -1978 +2994 -1911 +-1053 1388 +-3 -2145 +0 0 +-3 2145 +-1053 -1388 +2994 1911 +1468 1978 +-3641 -1661 +-3355 2478 +-4481 -761 +2896 1024 +4789 2684 +-973 3772 +-794 4647 +3256 2930 +1790 4564 +-3658 -3437 +4426 545 +4096 -2048 +-4666 -3479 +-2294 5107 +1169 -874 +1688 3530 +-1366 2662 +-306 -2336 +-2273 457 +-2896 -1024 +1315 -1421 +-2358 1666 +-3335 138 +-2316 -1519 +3183 257 +5805 -3932 +893 -311 +0 0 +893 311 +5805 3932 +3183 -257 +-2316 1519 +-3335 -138 +-2358 -1666 +1315 1421 +-2896 1024 +-2273 -457 +-306 2336 +-1366 -2662 +1688 -3530 +1169 874 +-2294 -5107 +-4666 3479 +4096 2048 +4426 -545 +-3658 3437 +1790 -4564 +3256 -2930 +-794 -4647 +-973 -3772 +4789 -2684 +2896 -1024 +-4481 761 +-3355 -2478 +-3641 1661 +1468 -1978 +2994 -1911 +-1053 1388 +-3 -2145 +3072 0 +-2993 893 +-547 -1482 +-1350 3634 +-4978 5932 +-1097 2887 +-154 -1110 +1191 -1241 +1448 0 +-480 -6306 +-2704 2269 +2734 2388 +-70 -2576 +-756 2443 +3805 -2403 +945 3037 +1024 0 +945 -3037 +3805 2403 +-756 -2443 +-70 2576 +2734 -2388 +-2704 -2269 +-480 6306 +1448 0 +1191 1241 +-154 1110 +-1097 -2887 +-4978 -5932 +-1350 -3634 +-547 1482 +-2993 -893 +3072 0 +-418 -3699 +324 -4690 +74 -336 +1482 -987 +4603 1242 +-3866 601 +-7534 -2098 +-1448 0 +2359 -241 +-1965 -3977 +441 1374 +-529 3425 +1144 -1912 +5108 3223 +1137 4932 +1024 0 +1137 -4932 +5108 -3223 +1144 1912 +-529 -3425 +441 -1374 +-1965 3977 +2359 241 +-1448 0 +-7534 2098 +-3866 -601 +4603 -1242 +1482 987 +74 336 +324 4690 +-418 3699 +3072 0 +-2993 893 +-547 -1482 +-1350 3634 +-4978 5932 +-1097 2887 +-154 -1110 +1191 -1241 +1448 0 +-480 -6306 +-2704 2269 +2734 2388 +-70 -2576 +-756 2443 +3805 -2403 +945 3037 +-1024 -5120 +1576 89 +2397 -240 +-5978 -7589 +-3189 -2380 +1974 1120 +3005 -1299 +1601 2042 +-2596 -300 +-504 -984 +408 3257 +-5279 -143 +4046 378 +6060 2293 +-2213 -1267 +412 -247 +2048 0 +412 247 +-2213 1267 +6060 -2293 +4046 -378 +-5279 143 +408 -3257 +-504 984 +-2596 300 +1601 -2042 +3005 1299 +1974 -1120 +-3189 2380 +-5978 7589 +2397 240 +1576 -89 +-1024 5120 +-1758 3628 +-5293 3072 +1146 -285 +-1756 -3164 +-716 839 +-109 1143 +2012 -1933 +4644 -1748 +-2429 76 +2488 683 +-4226 460 +-3198 2270 +1227 2176 +-683 3 +4884 548 +4096 0 +4884 -548 +-683 -3 +1227 -2176 +-3198 -2270 +-4226 -460 +2488 -683 +-2429 -76 +4644 1748 +2012 1933 +-109 -1143 +-716 -839 +-1756 3164 +1146 285 +-5293 -3072 +-1758 -3628 +-1024 -5120 +1576 89 +2397 -240 +-5978 -7589 +-3189 -2380 +1974 1120 +3005 -1299 +1601 2042 +-2596 -300 +-504 -984 +408 3257 +-5279 -143 +4046 378 +6060 2293 +-2213 -1267 +412 -247 +-3072 4096 +-725 3969 +-1611 -2089 +-1849 -4358 +-2302 -1468 +240 -609 +6952 -2399 +4064 -3610 +1448 848 +83 -104 +-682 -1579 +-2833 2323 +1038 1688 +1407 -2157 +1068 -2328 +714 3378 +-5120 0 +714 -3378 +1068 2328 +1407 2157 +1038 -1688 +-2833 -2323 +-682 1579 +83 104 +1448 -848 +4064 3610 +6952 2399 +240 609 +-2302 1468 +-1849 4358 +-1611 2089 +-725 -3969 +-3072 -4096 +264 2505 +-4145 3537 +-2467 -1440 +-1194 2316 +-3758 -307 +2441 3847 +2057 7543 +-1448 -4944 +1156 253 +4074 131 +-3226 -1672 +-1638 3256 +900 -2074 +95 880 +3973 -688 +3072 0 +3973 688 +95 -880 +900 2074 +-1638 -3256 +-3226 1672 +4074 -131 +1156 -253 +-1448 4944 +2057 -7543 +2441 -3847 +-3758 307 +-1194 -2316 +-2467 1440 +-4145 -3537 +264 -2505 +-3072 4096 +-725 3969 +-1611 -2089 +-1849 -4358 +-2302 -1468 +240 -609 +6952 -2399 +4064 -3610 +1448 848 +83 -104 +-682 -1579 +-2833 2323 +1038 1688 +1407 -2157 +1068 -2328 +714 3378 +5120 -1024 +1687 -4724 +2188 278 +-1037 -3746 +1200 -188 +1061 -168 +-1164 -947 +-1738 -1495 +-124 2772 +1508 5571 +-3336 -720 +-1652 228 +-3478 -586 +-6036 1416 +-336 3962 +940 2403 +0 0 +940 -2403 +-336 -3962 +-6036 -1416 +-3478 586 +-1652 -228 +-3336 720 +1508 -5571 +-124 -2772 +-1738 1495 +-1164 947 +1061 168 +1200 188 +-1037 3746 +2188 -278 +1687 4724 +5120 1024 +1905 -1011 +-3792 4954 +-1428 -3272 +-1800 -4756 +5374 -3631 +1348 828 +-2664 1441 +4220 1324 +1326 1294 +1952 -2296 +-1000 1973 +-18 -262 +4717 -2433 +-5052 -1626 +-2964 -1219 +6144 0 +-2964 1219 +-5052 1626 +4717 2433 +-18 262 +-1000 -1973 +1952 2296 +1326 -1294 +4220 -1324 +-2664 -1441 +1348 -828 +5374 3631 +-1800 4756 +-1428 3272 +-3792 -4954 +1905 1011 +5120 -1024 +1687 -4724 +2188 278 +-1037 -3746 +1200 -188 +1061 -168 +-1164 -947 +-1738 -1495 +-124 2772 +1508 5571 +-3336 -720 +-1652 228 +-3478 -586 +-6036 1416 +-336 3962 +940 2403 +-7168 3072 +1024 571 +-1921 405 +-1970 -1932 +1382 -946 +-1542 3521 +-941 -574 +-3641 -529 +3620 124 +1271 -582 +-5435 -1289 +-4482 -246 +1051 -392 +6077 -366 +1082 2573 +-77 -3361 +2048 0 +-77 3361 +1082 -2573 +6077 366 +1051 392 +-4482 246 +-5435 1289 +1271 582 +3620 -124 +-3641 529 +-941 574 +-1542 -3521 +1382 946 +-1970 1932 +-1921 -405 +1024 -571 +-7168 -3072 +4697 -626 +4033 -3081 +-747 -1653 +7058 946 +3780 -1167 +-63 -535 +-2458 -4666 +-3620 -4220 +-2741 -516 +646 180 +-891 -1496 +-1300 392 +-226 877 +2598 -5249 +1924 -790 +-4096 0 +1924 790 +2598 5249 +-226 -877 +-1300 -392 +-891 1496 +646 -180 +-2741 516 +-3620 4220 +-2458 4666 +-63 535 +3780 1167 +7058 -946 +-747 1653 +4033 3081 +4697 626 +-7168 3072 +1024 571 +-1921 405 +-1970 -1932 +1382 -946 +-1542 3521 +-941 -574 +-3641 -529 +3620 124 +1271 -582 +-5435 -1289 +-4482 -246 +1051 -392 +6077 -366 +1082 2573 +-77 -3361 +1024 8192 +1669 222 +-419 896 +-3468 -2750 +1058 -784 +1680 9 +1201 -2029 +1808 -5011 +-600 -2048 +2020 5751 +231 -813 +-594 807 +1943 1892 +-3653 -2365 +-157 2959 +1155 4156 +-5120 0 +1155 -4156 +-157 -2959 +-3653 2365 +1943 -1892 +-594 -807 +231 813 +2020 -5751 +-600 2048 +1808 5011 +1201 2029 +1680 -9 +1058 784 +-3468 2750 +-419 -896 +1669 -222 +1024 -8192 +1482 -1646 +1683 2260 +1620 -4571 +-5402 784 +-5137 -3501 +2739 -2695 +-1497 -2894 +-3496 -2048 +566 -56 +-75 185 +1154 3031 +2402 -1892 +2604 -3419 +2989 -3899 +-1410 2227 +-5120 0 +-1410 -2227 +2989 3899 +2604 3419 +2402 1892 +1154 -3031 +-75 -185 +566 56 +-3496 2048 +-1497 2894 +2739 2695 +-5137 3501 +-5402 -784 +1620 4571 +1683 -2260 +1482 1646 +1024 8192 +1669 222 +-419 896 +-3468 -2750 +1058 -784 +1680 9 +1201 -2029 +1808 -5011 +-600 -2048 +2020 5751 +231 -813 +-594 807 +1943 1892 +-3653 -2365 +-157 2959 +1155 4156 +0 5120 +-572 4570 +2226 2047 +-94 -2604 +-6348 2200 +313 2552 +-141 945 +1708 1543 +4644 6268 +-1240 2874 +568 -1708 +1636 477 +2794 -3862 +-2321 -1876 +-3741 317 +751 2033 +-1024 0 +751 -2033 +-3741 -317 +-2321 1876 +2794 3862 +1636 -477 +568 1708 +-1240 -2874 +4644 -6268 +1708 -1543 +-141 -945 +313 -2552 +-6348 -2200 +-94 2604 +2226 -2047 +-572 -4570 +0 -5120 +2744 -898 +-215 3185 +-6232 -1902 +2004 -152 +-344 444 +-1411 -1064 +3522 -2766 +-2596 1924 +2452 1567 +3880 -1308 +1738 2208 +1551 1814 +-6282 5251 +-1167 2019 +2221 -889 +-3072 0 +2221 889 +-1167 -2019 +-6282 -5251 +1551 -1814 +1738 -2208 +3880 1308 +2452 -1567 +-2596 -1924 +3522 2766 +-1411 1064 +-344 -444 +2004 152 +-6232 1902 +-215 -3185 +2744 898 +0 5120 +-572 4570 +2226 2047 +-94 -2604 +-6348 2200 +313 2552 +-141 945 +1708 1543 +4644 6268 +-1240 2874 +568 -1708 +1636 477 +2794 -3862 +-2321 -1876 +-3741 317 +751 2033 +0 0 +-880 -4444 +2154 -474 +-2152 -4872 +-1338 -908 +2171 5676 +2593 812 +-911 1678 +-848 -1024 +-5726 -3638 +-4019 413 +1547 -466 +-554 -2328 +-312 -1756 +337 664 +-867 2525 +-2048 0 +-867 -2525 +337 -664 +-312 1756 +-554 2328 +1547 466 +-4019 -413 +-5726 3638 +-848 1024 +-911 -1678 +2593 -812 +2171 -5676 +-1338 908 +-2152 4872 +2154 474 +-880 4444 +0 0 +4294 118 +-4830 2366 +173 -4654 +1338 660 +-2366 2589 +-1485 -1596 +1947 -2079 +4944 -1024 +-661 3758 +2911 -1196 +865 -6510 +554 -6112 +74 -3233 +2338 1229 +2804 -2938 +-6144 0 +2804 2938 +2338 -1229 +74 3233 +554 6112 +865 6510 +2911 1196 +-661 -3758 +4944 1024 +1947 2079 +-1485 1596 +-2366 -2589 +1338 -660 +173 4654 +-4830 -2366 +4294 -118 +0 0 +-880 -4444 +2154 -474 +-2152 -4872 +-1338 -908 +2171 5676 +2593 812 +-911 1678 +-848 -1024 +-5726 -3638 +-4019 413 +1547 -466 +-554 -2328 +-312 -1756 +337 664 +-867 2525 +-2048 4096 +1205 -1882 +5209 -840 +1120 5297 +1892 -1398 +159 -842 +-3636 5329 +682 2932 +2648 424 +-1082 -342 +2254 -936 +-517 313 +-784 3170 +2508 2859 +-399 -28 +1807 392 +4096 0 +1807 -392 +-399 28 +2508 -2859 +-784 -3170 +-517 -313 +2254 936 +-1082 342 +2648 -424 +682 -2932 +-3636 -5329 +159 842 +1892 1398 +1120 -5297 +5209 840 +1205 1882 +-2048 -4096 +-750 1751 +-3013 5147 +1645 3676 +-1892 2846 +-3978 3035 +-3305 2615 +2804 -4783 +5544 -2472 +-1725 3604 +590 -1008 +-3912 -576 +784 -1722 +-2818 -2134 +-5893 2639 +2852 -1203 +0 0 +2852 1203 +-5893 -2639 +-2818 2134 +784 1722 +-3912 576 +590 1008 +-1725 -3604 +5544 2472 +2804 4783 +-3305 -2615 +-3978 -3035 +-1892 -2846 +1645 -3676 +-3013 -5147 +-750 -1751 +-2048 4096 +1205 -1882 +5209 -840 +1120 5297 +1892 -1398 +159 -842 +-3636 5329 +682 2932 +2648 424 +-1082 -342 +2254 -936 +-517 313 +-784 3170 +2508 2859 +-399 -28 +1807 392 +1024 -3072 +-1963 -2821 +1142 -278 +4413 -707 +536 32 +-1730 6733 +-1387 -3482 +2135 -1530 +724 1324 +2011 154 +4601 1705 +-788 591 +-138 3418 +1556 -1295 +262 -3058 +-3599 -7628 +-8192 0 +-3599 7628 +262 3058 +1556 1295 +-138 -3418 +-788 -591 +4601 -1705 +2011 -154 +724 -1324 +2135 1530 +-1387 3482 +-1730 -6733 +536 -32 +4413 707 +1142 278 +-1963 2821 +1024 3072 +-1897 1486 +-867 -1170 +-275 7537 +-4032 816 +-2973 -3155 +316 2034 +3333 -450 +-724 2772 +-560 2091 +3463 -257 +-509 4995 +-462 1526 +307 -1452 +662 4506 +539 -1067 +-2048 0 +539 1067 +662 -4506 +307 1452 +-462 -1526 +-509 -4995 +3463 257 +-560 -2091 +-724 -2772 +3333 450 +316 -2034 +-2973 3155 +-4032 -816 +-275 -7537 +-867 1170 +-1897 -1486 +1024 -3072 +-1963 -2821 +1142 -278 +4413 -707 +536 32 +-1730 6733 +-1387 -3482 +2135 -1530 +724 1324 +2011 154 +4601 1705 +-788 591 +-138 3418 +1556 -1295 +262 -3058 +-3599 -7628 +-2048 -2048 +-931 -3579 +850 -884 +-383 5191 +2976 554 +1223 -4765 +-7663 -978 +-900 990 +4520 -2896 +-281 2958 +1754 3961 +-2950 819 +2856 1338 +3939 231 +469 2000 +4597 -2077 +2048 0 +4597 2077 +469 -2000 +3939 -231 +2856 -1338 +-2950 -819 +1754 -3961 +-281 -2958 +4520 2896 +-900 -990 +-7663 978 +1223 4765 +2976 -554 +-383 -5191 +850 884 +-931 3579 +-2048 2048 +-134 3023 +-326 -2900 +2656 -498 +-2376 -554 +-2319 -2815 +-1849 2546 +-3849 -247 +1624 2896 +-2538 3578 +-2131 -2393 +912 -2607 +640 -1338 +-3077 -1331 +703 -5784 +4036 -4272 +-2048 0 +4036 4272 +703 5784 +-3077 1331 +640 1338 +912 2607 +-2131 2393 +-2538 -3578 +1624 -2896 +-3849 247 +-1849 -2546 +-2319 2815 +-2376 554 +2656 498 +-326 2900 +-134 -3023 +-2048 -2048 +-931 -3579 +850 -884 +-383 5191 +2976 554 +1223 -4765 +-7663 -978 +-900 990 +4520 -2896 +-281 2958 +1754 3961 +-2950 819 +2856 1338 +3939 231 +469 2000 +4597 -2077 +1024 -6144 +-1860 -2385 +2072 1327 +3056 -2569 +-4774 3600 +-3062 1000 +4315 -691 +5672 -2260 +0 3496 +-1623 1048 +-1502 -2355 +-1754 3483 +1462 -37 +-1331 279 +536 -2024 +1622 1535 +-3072 0 +1622 -1535 +536 2024 +-1331 -279 +1462 37 +-1754 -3483 +-1502 2355 +-1623 -1048 +0 -3496 +5672 2260 +4315 691 +-3062 -1000 +-4774 -3600 +3056 2569 +2072 -1327 +-1860 2385 +1024 6144 +-332 -2970 +-1028 -847 +-3867 -5236 +-3666 -2401 +1211 384 +1413 -5141 +3301 -811 +0 600 +897 -1664 +5662 619 +-1508 -2779 +-1214 -6956 +1463 -2971 +-3277 -1592 +-1885 1357 +1024 0 +-1885 -1357 +-3277 1592 +1463 2971 +-1214 6956 +-1508 2779 +5662 -619 +897 1664 +0 -600 +3301 811 +1413 5141 +1211 -384 +-3666 2401 +-3867 5236 +-1028 847 +-332 2970 +1024 -6144 +-1860 -2385 +2072 1327 +3056 -2569 +-4774 3600 +-3062 1000 +4315 -691 +5672 -2260 +0 3496 +-1623 1048 +-1502 -2355 +-1754 3483 +1462 -37 +-1331 279 +536 -2024 +1622 1535 +0 0 +3809 -2877 +-1776 -791 +-3346 -1491 +-2446 -5448 +-2226 -2308 +1956 192 +-4401 -3744 +1448 -3920 +5693 2313 +-2249 -2649 +-4193 -1065 +2122 2432 +2164 -2773 +-433 1617 +1144 12 +0 0 +1144 -12 +-433 -1617 +2164 2773 +2122 -2432 +-4193 1065 +-2249 2649 +5693 -2313 +1448 3920 +-4401 3744 +1956 -192 +-2226 2308 +-2446 5448 +-3346 1491 +-1776 791 +3809 2877 +0 0 +2562 1850 +-4256 8 +-2934 -2804 +2446 -96 +3844 1545 +8508 -2084 +604 -2988 +-1448 1872 +-1456 402 +-2423 757 +-1001 -1578 +-2122 216 +-3893 4792 +672 -2401 +3629 216 +0 0 +3629 -216 +672 2401 +-3893 -4792 +-2122 -216 +-1001 1578 +-2423 -757 +-1456 -402 +-1448 -1872 +604 2988 +8508 2084 +3844 -1545 +2446 96 +-2934 2804 +-4256 -8 +2562 -1850 +0 0 +3809 -2877 +-1776 -791 +-3346 -1491 +-2446 -5448 +-2226 -2308 +1956 192 +-4401 -3744 +1448 -3920 +5693 2313 +-2249 -2649 +-4193 -1065 +2122 2432 +2164 -2773 +-433 1617 +1144 12 +1024 4096 +-947 -1964 +-1328 1005 +-919 5018 +2930 -1688 +1990 -1082 +1888 5177 +421 -695 +-1448 -4096 +-661 -3860 +-1285 3219 +493 3797 +-1978 -2316 +-131 -1441 +80 -5120 +-956 3987 +3072 0 +-956 -3987 +80 5120 +-131 1441 +-1978 2316 +493 -3797 +-1285 -3219 +-661 3860 +-1448 4096 +421 695 +1888 -5177 +1990 1082 +2930 1688 +-919 -5018 +-1328 -1005 +-947 1964 +1024 -4096 +2529 754 +3560 4279 +1142 -934 +-3530 -3256 +-926 -3201 +-5229 -205 +-2058 -6041 +1448 -4096 +-4382 -2509 +1729 -2840 +-228 2696 +-1519 1468 +4372 2317 +584 -2381 +262 -2430 +3072 0 +262 2430 +584 2381 +4372 -2317 +-1519 -1468 +-228 -2696 +1729 2840 +-4382 2509 +1448 4096 +-2058 6041 +-5229 205 +-926 3201 +-3530 3256 +1142 934 +3560 -4279 +2529 -754 +1024 4096 +-947 -1964 +-1328 1005 +-919 5018 +2930 -1688 +1990 -1082 +1888 5177 +421 -695 +-1448 -4096 +-661 -3860 +-1285 3219 +493 3797 +-1978 -2316 +-131 -1441 +80 -5120 +-956 3987 +3072 5120 +2240 2641 +3411 -1728 +-964 -1348 +-1476 412 +-3343 30 +-2400 -218 +-4021 -1940 +-724 -724 +2558 3270 +-4142 2428 +3295 -2424 +-4586 -4083 +-1789 1148 +6681 1918 +634 -3686 +4096 0 +634 3686 +6681 -1918 +-1789 -1148 +-4586 4083 +3295 2424 +-4142 -2428 +2558 -3270 +-724 724 +-4021 1940 +-2400 218 +-3343 -30 +-1476 -412 +-964 1348 +3411 1728 +2240 -2641 +3072 -5120 +3797 -3445 +-959 2016 +-4313 2097 +876 -4156 +1473 -1487 +-2728 -750 +401 -5057 +724 724 +2391 510 +581 -4595 +-536 -1801 +1090 -3758 +385 -767 +-444 5362 +-2208 -326 +-2048 0 +-2208 326 +-444 -5362 +385 767 +1090 3758 +-536 1801 +581 4595 +2391 -510 +724 -724 +401 5057 +-2728 750 +1473 1487 +876 4156 +-4313 -2097 +-959 -2016 +3797 3445 +3072 5120 +2240 2641 +3411 -1728 +-964 -1348 +-1476 412 +-3343 30 +-2400 -218 +-4021 -1940 +-724 -724 +2558 3270 +-4142 2428 +3295 -2424 +-4586 -4083 +-1789 1148 +6681 1918 +634 -3686 +3072 2048 +2569 -317 +-1622 -1239 +-2127 -2941 +3411 580 +-2138 3162 +549 3704 +-946 1144 +-2896 600 +2806 956 +-2236 4548 +-3780 4504 +-3714 -360 +502 294 +351 -6022 +-1678 -3579 +3072 0 +-1678 3579 +351 6022 +502 -294 +-3714 360 +-3780 -4504 +-2236 -4548 +2806 -956 +-2896 -600 +-946 -1144 +549 -3704 +-2138 -3162 +3411 -580 +-2127 2941 +-1622 1239 +2569 317 +3072 -2048 +508 1776 +-5334 1800 +1594 -816 +86 4364 +-3356 504 +1852 2208 +-224 2922 +2896 3496 +5723 -674 +-1365 -7325 +-303 729 +4314 1208 +-1977 -2484 +-387 -2106 +2826 1254 +-1024 0 +2826 -1254 +-387 2106 +-1977 2484 +4314 -1208 +-303 -729 +-1365 7325 +5723 674 +2896 -3496 +-224 -2922 +1852 -2208 +-3356 -504 +86 -4364 +1594 816 +-5334 -1800 +508 -1776 +3072 2048 +2569 -317 +-1622 -1239 +-2127 -2941 +3411 580 +-2138 3162 +549 3704 +-946 1144 +-2896 600 +2806 956 +-2236 4548 +-3780 4504 +-3714 -360 +502 294 +351 -6022 +-1678 -3579 +1024 -3072 +-2916 2042 +-4724 1645 +-1792 -6216 +406 1546 +-2983 3321 +1547 1837 +-960 -1530 +-724 -1324 +2979 -2853 +1165 -4128 +4821 1169 +-1272 -3104 +1273 195 +4708 863 +-1057 -4677 +0 0 +-1057 4677 +4708 -863 +1273 -195 +-1272 3104 +4821 -1169 +1165 4128 +2979 2853 +-724 1324 +-960 1530 +1547 -1837 +-2983 -3321 +406 -1546 +-1792 6216 +-4724 -1645 +-2916 -2042 +1024 3072 +4474 -1503 +4880 -796 +3579 -440 +-3054 -346 +-1289 12 +-283 3107 +-755 2079 +724 -2772 +-4160 -7063 +1666 -816 +2347 2403 +-4272 -3888 +-164 -820 +-768 -1711 +-3397 545 +-2048 0 +-3397 -545 +-768 1711 +-164 820 +-4272 3888 +2347 -2403 +1666 816 +-4160 7063 +724 2772 +-755 -2079 +-283 -3107 +-1289 -12 +-3054 346 +3579 440 +4880 796 +4474 1503 +1024 -3072 +-2916 2042 +-4724 1645 +-1792 -6216 +406 1546 +-2983 3321 +1547 1837 +-960 -1530 +-724 -1324 +2979 -2853 +1165 -4128 +4821 1169 +-1272 -3104 +1273 195 +4708 863 +-1057 -4677 +3072 -2048 +-361 -3220 +154 2787 +132 -238 +3521 -3470 +5148 -2534 +-1408 2141 +-2447 -36 +-600 0 +1552 5557 +14 2165 +-3021 -3808 +-5716 -1098 +1857 1004 +784 -1835 +-1229 965 +7168 0 +-1229 -965 +784 1835 +1857 -1004 +-5716 1098 +-3021 3808 +14 -2165 +1552 -5557 +-600 0 +-2447 36 +-1408 -2141 +5148 2534 +3521 3470 +132 238 +154 -2787 +-361 3220 +3072 2048 +1544 -2557 +-1078 4324 +-3162 -155 +2872 1422 +-1553 3083 +-6656 -1005 +-345 3957 +-3496 0 +-327 2149 +1058 4267 +3210 2790 +3420 3146 +-2611 -2964 +-1059 -2141 +1613 -2957 +3072 0 +1613 2957 +-1059 2141 +-2611 2964 +3420 -3146 +3210 -2790 +1058 -4267 +-327 -2149 +-3496 0 +-345 -3957 +-6656 1005 +-1553 -3083 +2872 -1422 +-3162 155 +-1078 -4324 +1544 2557 +3072 -2048 +-361 -3220 +154 2787 +132 -238 +3521 -3470 +5148 -2534 +-1408 2141 +-2447 -36 +-600 0 +1552 5557 +14 2165 +-3021 -3808 +-5716 -1098 +1857 1004 +784 -1835 +-1229 965 +-1024 0 +1766 1260 +-3611 622 +2256 5713 +-1398 184 +-2644 2199 +3035 4929 +-143 -1026 +-2296 -2048 +-738 -3118 +6104 24 +-1438 2029 +-3170 1604 +-3255 -750 +-2907 -3977 +1383 2437 +-3072 0 +1383 -2437 +-2907 3977 +-3255 750 +-3170 -1604 +-1438 -2029 +6104 -24 +-738 3118 +-2296 2048 +-143 1026 +3035 -4929 +-2644 -2199 +-1398 -184 +2256 -5713 +-3611 -622 +1766 -1260 +-1024 0 +-2165 4272 +-1178 57 +-1118 2684 +2846 -1384 +941 -3504 +-922 3319 +2152 -1619 +6392 -2048 +-1271 2170 +-2424 2432 +3141 6555 +1722 5388 +2117 -742 +1903 -1136 +-983 1398 +-3072 0 +-983 -1398 +1903 1136 +2117 742 +1722 -5388 +3141 -6555 +-2424 -2432 +-1271 -2170 +6392 2048 +2152 1619 +-922 -3319 +941 3504 +2846 1384 +-1118 -2684 +-1178 -57 +-2165 -4272 +-1024 0 +1766 1260 +-3611 622 +2256 5713 +-1398 184 +-2644 2199 +3035 4929 +-143 -1026 +-2296 -2048 +-738 -3118 +6104 24 +-1438 2029 +-3170 1604 +-3255 -750 +-2907 -3977 +1383 2437 +0 1024 +-5015 1092 +851 -6989 +957 -982 +3842 4162 +7201 1678 +200 -291 +-1251 -3207 +-724 -3196 +556 651 +-1004 -3084 +-339 -4348 +-3288 -1596 +-2402 -328 +569 3688 +-1107 471 +1024 0 +-1107 -471 +569 -3688 +-2402 328 +-3288 1596 +-339 4348 +-1004 3084 +556 -651 +-724 3196 +-1251 3207 +200 291 +7201 -1678 +3842 -4162 +957 982 +851 6989 +-5015 -1092 +0 -1024 +798 1788 +-851 -3004 +448 3045 +1950 -1514 +-1184 -2376 +-200 4950 +2861 994 +724 1148 +5403 1231 +1004 5344 +-2543 -447 +-2504 -3948 +-2139 6487 +-569 304 +-2245 -1687 +-1024 0 +-2245 1687 +-569 -304 +-2139 -6487 +-2504 3948 +-2543 447 +1004 -5344 +5403 -1231 +724 -1148 +2861 -994 +-200 -4950 +-1184 2376 +1950 1514 +448 -3045 +-851 3004 +798 -1788 +0 1024 +-5015 1092 +851 -6989 +957 -982 +3842 4162 +7201 1678 +200 -291 +-1251 -3207 +-724 -3196 +556 651 +-1004 -3084 +-339 -4348 +-3288 -1596 +-2402 -328 +569 3688 +-1107 471 +-3072 0 +1796 -5537 +2667 -626 +3313 46 +2401 -1962 +-2272 4404 +2592 34 +2089 -1870 +2472 2472 +-3534 -2580 +-3601 33 +339 3851 +-6956 -2266 +-1511 -1985 +3670 2764 +1934 2943 +3072 0 +1934 -2943 +3670 -2764 +-1511 1985 +-6956 2266 +339 -3851 +-3601 -33 +-3534 2580 +2472 -2472 +2089 1870 +2592 -34 +-2272 -4404 +2401 1962 +3313 -46 +2667 626 +1796 5537 +-3072 0 +-1042 -809 +-739 810 +3870 -372 +-3600 1363 +-3145 3548 +1792 5354 +-2520 1159 +-424 -424 +-4932 2757 +416 -1637 +1056 -362 +-37 5762 +4143 2988 +1394 -1380 +417 -2609 +-1024 0 +417 2609 +1394 1380 +4143 -2988 +-37 -5762 +1056 362 +416 1637 +-4932 -2757 +-424 424 +-2520 -1159 +1792 -5354 +-3145 -3548 +-3600 -1363 +3870 372 +-739 -810 +-1042 809 +-3072 0 +1796 -5537 +2667 -626 +3313 46 +2401 -1962 +-2272 4404 +2592 34 +2089 -1870 +2472 2472 +-3534 -2580 +-3601 33 +339 3851 +-6956 -2266 +-1511 -1985 +3670 2764 +1934 2943 +1024 2048 +3507 -1798 +4492 2981 +1635 -2228 +1143 -614 +3912 4235 +276 -1930 +1541 -2933 +3072 -176 +767 811 +-1525 1156 +-799 1145 +6256 1278 +2613 -465 +-4265 -4062 +-3327 775 +-3072 0 +-3327 -775 +-4265 4062 +2613 465 +6256 -1278 +-799 -1145 +-1525 -1156 +767 -811 +3072 176 +1541 2933 +276 1930 +3912 -4235 +1143 614 +1635 2228 +4492 -2981 +3507 1798 +1024 -2048 +-3774 1130 +-1189 1011 +38 -583 +-1992 2062 +-2180 -4205 +-4541 4190 +-474 -143 +3072 -5968 +3518 1465 +1693 3503 +-3150 1101 +-1312 170 +-2070 -129 +-3135 -5931 +-1757 3909 +-3072 0 +-1757 -3909 +-3135 5931 +-2070 129 +-1312 -170 +-3150 -1101 +1693 -3503 +3518 -1465 +3072 5968 +-474 143 +-4541 -4190 +-2180 4205 +-1992 -2062 +38 583 +-1189 -1011 +-3774 -1130 +1024 2048 +3507 -1798 +4492 2981 +1635 -2228 +1143 -614 +3912 4235 +276 -1930 +1541 -2933 +3072 -176 +767 811 +-1525 1156 +-799 1145 +6256 1278 +2613 -465 +-4265 -4062 +-3327 775 +-3072 1024 +-2153 2487 +-2297 -1802 +-1042 1586 +5056 3392 +1125 1739 +-4837 1718 +3340 -721 +-124 -2772 +-57 518 +914 4171 +-1437 -236 +1486 2514 +-540 5241 +3211 -1292 +2068 1157 +-4096 0 +2068 -1157 +3211 1292 +-540 -5241 +1486 -2514 +-1437 236 +914 -4171 +-57 -518 +-124 2772 +3340 721 +-4837 -1718 +1125 -1739 +5056 -3392 +-1042 -1586 +-2297 1802 +-2153 -2487 +-3072 -1024 +-2930 931 +144 2742 +-2806 5982 +488 -3392 +-840 -5535 +-3567 -1090 +-2218 -2596 +4220 -1324 +9400 -938 +-2398 553 +1391 -663 +1162 -2514 +-1643 -570 +638 -1865 +-1658 -636 +2048 0 +-1658 636 +638 1865 +-1643 570 +1162 2514 +1391 663 +-2398 -553 +9400 938 +4220 1324 +-2218 2596 +-3567 1090 +-840 5535 +488 3392 +-2806 -5982 +144 -2742 +-2930 -931 +-3072 1024 +-2153 2487 +-2297 -1802 +-1042 1586 +5056 3392 +1125 1739 +-4837 1718 +3340 -721 +-124 -2772 +-57 518 +914 4171 +-1437 -236 +1486 2514 +-540 5241 +3211 -1292 +2068 1157 +0 -2048 +2511 -336 +-5702 3426 +-547 -2992 +-2011 -5078 +-1713 1258 +195 374 +-3882 1499 +5544 3072 +1587 -2266 +654 -1506 +4 2100 +-4449 2166 +-1695 3815 +758 1562 +1001 -3021 +-2048 0 +1001 3021 +758 -1562 +-1695 -3815 +-4449 -2166 +4 -2100 +654 1506 +1587 2266 +5544 -3072 +-3882 -1499 +195 -374 +-1713 -1258 +-2011 5078 +-547 2992 +-5702 -3426 +2511 336 +0 2048 +-1368 1534 +-5702 514 +-3003 -2032 +4908 733 +-2882 -1777 +195 -3205 +3793 5437 +2648 3072 +1399 -1264 +654 2770 +1694 -2380 +1552 -6511 +2349 -2808 +758 -1717 +753 -453 +2048 0 +753 453 +758 1717 +2349 2808 +1552 6511 +1694 2380 +654 -2770 +1399 1264 +2648 -3072 +3793 -5437 +195 3205 +-2882 1777 +4908 -733 +-3003 2032 +-5702 -514 +-1368 -1534 +0 -2048 +2511 -336 +-5702 3426 +-547 -2992 +-2011 -5078 +-1713 1258 +195 374 +-3882 1499 +5544 3072 +1587 -2266 +654 -1506 +4 2100 +-4449 2166 +-1695 3815 +758 1562 +1001 -3021 +1024 2048 +-178 4947 +-2136 7031 +-902 464 +-674 4424 +231 3828 +3911 -3704 +-390 6866 +-2472 4520 +1458 -2538 +-161 -656 +2415 -2805 +-3894 -1408 +-1483 840 +1194 349 +-1215 -3007 +5120 0 +-1215 3007 +1194 -349 +-1483 -840 +-3894 1408 +2415 2805 +-161 656 +1458 2538 +-2472 -4520 +-390 -6866 +3911 3704 +231 -3828 +-674 -4424 +-902 -464 +-2136 -7031 +-178 -4947 +1024 -2048 +-410 1198 +909 4190 +857 2662 +3570 -928 +-3159 -490 +-4251 -1295 +3153 -3641 +424 1624 +-436 724 +-2396 953 +2081 1397 +998 808 +-39 5733 +2930 -216 +-1980 -4080 +-3072 0 +-1980 4080 +2930 216 +-39 -5733 +998 -808 +2081 -1397 +-2396 -953 +-436 -724 +424 -1624 +3153 3641 +-4251 1295 +-3159 490 +3570 928 +857 -2662 +909 -4190 +-410 -1198 +1024 2048 +-178 4947 +-2136 7031 +-902 464 +-674 4424 +231 3828 +3911 -3704 +-390 6866 +-2472 4520 +1458 -2538 +-161 -656 +2415 -2805 +-3894 -1408 +-1483 840 +1194 349 +-1215 -3007 +-3072 -3072 +2634 -2586 +-6849 4357 +1125 -79 +5780 -1696 +-3219 2962 +456 -2308 +-2053 -1092 +300 3196 +-814 5176 +-1173 3530 +3636 1523 +762 582 +-1798 -135 +1410 2031 +2721 -2716 +0 0 +2721 2716 +1410 -2031 +-1798 135 +762 -582 +3636 -1523 +-1173 -3530 +-814 -5176 +300 -3196 +-2053 1092 +456 2308 +-3219 -2962 +5780 1696 +1125 79 +-6849 -4357 +2634 2586 +-3072 3072 +-1702 1475 +-1999 811 +-2354 -500 +1212 -4696 +-1854 -3597 +1161 -864 +3218 -5324 +1748 -1148 +-1239 440 +-1644 290 +-3027 1388 +438 -2878 +1699 -2691 +445 1937 +3028 7845 +2048 0 +3028 -7845 +445 -1937 +1699 2691 +438 2878 +-3027 -1388 +-1644 -290 +-1239 -440 +1748 1148 +3218 5324 +1161 864 +-1854 3597 +1212 4696 +-2354 500 +-1999 -811 +-1702 -1475 +-3072 -3072 +2634 -2586 +-6849 4357 +1125 -79 +5780 -1696 +-3219 2962 +456 -2308 +-2053 -1092 +300 3196 +-814 5176 +-1173 3530 +3636 1523 +762 582 +-1798 -135 +1410 2031 +2721 -2716 +-4096 2048 +1900 1374 +3042 4862 +-1320 -1035 +-6990 -1892 +-1578 812 +2656 -3354 +-6445 2624 +424 2648 +270 1903 +-1695 3889 +-2168 -89 +-4519 -784 +2494 612 +1231 460 +-439 -3868 +2048 0 +-439 3868 +1231 -460 +2494 -612 +-4519 784 +-2168 89 +-1695 -3889 +270 -1903 +424 -2648 +-6445 -2624 +2656 3354 +-1578 -812 +-6990 1892 +-1320 1035 +3042 -4862 +1900 -1374 +-4096 -2048 +2283 801 +-669 3019 +1750 2308 +6390 1892 +1714 -1815 +3960 -2310 +652 3569 +-2472 5544 +-2046 -3279 +-825 -1360 +-1102 2221 +1023 784 +212 3796 +493 -772 +3824 -1525 +2048 0 +3824 1525 +493 772 +212 -3796 +1023 -784 +-1102 -2221 +-825 1360 +-2046 3279 +-2472 -5544 +652 -3569 +3960 2310 +1714 1815 +6390 -1892 +1750 -2308 +-669 -3019 +2283 -801 +-4096 2048 +1900 1374 +3042 4862 +-1320 -1035 +-6990 -1892 +-1578 812 +2656 -3354 +-6445 2624 +424 2648 +270 1903 +-1695 3889 +-2168 -89 +-4519 -784 +2494 612 +1231 460 +-439 -3868 +5120 3072 +2300 -322 +-1399 -1006 +581 -1079 +-1430 5310 +94 4912 +2043 1603 +-2790 5497 +2772 -724 +711 -154 +-2233 1231 +-1552 1725 +248 1600 +2190 -2411 +2054 2784 +-889 -435 +-6144 0 +-889 435 +2054 -2784 +2190 2411 +248 -1600 +-1552 -1725 +-2233 -1231 +711 154 +2772 724 +-2790 -5497 +2043 -1603 +94 -4912 +-1430 -5310 +581 1079 +-1399 1006 +2300 322 +5120 -3072 +5300 1476 +-3769 2234 +-417 -576 +2030 -366 +-8458 -4392 +-5215 -1943 +1548 107 +1324 724 +323 1973 +-1588 1325 +-2795 362 +3248 -751 +-1228 2323 +1914 1340 +5083 -2196 +-4096 0 +5083 2196 +1914 -1340 +-1228 -2323 +3248 751 +-2795 -362 +-1588 -1325 +323 -1973 +1324 -724 +1548 -107 +-5215 1943 +-8458 4392 +2030 366 +-417 576 +-3769 -2234 +5300 -1476 +5120 3072 +2300 -322 +-1399 -1006 +581 -1079 +-1430 5310 +94 4912 +2043 1603 +-2790 5497 +2772 -724 +711 -154 +-2233 1231 +-1552 1725 +248 1600 +2190 -2411 +2054 2784 +-889 -435 +2048 1024 +2318 -4352 +-2774 519 +-417 -1149 +-1540 -5780 +-3474 -2786 +-5351 -1200 +-3208 -3211 +3196 -2772 +240 2848 +4153 -701 +2891 -5448 +2250 762 +-3263 2497 +-3170 -163 +3374 -169 +-1024 0 +3374 169 +-3170 163 +-3263 -2497 +2250 -762 +2891 5448 +4153 701 +240 -2848 +3196 2772 +-3208 3211 +-5351 1200 +-3474 2786 +-1540 5780 +-417 1149 +-2774 -519 +2318 4352 +2048 -1024 +3420 -167 +-278 3266 +-841 -1004 +-756 -1212 +-2425 -3571 +6983 -368 +2596 1766 +-1148 -1324 +812 1059 +-4088 -866 +-568 1307 +4142 438 +-3489 -2433 +-3666 3948 +2032 1002 +1024 0 +2032 -1002 +-3666 -3948 +-3489 2433 +4142 -438 +-568 -1307 +-4088 866 +812 -1059 +-1148 1324 +2596 -1766 +6983 368 +-2425 3571 +-756 1212 +-841 1004 +-278 -3266 +3420 167 +2048 1024 +2318 -4352 +-2774 519 +-417 -1149 +-1540 -5780 +-3474 -2786 +-5351 -1200 +-3208 -3211 +3196 -2772 +240 2848 +4153 -701 +2891 -5448 +2250 762 +-3263 2497 +-3170 -163 +3374 -169 +-2048 -5120 +-4129 -840 +-5595 2431 +-1327 -1186 +1970 -2850 +3506 -2827 +-3108 -3372 +837 2468 +1324 300 +-1666 769 +5354 1567 +679 -2443 +632 2740 +1431 1131 +811 -605 +234 -2113 +-5120 0 +234 2113 +811 605 +1431 -1131 +632 -2740 +679 2443 +5354 -1567 +-1666 -769 +1324 -300 +837 -2468 +-3108 3372 +3506 2827 +1970 2850 +-1327 1186 +-5595 -2431 +-4129 840 +-2048 5120 +-2039 -690 +990 5005 +-2369 6883 +78 -4742 +557 435 +-168 -60 +4634 252 +2772 1748 +-21 384 +-3278 297 +-3174 -3733 +1416 1956 +698 782 +-3199 -3047 +2151 -985 +9216 0 +2151 985 +-3199 3047 +698 -782 +1416 -1956 +-3174 3733 +-3278 -297 +-21 -384 +2772 -1748 +4634 -252 +-168 60 +557 -435 +78 4742 +-2369 -6883 +990 -5005 +-2039 690 +-2048 -5120 +-4129 -840 +-5595 2431 +-1327 -1186 +1970 -2850 +3506 -2827 +-3108 -3372 +837 2468 +1324 300 +-1666 769 +5354 1567 +679 -2443 +632 2740 +1431 1131 +811 -605 +234 -2113 +0 -3072 +-3404 143 +-1617 -2367 +3160 2660 +-872 4272 +-680 5757 +-815 2331 +599 319 +-724 300 +3053 -174 +3485 -34 +-5805 608 +790 406 +-434 -3195 +2593 592 +6929 -1856 +-1024 0 +6929 1856 +2593 -592 +-434 3195 +790 -406 +-5805 -608 +3485 34 +3053 174 +-724 -300 +599 -319 +-815 -2331 +-680 -5757 +-872 -4272 +3160 -2660 +-1617 2367 +-3404 -143 +0 3072 +-30 3272 +3665 -1794 +-2067 3710 +-3224 1272 +5855 -1718 +2863 -1287 +-4298 1809 +724 1748 +3543 2063 +-1437 2774 +-2267 -1242 +-4886 -3054 +-3555 -899 +-545 5136 +-599 -760 +1024 0 +-599 760 +-545 -5136 +-3555 899 +-4886 3054 +-2267 1242 +-1437 -2774 +3543 -2063 +724 -1748 +-4298 -1809 +2863 1287 +5855 1718 +-3224 -1272 +-2067 -3710 +3665 1794 +-30 -3272 +0 -3072 +-3404 143 +-1617 -2367 +3160 2660 +-872 4272 +-680 5757 +-815 2331 +599 319 +-724 300 +3053 -174 +3485 -34 +-5805 608 +790 406 +-434 -3195 +2593 592 +6929 -1856 +-5120 -4096 +2098 -4895 +1364 540 +1265 4358 +1748 3026 +-601 -650 +1770 1123 +-7235 2953 +0 -3496 +1612 -2903 +-4262 2167 +3363 -191 +300 1762 +-1214 2685 +1315 -1618 +515 -180 +1024 0 +515 180 +1315 1618 +-1214 -2685 +300 -1762 +3363 191 +-4262 -2167 +1612 2903 +0 3496 +-7235 -2953 +1770 -1123 +-601 650 +1748 -3026 +1265 -4358 +1364 -540 +2098 4895 +-5120 4096 +1987 -61 +1976 -1508 +330 -1 +1748 1918 +1669 -3616 +-2435 -8403 +-3631 -3523 +0 -600 +-2779 -875 +2031 -2456 +-885 -4442 +300 -914 +1866 -1095 +-1759 -549 +1640 6000 +1024 0 +1640 -6000 +-1759 549 +1866 1095 +300 914 +-885 4442 +2031 2456 +-2779 875 +0 600 +-3631 3523 +-2435 8403 +1669 3616 +1748 -1918 +330 1 +1976 1508 +1987 61 +-5120 -4096 +2098 -4895 +1364 540 +1265 4358 +1748 3026 +-601 -650 +1770 1123 +-7235 2953 +0 -3496 +1612 -2903 +-4262 2167 +3363 -191 +300 1762 +-1214 2685 +1315 -1618 +515 -180 +-1024 -4096 +-3549 5427 +-2934 6287 +-999 -2914 +-554 -1748 +292 4105 +-2525 3939 +-19 -2304 +1024 3072 +989 1335 +2652 -1121 +-1777 3368 +1338 300 +283 2790 +-174 1848 +1291 3648 +-3072 0 +1291 -3648 +-174 -1848 +283 -2790 +1338 -300 +-1777 -3368 +2652 1121 +989 -1335 +1024 -3072 +-19 2304 +-2525 -3939 +292 -4105 +-554 1748 +-999 2914 +-2934 -6287 +-3549 -5427 +-1024 4096 +2222 -311 +3053 -5255 +1824 -1685 +554 -1748 +-1917 2438 +189 6394 +-930 -2159 +1024 3072 +3744 -134 +2580 -5427 +4969 2863 +-1338 300 +-2676 491 +-2842 -1313 +-3748 -1061 +1024 0 +-3748 1061 +-2842 1313 +-2676 -491 +-1338 -300 +4969 -2863 +2580 5427 +3744 134 +1024 -3072 +-930 2159 +189 -6394 +-1917 -2438 +554 1748 +1824 1685 +3053 5255 +2222 311 +-1024 -4096 +-3549 5427 +-2934 6287 +-999 -2914 +-554 -1748 +292 4105 +-2525 3939 +-19 -2304 +1024 3072 +989 1335 +2652 -1121 +-1777 3368 +1338 300 +283 2790 +-174 1848 +1291 3648 +-1024 1024 +-9079 1206 +-3017 2808 +5241 4084 +-946 -2186 +1840 1289 +-1152 1455 +-678 -2615 +1748 -1148 +14 -329 +4708 -1413 +-156 5386 +392 6080 +1069 -3249 +-590 2564 +2809 1711 +2048 0 +2809 -1711 +-590 -2564 +1069 3249 +392 -6080 +-156 -5386 +4708 1413 +14 329 +1748 1148 +-678 2615 +-1152 -1455 +1840 -1289 +-946 2186 +5241 -4084 +-3017 -2808 +-9079 -1206 +-1024 -1024 +1450 1381 +3136 3208 +-721 -802 +946 -2510 +3449 4942 +-1184 317 +-891 3815 +300 3196 +-453 -4134 +525 290 +2227 1156 +-392 1512 +-1365 -1350 +-2426 556 +-4756 3405 +-4096 0 +-4756 -3405 +-2426 -556 +-1365 1350 +-392 -1512 +2227 -1156 +525 -290 +-453 4134 +300 -3196 +-891 -3815 +-1184 -317 +3449 -4942 +946 2510 +-721 802 +3136 -3208 +1450 -1381 +-1024 1024 +-9079 1206 +-3017 2808 +5241 4084 +-946 -2186 +1840 1289 +-1152 1455 +-678 -2615 +1748 -1148 +14 -329 +4708 -1413 +-156 5386 +392 6080 +1069 -3249 +-590 2564 +2809 1711 +-1024 5120 +-2950 -378 +-2029 3846 +232 1898 +1272 -208 +-953 94 +580 840 +745 -475 +1324 -2772 +401 4071 +2560 -507 +1846 880 +3054 2550 +-1410 -4677 +-5422 -417 +-2384 -5944 +-4096 0 +-2384 5944 +-5422 417 +-1410 4677 +3054 -2550 +1846 -880 +2560 507 +401 -4071 +1324 2772 +745 475 +580 -840 +-953 -94 +1272 208 +232 -1898 +-2029 -3846 +-2950 378 +-1024 -5120 +9247 -5032 +544 1099 +-2385 548 +4272 -992 +-967 -2209 +-2732 8 +1668 391 +2772 -1324 +83 -1259 +1289 -341 +-2823 -98 +-406 4442 +667 4227 +-2981 -4528 +-1017 -2363 +-2048 0 +-1017 2363 +-2981 4528 +667 -4227 +-406 -4442 +-2823 98 +1289 341 +83 1259 +2772 1324 +1668 -391 +-2732 -8 +-967 2209 +4272 992 +-2385 -548 +544 -1099 +9247 5032 +-1024 5120 +-2950 -378 +-2029 3846 +232 1898 +1272 -208 +-953 94 +580 840 +745 -475 +1324 -2772 +401 4071 +2560 -507 +1846 880 +3054 2550 +-1410 -4677 +-5422 -417 +-2384 -5944 +1024 -1024 +-517 322 +840 -1484 +1484 -2576 +-802 -522 +-863 1848 +-60 253 +-1009 -515 +-2172 124 +-1417 -1478 +-2286 -4953 +-1593 -3240 +-692 2080 +-4256 -1714 +-1211 -4301 +3016 -5166 +2048 0 +3016 5166 +-1211 4301 +-4256 1714 +-692 -2080 +-1593 3240 +-2286 4953 +-1417 1478 +-2172 -124 +-1009 515 +-60 -253 +-863 -1848 +-802 522 +1484 2576 +840 1484 +-517 -322 +1024 1024 +87 -4187 +933 -3579 +-1765 -871 +-2694 1370 +8623 2308 +3180 -3437 +-6472 -345 +2172 -4220 +-917 250 +-3730 569 +2730 -3380 +92 2864 +1433 1475 +2335 6230 +1437 -1466 +4096 0 +1437 1466 +2335 -6230 +1433 -1475 +92 -2864 +2730 3380 +-3730 -569 +-917 -250 +2172 4220 +-6472 345 +3180 3437 +8623 -2308 +-2694 -1370 +-1765 871 +933 3579 +87 4187 +1024 -1024 +-517 322 +840 -1484 +1484 -2576 +-802 -522 +-863 1848 +-60 253 +-1009 -515 +-2172 124 +-1417 -1478 +-2286 -4953 +-1593 -3240 +-692 2080 +-4256 -1714 +-1211 -4301 +3016 -5166 +0 4096 +-1411 5885 +-5422 -1688 +3789 1846 +5618 -280 +-2745 -4927 +464 2220 +1126 -1238 +-1448 -1024 +-843 850 +-2563 -1836 +6602 -892 +3046 -1388 +-471 -2286 +-860 -1342 +-4457 3905 +0 0 +-4457 -3905 +-860 1342 +-471 2286 +3046 1388 +6602 892 +-2563 1836 +-843 -850 +-1448 1024 +1126 1238 +464 -2220 +-2745 4927 +5618 280 +3789 -1846 +-5422 1688 +-1411 -5885 +0 -4096 +-4085 -559 +698 -2253 +614 -4448 +1374 -4064 +-1735 -1503 +-1404 611 +3648 1360 +1448 -1024 +3876 2169 +-593 572 +-3659 -2641 +-1846 -2956 +3397 -3213 +1488 1498 +-3646 -1476 +0 0 +-3646 1476 +1488 -1498 +3397 3213 +-1846 2956 +-3659 2641 +-593 -572 +3876 -2169 +1448 1024 +3648 -1360 +-1404 -611 +-1735 1503 +1374 4064 +614 4448 +698 2253 +-4085 559 +0 4096 +-1411 5885 +-5422 -1688 +3789 1846 +5618 -280 +-2745 -4927 +464 2220 +1126 -1238 +-1448 -1024 +-843 850 +-2563 -1836 +6602 -892 +3046 -1388 +-471 -2286 +-860 -1342 +-4457 3905 +1024 1024 +-5216 -2757 +797 -1077 +-3178 -2807 +-2250 -1490 +-1318 304 +-5433 4580 +3475 1671 +4220 724 +-544 1161 +1078 -413 +1769 925 +756 2368 +1170 8536 +534 1449 +-587 -4984 +0 0 +-587 4984 +534 -1449 +1170 -8536 +756 -2368 +1769 -925 +1078 413 +-544 -1161 +4220 -724 +3475 -1671 +-5433 -4580 +-1318 -304 +-2250 1490 +-3178 2807 +797 1077 +-5216 2757 +1024 -1024 +975 -3741 +326 -2419 +4815 -2650 +-4142 3538 +-263 366 +-583 -3980 +-4539 -3423 +-124 -724 +279 -2362 +2042 -187 +-1075 1888 +1540 -4416 +3873 2134 +1239 2047 +363 -3364 +-2048 0 +363 3364 +1239 -2047 +3873 -2134 +1540 4416 +-1075 -1888 +2042 187 +279 2362 +-124 724 +-4539 3423 +-583 3980 +-263 -366 +-4142 -3538 +4815 2650 +326 2419 +975 3741 +1024 1024 +-5216 -2757 +797 -1077 +-3178 -2807 +-2250 -1490 +-1318 304 +-5433 4580 +3475 1671 +4220 724 +-544 1161 +1078 -413 +1769 925 +756 2368 +1170 8536 +534 1449 +-587 -4984 +8192 -5120 +3456 -1112 +-1975 1142 +2283 -2274 +-548 1596 +2296 1003 +590 -1479 +1363 -4993 +-724 -5244 +-3099 -1801 +2839 -1452 +-5358 -3315 +-3778 1514 +3010 4803 +1476 -4390 +1080 811 +-1024 0 +1080 -811 +1476 4390 +3010 -4803 +-3778 -1514 +-5358 3315 +2839 1452 +-3099 1801 +-724 5244 +1363 4993 +590 1479 +2296 -1003 +-548 -1596 +2283 2274 +-1975 -1142 +3456 1112 +8192 5120 +-2913 2926 +-1809 -1925 +-1216 388 +-3548 3948 +-2564 -3375 +-2157 -413 +369 -2304 +724 -900 +-850 3951 +-1272 -440 +274 -937 +-318 -4162 +1275 -376 +2308 3606 +594 2258 +1024 0 +594 -2258 +2308 -3606 +1275 376 +-318 4162 +274 937 +-1272 440 +-850 -3951 +724 900 +369 2304 +-2157 413 +-2564 3375 +-3548 -3948 +-1216 -388 +-1809 1925 +-2913 -2926 +8192 -5120 +3456 -1112 +-1975 1142 +2283 -2274 +-548 1596 +2296 1003 +590 -1479 +1363 -4993 +-724 -5244 +-3099 -1801 +2839 -1452 +-5358 -3315 +-3778 1514 +3010 4803 +1476 -4390 +1080 811 +2048 1024 +2250 429 +4024 -1818 +1518 774 +-78 1586 +-2936 -6932 +-4601 -6724 +1543 3044 +-1324 -300 +-1489 -4640 +1690 -843 +552 -1889 +-1416 -2584 +-2404 -2902 +-2786 -3151 +2397 1373 +9216 0 +2397 -1373 +-2786 3151 +-2404 2902 +-1416 2584 +552 1889 +1690 843 +-1489 4640 +-1324 300 +1543 -3044 +-4601 6724 +-2936 6932 +-78 -1586 +1518 -774 +4024 1818 +2250 -429 +2048 -1024 +1850 1279 +100 -1041 +-2511 -3399 +-1970 1910 +-2740 2974 +2045 228 +-2849 1074 +-2772 -1748 +4123 -2019 +-2030 1339 +6012 699 +-632 1984 +-3284 644 +1559 -908 +-2034 3543 +3072 0 +-2034 -3543 +1559 908 +-3284 -644 +-632 -1984 +6012 -699 +-2030 -1339 +4123 2019 +-2772 1748 +-2849 -1074 +2045 -228 +-2740 -2974 +-1970 -1910 +-2511 3399 +100 1041 +1850 -1279 +2048 1024 +2250 429 +4024 -1818 +1518 774 +-78 1586 +-2936 -6932 +-4601 -6724 +1543 3044 +-1324 -300 +-1489 -4640 +1690 -843 +552 -1889 +-1416 -2584 +-2404 -2902 +-2786 -3151 +2397 1373 +0 3072 +-4131 3300 +-3809 -505 +3035 4613 +632 1865 +2905 2227 +-3347 1046 +-2804 -2004 +1324 -300 +-4452 2581 +2520 -358 +4326 147 +78 6818 +542 3573 +4186 1571 +-369 -2736 +-9216 0 +-369 2736 +4186 -1571 +542 -3573 +78 -6818 +4326 -147 +2520 358 +-4452 -2581 +1324 300 +-2804 2004 +-3347 -1046 +2905 -2227 +632 -1865 +3035 -4613 +-3809 505 +-4131 -3300 +0 -3072 +-2050 815 +1473 -2208 +37 -574 +1416 432 +936 4376 +331 1446 +2683 -1540 +2772 -1748 +5461 -701 +-2401 1650 +-3703 1472 +1970 -426 +-2286 -2118 +1047 2709 +-131 -1710 +-7168 0 +-131 1710 +1047 -2709 +-2286 2118 +1970 426 +-3703 -1472 +-2401 -1650 +5461 701 +2772 1748 +2683 1540 +331 -1446 +936 -4376 +1416 -432 +37 574 +1473 2208 +-2050 -815 +0 3072 +-4131 3300 +-3809 -505 +3035 4613 +632 1865 +2905 2227 +-3347 1046 +-2804 -2004 +1324 -300 +-4452 2581 +2520 -358 +4326 147 +78 6818 +542 3573 +4186 1571 +-369 -2736 +2048 -3072 +-4281 562 +1074 4556 +5365 1406 +1526 -18 +-2828 -2251 +-2164 -2445 +-459 2194 +-4220 -4396 +-614 -892 +-1831 1167 +-1727 -2931 +-32 -1200 +-558 -3707 +-2355 -2780 +-879 -3904 +5120 0 +-879 3904 +-2355 2780 +-558 3707 +-32 1200 +-1727 2931 +-1831 -1167 +-614 892 +-4220 4396 +-459 -2194 +-2164 2445 +-2828 2251 +1526 18 +5365 -1406 +1074 -4556 +-4281 -562 +2048 3072 +657 4392 +-1673 -2324 +-1065 -2040 +3418 -3478 +2545 -5540 +-1332 5785 +678 -2366 +124 -5844 +1962 2728 +-1665 -723 +-1774 2500 +-816 1800 +42 -1153 +1756 2116 +2936 -718 +7168 0 +2936 718 +1756 -2116 +42 1153 +-816 -1800 +-1774 -2500 +-1665 723 +1962 -2728 +124 5844 +678 2366 +-1332 -5785 +2545 5540 +3418 3478 +-1065 2040 +-1673 2324 +657 -4392 +2048 -3072 +-4281 562 +1074 4556 +5365 1406 +1526 -18 +-2828 -2251 +-2164 -2445 +-459 2194 +-4220 -4396 +-614 -892 +-1831 1167 +-1727 -2931 +-32 -1200 +-558 -3707 +-2355 -2780 +-879 -3904 +0 -1024 +4823 348 +-5675 1636 +919 5092 +4442 -1162 +-3175 2062 +-2530 -1119 +1764 -5233 +-1324 -2596 +-4541 -1629 +1020 647 +2009 438 +208 5056 +-3807 3318 +-3514 -1216 +2240 -1618 +3072 0 +2240 1618 +-3514 1216 +-3807 -3318 +208 -5056 +2009 -438 +1020 -647 +-4541 1629 +-1324 2596 +1764 5233 +-2530 1119 +-3175 -2062 +4442 1162 +919 -5092 +-5675 -1636 +4823 -348 +0 1024 +-3107 258 +1410 -372 +-4040 4752 +2550 -1486 +-5 -1173 +-4870 -2821 +-1383 -330 +-2772 4644 +1705 1179 +2284 -491 +492 -2004 +992 488 +1815 -1722 +3683 -1616 +4292 1545 +5120 0 +4292 -1545 +3683 1616 +1815 1722 +992 -488 +492 2004 +2284 491 +1705 -1179 +-2772 -4644 +-1383 330 +-4870 2821 +-5 1173 +2550 1486 +-4040 -4752 +1410 372 +-3107 -258 +0 -1024 +4823 348 +-5675 1636 +919 5092 +4442 -1162 +-3175 2062 +-2530 -1119 +1764 -5233 +-1324 -2596 +-4541 -1629 +1020 647 +2009 438 +208 5056 +-3807 3318 +-3514 -1216 +2240 -1618 +1024 -2048 +-23 -1623 +-3268 2913 +-87 6260 +-1722 0 +4455 -354 +1413 -850 +-4653 -2765 +2896 -2648 +-111 -620 +-1896 -3291 +3383 -849 +1398 0 +-5386 -4411 +-3939 4183 +-938 -671 +-3072 0 +-938 671 +-3939 -4183 +-5386 4411 +1398 0 +3383 849 +-1896 3291 +-111 620 +2896 2648 +-4653 2765 +1413 850 +4455 354 +-1722 0 +-87 -6260 +-3268 -2913 +-23 1623 +1024 2048 +-286 1630 +1376 871 +-818 3033 +3170 0 +233 -3647 +-2197 -717 +-2328 1875 +-2896 -5544 +3958 -1708 +2680 1724 +-502 -832 +-2846 0 +-1277 -3752 +5831 -399 +4382 -4153 +1024 0 +4382 4153 +5831 399 +-1277 3752 +-2846 0 +-502 832 +2680 -1724 +3958 1708 +-2896 5544 +-2328 -1875 +-2197 717 +233 3647 +3170 0 +-818 -3033 +1376 -871 +-286 -1630 +1024 -2048 +-23 -1623 +-3268 2913 +-87 6260 +-1722 0 +4455 -354 +1413 -850 +-4653 -2765 +2896 -2648 +-111 -620 +-1896 -3291 +3383 -849 +1398 0 +-5386 -4411 +-3939 4183 +-938 -671 +0 7168 +592 894 +2891 -3490 +91 -2881 +282 -1190 +94 -1745 +-2277 -784 +5504 695 +3372 4396 +-835 1176 +868 -4825 +507 2800 +2948 620 +-3171 -970 +-3613 3379 +52 -743 +-3072 0 +52 743 +-3613 -3379 +-3171 970 +2948 -620 +507 -2800 +868 4825 +-835 -1176 +3372 -4396 +5504 -695 +-2277 784 +94 1745 +282 1190 +91 2881 +2891 3490 +592 -894 +0 -7168 +-3922 2933 +-2264 3335 +1106 -921 +-3178 3837 +-2345 62 +-880 2049 +1767 2278 +4820 5844 +1812 2475 +-1807 1994 +-3369 3765 +-52 -6164 +1294 -376 +-1111 561 +823 -543 +3072 0 +823 543 +-1111 -561 +1294 376 +-52 6164 +-3369 -3765 +-1807 -1994 +1812 -2475 +4820 -5844 +1767 -2278 +-880 -2049 +-2345 -62 +-3178 -3837 +1106 921 +-2264 -3335 +-3922 -2933 +0 7168 +592 894 +2891 -3490 +91 -2881 +282 -1190 +94 -1745 +-2277 -784 +5504 695 +3372 4396 +-835 1176 +868 -4825 +507 2800 +2948 620 +-3171 -970 +-3613 3379 +52 -743 +-1024 0 +-1112 -2049 +-266 -1121 +-457 2933 +1264 1038 +1436 3224 +-5993 539 +-2037 2547 +-1024 424 +-7735 427 +1532 861 +6298 -380 +156 1194 +-902 -1808 +137 1853 +3819 -5744 +7168 0 +3819 5744 +137 -1853 +-902 1808 +156 -1194 +6298 380 +1532 -861 +-7735 -427 +-1024 -424 +-2037 -2547 +-5993 -539 +1436 -3224 +1264 -1038 +-457 -2933 +-266 1121 +-1112 2049 +-1024 0 +-1153 -1440 +-1442 -4267 +-4008 3413 +2832 -1638 +6291 -3047 +-179 845 +708 -3550 +-1024 -2472 +-752 -101 +-2353 -677 +-5129 -330 +3940 2302 +2262 1473 +371 -249 +2469 -2208 +-1024 0 +2469 2208 +371 249 +2262 -1473 +3940 -2302 +-5129 330 +-2353 677 +-752 101 +-1024 2472 +708 3550 +-179 -845 +6291 3047 +2832 1638 +-4008 -3413 +-1442 4267 +-1153 1440 +-1024 0 +-1112 -2049 +-266 -1121 +-457 2933 +1264 1038 +1436 3224 +-5993 539 +-2037 2547 +-1024 424 +-7735 427 +1532 861 +6298 -380 +156 1194 +-902 -1808 +137 1853 +3819 -5744 +0 -4096 +5126 -2383 +974 1503 +3214 -74 +-3759 -554 +-103 2004 +3700 -565 +-4980 -2336 +-424 600 +-2095 426 +2343 -1630 +-2665 -2089 +-4749 -1338 +4629 679 +-3564 -1954 +-814 -5929 +8192 0 +-814 5929 +-3564 1954 +4629 -679 +-4749 1338 +-2665 2089 +2343 1630 +-2095 -426 +-424 -600 +-4980 2336 +3700 565 +-103 -2004 +-3759 554 +3214 74 +974 -1503 +5126 2383 +0 4096 +-1354 2003 +-869 765 +1075 -523 +3160 554 +-292 2184 +2656 305 +-323 1342 +2472 3496 +2286 221 +-2906 -327 +605 2860 +1252 1338 +-670 -2292 +-2333 -5666 +-3637 -6795 +-4096 0 +-3637 6795 +-2333 5666 +-670 2292 +1252 -1338 +605 -2860 +-2906 327 +2286 -221 +2472 -3496 +-323 -1342 +2656 -305 +-292 -2184 +3160 -554 +1075 523 +-869 -765 +-1354 -2003 +0 -4096 +5126 -2383 +974 1503 +3214 -74 +-3759 -554 +-103 2004 +3700 -565 +-4980 -2336 +-424 600 +-2095 426 +2343 -1630 +-2665 -2089 +-4749 -1338 +4629 679 +-3564 -1954 +-814 -5929 +-7168 2048 +1633 -2433 +632 -2979 +1391 -3631 +614 -784 +391 -1006 +2836 -1441 +19 1812 +600 1448 +249 422 +-2848 2270 +-926 2434 +1278 1892 +3546 111 +3679 -1676 +2489 6820 +3072 0 +2489 -6820 +3679 1676 +3546 -111 +1278 -1892 +-926 -2434 +-2848 -2270 +249 -422 +600 -1448 +19 -1812 +2836 1441 +391 1006 +614 784 +1391 3631 +632 2979 +1633 2433 +-7168 -2048 +-6483 -1238 +4156 -805 +-686 1406 +-2062 784 +81 -3539 +-4948 3009 +-984 999 +3496 -1448 +716 -4364 +-832 -703 +455 -1107 +170 -1892 +-4251 6930 +-2675 -2108 +2360 2532 +3072 0 +2360 -2532 +-2675 2108 +-4251 -6930 +170 1892 +455 1107 +-832 703 +716 4364 +3496 1448 +-984 -999 +-4948 -3009 +81 3539 +-2062 -784 +-686 -1406 +4156 805 +-6483 1238 +-7168 2048 +1633 -2433 +632 -2979 +1391 -3631 +614 -784 +391 -1006 +2836 -1441 +19 1812 +600 1448 +249 422 +-2848 2270 +-926 2434 +1278 1892 +3546 111 +3679 -1676 +2489 6820 +1024 -4096 +-2392 -5857 +-303 -1517 +-4370 1714 +444 86 +-5365 486 +-3627 719 +846 -1140 +-2472 -2472 +3135 -2261 +-3126 2689 +2541 3155 +664 -4314 +-3929 -3147 +5213 547 +3955 -2931 +3072 0 +3955 2931 +5213 -547 +-3929 3147 +664 4314 +2541 -3155 +-3126 -2689 +3135 2261 +-2472 2472 +846 1140 +-3627 -719 +-5365 -486 +444 -86 +-4370 -1714 +-303 1517 +-2392 5857 +1024 4096 +5532 214 +1972 -1823 +1364 718 +-3340 3411 +-4599 259 +391 -1383 +906 158 +424 424 +-662 967 +-2327 -457 +5415 118 +2232 3714 +-2642 -84 +1807 -991 +265 5168 +-1024 0 +265 -5168 +1807 991 +-2642 84 +2232 -3714 +5415 -118 +-2327 457 +-662 -967 +424 -424 +906 -158 +391 1383 +-4599 -259 +-3340 -3411 +1364 -718 +1972 1823 +5532 -214 +1024 -4096 +-2392 -5857 +-303 -1517 +-4370 1714 +444 86 +-5365 486 +-3627 719 +846 -1140 +-2472 -2472 +3135 -2261 +-3126 2689 +2541 3155 +664 -4314 +-3929 -3147 +5213 547 +3955 -2931 +-1024 -2048 +3925 514 +5029 -7934 +2804 -988 +1084 1918 +-3026 503 +621 4253 +-89 3898 +-2896 0 +-80 -1164 +-1442 4624 +1631 3760 +3640 -914 +-1223 300 +-474 2260 +3714 -1748 +5120 0 +3714 1748 +-474 -2260 +-1223 -300 +3640 914 +1631 -3760 +-1442 -4624 +-80 1164 +-2896 0 +-89 -3898 +621 -4253 +-3026 -503 +1084 -1918 +2804 988 +5029 7934 +3925 -514 +-1024 2048 +-330 -468 +-2628 -5399 +-2420 2009 +-484 3026 +234 2692 +-657 -258 +-2941 598 +2896 0 +-674 4092 +-5514 -132 +-406 -4349 +-144 1762 +2406 -3063 +-3127 1288 +-3524 226 +5120 0 +-3524 -226 +-3127 -1288 +2406 3063 +-144 -1762 +-406 4349 +-5514 132 +-674 -4092 +2896 0 +-2941 -598 +-657 258 +234 -2692 +-484 -3026 +-2420 -2009 +-2628 5399 +-330 468 +-1024 -2048 +3925 514 +5029 -7934 +2804 -988 +1084 1918 +-3026 503 +621 4253 +-89 3898 +-2896 0 +-80 -1164 +-1442 4624 +1631 3760 +3640 -914 +-1223 300 +-474 2260 +3714 -1748 +-3072 -1024 +-1595 608 +2314 488 +-1576 -1655 +-1430 -6694 +4073 -1898 +-2758 -4098 +-41 226 +724 2172 +-1706 -3061 +5355 -775 +1143 1205 +248 3789 +-581 -989 +1260 105 +1227 4096 +-6144 0 +1227 -4096 +1260 -105 +-581 989 +248 -3789 +1143 -1205 +5355 775 +-1706 3061 +724 -2172 +-41 -226 +-2758 4098 +4073 1898 +-1430 6694 +-1576 1655 +2314 -488 +-1595 -608 +-3072 1024 +1818 1805 +377 -3984 +-3467 -1934 +2030 550 +-4767 2790 +-7042 4697 +306 4363 +-724 -2172 +2770 -3127 +1549 175 +439 2454 +3248 2355 +-1057 -2232 +-1055 3391 +3014 1525 +4096 0 +3014 -1525 +-1055 -3391 +-1057 2232 +3248 -2355 +439 -2454 +1549 -175 +2770 3127 +-724 2172 +306 -4363 +-7042 -4697 +-4767 -2790 +2030 -550 +-3467 1934 +377 3984 +1818 -1805 +-3072 -1024 +-1595 608 +2314 488 +-1576 -1655 +-1430 -6694 +4073 -1898 +-2758 -4098 +-41 226 +724 2172 +-1706 -3061 +5355 -775 +1143 1205 +248 3789 +-581 -989 +1260 105 +1227 4096 +-3072 -1024 +1633 -3339 +-155 107 +-552 1828 +1831 -18 +227 -465 +1728 -536 +366 645 +3196 -4644 +-2286 -1265 +924 4647 +2532 2684 +-7737 -1200 +-6029 -2608 +-1576 4156 +-1387 3718 +-2048 0 +-1387 -3718 +-1576 -4156 +-6029 2608 +-7737 1200 +2532 -2684 +924 -4647 +-2286 1265 +3196 4644 +366 -645 +1728 536 +227 465 +1831 18 +-552 -1828 +-155 -107 +1633 3339 +-3072 1024 +6332 2516 +-1293 -771 +-2504 -3375 +6858 -3478 +-688 -2338 +-280 980 +1479 220 +-1148 2596 +-685 -399 +524 -1307 +-1863 2394 +-952 1800 +-2708 750 +127 -1924 +6134 1123 +4096 0 +6134 -1123 +127 1924 +-2708 -750 +-952 -1800 +-1863 -2394 +524 1307 +-685 399 +-1148 -2596 +1479 -220 +-280 -980 +-688 2338 +6858 3478 +-2504 3375 +-1293 771 +6332 -2516 +-3072 -1024 +1633 -3339 +-155 107 +-552 1828 +1831 -18 +227 -465 +1728 -536 +366 645 +3196 -4644 +-2286 -1265 +924 4647 +2532 2684 +-7737 -1200 +-6029 -2608 +-1576 4156 +-1387 3718 +-2048 6144 +-779 4896 +131 491 +-712 -886 +-1499 -1024 +-1045 -106 +1230 180 +144 -5790 +-424 1448 +1398 2256 +4774 -5553 +1980 1310 +6067 1024 +2962 -1389 +-3082 1357 +694 -1307 +0 0 +694 1307 +-3082 -1357 +2962 1389 +6067 -1024 +1980 -1310 +4774 5553 +1398 -2256 +-424 -1448 +144 5790 +1230 -180 +-1045 106 +-1499 1024 +-712 886 +131 -491 +-779 -4896 +-2048 -6144 +827 -900 +-2583 4742 +-1507 -1458 +-5742 -1024 +-3594 83 +3898 -299 +805 3302 +2472 -1448 +-780 1361 +-1213 2537 +-1125 1931 +1174 1024 +3041 -1083 +-3154 979 +-2310 -8370 +0 0 +-2310 8370 +-3154 -979 +3041 1083 +1174 -1024 +-1125 -1931 +-1213 -2537 +-780 -1361 +2472 1448 +805 -3302 +3898 299 +-3594 -83 +-5742 1024 +-1507 1458 +-2583 -4742 +827 900 +-2048 6144 +-779 4896 +131 491 +-712 -886 +-1499 -1024 +-1045 -106 +1230 180 +144 -5790 +-424 1448 +1398 2256 +4774 -5553 +1980 1310 +6067 1024 +2962 -1389 +-3082 1357 +694 -1307 +4096 -2048 +-3107 -2560 +-865 336 +4424 -1653 +1822 -600 +2210 -1588 +115 42 +314 890 +-2472 -3496 +1520 90 +3647 3228 +-2 5369 +698 3496 +1151 -4464 +3796 -3721 +1727 -1079 +-2048 0 +1727 1079 +3796 3721 +1151 4464 +698 -3496 +-2 -5369 +3647 -3228 +1520 -90 +-2472 3496 +314 -890 +115 -42 +2210 1588 +1822 600 +4424 1653 +-865 -336 +-3107 2560 +4096 2048 +-1458 -2991 +-4811 -2072 +-7354 23 +-2422 -600 +776 -3816 +-2466 -523 +-1054 440 +424 -600 +-1460 5392 +-1296 387 +5264 -1563 +-4194 3496 +-676 -1941 +1881 -2112 +-2275 2079 +6144 0 +-2275 -2079 +1881 2112 +-676 1941 +-4194 -3496 +5264 1563 +-1296 -387 +-1460 -5392 +424 600 +-1054 -440 +-2466 523 +776 3816 +-2422 600 +-7354 -23 +-4811 2072 +-1458 2991 +4096 -2048 +-3107 -2560 +-865 336 +4424 -1653 +1822 -600 +2210 -1588 +115 42 +314 890 +-2472 -3496 +1520 90 +3647 3228 +-2 5369 +698 3496 +1151 -4464 +3796 -3721 +1727 -1079 +0 5120 +-5093 2210 +5982 921 +2014 3709 +-1886 -2335 +-1212 -1826 +-1628 -1173 +909 -1271 +-2772 -300 +-2398 -2864 +-747 1102 +1181 -3073 +-4332 -4456 +2230 847 +2029 -5627 +-5229 -4993 +1024 0 +-5229 4993 +2029 5627 +2230 -847 +-4332 4456 +1181 3073 +-747 -1102 +-2398 2864 +-2772 300 +909 1271 +-1628 1173 +-1212 1826 +-1886 2335 +2014 -3709 +5982 -921 +-5093 -2210 +0 -5120 +2272 644 +309 2131 +1281 1421 +-2210 -2010 +-2160 -954 +-1217 2805 +1189 -813 +-1324 -1748 +2755 -1676 +7687 -1166 +2871 972 +236 112 +-411 -829 +-4225 -1210 +-198 -401 +7168 0 +-198 401 +-4225 1210 +-411 829 +236 -112 +2871 -972 +7687 1166 +2755 1676 +-1324 1748 +1189 813 +-1217 -2805 +-2160 954 +-2210 2010 +1281 -1421 +309 -2131 +2272 -644 +0 5120 +-5093 2210 +5982 921 +2014 3709 +-1886 -2335 +-1212 -1826 +-1628 -1173 +909 -1271 +-2772 -300 +-2398 -2864 +-747 1102 +1181 -3073 +-4332 -4456 +2230 847 +2029 -5627 +-5229 -4993 +-3072 -3072 +-4490 -30 +604 -960 +780 2215 +-2094 4646 +-1191 -192 +-34 2638 +747 2310 +1324 -2172 +3623 -2188 +5832 2494 +1811 -856 +3588 -1741 +-5295 5732 +-2029 861 +2746 -1983 +-8192 0 +2746 1983 +-2029 -861 +-5295 -5732 +3588 1741 +1811 856 +5832 -2494 +3623 2188 +1324 2172 +747 -2310 +-34 -2638 +-1191 192 +-2094 -4646 +780 -2215 +604 960 +-4490 30 +-3072 3072 +870 -1496 +-2377 -2536 +1979 -3089 +-202 -2598 +-4086 629 +-3086 -2038 +-2321 -218 +2772 2172 +2414 1694 +184 -3094 +-3214 -4132 +2804 -307 +3424 1954 +905 2635 +2203 -4526 +-2048 0 +2203 4526 +905 -2635 +3424 -1954 +2804 307 +-3214 4132 +184 3094 +2414 -1694 +2772 -2172 +-2321 218 +-3086 2038 +-4086 -629 +-202 2598 +1979 3089 +-2377 2536 +870 1496 +-3072 -3072 +-4490 -30 +604 -960 +780 2215 +-2094 4646 +-1191 -192 +-34 2638 +747 2310 +1324 -2172 +3623 -2188 +5832 2494 +1811 -856 +3588 -1741 +-5295 5732 +-2029 861 +2746 -1983 +-1024 0 +478 2423 +-1464 4928 +-994 411 +-1992 -495 +120 3549 +1350 -3369 +888 -1700 +4520 -3320 +-4935 -6936 +-3304 2463 +262 2511 +-1312 -3954 +3027 -3918 +2656 -1283 +1644 -599 +1024 0 +1644 599 +2656 1283 +3027 3918 +-1312 3954 +262 -2511 +-3304 -2463 +-4935 6936 +4520 3320 +888 1700 +1350 3369 +120 -3549 +-1992 495 +-994 -411 +-1464 -4928 +478 -2423 +-1024 0 +1327 4842 +-3260 3956 +-3826 910 +1143 -954 +250 2643 +-2290 1386 +-2261 -967 +1624 5368 +956 -1083 +148 -2047 +1585 1464 +6256 2506 +-424 3022 +-2028 -3818 +1902 2513 +-3072 0 +1902 -2513 +-2028 3818 +-424 -3022 +6256 -2506 +1585 -1464 +148 2047 +956 1083 +1624 -5368 +-2261 967 +-2290 -1386 +250 -2643 +1143 954 +-3826 -910 +-3260 -3956 +1327 -4842 +-1024 0 +478 2423 +-1464 4928 +-994 411 +-1992 -495 +120 3549 +1350 -3369 +888 -1700 +4520 -3320 +-4935 -6936 +-3304 2463 +262 2511 +-1312 -3954 +3027 -3918 +2656 -1283 +1644 -599 +0 3072 +1361 3764 +907 -2046 +3004 -3078 +318 -3718 +-392 5067 +856 4868 +2114 -2264 +1572 300 +-4331 534 +1087 -1025 +-1455 -2656 +548 932 +940 -2686 +-319 -8414 +3233 3666 +-1024 0 +3233 -3666 +-319 8414 +940 2686 +548 -932 +-1455 2656 +1087 1025 +-4331 -534 +1572 -300 +2114 2264 +856 -4868 +-392 -5067 +318 3718 +3004 3078 +907 2046 +1361 -3764 +0 -3072 +5570 4004 +202 -734 +-1742 -1976 +3778 -1826 +362 -2752 +1820 379 +-3795 555 +-5668 1748 +-1556 1853 +-3763 480 +-1649 875 +3548 1716 +934 1728 +-790 -159 +-2596 7 +-7168 0 +-2596 -7 +-790 159 +934 -1728 +3548 -1716 +-1649 -875 +-3763 -480 +-1556 -1853 +-5668 -1748 +-3795 -555 +1820 -379 +362 2752 +3778 1826 +-1742 1976 +202 734 +5570 -4004 +0 3072 +1361 3764 +907 -2046 +3004 -3078 +318 -3718 +-392 5067 +856 4868 +2114 -2264 +1572 300 +-4331 534 +1087 -1025 +-1455 -2656 +548 932 +940 -2686 +-319 -8414 +3233 3666 +0 -2048 +5465 1112 +-3629 4876 +-5341 -823 +2302 3156 +-1878 3112 +755 3675 +-489 1972 +-1624 -3496 +1428 -2129 +1958 818 +4002 -1283 +-1038 628 +4763 5457 +1082 -1771 +-3049 27 +6144 0 +-3049 -27 +1082 1771 +4763 -5457 +-1038 -628 +4002 1283 +1958 -818 +1428 2129 +-1624 3496 +-489 -1972 +755 -3675 +-1878 -3112 +2302 -3156 +-5341 823 +-3629 -4876 +5465 -1112 +0 2048 +-2935 1025 +-51 4311 +2372 473 +1194 940 +2117 2486 +4034 2066 +1309 -2266 +-4520 -600 +-5144 3035 +-954 3226 +-1344 -111 +1638 -4724 +1102 1186 +-3195 1070 +-2378 911 +-2048 0 +-2378 -911 +-3195 -1070 +1102 -1186 +1638 4724 +-1344 111 +-954 -3226 +-5144 -3035 +-4520 600 +1309 2266 +4034 -2066 +2117 -2486 +1194 -940 +2372 -473 +-51 -4311 +-2935 -1025 +0 -2048 +5465 1112 +-3629 4876 +-5341 -823 +2302 3156 +-1878 3112 +755 3675 +-489 1972 +-1624 -3496 +1428 -2129 +1958 818 +4002 -1283 +-1038 628 +4763 5457 +1082 -1771 +-3049 27 +2048 0 +1196 2993 +-4049 -4255 +2962 -434 +1878 -580 +-801 1337 +-1128 -721 +-5909 -2741 +-7416 2048 +-230 1245 +3624 1991 +-2180 5717 +1434 360 +569 -5695 +-3375 1682 +1983 816 +4096 0 +1983 -816 +-3375 -1682 +569 5695 +1434 -360 +-2180 -5717 +3624 -1991 +-230 -1245 +-7416 -2048 +-5909 2741 +-1128 721 +-801 -1337 +1878 580 +2962 434 +-4049 4255 +1196 -2993 +2048 0 +-2196 2692 +228 759 +-824 1978 +770 -4364 +-2080 3790 +-4040 1321 +-671 -1563 +1272 2048 +130 -4220 +345 -2591 +6390 -1477 +4110 -1208 +1756 558 +204 1814 +-95 405 +4096 0 +-95 -405 +204 -1814 +1756 -558 +4110 1208 +6390 1477 +345 2591 +130 4220 +1272 -2048 +-671 1563 +-4040 -1321 +-2080 -3790 +770 4364 +-824 -1978 +228 -759 +-2196 -2692 +2048 0 +1196 2993 +-4049 -4255 +2962 -434 +1878 -580 +-801 1337 +-1128 -721 +-5909 -2741 +-7416 2048 +-230 1245 +3624 1991 +-2180 5717 +1434 360 +569 -5695 +-3375 1682 +1983 816 +-2048 2048 +-3683 3740 +2940 -2390 +6019 -2438 +-1278 -2591 +2203 -2003 +153 -6884 +1364 -2164 +-424 -1448 +-4844 -3166 +3031 -1143 +-2 -3833 +2062 4808 +3961 4937 +-2273 -548 +-3073 79 +-4096 0 +-3073 -79 +-2273 548 +3961 -4937 +2062 -4808 +-2 3833 +3031 1143 +-4844 3166 +-424 1448 +1364 2164 +153 6884 +2203 2003 +-1278 2591 +6019 2438 +2940 2390 +-3683 -3740 +-2048 -2048 +-961 4650 +1968 263 +-1702 -826 +-170 543 +-493 1093 +-4602 -2812 +1372 -965 +2472 1448 +-2118 349 +-1479 135 +301 395 +-614 -2760 +1299 -2538 +262 7109 +357 430 +4096 0 +357 -430 +262 -7109 +1299 2538 +-614 2760 +301 -395 +-1479 -135 +-2118 -349 +2472 -1448 +1372 965 +-4602 2812 +-493 -1093 +-170 -543 +-1702 826 +1968 -263 +-961 -4650 +-2048 2048 +-3683 3740 +2940 -2390 +6019 -2438 +-1278 -2591 +2203 -2003 +153 -6884 +1364 -2164 +-424 -1448 +-4844 -3166 +3031 -1143 +-2 -3833 +2062 4808 +3961 4937 +-2273 -548 +-3073 79 +-2048 -3072 +-1148 -1239 +2824 -4896 +1570 826 +3862 2813 +3194 1399 +287 -3278 +4804 -2241 +2172 -300 +-5133 995 +-1347 3012 +3440 -964 +-152 -5140 +551 -3223 +-3251 3605 +-5628 -2520 +-1024 0 +-5628 2520 +-3251 -3605 +551 3223 +-152 5140 +3440 964 +-1347 -3012 +-5133 -995 +2172 300 +4804 2241 +287 3278 +3194 -1399 +3862 -2813 +1570 -826 +2824 4896 +-1148 1239 +-2048 3072 +-1714 3511 +-488 -713 +-1283 2169 +-1814 -2214 +3289 -45 +2728 2874 +3730 -72 +-2172 -1748 +-2513 2117 +1227 1177 +-5460 -2666 +2200 1644 +491 3634 +-1981 3572 +1808 -3769 +-3072 0 +1808 3769 +-1981 -3572 +491 -3634 +2200 -1644 +-5460 2666 +1227 -1177 +-2513 -2117 +-2172 1748 +3730 72 +2728 -2874 +3289 45 +-1814 2214 +-1283 -2169 +-488 713 +-1714 -3511 +-2048 -3072 +-1148 -1239 +2824 -4896 +1570 826 +3862 2813 +3194 1399 +287 -3278 +4804 -2241 +2172 -300 +-5133 995 +-1347 3012 +3440 -964 +-152 -5140 +551 -3223 +-3251 3605 +-5628 -2520 +-2048 -2048 +-3928 3731 +-860 3020 +-5260 3903 +-6570 -1832 +-838 -4158 +4328 -933 +494 -1997 +-6992 424 +1445 1733 +2103 5093 +1933 -3479 +2461 -3400 +-1475 4917 +-1076 -3445 +-1173 2271 +0 0 +-1173 -2271 +-1076 3445 +-1475 -4917 +2461 3400 +1933 3479 +2103 -5093 +1445 -1733 +-6992 -424 +494 1997 +4328 933 +-838 4158 +-6570 1832 +-5260 -3903 +-860 -3020 +-3928 -3731 +-2048 2048 +-816 1390 +11 -188 +1680 4065 +3674 384 +-1884 -1646 +616 777 +6347 -182 +-1200 -2472 +2178 -1015 +2841 -1153 +1027 571 +435 1952 +-976 154 +227 2181 +1246 -46 +4096 0 +1246 46 +227 -2181 +-976 -154 +435 -1952 +1027 -571 +2841 1153 +2178 1015 +-1200 2472 +6347 182 +616 -777 +-1884 1646 +3674 -384 +1680 -4065 +11 188 +-816 -1390 +-2048 -2048 +-3928 3731 +-860 3020 +-5260 3903 +-6570 -1832 +-838 -4158 +4328 -933 +494 -1997 +-6992 424 +1445 1733 +2103 5093 +1933 -3479 +2461 -3400 +-1475 4917 +-1076 -3445 +-1173 2271 +0 3072 +2264 1527 +-2528 -1780 +5271 -1340 +5794 742 +-1594 -1218 +1725 2355 +4852 -164 +3196 2172 +-1038 -2060 +-1804 -3716 +670 -1022 +-1456 1924 +-834 5324 +947 -7022 +-533 1178 +-1024 0 +-533 -1178 +947 7022 +-834 -5324 +-1456 -1924 +670 1022 +-1804 3716 +-1038 2060 +3196 -2172 +4852 164 +1725 -2355 +-1594 1218 +5794 -742 +5271 1340 +-2528 1780 +2264 -1527 +0 -3072 +-3141 -5138 +3192 -336 +-138 891 +-1450 4202 +1145 6207 +-1281 2449 +-523 -2183 +-1148 -2172 +-1724 1800 +-1537 -170 +-4005 -5444 +-2889 -1076 +-514 2548 +1285 -3782 +-157 691 +-3072 0 +-157 -691 +1285 3782 +-514 -2548 +-2889 1076 +-4005 5444 +-1537 170 +-1724 -1800 +-1148 2172 +-523 2183 +-1281 -2449 +1145 -6207 +-1450 -4202 +-138 -891 +3192 336 +-3141 5138 +0 3072 +2264 1527 +-2528 -1780 +5271 -1340 +5794 742 +-1594 -1218 +1725 2355 +4852 -164 +3196 2172 +-1038 -2060 +-1804 -3716 +670 -1022 +-1456 1924 +-834 5324 +947 -7022 +-533 1178 +5120 2048 +1653 -1987 +799 -2910 +3514 -3265 +1194 -4992 +1990 620 +-2407 3738 +-4909 -743 +-4944 -600 +-2038 2134 +-567 -2056 +1943 -3285 +1638 -2148 +-4284 199 +-2015 2940 +3300 -1690 +5120 0 +3300 1690 +-2015 -2940 +-4284 -199 +1638 2148 +1943 3285 +-567 2056 +-2038 -2134 +-4944 600 +-4909 743 +-2407 -3738 +1990 -620 +1194 4992 +3514 3265 +799 2910 +1653 1987 +5120 -2048 +-1136 1604 +493 -1067 +314 -1536 +2302 4144 +241 -2203 +-305 2694 +1119 6040 +848 -3496 +4260 -620 +-3713 3193 +-389 3270 +-1038 -2797 +-3329 -3432 +-477 4172 +-2249 -2478 +1024 0 +-2249 2478 +-477 -4172 +-3329 3432 +-1038 2797 +-389 -3270 +-3713 -3193 +4260 620 +848 3496 +1119 -6040 +-305 -2694 +241 2203 +2302 -4144 +314 1536 +493 1067 +-1136 -1604 +5120 2048 +1653 -1987 +799 -2910 +3514 -3265 +1194 -4992 +1990 620 +-2407 3738 +-4909 -743 +-4944 -600 +-2038 2134 +-567 -2056 +1943 -3285 +1638 -2148 +-4284 199 +-2015 2940 +3300 -1690 +1024 -1024 +699 -2484 +110 1452 +-356 13 +442 -4562 +-634 3175 +-69 -6290 +-2155 -6285 +-4220 -724 +-3944 -2444 +1141 -2367 +2783 1458 +-3672 5440 +912 -1555 +4190 -2427 +1076 -6873 +2048 0 +1076 6873 +4190 2427 +912 1555 +-3672 -5440 +2783 -1458 +1141 2367 +-3944 2444 +-4220 724 +-2155 6285 +-69 6290 +-634 -3175 +442 4562 +-356 -13 +110 -1452 +699 2484 +1024 1024 +1577 506 +-3569 -3020 +386 3277 +3902 466 +39 -171 +3070 2505 +1488 -3652 +124 724 +-740 4612 +-4141 -1417 +28 -2109 +-672 -1344 +-3159 -2203 +-731 860 +1999 -2776 +4096 0 +1999 2776 +-731 -860 +-3159 2203 +-672 1344 +28 2109 +-4141 1417 +-740 -4612 +124 -724 +1488 3652 +3070 -2505 +39 171 +3902 -466 +386 -3277 +-3569 3020 +1577 -506 +1024 -1024 +699 -2484 +110 1452 +-356 13 +442 -4562 +-634 3175 +-69 -6290 +-2155 -6285 +-4220 -724 +-3944 -2444 +1141 -2367 +2783 1458 +-3672 5440 +912 -1555 +4190 -2427 +1076 -6873 +-3072 7168 +2707 5040 +977 -2055 +-4650 -1163 +392 92 +4286 4138 +2270 6060 +-2606 2689 +300 -300 +-3006 1623 +-4307 -688 +-386 1020 +946 802 +318 -4114 +3378 310 +2337 -1422 +-4096 0 +2337 1422 +3378 -310 +318 4114 +946 -802 +-386 -1020 +-4307 688 +-3006 -1623 +300 300 +-2606 -2689 +2270 -6060 +4286 -4138 +392 -92 +-4650 1163 +977 2055 +2707 -5040 +-3072 -7168 +3398 3011 +12 -917 +1179 -1834 +-392 -692 +-1859 -2042 +2742 4052 +-2268 -98 +1748 -1748 +-1256 1280 +-3601 -288 +-1392 -1453 +-946 2694 +2504 6781 +-1471 2013 +694 1593 +6144 0 +694 -1593 +-1471 -2013 +2504 -6781 +-946 -2694 +-1392 1453 +-3601 288 +-1256 -1280 +1748 1748 +-2268 98 +2742 -4052 +-1859 2042 +-392 692 +1179 1834 +12 917 +3398 -3011 +-3072 7168 +2707 5040 +977 -2055 +-4650 -1163 +392 92 +4286 4138 +2270 6060 +-2606 2689 +300 -300 +-3006 1623 +-4307 -688 +-386 1020 +946 802 +318 -4114 +3378 310 +2337 -1422 +-4096 2048 +-2467 255 +561 4237 +410 -390 +4474 1748 +1002 -707 +-5012 -142 +-2374 788 +1448 -5368 +-2087 -3520 +2021 -1678 +5907 450 +-3210 -300 +923 -288 +7279 4114 +2107 5202 +0 0 +2107 -5202 +7279 -4114 +923 288 +-3210 300 +5907 -450 +2021 1678 +-2087 3520 +1448 5368 +-2374 -788 +-5012 142 +1002 707 +4474 -1748 +410 390 +561 -4237 +-2467 -255 +-4096 -2048 +2606 -3397 +-1109 1151 +-281 1106 +3366 1748 +-3484 -1386 +-3576 -1241 +-2503 222 +-1448 3320 +284 -895 +-2123 1494 +-2096 2441 +-534 -300 +3411 3589 +1958 -5718 +-1358 216 +0 0 +-1358 -216 +1958 5718 +3411 -3589 +-534 300 +-2096 -2441 +-2123 -1494 +284 895 +-1448 -3320 +-2503 -222 +-3576 1241 +-3484 1386 +3366 -1748 +-281 -1106 +-1109 -1151 +2606 3397 +-4096 2048 +-2467 255 +561 4237 +410 -390 +4474 1748 +1002 -707 +-5012 -142 +-2374 788 +1448 -5368 +-2087 -3520 +2021 -1678 +5907 450 +-3210 -300 +923 -288 +7279 4114 +2107 5202 +3072 -1024 +2879 2860 +-3099 4288 +-4602 -1869 +-248 4092 +53 4922 +3656 -3007 +-3169 288 +-2772 724 +5604 -1165 +3019 -1281 +-1991 -2924 +-2030 -3078 +-2300 -4980 +-2 -2998 +2082 1722 +0 0 +2082 -1722 +-2 2998 +-2300 4980 +-2030 3078 +-1991 2924 +3019 1281 +5604 1165 +-2772 -724 +-3169 -288 +3656 3007 +53 -4922 +-248 -4092 +-4602 1869 +-3099 -4288 +2879 -2860 +3072 1024 +2874 97 +-1090 -623 +2961 -688 +-3248 -2044 +-4438 -6003 +1953 -897 +-676 929 +-1324 -724 +250 814 +4157 -5519 +-983 -1942 +1430 1030 +-284 -1362 +-402 3766 +1741 -332 +-6144 0 +1741 332 +-402 -3766 +-284 1362 +1430 -1030 +-983 1942 +4157 5519 +250 -814 +-1324 724 +-676 -929 +1953 897 +-4438 6003 +-3248 2044 +2961 688 +-1090 623 +2874 -97 +3072 -1024 +2879 2860 +-3099 4288 +-4602 -1869 +-248 4092 +53 4922 +3656 -3007 +-3169 288 +-2772 724 +5604 -1165 +3019 -1281 +-1991 -2924 +-2030 -3078 +-2300 -4980 +-2 -2998 +2082 1722 +0 1024 +1438 852 +968 -1396 +-4844 -1041 +606 730 +345 -8540 +2142 -1797 +3545 5111 +-1324 -1148 +6504 2272 +1903 -1515 +237 -537 +-1620 5840 +-2934 -925 +1157 -592 +2469 2577 +7168 0 +2469 -2577 +1157 592 +-2934 925 +-1620 -5840 +237 537 +1903 1515 +6504 -2272 +-1324 1148 +3545 -5111 +2142 1797 +345 8540 +606 -730 +-4844 1041 +968 1396 +1438 -852 +0 -1024 +-2827 -2670 +-968 612 +215 -1102 +-3503 -3378 +-3785 -2138 +-2142 -95 +-381 4127 +-2772 3196 +1476 652 +-1903 -377 +-4807 -694 +4516 -296 +3987 38 +-1157 -192 +-639 -2516 +1024 0 +-639 2516 +-1157 192 +3987 -38 +4516 296 +-4807 694 +-1903 377 +1476 -652 +-2772 -3196 +-381 -4127 +-2142 95 +-3785 2138 +-3503 3378 +215 1102 +-968 -612 +-2827 2670 +0 1024 +1438 852 +968 -1396 +-4844 -1041 +606 730 +345 -8540 +2142 -1797 +3545 5111 +-1324 -1148 +6504 2272 +1903 -1515 +237 -537 +-1620 5840 +-2934 -925 +1157 -592 +2469 2577 +-3072 1024 +-2785 -311 +-586 -1379 +42 361 +7118 -986 +5240 -955 +-3557 -4350 +-1682 -3227 +3196 1748 +6355 1584 +-3152 1437 +-2466 3489 +1316 -912 +-1289 -2116 +1972 529 +2248 -6239 +2048 0 +2248 6239 +1972 -529 +-1289 2116 +1316 912 +-2466 -3489 +-3152 -1437 +6355 -1584 +3196 -1748 +-1682 3227 +-3557 4350 +5240 955 +7118 986 +42 -361 +-586 1379 +-2785 311 +-3072 -1024 +-2377 2687 +-14 1195 +-1033 774 +-126 -1311 +-1998 -572 +61 -1038 +3116 -1178 +-1148 300 +4243 -6877 +-344 167 +-4322 -552 +-117 -5480 +33 1923 +-2572 -1912 +-3326 1934 +0 0 +-3326 -1934 +-2572 1912 +33 -1923 +-117 5480 +-4322 552 +-344 -167 +4243 6877 +-1148 -300 +3116 1178 +61 1038 +-1998 572 +-126 1311 +-1033 -774 +-14 -1195 +-2377 -2687 +-3072 1024 +-2785 -311 +-586 -1379 +42 361 +7118 -986 +5240 -955 +-3557 -4350 +-1682 -3227 +3196 1748 +6355 1584 +-3152 1437 +-2466 3489 +1316 -912 +-1289 -2116 +1972 529 +2248 -6239 +-2048 0 +-2766 477 +-1354 -2135 +-416 -455 +3940 -3614 +-408 -1625 +-3495 -2386 +3025 -1808 +-3496 2472 +-2467 -1594 +1825 3191 +-3055 6247 +1264 -2181 +489 -2800 +2790 5144 +3092 3667 +-4096 0 +3092 -3667 +2790 -5144 +489 2800 +1264 2181 +-3055 -6247 +1825 -3191 +-2467 1594 +-3496 -2472 +3025 1808 +-3495 2386 +-408 1625 +3940 3614 +-416 455 +-1354 2135 +-2766 -477 +-2048 0 +-640 -1514 +1354 -2874 +1215 -564 +156 5062 +4425 7572 +3495 -1515 +-750 2790 +-600 -424 +191 -1520 +-1825 4494 +-962 3797 +2832 3629 +-1288 -2315 +-2790 1432 +314 -608 +0 0 +314 608 +-2790 -1432 +-1288 2315 +2832 -3629 +-962 -3797 +-1825 -4494 +191 1520 +-600 424 +-750 -2790 +3495 1515 +4425 -7572 +156 -5062 +1215 564 +1354 2874 +-640 1514 +-2048 0 +-2766 477 +-1354 -2135 +-416 -455 +3940 -3614 +-408 -1625 +-3495 -2386 +3025 -1808 +-3496 2472 +-2467 -1594 +1825 3191 +-3055 6247 +1264 -2181 +489 -2800 +2790 5144 +3092 3667 +1024 -1024 +-1044 -1719 +-1299 1415 +5227 3296 +5684 612 +-869 1548 +-1267 -903 +-349 -997 +724 -2172 +3520 3240 +240 5113 +4360 486 +547 3059 +-1204 -966 +-683 -2036 +-1765 3034 +4096 0 +-1765 -3034 +-683 2036 +-1204 966 +547 -3059 +4360 -486 +240 -5113 +3520 -3240 +724 2172 +-349 997 +-1267 903 +-869 -1548 +5684 -612 +5227 -3296 +-1299 -1415 +-1044 1719 +1024 1024 +-2915 5741 +1143 -2263 +77 -1113 +-4236 5180 +-2902 -2873 +3 -4042 +744 -2083 +-724 2172 +-1460 1928 +-3072 -169 +90 3302 +-1995 2734 +1014 2470 +-3257 2885 +-2525 3443 +10240 0 +-2525 -3443 +-3257 -2885 +1014 -2470 +-1995 -2734 +90 -3302 +-3072 169 +-1460 -1928 +-724 -2172 +744 2083 +3 4042 +-2902 2873 +-4236 -5180 +77 1113 +1143 2263 +-2915 -5741 +1024 -1024 +-1044 -1719 +-1299 1415 +5227 3296 +5684 612 +-869 1548 +-1267 -903 +-349 -997 +724 -2172 +3520 3240 +240 5113 +4360 486 +547 3059 +-1204 -966 +-683 -2036 +-1765 3034 +-1024 -2048 +4977 -3409 +153 -5278 +1265 -5439 +5378 4064 +3225 3205 +-1051 -1202 +-1538 916 +2472 424 +3057 805 +1573 -660 +-3378 2134 +3914 2956 +-944 -3577 +-769 -2436 +2052 -167 +-9216 0 +2052 167 +-769 2436 +-944 3577 +3914 -2956 +-3378 -2134 +1573 660 +3057 -805 +2472 -424 +-1538 -916 +-1051 1202 +3225 -3205 +5378 -4064 +1265 5439 +153 5278 +4977 3409 +-1024 2048 +1555 -3030 +-153 -4234 +-3256 -790 +-433 280 +-724 -4575 +1051 29 +-1299 2911 +-424 -2472 +-2675 1381 +-1573 1183 +198 -87 +-4763 1388 +-2177 -1635 +769 2813 +-336 6072 +-1024 0 +-336 -6072 +769 -2813 +-2177 1635 +-4763 -1388 +198 87 +-1573 -1183 +-2675 -1381 +-424 2472 +-1299 -2911 +1051 -29 +-724 4575 +-433 -280 +-3256 790 +-153 4234 +1555 3030 +-1024 -2048 +4977 -3409 +153 -5278 +1265 -5439 +5378 4064 +3225 3205 +-1051 -1202 +-1538 916 +2472 424 +3057 805 +1573 -660 +-3378 2134 +3914 2956 +-944 -3577 +-769 -2436 +2052 -167 +2048 1024 +223 -2103 +-3064 303 +4072 2011 +6564 2564 +-1082 639 +-4407 -1155 +-2533 2170 +-5069 -4644 +-4258 -2320 +-599 2004 +-5531 -2141 +2654 1226 +360 1684 +-3334 1777 +2555 2326 +-1024 0 +2555 -2326 +-3334 -1777 +360 -1684 +2654 -1226 +-5531 2141 +-599 -2004 +-4258 2320 +-5069 4644 +-2533 -2170 +-4407 1155 +-1082 -639 +6564 -2564 +4072 -2011 +-3064 -303 +223 2103 +2048 -1024 +-686 1487 +2584 962 +7977 652 +428 1780 +-1778 -1938 +-1426 -2786 +712 -888 +5069 2596 +-1250 707 +2335 -1848 +583 -2054 +-1455 3118 +1191 3875 +-281 -4609 +-555 -46 +-3072 0 +-555 46 +-281 4609 +1191 -3875 +-1455 -3118 +583 2054 +2335 1848 +-1250 -707 +5069 -2596 +712 888 +-1426 2786 +-1778 1938 +428 -1780 +7977 -652 +2584 -962 +-686 -1487 +2048 1024 +223 -2103 +-3064 303 +4072 2011 +6564 2564 +-1082 639 +-4407 -1155 +-2533 2170 +-5069 -4644 +-4258 -2320 +-599 2004 +-5531 -2141 +2654 1226 +360 1684 +-3334 1777 +2555 2326 +-4096 1024 +3694 1925 +4514 3616 +4527 2650 +-1456 -2644 +-1409 1124 +-98 3001 +-2387 -597 +-300 2172 +-1896 7205 +110 144 +-4920 701 +-1450 4527 +5061 -129 +1889 2889 +1026 1128 +-1024 0 +1026 -1128 +1889 -2889 +5061 129 +-1450 -4527 +-4920 -701 +110 -144 +-1896 -7205 +-300 -2172 +-2387 597 +-98 -3001 +-1409 -1124 +-1456 2644 +4527 -2650 +4514 -3616 +3694 -1925 +-4096 -1024 +-3844 2574 +3550 -1540 +780 3527 +-2889 3492 +1407 -3703 +373 1604 +-924 -162 +-1748 -2172 +-3690 -1283 +815 -2531 +900 -1952 +5794 418 +-553 1843 +-2961 387 +2229 4259 +-3072 0 +2229 -4259 +-2961 -387 +-553 -1843 +5794 -418 +900 1952 +815 2531 +-3690 1283 +-1748 2172 +-924 162 +373 -1604 +1407 3703 +-2889 -3492 +780 -3527 +3550 1540 +-3844 -2574 +-4096 1024 +3694 1925 +4514 3616 +4527 2650 +-1456 -2644 +-1409 1124 +-98 3001 +-2387 -597 +-300 2172 +-1896 7205 +110 144 +-4920 701 +-1450 4527 +5061 -129 +1889 2889 +1026 1128 +2048 0 +-1741 -782 +-326 3285 +5830 3179 +-4424 710 +-4450 3240 +-1345 7107 +1070 2720 +2472 3496 +-5527 -1498 +75 -3035 +715 1620 +-1408 -1494 +6615 109 +2899 46 +-2707 5546 +-2048 0 +-2707 -5546 +2899 -46 +6615 -109 +-1408 1494 +715 -1620 +75 3035 +-5527 1498 +2472 -3496 +1070 -2720 +-1345 -7107 +-4450 -3240 +-4424 -710 +5830 -3179 +-326 -3285 +-1741 782 +2048 0 +-936 -1742 +-458 240 +-330 2176 +928 3386 +-892 671 +3237 -1054 +4769 -1759 +-424 600 +-74 -4533 +-1967 -800 +-45 3490 +808 -2602 +-1649 4047 +-2116 1782 +-648 -1077 +2048 0 +-648 1077 +-2116 -1782 +-1649 -4047 +808 2602 +-45 -3490 +-1967 800 +-74 4533 +-424 -600 +4769 1759 +3237 1054 +-892 -671 +928 -3386 +-330 -2176 +-458 -240 +-936 1742 +2048 0 +-1741 -782 +-326 3285 +5830 3179 +-4424 710 +-4450 3240 +-1345 7107 +1070 2720 +2472 3496 +-5527 -1498 +75 -3035 +715 1620 +-1408 -1494 +6615 109 +2899 46 +-2707 5546 +-2048 0 +-2093 1718 +-2914 -1581 +-1039 -3040 +2492 -614 +-2112 174 +3007 2237 +1283 -147 +2896 -424 +3743 1795 +-943 -1525 +1566 1257 +2712 1278 +843 -4275 +-4093 1349 +-4648 6137 +-2048 0 +-4648 -6137 +-4093 -1349 +843 4275 +2712 -1278 +1566 -1257 +-943 1525 +3743 -1795 +2896 424 +1283 147 +3007 -2237 +-2112 -174 +2492 614 +-1039 3040 +-2914 1581 +-2093 -1718 +-2048 0 +3932 651 +-1550 2365 +4025 2820 +-1292 2062 +-5689 1757 +3674 -345 +-1991 3404 +-2896 2472 +100 -2634 +55 3418 +-1333 4769 +4280 170 +3741 -41 +2765 -566 +-325 328 +-10240 0 +-325 -328 +2765 566 +3741 41 +4280 -170 +-1333 -4769 +55 -3418 +100 2634 +-2896 -2472 +-1991 -3404 +3674 345 +-5689 -1757 +-1292 -2062 +4025 -2820 +-1550 -2365 +3932 -651 +-2048 0 +-2093 1718 +-2914 -1581 +-1039 -3040 +2492 -614 +-2112 174 +3007 2237 +1283 -147 +2896 -424 +3743 1795 +-943 -1525 +1566 1257 +2712 1278 +843 -4275 +-4093 1349 +-4648 6137 +2048 1024 +2173 -1700 +-1063 -2003 +-4036 1653 +5156 -5336 +462 1801 +798 219 +4464 -3423 +-3796 1324 +-1828 -1797 +-1818 -1856 +-442 -1487 +3582 98 +1068 3726 +997 5576 +2503 282 +3072 0 +2503 -282 +997 -5576 +1068 -3726 +3582 -98 +-442 1487 +-1818 1856 +-1828 1797 +-3796 -1324 +4464 3423 +798 -219 +462 -1801 +5156 5336 +-4036 -1653 +-1063 2003 +2173 1700 +2048 -1024 +248 1930 +-3505 1064 +1879 -1603 +1237 -4552 +1207 3068 +-473 -847 +-4554 -1996 +-2348 2772 +-538 -206 +1494 -2867 +-1906 -5989 +-5878 -1794 +-4025 -2035 +3571 -2420 +3324 965 +-3072 0 +3324 -965 +3571 2420 +-4025 2035 +-5878 1794 +-1906 5989 +1494 2867 +-538 206 +-2348 -2772 +-4554 1996 +-473 847 +1207 -3068 +1237 4552 +1879 1603 +-3505 -1064 +248 -1930 +2048 1024 +2173 -1700 +-1063 -2003 +-4036 1653 +5156 -5336 +462 1801 +798 219 +4464 -3423 +-3796 1324 +-1828 -1797 +-1818 -1856 +-442 -1487 +3582 98 +1068 3726 +997 5576 +2503 282 +0 1024 +-227 -2532 +1636 1495 +793 4075 +646 3658 +1196 7563 +3516 694 +3878 120 +300 1924 +-2851 -1719 +114 756 +-4205 3404 +-2140 1684 +74 -3028 +35 -958 +2290 3831 +-1024 0 +2290 -3831 +35 958 +74 3028 +-2140 -1684 +-4205 -3404 +114 -756 +-2851 1719 +300 -1924 +3878 -120 +3516 -694 +1196 -7563 +646 -3658 +793 -4075 +1636 -1495 +-227 2532 +0 -1024 +3356 -536 +-5316 -2123 +-3183 2348 +-1246 3334 +-2513 -3385 +1273 -3850 +1005 2573 +1748 6268 +-1353 -363 +891 183 +-2726 -3378 +-1356 -2884 +4771 2898 +-2147 -3766 +-306 2311 +5120 0 +-306 -2311 +-2147 3766 +4771 -2898 +-1356 2884 +-2726 3378 +891 -183 +-1353 363 +1748 -6268 +1005 -2573 +1273 3850 +-2513 3385 +-1246 -3334 +-3183 -2348 +-5316 2123 +3356 536 +0 1024 +-227 -2532 +1636 1495 +793 4075 +646 3658 +1196 7563 +3516 694 +3878 120 +300 1924 +-2851 -1719 +114 756 +-4205 3404 +-2140 1684 +74 -3028 +35 -958 +2290 3831 +3072 -1024 +1622 313 +-2851 -2430 +-3337 -2643 +776 1056 +6677 1770 +1705 2562 +-3351 3375 +2772 724 +382 -208 +2415 1318 +1791 1725 +-1006 2394 +2655 2485 +-2554 1039 +-441 266 +6144 0 +-441 -266 +-2554 -1039 +2655 -2485 +-1006 -2394 +1791 -1725 +2415 -1318 +382 208 +2772 -724 +-3351 -3375 +1705 -2562 +6677 -1770 +776 -1056 +-3337 2643 +-2851 2430 +1622 -313 +3072 1024 +-3409 342 +-2639 -727 +4518 2732 +-2224 1840 +-2222 -628 +-3637 2162 +-3817 1220 +1324 -724 +3890 1906 +7005 -690 +-3349 -3479 +2454 502 +-940 500 +-7638 -99 +-668 3284 +-4096 0 +-668 -3284 +-7638 99 +-940 -500 +2454 -502 +-3349 3479 +7005 690 +3890 -1906 +1324 724 +-3817 -1220 +-3637 -2162 +-2222 628 +-2224 -1840 +4518 -2732 +-2639 727 +-3409 -342 +3072 -1024 +1622 313 +-2851 -2430 +-3337 -2643 +776 1056 +6677 1770 +1705 2562 +-3351 3375 +2772 724 +382 -208 +2415 1318 +1791 1725 +-1006 2394 +2655 2485 +-2554 1039 +-441 266 +2048 3072 +3121 1673 +-2301 649 +348 823 +-1441 4821 +-2000 1465 +1101 613 +1254 2453 +1148 -2172 +-132 2201 +1807 2795 +-2064 1382 +4346 7098 +-1380 1445 +-5765 -6048 +2199 -4010 +-1024 0 +2199 4010 +-5765 6048 +-1380 -1445 +4346 -7098 +-2064 -1382 +1807 -2795 +-132 -2201 +1148 2172 +1254 -2453 +1101 -613 +-2000 -1465 +-1441 -4821 +348 -823 +-2301 -649 +3121 -1673 +2048 -3072 +1582 1529 +1637 2219 +2712 -4526 +-8 1820 +-3468 1103 +-1545 -4369 +-5393 1102 +-3196 2172 +-1731 1666 +1534 -1255 +613 -1343 +-2898 3639 +5239 516 +3534 -2172 +-901 -668 +1024 0 +-901 668 +3534 2172 +5239 -516 +-2898 -3639 +613 1343 +1534 1255 +-1731 -1666 +-3196 -2172 +-5393 -1102 +-1545 4369 +-3468 -1103 +-8 -1820 +2712 4526 +1637 -2219 +1582 -1529 +2048 3072 +3121 1673 +-2301 649 +348 823 +-1441 4821 +-2000 1465 +1101 613 +1254 2453 +1148 -2172 +-132 2201 +1807 2795 +-2064 1382 +4346 7098 +-1380 1445 +-5765 -6048 +2199 -4010 +3072 1024 +102 -5002 +3044 -463 +-1305 2258 +790 2694 +2842 4251 +-1501 4852 +-386 2366 +300 -5244 +-776 -948 +-5351 8365 +-694 5870 +-3224 92 +69 -518 +2321 2150 +579 1771 +8192 0 +579 -1771 +2321 -2150 +69 518 +-3224 -92 +-694 -5870 +-5351 -8365 +-776 948 +300 5244 +-386 -2366 +-1501 -4852 +2842 -4251 +790 -2694 +-1305 -2258 +3044 463 +102 5002 +3072 -1024 +-2159 -1379 +-267 1366 +1821 -696 +-4886 802 +659 -2203 +940 -624 +-963 363 +1748 -900 +557 3365 +-2777 -1240 +977 -1294 +-872 -692 +-4370 -3584 +3591 1649 +3045 -272 +-2048 0 +3045 272 +3591 -1649 +-4370 3584 +-872 692 +977 1294 +-2777 1240 +557 -3365 +1748 900 +-963 -363 +940 624 +659 2203 +-4886 -802 +1821 696 +-267 -1366 +-2159 1379 +3072 1024 +102 -5002 +3044 -463 +-1305 2258 +790 2694 +2842 4251 +-1501 4852 +-386 2366 +300 -5244 +-776 -948 +-5351 8365 +-694 5870 +-3224 92 +69 -518 +2321 2150 +579 1771 +2048 0 +-288 493 +-99 -1414 +-2549 174 +-484 2002 +-5305 1077 +-5533 -4653 +1216 225 +-2472 6392 +-532 1369 +-227 -5425 +-4035 -1169 +-144 2786 +2048 -2876 +-645 1172 +1870 -719 +6144 0 +1870 719 +-645 -1172 +2048 2876 +-144 -2786 +-4035 1169 +-227 5425 +-532 -1369 +-2472 -6392 +1216 -225 +-5533 4653 +-5305 -1077 +-484 -2002 +-2549 -174 +-99 1414 +-288 -493 +2048 0 +-557 -2166 +-2273 735 +3034 4111 +1084 894 +204 4008 +-1083 -3595 +-393 -4174 +424 -2296 +3284 -3101 +2747 2970 +-2009 901 +3640 110 +-2973 1809 +-1078 3941 +6984 1262 +2048 0 +6984 -1262 +-1078 -3941 +-2973 -1809 +3640 -110 +-2009 -901 +2747 -2970 +3284 3101 +424 2296 +-393 4174 +-1083 3595 +204 -4008 +1084 -894 +3034 -4111 +-2273 -735 +-557 2166 +2048 0 +-288 493 +-99 -1414 +-2549 174 +-484 2002 +-5305 1077 +-5533 -4653 +1216 225 +-2472 6392 +-532 1369 +-227 -5425 +-4035 -1169 +-144 2786 +2048 -2876 +-645 1172 +1870 -719 +-4096 2048 +-2589 774 +-3798 3132 +-4622 1228 +-2122 1952 +2382 1558 +63 1106 +-2201 -44 +1448 4520 +-308 -4161 +318 -990 +936 5378 +-2446 -1832 +2077 520 +2538 -5619 +1199 513 +4096 0 +1199 -513 +2538 5619 +2077 -520 +-2446 1832 +936 -5378 +318 990 +-308 4161 +1448 -4520 +-2201 44 +63 -1106 +2382 -1558 +-2122 -1952 +-4622 -1228 +-3798 -3132 +-2589 -774 +-4096 -2048 +-1861 -5395 +3798 5973 +4189 4132 +2122 -3400 +-208 -2336 +-63 -1302 +-773 -349 +-1448 1624 +3281 -328 +-318 -2599 +-3110 -2060 +2446 384 +-1645 744 +-2538 -5054 +3250 -1039 +4096 0 +3250 1039 +-2538 5054 +-1645 -744 +2446 -384 +-3110 2060 +-318 2599 +3281 328 +-1448 -1624 +-773 349 +-63 1302 +-208 2336 +2122 3400 +4189 -4132 +3798 -5973 +-1861 5395 +-4096 2048 +-2589 774 +-3798 3132 +-4622 1228 +-2122 1952 +2382 1558 +63 1106 +-2201 -44 +1448 4520 +-308 -4161 +318 -990 +936 5378 +-2446 -1832 +2077 520 +2538 -5619 +1199 513 +-2048 -3072 +-848 -4448 +-116 -586 +-100 375 +-262 -582 +579 453 +558 -3789 +-575 -3278 +-724 -2348 +-356 3209 +195 -66 +-6011 -5435 +188 4696 +2587 187 +2646 -5686 +2721 2022 +-5120 0 +2721 -2022 +2646 5686 +2587 -187 +188 -4696 +-6011 5435 +195 66 +-356 -3209 +-724 2348 +-575 3278 +558 3789 +579 -453 +-262 582 +-100 -375 +-116 586 +-848 4448 +-2048 3072 +3994 4782 +4240 623 +1373 2383 +-586 2878 +-1775 -1511 +-3115 189 +-1848 -5422 +724 -3796 +-325 -1133 +-535 -2334 +-2608 1610 +4756 1696 +163 2203 +-3873 -1269 +3031 -4896 +1024 0 +3031 4896 +-3873 1269 +163 -2203 +4756 -1696 +-2608 -1610 +-535 2334 +-325 1133 +724 3796 +-1848 5422 +-3115 -189 +-1775 1511 +-586 -2878 +1373 -2383 +4240 -623 +3994 -4782 +-2048 -3072 +-848 -4448 +-116 -586 +-100 375 +-262 -582 +579 453 +558 -3789 +-575 -3278 +-724 -2348 +-356 3209 +195 -66 +-6011 -5435 +188 4696 +2587 187 +2646 -5686 +2721 2022 +-1024 -1024 +-1028 -1168 +-2311 -1667 +985 -1065 +-2524 672 +-3357 -496 +-1070 -3218 +-3532 -2988 +5244 -3196 +-689 984 +-4368 2530 +1910 4829 +706 442 +2239 -5234 +4512 601 +1730 -3520 +-2048 0 +1730 3520 +4512 -601 +2239 5234 +706 -442 +1910 -4829 +-4368 -2530 +-689 -984 +5244 3196 +-3532 2988 +-1070 3218 +-3357 496 +-2524 -672 +985 1065 +-2311 1667 +-1028 1168 +-1024 1024 +-356 3120 +-3041 35 +1907 1792 +476 3672 +286 -2130 +3286 -3618 +-4274 1456 +900 1148 +3382 -5932 +2151 522 +-1294 4889 +-2754 3902 +3117 4320 +840 -537 +-1025 4455 +0 0 +-1025 -4455 +840 537 +3117 -4320 +-2754 -3902 +-1294 -4889 +2151 -522 +3382 5932 +900 -1148 +-4274 -1456 +3286 3618 +286 2130 +476 -3672 +1907 -1792 +-3041 -35 +-356 -3120 +-1024 -1024 +-1028 -1168 +-2311 -1667 +985 -1065 +-2524 672 +-3357 -496 +-1070 -3218 +-3532 -2988 +5244 -3196 +-689 984 +-4368 2530 +1910 4829 +706 442 +2239 -5234 +4512 601 +1730 -3520 +-1024 -1024 +2382 -395 +-2674 3126 +1957 -51 +-2694 1645 +881 -820 +4747 -3132 +-355 501 +2172 -2772 +-615 -1118 +4459 874 +529 -2938 +92 -5200 +2933 -4643 +-2746 1361 +372 3776 +2048 0 +372 -3776 +-2746 -1361 +2933 4643 +92 5200 +529 2938 +4459 -874 +-615 1118 +2172 2772 +-355 -501 +4747 3132 +881 820 +-2694 -1645 +1957 51 +-2674 -3126 +2382 395 +-1024 1024 +-4304 928 +-406 318 +1944 -6206 +-802 403 +-3173 3064 +3538 -2559 +-564 -1167 +-2172 -1324 +3102 -1556 +42 -3669 +-2022 -2178 +-692 3152 +-3049 2611 +1233 4979 +-18 6333 +-8192 0 +-18 -6333 +1233 -4979 +-3049 -2611 +-692 -3152 +-2022 2178 +42 3669 +3102 1556 +-2172 1324 +-564 1167 +3538 2559 +-3173 -3064 +-802 -403 +1944 6206 +-406 -318 +-4304 -928 +-1024 -1024 +2382 -395 +-2674 3126 +1957 -51 +-2694 1645 +881 -820 +4747 -3132 +-355 501 +2172 -2772 +-615 -1118 +4459 874 +529 -2938 +92 -5200 +2933 -4643 +-2746 1361 +372 3776 +1024 1024 +-2607 1027 +-54 -2084 +-8922 -7374 +2140 -632 +2753 2059 +-2501 -477 +4487 740 +724 124 +4873 298 +2779 -2298 +1582 -2379 +1246 78 +541 2272 +604 3648 +-2534 -1868 +0 0 +-2534 1868 +604 -3648 +541 -2272 +1246 -78 +1582 2379 +2779 2298 +4873 -298 +724 -124 +4487 -740 +-2501 477 +2753 -2059 +2140 632 +-8922 7374 +-54 2084 +-2607 -1027 +1024 -1024 +5033 -73 +-1498 2592 +-403 -53 +1356 -1416 +-1951 -1449 +-2407 1297 +2230 247 +-724 -4220 +-2693 -1896 +-767 -3874 +1639 -2435 +-646 1970 +-1032 -1138 +3844 -1940 +-2997 -2161 +-10240 0 +-2997 2161 +3844 1940 +-1032 1138 +-646 -1970 +1639 2435 +-767 3874 +-2693 1896 +-724 4220 +2230 -247 +-2407 -1297 +-1951 1449 +1356 1416 +-403 53 +-1498 -2592 +5033 73 +1024 1024 +-2607 1027 +-54 -2084 +-8922 -7374 +2140 -632 +2753 2059 +-2501 -477 +4487 740 +724 124 +4873 298 +2779 -2298 +1582 -2379 +1246 78 +541 2272 +604 3648 +-2534 -1868 +-2048 2048 +2276 -524 +-1066 -6223 +2910 267 +-712 254 +-3703 -3397 +2359 -1620 +623 1746 +-600 -3920 +-4125 -882 +-6428 2618 +-1390 -1948 +4639 3086 +3216 -1177 +-3151 -2949 +-545 1155 +4096 0 +-545 -1155 +-3151 2949 +3216 1177 +4639 -3086 +-1390 1948 +-6428 -2618 +-4125 882 +-600 3920 +623 -1746 +2359 1620 +-3703 3397 +-712 -254 +2910 -267 +-1066 6223 +2276 524 +-2048 -2048 +1760 170 +5359 -902 +2961 -384 +6856 -854 +-1736 4890 +-1612 2524 +-1686 740 +-3496 1872 +4538 1801 +-1312 1182 +-2307 -344 +1505 410 +49 -2724 +-2341 -1279 +-2842 -3077 +0 0 +-2842 3077 +-2341 1279 +49 2724 +1505 -410 +-2307 344 +-1312 -1182 +4538 -1801 +-3496 -1872 +-1686 -740 +-1612 -2524 +-1736 -4890 +6856 854 +2961 384 +5359 902 +1760 -170 +-2048 2048 +2276 -524 +-1066 -6223 +2910 267 +-712 254 +-3703 -3397 +2359 -1620 +623 1746 +-600 -3920 +-4125 -882 +-6428 2618 +-1390 -1948 +4639 3086 +3216 -1177 +-3151 -2949 +-545 1155 +-1024 3072 +-707 2414 +3247 789 +-5146 -1087 +-4646 -2298 +-2346 2726 +-3575 -2870 +2037 -1024 +1148 2348 +3852 -354 +3854 2020 +1682 -831 +-1741 -2056 +-1498 -1285 +692 -1137 +-1305 1895 +0 0 +-1305 -1895 +692 1137 +-1498 1285 +-1741 2056 +1682 831 +3854 -2020 +3852 354 +1148 -2348 +2037 1024 +-3575 2870 +-2346 -2726 +-4646 2298 +-5146 1087 +3247 -789 +-707 -2414 +-1024 -3072 +2659 27 +368 -6036 +-1726 2358 +2598 4946 +-592 -6038 +1839 -5703 +5209 -1549 +-3196 3796 +2263 -2219 +1978 -4800 +-1401 -2481 +-307 -3489 +-558 2556 +-212 1682 +-2424 546 +-2048 0 +-2424 -546 +-212 -1682 +-558 -2556 +-307 3489 +-1401 2481 +1978 4800 +2263 2219 +-3196 -3796 +5209 1549 +1839 5703 +-592 6038 +2598 -4946 +-1726 -2358 +368 6036 +2659 -27 +-1024 3072 +-707 2414 +3247 789 +-5146 -1087 +-4646 -2298 +-2346 2726 +-3575 -2870 +2037 -1024 +1148 2348 +3852 -354 +3854 2020 +1682 -831 +-1741 -2056 +-1498 -1285 +692 -1137 +-1305 1895 +-1024 1024 +2215 54 +3283 3204 +-86 -937 +4586 862 +-4009 -340 +-7182 -284 +-422 -458 +1324 -1324 +-1616 -572 +-4691 1131 +1722 -162 +-876 -3308 +-1863 494 +2208 646 +3118 4562 +6144 0 +3118 -4562 +2208 -646 +-1863 -494 +-876 3308 +1722 162 +-4691 -1131 +-1616 572 +1324 1324 +-422 458 +-7182 284 +-4009 340 +4586 -862 +-86 937 +3283 -3204 +2215 -54 +-1024 -1024 +-1695 -2996 +-1770 -648 +6763 -2981 +-1090 1186 +-2989 41 +2682 -943 +-1996 -1141 +2772 -2772 +250 -5252 +-3594 -5255 +3708 -2146 +1476 1260 +-3246 5165 +872 -985 +146 -144 +-4096 0 +146 144 +872 985 +-3246 -5165 +1476 -1260 +3708 2146 +-3594 5255 +250 5252 +2772 2772 +-1996 1141 +2682 943 +-2989 -41 +-1090 -1186 +6763 2981 +-1770 648 +-1695 2996 +-1024 1024 +2215 54 +3283 3204 +-86 -937 +4586 862 +-4009 -340 +-7182 -284 +-422 -458 +1324 -1324 +-1616 -572 +-4691 1131 +1722 -162 +-876 -3308 +-1863 494 +2208 646 +3118 4562 +-5120 3072 +-1801 562 +-384 1477 +-52 -3874 +2154 3534 +-921 3758 +5685 -6159 +2119 -1293 +-1748 3196 +1719 -7400 +-2174 -5384 +1766 190 +-972 -2536 +-1176 1159 +2642 -2097 +1676 430 +4096 0 +1676 -430 +2642 2097 +-1176 -1159 +-972 2536 +1766 -190 +-2174 5384 +1719 7400 +-1748 -3196 +2119 1293 +5685 6159 +-921 -3758 +2154 -3534 +-52 3874 +-384 -1477 +-1801 -562 +-5120 -3072 +263 -3552 +3540 -1152 +3198 -2263 +-1306 3210 +-1182 582 +-961 1591 +-1813 644 +-300 -1148 +-2025 958 +1546 816 +336 -1643 +-3972 -7104 +-1970 -1503 +-1703 2421 +-139 2372 +2048 0 +-139 -2372 +-1703 -2421 +-1970 1503 +-3972 7104 +336 1643 +1546 -816 +-2025 -958 +-300 1148 +-1813 -644 +-961 -1591 +-1182 -582 +-1306 -3210 +3198 2263 +3540 1152 +263 3552 +-5120 3072 +-1801 562 +-384 1477 +-52 -3874 +2154 3534 +-921 3758 +5685 -6159 +2119 -1293 +-1748 3196 +1719 -7400 +-2174 -5384 +1766 190 +-972 -2536 +-1176 1159 +2642 -2097 +1676 430 +-1024 -5120 +2 -2583 +3361 466 +-1118 -3552 +-4142 -1370 +2631 -1828 +-1369 398 +-2060 -43 +1324 -724 +-156 1818 +1863 -2998 +1955 -927 +1540 -2864 +258 -3794 +-1324 1641 +-1276 158 +-2048 0 +-1276 -158 +-1324 -1641 +258 3794 +1540 2864 +1955 927 +1863 2998 +-156 -1818 +1324 724 +-2060 43 +-1369 -398 +2631 1828 +-4142 1370 +-1118 3552 +3361 -466 +2 2583 +-1024 5120 +-2370 2847 +2655 -845 +1074 1021 +-2250 522 +2718 -5675 +-404 4886 +966 7402 +2772 724 +-3214 -5237 +-2986 -4503 +-623 -3810 +756 -2080 +-1101 1631 +-1796 -6614 +2314 3314 +4096 0 +2314 -3314 +-1796 6614 +-1101 -1631 +756 2080 +-623 3810 +-2986 4503 +-3214 5237 +2772 -724 +966 -7402 +-404 -4886 +2718 5675 +-2250 -522 +1074 -1021 +2655 845 +-2370 -2847 +-1024 -5120 +2 -2583 +3361 466 +-1118 -3552 +-4142 -1370 +2631 -1828 +-1369 398 +-2060 -43 +1324 -724 +-156 1818 +1863 -2998 +1955 -927 +1540 -2864 +258 -3794 +-1324 1641 +-1276 158 +5120 2048 +-2438 -2874 +1156 -6214 +2122 705 +-1194 2916 +2677 -4157 +-58 -2228 +-2854 5981 +0 1448 +1426 -180 +-2728 1754 +1025 -1130 +-1638 -240 +-3769 2080 +-685 2297 +-3442 -1468 +-3072 0 +-3442 1468 +-685 -2297 +-3769 -2080 +-1638 240 +1025 1130 +-2728 -1754 +1426 180 +0 -1448 +-2854 -5981 +-58 2228 +2677 4157 +-1194 -2916 +2122 -705 +1156 6214 +-2438 2874 +5120 -2048 +563 -3313 +-712 -1066 +-822 4126 +-2302 -868 +961 2906 +2290 4396 +1965 -2634 +0 -1448 +2568 -7250 +3392 -787 +5153 2646 +1038 -1808 +-1555 3118 +-2655 -2585 +-3581 -1511 +1024 0 +-3581 1511 +-2655 2585 +-1555 -3118 +1038 1808 +5153 -2646 +3392 787 +2568 7250 +0 1448 +1965 2634 +2290 -4396 +961 -2906 +-2302 868 +-822 -4126 +-712 1066 +563 3313 +5120 2048 +-2438 -2874 +1156 -6214 +2122 705 +-1194 2916 +2677 -4157 +-58 -2228 +-2854 5981 +0 1448 +1426 -180 +-2728 1754 +1025 -1130 +-1638 -240 +-3769 2080 +-685 2297 +-3442 -1468 +-2048 3072 +-1136 1089 +-3183 924 +-294 -1509 +-1670 -678 +-678 -2251 +271 155 +1617 3835 +1748 -5668 +-421 -804 +-553 127 +-3904 -3575 +1116 4912 +1292 -1269 +-4196 -1728 +94 109 +3072 0 +94 -109 +-4196 1728 +1292 1269 +1116 -4912 +-3904 3575 +-553 -127 +-421 804 +1748 5668 +1617 -3835 +271 -155 +-678 2251 +-1670 678 +-294 1509 +-3183 -924 +-1136 -1089 +-2048 -3072 +-3330 -8702 +-1725 524 +-3802 4349 +222 -2570 +2732 308 +4178 1293 +2717 5533 +300 1572 +-128 -1284 +-999 -1576 +3417 -3849 +332 4128 +1236 2022 +6208 280 +587 600 +-3072 0 +587 -600 +6208 -280 +1236 -2022 +332 -4128 +3417 3849 +-999 1576 +-128 1284 +300 -1572 +2717 -5533 +4178 -1293 +2732 -308 +222 2570 +-3802 -4349 +-1725 -524 +-3330 8702 +-2048 3072 +-1136 1089 +-3183 924 +-294 -1509 +-1670 -678 +-678 -2251 +271 155 +1617 3835 +1748 -5668 +-421 -804 +-553 127 +-3904 -3575 +1116 4912 +1292 -1269 +-4196 -1728 +94 109 +-1024 -4096 +591 1326 +-1388 1451 +-3840 2293 +-1938 -1592 +-2263 -2229 +-1814 2777 +-224 570 +3920 3920 +6797 -647 +2613 -2422 +2591 3017 +-4050 -2532 +1186 -372 +3853 2450 +-639 -1140 +5120 0 +-639 1140 +3853 -2450 +1186 372 +-4050 2532 +2591 -3017 +2613 2422 +6797 647 +3920 -3920 +-224 -570 +-1814 -2777 +-2263 2229 +-1938 1592 +-3840 -2293 +-1388 -1451 +591 -1326 +-1024 4096 +1500 2609 +2888 -158 +-2902 1446 +738 2192 +-3157 664 +-4726 -64 +2592 -97 +-1872 -1872 +-2484 2449 +-666 -1857 +1500 -5469 +-2942 -964 +1093 -2568 +7432 42 +-2340 611 +-7168 0 +-2340 -611 +7432 -42 +1093 2568 +-2942 964 +1500 5469 +-666 1857 +-2484 -2449 +-1872 1872 +2592 97 +-4726 64 +-3157 -664 +738 -2192 +-2902 -1446 +2888 158 +1500 -2609 +-1024 -4096 +591 1326 +-1388 1451 +-3840 2293 +-1938 -1592 +-2263 -2229 +-1814 2777 +-224 570 +3920 3920 +6797 -647 +2613 -2422 +2591 3017 +-4050 -2532 +1186 -372 +3853 2450 +-639 -1140 +-3072 2048 +1890 1496 +-4919 4011 +-1577 3925 +854 -1808 +2252 1263 +5311 -445 +-4494 -4004 +-2896 -1448 +-39 3135 +-108 877 +2139 -2872 +410 2916 +-4795 3674 +1174 -1038 +6179 -2043 +1024 0 +6179 2043 +1174 1038 +-4795 -3674 +410 -2916 +2139 2872 +-108 -877 +-39 -3135 +-2896 1448 +-4494 4004 +5311 445 +2252 -1263 +854 1808 +-1577 -3925 +-4919 -4011 +1890 -1496 +-3072 -2048 +-1267 -525 +1578 -3412 +-557 1006 +-254 -240 +-2637 -4032 +-4647 -3051 +-1322 -2882 +2896 1448 +1833 -1461 +2340 2619 +1350 2688 +3086 -868 +-1969 6241 +-730 438 +3013 -2411 +-3072 0 +3013 2411 +-730 -438 +-1969 -6241 +3086 868 +1350 -2688 +2340 -2619 +1833 1461 +2896 -1448 +-1322 2882 +-4647 3051 +-2637 4032 +-254 240 +-557 -1006 +1578 3412 +-1267 525 +-3072 2048 +1890 1496 +-4919 4011 +-1577 3925 +854 -1808 +2252 1263 +5311 -445 +-4494 -4004 +-2896 -1448 +-39 3135 +-108 877 +2139 -2872 +410 2916 +-4795 3674 +1174 -1038 +6179 -2043 +1024 0 +2651 4968 +1596 -631 +-1936 2731 +-4124 -854 +1443 -4381 +-787 2109 +-4557 -2737 +3072 424 +-3536 3246 +-5133 2981 +-718 2703 +340 410 +-336 4137 +-948 -309 +1751 -2745 +1024 0 +1751 2745 +-948 309 +-336 -4137 +340 -410 +-718 -2703 +-5133 -2981 +-3536 -3246 +3072 -424 +-4557 2737 +-787 -2109 +1443 4381 +-4124 854 +-1936 -2731 +1596 631 +2651 -4968 +1024 0 +898 2083 +-3984 906 +-2762 677 +1228 254 +5108 2672 +2863 -1037 +-656 -154 +3072 -2472 +-1066 -1672 +1857 5083 +3065 2269 +2557 3086 +1930 159 +-3656 -615 +-1277 8467 +1024 0 +-1277 -8467 +-3656 615 +1930 -159 +2557 -3086 +3065 -2269 +1857 -5083 +-1066 1672 +3072 2472 +-656 154 +2863 1037 +5108 -2672 +1228 -254 +-2762 -677 +-3984 -906 +898 -2083 +1024 0 +2651 4968 +1596 -631 +-1936 2731 +-4124 -854 +1443 -4381 +-787 2109 +-4557 -2737 +3072 424 +-3536 3246 +-5133 2981 +-718 2703 +340 410 +-336 4137 +-948 -309 +1751 -2745 +4096 1024 +-2264 2218 +3624 4031 +-2591 -1459 +-692 -3732 +1490 -4200 +-1056 -5849 +1322 2593 +-6692 6268 +2408 -1009 +3959 -2481 +-4921 -690 +-2694 -1286 +3149 -2539 +1237 -2208 +-2352 564 +1024 0 +-2352 -564 +1237 2208 +3149 2539 +-2694 1286 +-4921 690 +3959 2481 +2408 1009 +-6692 -6268 +1322 -2593 +-1056 5849 +1490 4200 +-692 3732 +-2591 1459 +3624 -4031 +-2264 -2218 +4096 -1024 +294 1328 +-793 4070 +4664 -1144 +92 836 +2251 6047 +1212 1974 +883 1386 +548 1924 +740 -364 +-19 1005 +-1037 320 +-802 -1610 +-3006 -2281 +27 -3676 +-1029 -2369 +-5120 0 +-1029 2369 +27 3676 +-3006 2281 +-802 1610 +-1037 -320 +-19 -1005 +740 364 +548 -1924 +883 -1386 +1212 -1974 +2251 -6047 +92 -836 +4664 1144 +-793 -4070 +294 -1328 +4096 1024 +-2264 2218 +3624 4031 +-2591 -1459 +-692 -3732 +1490 -4200 +-1056 -5849 +1322 2593 +-6692 6268 +2408 -1009 +3959 -2481 +-4921 -690 +-2694 -1286 +3149 -2539 +1237 -2208 +-2352 564 +3072 3072 +-5909 -1768 +-4834 -2315 +1397 -251 +2910 886 +3693 2395 +689 494 +669 617 +-300 300 +2921 4459 +76 2386 +280 -373 +5356 3008 +4430 571 +-337 1330 +-3654 878 +-2048 0 +-3654 -878 +-337 -1330 +4430 -571 +5356 -3008 +280 373 +76 -2386 +2921 -4459 +-300 -300 +669 -617 +689 -494 +3693 -2395 +2910 -886 +1397 251 +-4834 2315 +-5909 1768 +3072 -3072 +-2849 2168 +-2566 3099 +1619 1389 +3234 562 +-1892 -692 +-520 1398 +6958 1392 +-1748 1748 +155 -2450 +-4341 -494 +-6514 2076 +788 -1560 +-3012 566 +3641 -546 +1708 -479 +-8192 0 +1708 479 +3641 546 +-3012 -566 +788 1560 +-6514 -2076 +-4341 494 +155 2450 +-1748 -1748 +6958 -1392 +-520 -1398 +-1892 692 +3234 -562 +1619 -1389 +-2566 -3099 +-2849 -2168 +3072 3072 +-5909 -1768 +-4834 -2315 +1397 -251 +2910 886 +3693 2395 +689 494 +669 617 +-300 300 +2921 4459 +76 2386 +280 -373 +5356 3008 +4430 571 +-337 1330 +-3654 878 +-1024 -4096 +3029 -1902 +-1213 -2110 +-303 906 +-5546 60 +48 646 +2155 -448 +-4368 535 +-1024 5120 +-3610 -3439 +1663 -3690 +-294 2837 +3485 -2616 +8150 -4201 +-1161 -3358 +-768 -4167 +1024 0 +-768 4167 +-1161 3358 +8150 4201 +3485 2616 +-294 -2837 +1663 3690 +-3610 3439 +-1024 -5120 +-4368 -535 +2155 448 +48 -646 +-5546 -60 +-303 -906 +-1213 2110 +3029 1902 +-1024 4096 +-4240 2276 +-4359 -501 +715 3299 +4698 -1508 +-2014 -1025 +1849 2392 +3115 1602 +-1024 5120 +-249 -975 +125 -4255 +-196 1559 +1459 1168 +-314 -803 +941 -950 +1299 388 +-3072 0 +1299 -388 +941 950 +-314 803 +1459 -1168 +-196 -1559 +125 4255 +-249 975 +-1024 -5120 +3115 -1602 +1849 -2392 +-2014 1025 +4698 1508 +715 -3299 +-4359 501 +-4240 -2276 +-1024 -4096 +3029 -1902 +-1213 -2110 +-303 906 +-5546 60 +48 646 +2155 -448 +-4368 535 +-1024 5120 +-3610 -3439 +1663 -3690 +-294 2837 +3485 -2616 +8150 -4201 +-1161 -3358 +-768 -4167 +6144 1024 +576 3095 +3812 737 +4020 1661 +-4346 2634 +2094 -2888 +3222 -8704 +-404 -91 +1748 -124 +1902 1100 +-681 -815 +-2476 -2329 +8 2708 +-1641 -4405 +-3306 1107 +-1131 1270 +-1024 0 +-1131 -1270 +-3306 -1107 +-1641 4405 +8 -2708 +-2476 2329 +-681 815 +1902 -1100 +1748 124 +-404 91 +3222 8704 +2094 2888 +-4346 -2634 +4020 -1661 +3812 -737 +576 -3095 +6144 -1024 +-3640 869 +-5416 2079 +3431 6763 +2898 2310 +209 4398 +-3038 -144 +-426 -2247 +300 4220 +-2401 107 +-703 -1041 +-715 -2399 +1441 -1860 +870 797 +-2082 509 +-269 448 +1024 0 +-269 -448 +-2082 -509 +870 -797 +1441 1860 +-715 2399 +-703 1041 +-2401 -107 +300 -4220 +-426 2247 +-3038 144 +209 -4398 +2898 -2310 +3431 -6763 +-5416 -2079 +-3640 -869 +6144 1024 +576 3095 +3812 737 +4020 1661 +-4346 2634 +2094 -2888 +3222 -8704 +-404 -91 +1748 -124 +1902 1100 +-681 -815 +-2476 -2329 +8 2708 +-1641 -4405 +-3306 1107 +-1131 1270 +2048 -2048 +330 -791 +-3364 -1572 +-157 -346 +877 -25 +369 -1015 +3268 2733 +3715 -3983 +0 424 +-313 2217 +-733 2871 +908 92 +5427 -6316 +2325 3475 +-309 1053 +633 3816 +0 0 +633 -3816 +-309 -1053 +2325 -3475 +5427 6316 +908 -92 +-733 -2871 +-313 -2217 +0 -424 +3715 3983 +3268 -2733 +369 1015 +877 25 +-157 346 +-3364 1572 +330 791 +2048 2048 +-4970 -1802 +348 -1140 +2932 -430 +-8718 625 +-3653 1172 +1965 -241 +-2770 -3082 +0 -2472 +-1961 -1163 +-1603 -1579 +1489 -926 +-1682 2820 +1580 8741 +429 3227 +-457 -689 +4096 0 +-457 689 +429 -3227 +1580 -8741 +-1682 -2820 +1489 926 +-1603 1579 +-1961 1163 +0 2472 +-2770 3082 +1965 241 +-3653 -1172 +-8718 -625 +2932 430 +348 1140 +-4970 1802 +2048 -2048 +330 -791 +-3364 -1572 +-157 -346 +877 -25 +369 -1015 +3268 2733 +3715 -3983 +0 424 +-313 2217 +-733 2871 +908 92 +5427 -6316 +2325 3475 +-309 1053 +633 3816 +0 1024 +3071 -1854 +496 -1109 +2489 -4853 +2948 1780 +-2604 328 +-4952 -475 +-5398 5255 +-3620 -2596 +-1704 1112 +5102 -808 +-566 -2690 +-3178 3118 +2867 -2867 +3282 2320 +1929 5341 +-1024 0 +1929 -5341 +3282 -2320 +2867 2867 +-3178 -3118 +-566 2690 +5102 808 +-1704 -1112 +-3620 2596 +-5398 -5255 +-4952 475 +-2604 -328 +2948 -1780 +2489 4853 +496 1109 +3071 1854 +0 -1024 +1248 -1820 +-37 3002 +1326 1431 +-52 2564 +-3054 2961 +-1508 -309 +35 3002 +3620 4644 +2634 -2745 +1358 24 +-4480 4282 +282 1226 +4022 1141 +-3741 -427 +-1814 874 +1024 0 +-1814 -874 +-3741 427 +4022 -1141 +282 -1226 +-4480 -4282 +1358 -24 +2634 2745 +3620 -4644 +35 -3002 +-1508 309 +-3054 -2961 +-52 -2564 +1326 -1431 +-37 -3002 +1248 1820 +0 1024 +3071 -1854 +496 -1109 +2489 -4853 +2948 1780 +-2604 328 +-4952 -475 +-5398 5255 +-3620 -2596 +-1704 1112 +5102 -808 +-566 -2690 +-3178 3118 +2867 -2867 +3282 2320 +1929 5341 +-2048 -1024 +-1277 -5999 +-697 -1180 +797 -3351 +-1176 1153 +-2196 4176 +-3563 2503 +702 -958 +5668 -300 +-4103 4549 +-5948 -2452 +-892 309 +-2838 2179 +887 -2277 +36 985 +1051 1050 +7168 0 +1051 -1050 +36 -985 +887 2277 +-2838 -2179 +-892 -309 +-5948 2452 +-4103 -4549 +5668 300 +702 958 +-3563 -2503 +-2196 -4176 +-1176 -1153 +797 3351 +-697 1180 +-1277 5999 +-2048 1024 +-468 1043 +1546 -1652 +-2632 -3317 +1176 7288 +4320 -1089 +-1381 -2347 +-376 -105 +-1572 -1748 +-4471 -3156 +1004 -1488 +3881 2098 +2838 -1930 +1627 722 +813 279 +3150 2242 +5120 0 +3150 -2242 +813 -279 +1627 -722 +2838 1930 +3881 -2098 +1004 1488 +-4471 3156 +-1572 1748 +-376 105 +-1381 2347 +4320 1089 +1176 -7288 +-2632 3317 +1546 1652 +-468 -1043 +-2048 -1024 +-1277 -5999 +-697 -1180 +797 -3351 +-1176 1153 +-2196 4176 +-3563 2503 +702 -958 +5668 -300 +-4103 4549 +-5948 -2452 +-892 309 +-2838 2179 +887 -2277 +36 985 +1051 1050 +-5120 2048 +-3486 1363 +4720 908 +-2132 3577 +-6930 2928 +-4642 -1597 +3186 2295 +1288 193 +-1024 -1624 +23 1506 +-688 4570 +3587 2265 +-1903 -4156 +434 -1279 +2699 -3109 +478 -1333 +5120 0 +478 1333 +2699 3109 +434 1279 +-1903 4156 +3587 -2265 +-688 -4570 +23 -1506 +-1024 1624 +1288 -193 +3186 -2295 +-4642 1597 +-6930 -2928 +-2132 -3577 +4720 -908 +-3486 -1363 +-5120 -2048 +1078 -475 +-4240 -3908 +1567 1022 +4882 6712 +1801 -2437 +2646 1165 +322 -2690 +-1024 -4520 +-1632 1790 +-1048 -1111 +-746 -507 +-145 -2588 +131 86 +917 109 +1930 -3572 +1024 0 +1930 3572 +917 -109 +131 -86 +-145 2588 +-746 507 +-1048 1111 +-1632 -1790 +-1024 4520 +322 2690 +2646 -1165 +1801 2437 +4882 -6712 +1567 -1022 +-4240 3908 +1078 475 +-5120 2048 +-3486 1363 +4720 908 +-2132 3577 +-6930 2928 +-4642 -1597 +3186 2295 +1288 193 +-1024 -1624 +23 1506 +-688 4570 +3587 2265 +-1903 -4156 +434 -1279 +2699 -3109 +478 -1333 +-2048 -3072 +-209 -3417 +4795 2541 +-913 -669 +-1710 -646 +919 649 +951 912 +-2456 -2470 +-6268 -3196 +-3551 -859 +-4867 319 +-163 -2264 +1636 -2140 +-4862 1882 +1425 -1721 +4819 4175 +1024 0 +4819 -4175 +1425 1721 +-4862 -1882 +1636 2140 +-163 2264 +-4867 -319 +-3551 859 +-6268 3196 +-2456 2470 +951 -912 +919 -649 +-1710 646 +-913 669 +4795 -2541 +-209 3417 +-2048 3072 +-1304 -1550 +918 -1876 +2496 -794 +-2035 1246 +2315 -2676 +5001 -1356 +2839 -4107 +-1924 1148 +-617 3418 +115 -3659 +-4638 885 +6204 -1356 +4847 -2695 +-145 -511 +479 -7 +-5120 0 +479 7 +-145 511 +4847 2695 +6204 1356 +-4638 -885 +115 3659 +-617 -3418 +-1924 -1148 +2839 4107 +5001 1356 +2315 2676 +-2035 -1246 +2496 794 +918 1876 +-1304 1550 +-2048 -3072 +-209 -3417 +4795 2541 +-913 -669 +-1710 -646 +919 649 +951 912 +-2456 -2470 +-6268 -3196 +-3551 -859 +-4867 319 +-163 -2264 +1636 -2140 +-4862 1882 +1425 -1721 +4819 4175 +-1024 2048 +6005 -4710 +1498 -1420 +-4374 366 +-3556 -554 +-7132 610 +1836 -4583 +4343 -490 +2896 2896 +709 -2873 +611 -483 +372 -870 +-3216 -1338 +2411 2650 +1688 -772 +-1237 -2430 +1024 0 +-1237 2430 +1688 772 +2411 -2650 +-3216 1338 +372 870 +611 483 +709 2873 +2896 -2896 +4343 490 +1836 4583 +-7132 -610 +-3556 554 +-4374 -366 +1498 1420 +6005 4710 +-1024 -2048 +1332 -755 +-1342 3468 +1759 1592 +-1988 554 +-4024 -1437 +-572 2535 +-4381 2737 +-2896 -2896 +-2208 2224 +2220 2531 +-2816 -2853 +568 1338 +8012 2203 +2253 -1276 +1229 -139 +1024 0 +1229 139 +2253 1276 +8012 -2203 +568 -1338 +-2816 2853 +2220 -2531 +-2208 -2224 +-2896 2896 +-4381 -2737 +-572 -2535 +-4024 1437 +-1988 -554 +1759 -1592 +-1342 -3468 +1332 755 +-1024 2048 +6005 -4710 +1498 -1420 +-4374 366 +-3556 -554 +-7132 610 +1836 -4583 +4343 -490 +2896 2896 +709 -2873 +611 -483 +372 -870 +-3216 -1338 +2411 2650 +1688 -772 +-1237 -2430 +2048 -1024 +2138 866 +1387 3076 +732 -162 +3078 6818 +1692 -1938 +1297 -4769 +1654 -4692 +-2772 300 +-1178 1538 +3231 453 +407 8204 +-2044 432 +-700 -47 +-238 3375 +-2882 880 +-5120 0 +-2882 -880 +-238 -3375 +-700 47 +-2044 -432 +407 -8204 +3231 -453 +-1178 -1538 +-2772 -300 +1654 4692 +1297 4769 +1692 1938 +3078 -6818 +732 162 +1387 -3076 +2138 -866 +2048 1024 +1673 -6628 +-1727 1633 +-594 258 +-1030 -426 +-3495 -2550 +2827 -4863 +2680 694 +-1324 1748 +1307 184 +-4459 -3093 +-5284 302 +4092 1865 +1449 -848 +-2318 134 +400 1477 +1024 0 +400 -1477 +-2318 -134 +1449 848 +4092 -1865 +-5284 -302 +-4459 3093 +1307 -184 +-1324 -1748 +2680 -694 +2827 4863 +-3495 2550 +-1030 426 +-594 -258 +-1727 -1633 +1673 6628 +2048 -1024 +2138 866 +1387 3076 +732 -162 +3078 6818 +1692 -1938 +1297 -4769 +1654 -4692 +-2772 300 +-1178 1538 +3231 453 +407 8204 +-2044 432 +-700 -47 +-238 3375 +-2882 880 +4096 -1024 +239 -3142 +-3308 2213 +794 -1176 +-3778 -3238 +304 3246 +3353 8 +-4398 2019 +724 1148 +3438 4743 +1202 -785 +2718 -4405 +-3548 2668 +-2441 -4383 +4335 310 +-254 -1259 +-5120 0 +-254 1259 +4335 -310 +-2441 4383 +-3548 -2668 +2718 4405 +1202 785 +3438 -4743 +724 -1148 +-4398 -2019 +3353 -8 +304 -3246 +-3778 3238 +794 1176 +-3308 -2213 +239 3142 +4096 1024 +-1687 -3198 +4 -5045 +654 -76 +-318 1789 +1145 1052 +912 148 +2950 -1391 +-724 -3196 +-4886 -2578 +-1371 -3155 +-1269 -4897 +-548 -4116 +3889 -4676 +3065 954 +-1194 2249 +-3072 0 +-1194 -2249 +3065 -954 +3889 4676 +-548 4116 +-1269 4897 +-1371 3155 +-4886 2578 +-724 3196 +2950 1391 +912 -148 +1145 -1052 +-318 -1789 +654 76 +4 5045 +-1687 3198 +4096 -1024 +239 -3142 +-3308 2213 +794 -1176 +-3778 -3238 +304 3246 +3353 8 +-4398 2019 +724 1148 +3438 4743 +1202 -785 +2718 -4405 +-3548 2668 +-2441 -4383 +4335 310 +-254 -1259 +-1024 0 +478 -3515 +-3678 5103 +-301 -4291 +-2642 -5148 +-8353 -390 +-362 1433 +834 1632 +-2896 848 +102 3526 +511 -1624 +-175 323 +-190 684 +208 -2162 +1803 814 +660 -514 +-1024 0 +660 514 +1803 -814 +208 2162 +-190 -684 +-175 -323 +511 1624 +102 -3526 +-2896 -848 +834 -1632 +-362 -1433 +-8353 390 +-2642 5148 +-301 4291 +-3678 -5103 +478 3515 +-1024 0 +2467 -2785 +5282 1697 +-4814 -1118 +-3750 204 +817 -1002 +178 2232 +3012 -4527 +2896 -4944 +3412 499 +872 2393 +-1866 4287 +2486 -1533 +2899 2755 +3585 3090 +621 1133 +-5120 0 +621 -1133 +3585 -3090 +2899 -2755 +2486 1533 +-1866 -4287 +872 -2393 +3412 -499 +2896 4944 +3012 4527 +178 -2232 +817 1002 +-3750 -204 +-4814 1118 +5282 -1697 +2467 2785 +-1024 0 +478 -3515 +-3678 5103 +-301 -4291 +-2642 -5148 +-8353 -390 +-362 1433 +834 1632 +-2896 848 +102 3526 +511 -1624 +-175 323 +-190 684 +208 -2162 +1803 814 +660 -514 +1024 3072 +-369 2503 +258 534 +157 3065 +717 5600 +815 4131 +1367 -379 +-1990 -525 +2348 1748 +2292 375 +-7383 3434 +1837 4898 +-3622 -4246 +-175 -6448 +4474 -977 +-2053 -1089 +4096 0 +-2053 1089 +4474 977 +-175 6448 +-3622 4246 +1837 -4898 +-7383 -3434 +2292 -375 +2348 -1748 +-1990 525 +1367 379 +815 -4131 +717 -5600 +157 -3065 +258 -534 +-369 -2503 +1024 -3072 +-2407 1305 +471 -39 +2117 -4401 +-717 -2103 +-991 1901 +-3975 3239 +-407 1635 +3796 300 +993 2064 +-2793 626 +2803 245 +3622 3647 +-770 -1569 +-610 -5520 +-1852 433 +-2048 0 +-1852 -433 +-610 5520 +-770 1569 +3622 -3647 +2803 -245 +-2793 -626 +993 -2064 +3796 -300 +-407 -1635 +-3975 -3239 +-991 -1901 +-717 2103 +2117 4401 +471 39 +-2407 -1305 +1024 3072 +-369 2503 +258 534 +157 3065 +717 5600 +815 4131 +1367 -379 +-1990 -525 +2348 1748 +2292 375 +-7383 3434 +1837 4898 +-3622 -4246 +-175 -6448 +4474 -977 +-2053 -1089 +-2048 -1024 +3813 541 +594 -2997 +-1529 673 +-1006 -1306 +-87 2195 +5641 8672 +3477 -3520 +-900 -724 +-1017 2471 +3909 -2364 +990 1059 +-2224 3972 +-3874 1869 +429 -3061 +5033 1441 +1024 0 +5033 -1441 +429 3061 +-3874 -1869 +-2224 -3972 +990 -1059 +3909 2364 +-1017 -2471 +-900 724 +3477 3520 +5641 -8672 +-87 -2195 +-1006 1306 +-1529 -673 +594 2997 +3813 -541 +-2048 1024 +-299 -2344 +-778 -2496 +2431 1809 +2454 2154 +-2433 2140 +-253 -933 +-1442 3382 +-5244 724 +-7258 -1722 +-2305 3111 +-717 -1189 +776 972 +-574 1942 +955 -1232 +3485 3436 +-1024 0 +3485 -3436 +955 1232 +-574 -1942 +776 -972 +-717 1189 +-2305 -3111 +-7258 1722 +-5244 -724 +-1442 -3382 +-253 933 +-2433 -2140 +2454 -2154 +2431 -1809 +-778 2496 +-299 2344 +-2048 -1024 +3813 541 +594 -2997 +-1529 673 +-1006 -1306 +-87 2195 +5641 8672 +3477 -3520 +-900 -724 +-1017 2471 +3909 -2364 +990 1059 +-2224 3972 +-3874 1869 +429 -3061 +5033 1441 +-2048 1024 +-1104 -2682 +-3340 -3498 +185 1728 +932 1585 +-3199 173 +483 96 +3871 224 +2348 -1572 +-3261 -2120 +-1765 -2769 +-1224 1023 +1826 5430 +1456 2525 +-490 1002 +1431 -1316 +-1024 0 +1431 1316 +-490 -1002 +1456 -2525 +1826 -5430 +-1224 -1023 +-1765 2769 +-3261 2120 +2348 1572 +3871 -224 +483 -96 +-3199 -173 +932 -1585 +185 -1728 +-3340 3498 +-1104 2682 +-2048 -1024 +-733 879 +-2508 1790 +2934 754 +1716 -3633 +-1538 4161 +4594 6076 +3516 -362 +3796 5668 +337 2869 +-4512 1948 +-720 -1153 +3718 -3382 +-3687 1286 +-655 -1511 +1736 6194 +-7168 0 +1736 -6194 +-655 1511 +-3687 -1286 +3718 3382 +-720 1153 +-4512 -1948 +337 -2869 +3796 -5668 +3516 362 +4594 -6076 +-1538 -4161 +1716 3633 +2934 -754 +-2508 -1790 +-733 -879 +-2048 1024 +-1104 -2682 +-3340 -3498 +185 1728 +932 1585 +-3199 173 +483 96 +3871 224 +2348 -1572 +-3261 -2120 +-1765 -2769 +-1224 1023 +1826 5430 +1456 2525 +-490 1002 +1431 -1316 +1024 -1024 +2562 2667 +2835 3659 +1319 964 +3257 -4202 +-2407 -3984 +-1711 128 +236 -5848 +724 -724 +5658 498 +-2590 -1259 +-1472 4673 +5805 1076 +554 -2063 +-2015 -5037 +447 353 +2048 0 +447 -353 +-2015 5037 +554 2063 +5805 -1076 +-1472 -4673 +-2590 1259 +5658 -498 +724 724 +236 5848 +-1711 -128 +-2407 3984 +3257 4202 +1319 -964 +2835 -3659 +2562 -2667 +1024 1024 +-1243 184 +1133 -1990 +3082 911 +-5554 -742 +-1185 -2108 +-2110 3109 +-6672 -1544 +-724 724 +-3006 -529 +-581 -4194 +3496 -1188 +588 -1924 +-3388 1930 +-3153 -1984 +2018 -1728 +4096 0 +2018 1728 +-3153 1984 +-3388 -1930 +588 1924 +3496 1188 +-581 4194 +-3006 529 +-724 -724 +-6672 1544 +-2110 -3109 +-1185 2108 +-5554 742 +3082 -911 +1133 1990 +-1243 -184 +1024 -1024 +2562 2667 +2835 3659 +1319 964 +3257 -4202 +-2407 -3984 +-1711 128 +236 -5848 +724 -724 +5658 498 +-2590 -1259 +-1472 4673 +5805 1076 +554 -2063 +-2015 -5037 +447 353 +2048 4096 +533 -267 +-3312 -3813 +2354 -2502 +184 834 +-3365 -4555 +-1988 -1104 +-1859 1003 +4096 -9464 +2248 -1819 +1588 -1969 +-883 -3230 +-1604 2726 +1609 -174 +2175 2014 +-788 -3486 +-6144 0 +-788 3486 +2175 -2014 +1609 174 +-1604 -2726 +-883 3230 +1588 1969 +2248 1819 +4096 9464 +-1859 -1003 +-1988 1104 +-3365 4555 +184 -834 +2354 2502 +-3312 3813 +533 267 +2048 -4096 +576 -1072 +-472 133 +322 737 +-1384 3510 +689 -1871 +420 -3685 +751 145 +4096 -776 +-3356 -3346 +-21 2974 +-1793 6251 +-5388 1618 +1067 -336 +1610 99 +1896 4026 +2048 0 +1896 -4026 +1610 -99 +1067 336 +-5388 -1618 +-1793 -6251 +-21 -2974 +-3356 3346 +4096 776 +751 -145 +420 3685 +689 1871 +-1384 -3510 +322 -737 +-472 -133 +576 1072 +2048 4096 +533 -267 +-3312 -3813 +2354 -2502 +184 834 +-3365 -4555 +-1988 -1104 +-1859 1003 +4096 -9464 +2248 -1819 +1588 -1969 +-883 -3230 +-1604 2726 +1609 -174 +2175 2014 +-788 -3486 +-1024 -2048 +-180 502 +-1646 -3743 +-716 636 +-3196 1033 +-3837 -261 +1762 4179 +-1808 -591 +-2896 0 +-234 490 +2473 6943 +941 5380 +1148 -8259 +-389 -1505 +3117 2754 +4170 -1625 +-3072 0 +4170 1625 +3117 -2754 +-389 1505 +1148 8259 +941 -5380 +2473 -6943 +-234 -490 +-2896 0 +-1808 591 +1762 -4179 +-3837 261 +-3196 -1033 +-716 -636 +-1646 3743 +-180 -502 +-1024 2048 +2960 -1286 +-1214 -2818 +-3100 -2528 +-3196 -4778 +-981 -1631 +4735 -3172 +2413 -193 +2896 0 +1637 294 +-1977 2753 +-3484 -3488 +1148 418 +-21 3397 +943 -626 +2627 2408 +-3072 0 +2627 -2408 +943 626 +-21 -3397 +1148 -418 +-3484 3488 +-1977 -2753 +1637 -294 +2896 0 +2413 193 +4735 3172 +-981 1631 +-3196 4778 +-3100 2528 +-1214 2818 +2960 1286 +-1024 -2048 +-180 502 +-1646 -3743 +-716 636 +-3196 1033 +-3837 -261 +1762 4179 +-1808 -591 +-2896 0 +-234 490 +2473 6943 +941 5380 +1148 -8259 +-389 -1505 +3117 2754 +4170 -1625 +0 -1024 +-1640 -4668 +1315 -1805 +526 2969 +-3404 -273 +1069 4078 +1562 2676 +2147 -3129 +-3196 724 +-892 -948 +187 639 +-6588 981 +-1402 -4286 +3271 108 +-981 2023 +-663 -880 +3072 0 +-663 880 +-981 -2023 +3271 -108 +-1402 4286 +-6588 -981 +187 -639 +-892 948 +-3196 -724 +2147 3129 +1562 -2676 +1069 -4078 +-3404 273 +526 -2969 +1315 1805 +-1640 4668 +0 1024 +-4039 391 +2845 697 +3092 -57 +-4188 -2624 +-5685 -799 +-518 0 +2167 -450 +1148 -724 +-287 -2631 +-2927 2036 +3636 2298 +-3294 1390 +678 2805 +6709 -3132 +3206 -3397 +9216 0 +3206 3397 +6709 3132 +678 -2805 +-3294 -1390 +3636 -2298 +-2927 -2036 +-287 2631 +1148 724 +2167 450 +-518 0 +-5685 799 +-4188 2624 +3092 57 +2845 -697 +-4039 -391 +0 -1024 +-1640 -4668 +1315 -1805 +526 2969 +-3404 -273 +1069 4078 +1562 2676 +2147 -3129 +-3196 724 +-892 -948 +187 639 +-6588 981 +-1402 -4286 +3271 108 +-981 2023 +-663 -880 +0 2048 +6843 -1006 +2994 2581 +1940 567 +4822 -6681 +-1912 -2535 +-1124 -2914 +-503 875 +1024 3496 +389 -2985 +-2255 2885 +-2897 -26 +-2761 -4464 +1186 81 +4269 -1914 +-1459 2294 +-8192 0 +-1459 -2294 +4269 1914 +1186 -81 +-2761 4464 +-2897 26 +-2255 -2885 +389 2985 +1024 -3496 +-503 -875 +-1124 2914 +-1912 2535 +4822 6681 +1940 -567 +2994 -2581 +6843 1006 +0 -2048 +1053 135 +2734 4190 +-207 3144 +-5422 888 +1176 1797 +-1617 -73 +-6028 -2178 +1024 600 +-1426 1681 +3299 2320 +497 -713 +-735 -1329 +216 3630 +-109 494 +1132 -3165 +-4096 0 +1132 3165 +-109 -494 +216 -3630 +-735 1329 +497 713 +3299 -2320 +-1426 -1681 +1024 -600 +-6028 2178 +-1617 73 +1176 -1797 +-5422 -888 +-207 -3144 +2734 -4190 +1053 -135 +0 2048 +6843 -1006 +2994 2581 +1940 567 +4822 -6681 +-1912 -2535 +-1124 -2914 +-503 875 +1024 3496 +389 -2985 +-2255 2885 +-2897 -26 +-2761 -4464 +1186 81 +4269 -1914 +-1459 2294 +2048 0 +-215 -1539 +811 5158 +382 1466 +2102 144 +5396 546 +516 4257 +-892 4423 +0 -3920 +1399 2941 +-172 -692 +1396 -4088 +-690 1084 +-1013 -1224 +-2552 2956 +-4581 -1512 +0 0 +-4581 1512 +-2552 -2956 +-1013 1224 +-690 -1084 +1396 4088 +-172 692 +1399 -2941 +0 3920 +-892 -4423 +516 -4257 +5396 -546 +2102 -144 +382 -1466 +811 -5158 +-215 1539 +2048 0 +-3558 -1927 +637 1706 +3255 -3775 +1643 -3640 +1285 5313 +-1964 2460 +-838 -3275 +0 1872 +1219 -2161 +-1277 -5376 +-3614 -829 +-7150 -484 +-1296 2123 +4000 -684 +1673 -4721 +4096 0 +1673 4721 +4000 684 +-1296 -2123 +-7150 484 +-3614 829 +-1277 5376 +1219 2161 +0 -1872 +-838 3275 +-1964 -2460 +1285 -5313 +1643 3640 +3255 3775 +637 -1706 +-3558 1927 +2048 0 +-215 -1539 +811 5158 +382 1466 +2102 144 +5396 546 +516 4257 +-892 4423 +0 -3920 +1399 2941 +-172 -692 +1396 -4088 +-690 1084 +-1013 -1224 +-2552 2956 +-4581 -1512 +1024 -6144 +132 2917 +3042 6445 +1286 3098 +854 4520 +3135 2555 +-2734 -699 +-1678 -2593 +-1448 6392 +-2563 1015 +2185 528 +996 6395 +410 -1624 +-936 2974 +-2304 -159 +1374 -1753 +3072 0 +1374 1753 +-2304 159 +-936 -2974 +410 1624 +996 -6395 +2185 -528 +-2563 -1015 +-1448 -6392 +-1678 2593 +-2734 699 +3135 -2555 +854 -4520 +1286 -3098 +3042 -6445 +132 -2917 +1024 6144 +-2588 3714 +-3078 -1212 +367 59 +-254 4520 +-1336 -987 +-866 579 +2337 357 +1448 -2296 +336 -1242 +215 -3544 +990 2533 +3086 -1624 +-4502 -4042 +-4652 2495 +2650 -1192 +3072 0 +2650 1192 +-4652 -2495 +-4502 4042 +3086 1624 +990 -2533 +215 3544 +336 1242 +1448 2296 +2337 -357 +-866 -579 +-1336 987 +-254 -4520 +367 -59 +-3078 1212 +-2588 -3714 +1024 -6144 +132 2917 +3042 6445 +1286 3098 +854 4520 +3135 2555 +-2734 -699 +-1678 -2593 +-1448 6392 +-2563 1015 +2185 528 +996 6395 +410 -1624 +-936 2974 +-2304 -159 +1374 -1753 +1024 -4096 +-5436 591 +578 877 +776 1078 +2520 5318 +-2157 -1688 +-3662 -1705 +1467 650 +-4520 -7416 +-740 -1619 +2160 2008 +3417 -3340 +-1723 -1298 +-1601 292 +1381 -1210 +1332 -3048 +7168 0 +1332 3048 +1381 1210 +-1601 -292 +-1723 1298 +3417 3340 +2160 -2008 +-740 1619 +-4520 7416 +1467 -650 +-3662 1705 +-2157 1688 +2520 -5318 +776 -1078 +578 -877 +-5436 -591 +1024 4096 +826 4452 +1810 1615 +1361 -14 +-6616 1075 +554 -2553 +1586 -2575 +-1784 932 +-1624 1272 +-3407 2313 +1116 705 +4866 3564 +-2373 3595 +-1424 -557 +3224 2502 +1949 1411 +3072 0 +1949 -1411 +3224 -2502 +-1424 557 +-2373 -3595 +4866 -3564 +1116 -705 +-3407 -2313 +-1624 -1272 +-1784 -932 +1586 2575 +554 2553 +-6616 -1075 +1361 14 +1810 -1615 +826 -4452 +1024 -4096 +-5436 591 +578 877 +776 1078 +2520 5318 +-2157 -1688 +-3662 -1705 +1467 650 +-4520 -7416 +-740 -1619 +2160 2008 +3417 -3340 +-1723 -1298 +-1601 292 +1381 -1210 +1332 -3048 +-2048 -1024 +3501 579 +287 1206 +-5330 4391 +-417 236 +659 -860 +-2810 2163 +-3573 236 +3796 7716 +-851 2138 +-4675 -3890 +1665 3231 +5370 1886 +990 3657 +-134 1629 +754 -4847 +-3072 0 +754 4847 +-134 -1629 +990 -3657 +5370 -1886 +1665 -3231 +-4675 3890 +-851 -2138 +3796 -7716 +-3573 -236 +-2810 -2163 +659 860 +-417 -236 +-5330 -4391 +287 -1206 +3501 -579 +-2048 1024 +2980 1817 +-443 2578 +2284 -1329 +1016 -4332 +-1865 -4147 +1546 -3730 +-3388 385 +2348 476 +2459 2058 +1844 2323 +1757 2907 +-1874 2210 +-161 -1037 +-3806 2155 +-1883 -766 +3072 0 +-1883 766 +-3806 -2155 +-161 1037 +-1874 -2210 +1757 -2907 +1844 -2323 +2459 -2058 +2348 -476 +-3388 -385 +1546 3730 +-1865 4147 +1016 4332 +2284 1329 +-443 -2578 +2980 -1817 +-2048 -1024 +3501 579 +287 1206 +-5330 4391 +-417 236 +659 -860 +-2810 2163 +-3573 236 +3796 7716 +-851 2138 +-4675 -3890 +1665 3231 +5370 1886 +990 3657 +-134 1629 +754 -4847 +2048 -4096 +-1060 4184 +338 -1361 +115 -3417 +-2388 -3835 +3095 919 +-149 -1936 +2106 1574 +4944 4520 +-819 -1948 +887 -2152 +-1986 -6435 +-3276 -6186 +-1888 -1159 +533 126 +1525 -2854 +-2048 0 +1525 2854 +533 -126 +-1888 1159 +-3276 6186 +-1986 6435 +887 2152 +-819 1948 +4944 -4520 +2106 -1574 +-149 1936 +3095 -919 +-2388 3835 +115 3417 +338 1361 +-1060 -4184 +2048 4096 +-477 6528 +770 -2099 +3031 1144 +-4605 -510 +-5197 772 +2825 -1065 +-1800 459 +-848 1624 +513 -2626 +-3563 -849 +4088 -404 +2076 1842 +-1259 -1451 +-1641 -3586 +12 -1233 +6144 0 +12 1233 +-1641 3586 +-1259 1451 +2076 -1842 +4088 404 +-3563 849 +513 2626 +-848 -1624 +-1800 -459 +2825 1065 +-5197 -772 +-4605 510 +3031 -1144 +770 2099 +-477 -6528 +2048 -4096 +-1060 4184 +338 -1361 +115 -3417 +-2388 -3835 +3095 919 +-149 -1936 +2106 1574 +4944 4520 +-819 -1948 +887 -2152 +-1986 -6435 +-3276 -6186 +-1888 -1159 +533 126 +1525 -2854 +2048 0 +3148 -1475 +89 2326 +1819 -2511 +6232 -1578 +1575 -1022 +-925 -425 +-111 -1821 +2472 3496 +-2008 1123 +-7327 -5746 +1688 4050 +4324 -314 +5468 -2808 +1846 1572 +-4288 -2951 +-2048 0 +-4288 2951 +1846 -1572 +5468 2808 +4324 314 +1688 -4050 +-7327 5746 +-2008 -1123 +2472 -3496 +-111 1821 +-925 425 +1575 1022 +6232 1578 +1819 2511 +89 -2326 +3148 1475 +2048 0 +-1834 -2506 +-1473 -1818 +78 -5161 +-687 -470 +1221 -1079 +-680 1245 +-460 -1218 +-424 600 +1250 5653 +1939 -426 +-5372 2746 +-1677 2362 +-684 -1759 +-1662 136 +-1490 2993 +-6144 0 +-1490 -2993 +-1662 -136 +-684 1759 +-1677 -2362 +-5372 -2746 +1939 426 +1250 -5653 +-424 -600 +-460 1218 +-680 -1245 +1221 1079 +-687 470 +78 5161 +-1473 1818 +-1834 2506 +2048 0 +3148 -1475 +89 2326 +1819 -2511 +6232 -1578 +1575 -1022 +-925 -425 +-111 -1821 +2472 3496 +-2008 1123 +-7327 -5746 +1688 4050 +4324 -314 +5468 -2808 +1846 1572 +-4288 -2951 +-2048 3072 +357 -98 +-341 -4206 +8827 3487 +5480 3224 +1204 127 +2048 3506 +2912 -3671 +1148 724 +-4383 2527 +243 -2603 +1086 -2074 +-986 -4886 +-734 543 +-2167 2486 +763 4136 +3072 0 +763 -4136 +-2167 -2486 +-734 -543 +-986 4886 +1086 2074 +243 2603 +-4383 -2527 +1148 -724 +2912 3671 +2048 -3506 +1204 -127 +5480 -3224 +8827 -3487 +-341 4206 +357 98 +-2048 -3072 +-3436 2651 +2233 1270 +-992 -111 +912 872 +-414 432 +-1264 3005 +-509 -4175 +-3196 -724 +-917 4188 +-1027 -774 +1021 -1702 +-1311 790 +-4205 897 +275 -7119 +-580 -1007 +-3072 0 +-580 1007 +275 7119 +-4205 -897 +-1311 -790 +1021 1702 +-1027 774 +-917 -4188 +-3196 724 +-509 4175 +-1264 -3005 +-414 -432 +912 -872 +-992 111 +2233 -1270 +-3436 -2651 +-2048 3072 +357 -98 +-341 -4206 +8827 3487 +5480 3224 +1204 127 +2048 3506 +2912 -3671 +1148 724 +-4383 2527 +243 -2603 +1086 -2074 +-986 -4886 +-734 543 +-2167 2486 +763 4136 +-1024 1024 +1692 -1387 +5395 1648 +3378 2184 +3054 -2394 +251 -6529 +5 879 +3895 1069 +-3372 2772 +309 -3219 +2337 -597 +-4188 8328 +4272 -1840 +1168 -1381 +-3669 -2452 +835 -2140 +0 0 +835 2140 +-3669 2452 +1168 1381 +4272 1840 +-4188 -8328 +2337 597 +309 3219 +-3372 -2772 +3895 -1069 +5 -879 +251 6529 +3054 2394 +3378 -2184 +5395 -1648 +1692 1387 +-1024 -1024 +-1416 -2393 +1849 1248 +3408 -5220 +-406 -502 +-180 3415 +-1438 2017 +-5169 2653 +-4820 1324 +-1252 628 +-904 -2300 +-1235 -1995 +1272 -1056 +-2603 -399 +-3575 -444 +1105 238 +2048 0 +1105 -238 +-3575 444 +-2603 399 +1272 1056 +-1235 1995 +-904 2300 +-1252 -628 +-4820 -1324 +-5169 -2653 +-1438 -2017 +-180 -3415 +-406 502 +3408 5220 +1849 -1248 +-1416 2393 +-1024 1024 +1692 -1387 +5395 1648 +3378 2184 +3054 -2394 +251 -6529 +5 879 +3895 1069 +-3372 2772 +309 -3219 +2337 -597 +-4188 8328 +4272 -1840 +1168 -1381 +-3669 -2452 +835 -2140 +0 4096 +2150 897 +2384 -2483 +3065 1358 +-854 3000 +-3709 1711 +-3639 2589 +-2016 500 +1624 0 +4088 4186 +6170 -1884 +-1823 2006 +-410 3460 +-1889 -6372 +-4703 1518 +64 4011 +-2048 0 +64 -4011 +-4703 -1518 +-1889 6372 +-410 -3460 +-1823 -2006 +6170 1884 +4088 -4186 +1624 0 +-2016 -500 +-3639 -2589 +-3709 -1711 +-854 -3000 +3065 -1358 +2384 2483 +2150 -897 +0 -4096 +2416 1412 +2643 3331 +-134 1408 +254 -3000 +722 -256 +-3146 2355 +2755 -1808 +4520 0 +-4148 -4477 +615 -3060 +-3438 1090 +-3086 -3460 +1414 -3206 +-325 -2367 +484 1714 +-2048 0 +484 -1714 +-325 2367 +1414 3206 +-3086 3460 +-3438 -1090 +615 3060 +-4148 4477 +4520 0 +2755 1808 +-3146 -2355 +722 256 +254 3000 +-134 -1408 +2643 -3331 +2416 -1412 +0 4096 +2150 897 +2384 -2483 +3065 1358 +-854 3000 +-3709 1711 +-3639 2589 +-2016 500 +1624 0 +4088 4186 +6170 -1884 +-1823 2006 +-410 3460 +-1889 -6372 +-4703 1518 +64 4011 +0 -4096 +2703 -4642 +6029 3627 +-2242 1000 +-2726 -868 +-1794 -4196 +-650 -4137 +-154 -500 +-424 -4096 +-603 257 +1152 2928 +1573 -1241 +3510 -1808 +1224 -2742 +-4607 351 +-1278 3301 +2048 0 +-1278 -3301 +-4607 -351 +1224 2742 +3510 1808 +1573 1241 +1152 -2928 +-603 -257 +-424 4096 +-154 500 +-650 4137 +-1794 4196 +-2726 868 +-2242 -1000 +6029 -3627 +2703 4642 +0 4096 +1630 -2250 +-5585 1917 +1756 3464 +-1618 2916 +-2301 359 +2882 1489 +835 779 +2472 -4096 +-3862 1927 +-487 -280 +955 -5419 +834 -240 +830 -3809 +1267 -5895 +729 -96 +-6144 0 +729 96 +1267 5895 +830 3809 +834 240 +955 5419 +-487 280 +-3862 -1927 +2472 4096 +835 -779 +2882 -1489 +-2301 -359 +-1618 -2916 +1756 -3464 +-5585 -1917 +1630 2250 +0 -4096 +2703 -4642 +6029 3627 +-2242 1000 +-2726 -868 +-1794 -4196 +-650 -4137 +-154 -500 +-424 -4096 +-603 257 +1152 2928 +1573 -1241 +3510 -1808 +1224 -2742 +-4607 351 +-1278 3301 +1024 4096 +-674 526 +1835 -4069 +7689 3572 +-1938 -2412 +1971 -2175 +1540 -262 +-4039 2827 +3920 3920 +1638 770 +852 5731 +1349 2460 +-4050 -3040 +-4718 -1919 +-1528 -635 +-38 -4459 +-1024 0 +-38 4459 +-1528 635 +-4718 1919 +-4050 3040 +1349 -2460 +852 -5731 +1638 -770 +3920 -3920 +-4039 -2827 +1540 262 +1971 2175 +-1938 2412 +7689 -3572 +1835 4069 +-674 -526 +1024 -4096 +-1425 806 +1661 -1228 +234 -1205 +738 -3980 +-373 -1195 +-940 -2671 +-1640 -1984 +-1872 -1872 +2712 -814 +-253 4121 +-3834 -1366 +-2942 744 +3476 2957 +5024 -69 +-2327 -890 +-5120 0 +-2327 890 +5024 69 +3476 -2957 +-2942 -744 +-3834 1366 +-253 -4121 +2712 814 +-1872 1872 +-1640 1984 +-940 2671 +-373 1195 +738 3980 +234 1205 +1661 1228 +-1425 -806 +1024 4096 +-674 526 +1835 -4069 +7689 3572 +-1938 -2412 +1971 -2175 +1540 -262 +-4039 2827 +3920 3920 +1638 770 +852 5731 +1349 2460 +-4050 -3040 +-4718 -1919 +-1528 -635 +-38 -4459 +6144 2048 +2884 1638 +-901 -473 +-4596 396 +-2967 -444 +-342 -2827 +-1695 1565 +671 -4387 +-4520 3496 +-4854 2628 +-113 -3740 +680 4721 +4378 664 +-1980 -1160 +-3221 -3935 +-355 -1972 +-4096 0 +-355 1972 +-3221 3935 +-1980 1160 +4378 -664 +680 -4721 +-113 3740 +-4854 -2628 +-4520 -3496 +671 4387 +-1695 -1565 +-342 2827 +-2967 444 +-4596 -396 +-901 473 +2884 -1638 +6144 -2048 +1801 -1418 +4841 1802 +5191 1392 +-3426 3340 +2588 -1178 +4526 -2453 +-2947 -1185 +-1624 600 +1779 2944 +1378 -2941 +-709 -716 +-2082 2232 +-831 -628 +3377 -529 +1022 1751 +-4096 0 +1022 -1751 +3377 529 +-831 628 +-2082 -2232 +-709 716 +1378 2941 +1779 -2944 +-1624 -600 +-2947 1185 +4526 2453 +2588 1178 +-3426 -3340 +5191 -1392 +4841 -1802 +1801 1418 +6144 2048 +2884 1638 +-901 -473 +-4596 396 +-2967 -444 +-342 -2827 +-1695 1565 +671 -4387 +-4520 3496 +-4854 2628 +-113 -3740 +680 4721 +4378 664 +-1980 -1160 +-3221 -3935 +-355 -1972 +1024 -2048 +1316 3679 +-4344 2172 +-5078 -1602 +-1822 -1024 +-5486 3187 +-1972 -3788 +1658 -3397 +600 600 +4608 557 +-593 1206 +-4871 337 +-698 1024 +2459 -1280 +1557 2177 +-922 1193 +-1024 0 +-922 -1193 +1557 -2177 +2459 1280 +-698 -1024 +-4871 -337 +-593 -1206 +4608 -557 +600 -600 +1658 3397 +-1972 3788 +-5486 -3187 +-1822 1024 +-5078 1602 +-4344 -2172 +1316 -3679 +1024 2048 +-2351 817 +-586 -2512 +333 2190 +2422 -1024 +554 -2166 +-5871 -336 +3993 4919 +3496 3496 +2454 -603 +7237 -2434 +-1990 -3100 +4194 1024 +2494 -1915 +-3620 380 +830 1735 +-1024 0 +830 -1735 +-3620 -380 +2494 1915 +4194 -1024 +-1990 3100 +7237 2434 +2454 603 +3496 -3496 +3993 -4919 +-5871 336 +554 2166 +2422 1024 +333 -2190 +-586 2512 +-2351 -817 +1024 -2048 +1316 3679 +-4344 2172 +-5078 -1602 +-1822 -1024 +-5486 3187 +-1972 -3788 +1658 -3397 +600 600 +4608 557 +-593 1206 +-4871 337 +-698 1024 +2459 -1280 +1557 2177 +-922 1193 +-1024 1024 +-604 -277 +401 384 +-56 -5155 +2310 -222 +2180 3890 +-3470 -389 +279 -2022 +300 -1148 +-2623 -1035 +-614 -1527 +-1043 1418 +1860 332 +-1217 -2246 +-6277 130 +-2565 5514 +0 0 +-2565 -5514 +-6277 -130 +-1217 2246 +1860 -332 +-1043 -1418 +-614 1527 +-2623 1035 +300 1148 +279 2022 +-3470 389 +2180 -3890 +2310 222 +-56 5155 +401 -384 +-604 277 +-1024 -1024 +-1192 -3066 +2903 -5264 +3696 1235 +2634 1670 +1273 -4969 +-795 2592 +4405 -3729 +1748 3196 +-2060 5987 +783 -4461 +-2409 1936 +-2708 1116 +-2423 2760 +-1122 3182 +4362 1846 +6144 0 +4362 -1846 +-1122 -3182 +-2423 -2760 +-2708 -1116 +-2409 -1936 +783 4461 +-2060 -5987 +1748 -3196 +4405 3729 +-795 -2592 +1273 4969 +2634 -1670 +3696 -1235 +2903 5264 +-1192 3066 +-1024 1024 +-604 -277 +401 384 +-56 -5155 +2310 -222 +2180 3890 +-3470 -389 +279 -2022 +300 -1148 +-2623 -1035 +-614 -1527 +-1043 1418 +1860 332 +-1217 -2246 +-6277 130 +-2565 5514 +-1024 -2048 +448 631 +-1418 -2721 +-5193 -398 +-2048 1534 +3044 -3532 +1250 436 +-1466 -3175 +4520 -3072 +6803 2316 +-996 1269 +3180 -981 +-2048 -2866 +-2707 -783 +3266 1351 +-2301 3278 +-3072 0 +-2301 -3278 +3266 -1351 +-2707 783 +-2048 2866 +3180 981 +-996 -1269 +6803 -2316 +4520 3072 +-1466 3175 +1250 -436 +3044 3532 +-2048 -1534 +-5193 398 +-1418 2721 +448 -631 +-1024 2048 +-2956 -3433 +191 -619 +-2645 5238 +-2048 4859 +675 2667 +-1590 -1101 +-1088 1628 +1624 -3072 +1752 -4174 +-1561 963 +20 2645 +-2048 5162 +3626 -40 +858 -1795 +-1193 1800 +9216 0 +-1193 -1800 +858 1795 +3626 40 +-2048 -5162 +20 -2645 +-1561 -963 +1752 4174 +1624 3072 +-1088 -1628 +-1590 1101 +675 -2667 +-2048 -4859 +-2645 -5238 +191 619 +-2956 3433 +-1024 -2048 +448 631 +-1418 -2721 +-5193 -398 +-2048 1534 +3044 -3532 +1250 436 +-1466 -3175 +4520 -3072 +6803 2316 +-996 1269 +3180 -981 +-2048 -2866 +-2707 -783 +3266 1351 +-2301 3278 +-4096 2048 +-251 347 +2545 2581 +-3855 2873 +-3290 5748 +2947 792 +4429 2852 +-2627 4265 +-1024 600 +2547 2236 +-67 -2780 +1476 -4327 +-2387 -4180 +-2545 619 +3881 6553 +513 2756 +-4096 0 +513 -2756 +3881 -6553 +-2545 -619 +-2387 4180 +1476 4327 +-67 2780 +2547 -2236 +-1024 -600 +-2627 -4265 +4429 -2852 +2947 -792 +-3290 -5748 +-3855 -2873 +2545 -2581 +-251 -347 +-4096 -2048 +2144 -1584 +-1400 -505 +247 -2000 +4738 396 +503 1134 +1847 1753 +-2428 -1562 +-1024 3496 +3837 1355 +-5010 392 +-4039 1789 +938 -1964 +-529 1582 +1966 -3278 +2058 2686 +0 0 +2058 -2686 +1966 3278 +-529 -1582 +938 1964 +-4039 -1789 +-5010 -392 +3837 -1355 +-1024 -3496 +-2428 1562 +1847 -1753 +503 -1134 +4738 -396 +247 2000 +-1400 505 +2144 1584 +-4096 2048 +-251 347 +2545 2581 +-3855 2873 +-3290 5748 +2947 792 +4429 2852 +-2627 4265 +-1024 600 +2547 2236 +-67 -2780 +1476 -4327 +-2387 -4180 +-2545 619 +3881 6553 +513 2756 +1024 2048 +-3456 1319 +2537 -5362 +-127 -2597 +-3196 130 +-1154 -2000 +-8650 704 +-5101 95 +1448 -2896 +5701 3409 +1238 53 +-1538 -2169 +1148 -1134 +536 -1854 +742 2368 +2496 -4587 +5120 0 +2496 4587 +742 -2368 +536 1854 +1148 1134 +-1538 2169 +1238 -53 +5701 -3409 +1448 2896 +-5101 -95 +-8650 -704 +-1154 2000 +-3196 -130 +-127 2597 +2537 5362 +-3456 -1319 +1024 -2048 +-1467 4940 +2592 758 +2148 -2498 +-3196 -978 +-411 2555 +2413 2572 +2657 -395 +-1448 2896 +1207 -502 +-3691 2023 +-3577 3091 +1148 -3810 +-1668 -474 +2819 20 +3756 70 +1024 0 +3756 -70 +2819 -20 +-1668 474 +1148 3810 +-3577 -3091 +-3691 -2023 +1207 502 +-1448 -2896 +2657 395 +2413 -2572 +-411 -2555 +-3196 978 +2148 2498 +2592 -758 +-1467 -4940 +1024 2048 +-3456 1319 +2537 -5362 +-127 -2597 +-3196 130 +-1154 -2000 +-8650 704 +-5101 95 +1448 -2896 +5701 3409 +1238 53 +-1538 -2169 +1148 -1134 +536 -1854 +742 2368 +2496 -4587 +4096 3072 +850 -1053 +-464 1733 +-3216 2264 +-3792 -4536 +-3031 1262 +-2885 -634 +1551 1259 +1748 1924 +344 -3862 +-2486 -1238 +2557 368 +-1330 3743 +-5391 2017 +2827 -2045 +3898 2261 +3072 0 +3898 -2261 +2827 2045 +-5391 -2017 +-1330 -3743 +2557 -368 +-2486 1238 +344 3862 +1748 -1924 +1551 -1259 +-2885 634 +-3031 -1262 +-3792 4536 +-3216 -2264 +-464 -1733 +850 1053 +4096 -3072 +244 5875 +1977 2871 +1885 1059 +2344 5384 +3076 -104 +-1615 -2642 +-2589 1822 +300 6268 +2023 263 +-5798 -838 +-1714 -539 +2778 1201 +42 5769 +253 -342 +-528 1673 +1024 0 +-528 -1673 +253 342 +42 -5769 +2778 -1201 +-1714 539 +-5798 838 +2023 -263 +300 -6268 +-2589 -1822 +-1615 2642 +3076 104 +2344 -5384 +1885 -1059 +1977 -2871 +244 -5875 +4096 3072 +850 -1053 +-464 1733 +-3216 2264 +-3792 -4536 +-3031 1262 +-2885 -634 +1551 1259 +1748 1924 +344 -3862 +-2486 -1238 +2557 368 +-1330 3743 +-5391 2017 +2827 -2045 +3898 2261 +1024 -5120 +940 965 +2167 2133 +3574 -2232 +-112 -836 +5079 63 +1027 -4029 +-2951 354 +3021 -1324 +-1513 2665 +-2048 2991 +-1654 -3541 +-2335 1610 +-933 -2057 +-2233 -4598 +-63 -5123 +2048 0 +-63 5123 +-2233 4598 +-933 2057 +-2335 -1610 +-1654 3541 +-2048 -2991 +-1513 -2665 +3021 1324 +-2951 -354 +1027 4029 +5079 -63 +-112 836 +3574 2232 +2167 -2133 +940 -965 +1024 5120 +1703 4324 +-275 -934 +-2847 -317 +4456 3732 +2182 686 +-243 -2963 +-3908 3114 +-7117 -2772 +3020 -452 +1264 4002 +-3390 -2024 +-2010 1286 +-2011 1387 +341 3398 +2772 964 +4096 0 +2772 -964 +341 -3398 +-2011 -1387 +-2010 -1286 +-3390 2024 +1264 -4002 +3020 452 +-7117 2772 +-3908 -3114 +-243 2963 +2182 -686 +4456 -3732 +-2847 317 +-275 934 +1703 -4324 +1024 -5120 +940 965 +2167 2133 +3574 -2232 +-112 -836 +5079 63 +1027 -4029 +-2951 354 +3021 -1324 +-1513 2665 +-2048 2991 +-1654 -3541 +-2335 1610 +-933 -2057 +-2233 -4598 +-63 -5123 +3072 5120 +2303 -2406 +422 -2042 +2980 -654 +-2044 3008 +-1768 1950 +2126 -2900 +-2931 -2543 +-300 300 +-4270 -1458 +-6316 -2362 +1624 3698 +-1030 562 +582 1247 +-2027 5099 +-2951 881 +4096 0 +-2951 -881 +-2027 -5099 +582 -1247 +-1030 -562 +1624 -3698 +-6316 2362 +-4270 1458 +-300 -300 +-2931 2543 +2126 2900 +-1768 -1950 +-2044 -3008 +2980 654 +422 2042 +2303 2406 +3072 -5120 +-750 2282 +-3149 5042 +348 -3224 +4092 -1560 +2490 -2188 +4074 -560 +3008 -2264 +-1748 1748 +-1599 -215 +1812 -1098 +3447 3632 +3078 886 +1882 2444 +-5134 -2098 +-4395 2130 +2048 0 +-4395 -2130 +-5134 2098 +1882 -2444 +3078 -886 +3447 -3632 +1812 1098 +-1599 215 +-1748 -1748 +3008 2264 +4074 560 +2490 2188 +4092 1560 +348 3224 +-3149 -5042 +-750 -2282 +3072 5120 +2303 -2406 +422 -2042 +2980 -654 +-2044 3008 +-1768 1950 +2126 -2900 +-2931 -2543 +-300 300 +-4270 -1458 +-6316 -2362 +1624 3698 +-1030 562 +582 1247 +-2027 5099 +-2951 881 +-1024 -4096 +-1361 -3319 +-4936 1573 +-1444 645 +6556 -1846 +293 -1360 +362 -153 +-2773 -829 +-600 -3496 +7139 -2904 +-2527 769 +5027 -1425 +-244 -5618 +-4248 -2276 +3476 1051 +-3622 -629 +-5120 0 +-3622 629 +3476 -1051 +-4248 2276 +-244 5618 +5027 1425 +-2527 -769 +7139 2904 +-600 3496 +-2773 829 +362 153 +293 1360 +6556 1846 +-1444 -645 +-4936 -1573 +-1361 3319 +-1024 4096 +1782 -2246 +5940 -1573 +848 4643 +-1012 3046 +-730 -853 +-4042 153 +-3808 160 +-3496 -600 +1659 355 +415 -769 +762 -2043 +2891 -1374 +-509 -1883 +1313 -1051 +984 1378 +-1024 0 +984 -1378 +1313 1051 +-509 1883 +2891 1374 +762 2043 +415 769 +1659 -355 +-3496 600 +-3808 -160 +-4042 -153 +-730 853 +-1012 -3046 +848 -4643 +5940 1573 +1782 2246 +-1024 -4096 +-1361 -3319 +-4936 1573 +-1444 645 +6556 -1846 +293 -1360 +362 -153 +-2773 -829 +-600 -3496 +7139 -2904 +-2527 769 +5027 -1425 +-244 -5618 +-4248 -2276 +3476 1051 +-3622 -629 +1024 -1024 +1189 -2265 +2499 -3771 +1914 -2813 +-332 5964 +-5229 2936 +27 -2074 +-441 3319 +124 724 +5562 -785 +-2351 2764 +2708 -125 +1670 842 +2928 190 +-1827 -1463 +-5633 4296 +6144 0 +-5633 -4296 +-1827 1463 +2928 -190 +1670 -842 +2708 125 +-2351 -2764 +5562 785 +124 -724 +-441 -3319 +27 2074 +-5229 -2936 +-332 -5964 +1914 2813 +2499 3771 +1189 2265 +1024 1024 +-2468 -5356 +-4391 -4477 +-3307 856 +-1116 -171 +-1035 -3196 +-811 -3039 +441 -1050 +-4220 -724 +-211 -1484 +3135 -2085 +1338 385 +-222 4951 +682 1767 +3720 -992 +1560 3324 +0 0 +1560 -3324 +3720 992 +682 -1767 +-222 -4951 +1338 -385 +3135 2085 +-211 1484 +-4220 724 +441 1050 +-811 3039 +-1035 3196 +-1116 171 +-3307 -856 +-4391 4477 +-2468 5356 +1024 -1024 +1189 -2265 +2499 -3771 +1914 -2813 +-332 5964 +-5229 2936 +27 -2074 +-441 3319 +124 724 +5562 -785 +-2351 2764 +2708 -125 +1670 842 +2928 190 +-1827 -1463 +-5633 4296 +2048 -2048 +968 -5126 +-1185 -7027 +2828 1078 +3450 8788 +2538 1283 +-497 -1838 +2952 4180 +2896 -4520 +-1976 -3139 +1019 86 +-4486 -2769 +-4234 -200 +2132 -3622 +569 625 +-2142 -1235 +-4096 0 +-2142 1235 +569 -625 +2132 3622 +-4234 200 +-4486 2769 +1019 -86 +-1976 3139 +2896 4520 +2952 -4180 +-497 1838 +2538 -1283 +3450 -8788 +2828 -1078 +-1185 7027 +968 5126 +2048 2048 +-662 612 +-1491 2239 +-726 -151 +2342 -348 +608 2950 +1605 -275 +-1415 4035 +-2896 -1624 +439 -1670 +-2127 3594 +1340 -2263 +-1558 449 +-4235 -1323 +2107 380 +1836 3474 +0 0 +1836 -3474 +2107 -380 +-4235 1323 +-1558 -449 +1340 2263 +-2127 -3594 +439 1670 +-2896 1624 +-1415 -4035 +1605 275 +608 -2950 +2342 348 +-726 151 +-1491 -2239 +-662 -612 +2048 -2048 +968 -5126 +-1185 -7027 +2828 1078 +3450 8788 +2538 1283 +-497 -1838 +2952 4180 +2896 -4520 +-1976 -3139 +1019 86 +-4486 -2769 +-4234 -200 +2132 -3622 +569 625 +-2142 -1235 +1024 1024 +1925 166 +-1814 712 +-4450 -2802 +1560 -1176 +242 -4208 +-3283 -401 +381 2483 +-4820 -2772 +-200 3043 +1503 4804 +-7199 1630 +886 2838 +3908 832 +3223 -4655 +891 -2412 +-6144 0 +891 2412 +3223 4655 +3908 -832 +886 -2838 +-7199 -1630 +1503 -4804 +-200 -3043 +-4820 2772 +381 -2483 +-3283 401 +242 4208 +1560 1176 +-4450 2802 +-1814 -712 +1925 -166 +1024 -1024 +-2680 -756 +861 -660 +3237 1269 +-3008 1176 +-1793 -1453 +1872 5492 +-1312 -721 +-3372 -1324 +1811 -601 +4005 -1409 +502 957 +562 -2838 +-239 90 +1826 -5182 +4978 -3291 +4096 0 +4978 3291 +1826 5182 +-239 -90 +562 2838 +502 -957 +4005 1409 +1811 601 +-3372 1324 +-1312 721 +1872 -5492 +-1793 1453 +-3008 -1176 +3237 -1269 +861 660 +-2680 756 +1024 1024 +1925 166 +-1814 712 +-4450 -2802 +1560 -1176 +242 -4208 +-3283 -401 +381 2483 +-4820 -2772 +-200 3043 +1503 4804 +-7199 1630 +886 2838 +3908 832 +3223 -4655 +891 -2412 +-2048 -1024 +-1660 -1314 +1803 1097 +-2693 39 +660 -92 +5659 770 +1579 -2241 +3507 -1476 +4220 3796 +410 -327 +-1112 -1521 +-4661 3615 +-4358 -802 +-343 -1092 +433 402 +547 942 +1024 0 +547 -942 +433 -402 +-343 1092 +-4358 802 +-4661 -3615 +-1112 1521 +410 327 +4220 -3796 +3507 1476 +1579 2241 +5659 -770 +660 92 +-2693 -39 +1803 -1097 +-1660 1314 +-2048 1024 +1570 3770 +-1203 1135 +-5760 1614 +-3908 692 +4723 -2568 +1917 5581 +-4359 2136 +-124 2348 +1091 2554 +4608 1965 +3415 -1630 +-4682 -2694 +-340 6530 +166 -1067 +-1106 3082 +3072 0 +-1106 -3082 +166 1067 +-340 -6530 +-4682 2694 +3415 1630 +4608 -1965 +1091 -2554 +-124 -2348 +-4359 -2136 +1917 -5581 +4723 2568 +-3908 -692 +-5760 -1614 +-1203 -1135 +1570 -3770 +-2048 -1024 +-1660 -1314 +1803 1097 +-2693 39 +660 -92 +5659 770 +1579 -2241 +3507 -1476 +4220 3796 +410 -327 +-1112 -1521 +-4661 3615 +-4358 -802 +-343 -1092 +433 402 +547 942 +4096 1024 +-3107 4250 +-3995 -624 +-2058 662 +1800 -1996 +2102 -5681 +-631 -546 +-524 -293 +-1148 -2772 +14 3019 +1306 3894 +1916 891 +18 2330 +-3555 486 +2413 2822 +1820 1717 +-5120 0 +1820 -1717 +2413 -2822 +-3555 -486 +18 -2330 +1916 -891 +1306 -3894 +14 -3019 +-1148 2772 +-524 293 +-631 546 +2102 5681 +1800 1996 +-2058 -662 +-3995 624 +-3107 -4250 +4096 -1024 +4840 820 +55 1512 +1020 -2215 +-1200 -4996 +-2429 -188 +-2201 -3918 +-1876 -2171 +3196 -1324 +-750 309 +-2571 -2565 +5980 -968 +3478 -1130 +-2976 -7832 +-2569 3858 +-418 -2439 +1024 0 +-418 2439 +-2569 -3858 +-2976 7832 +3478 1130 +5980 968 +-2571 2565 +-750 -309 +3196 1324 +-1876 2171 +-2201 3918 +-2429 188 +-1200 4996 +1020 2215 +55 -1512 +4840 -820 +4096 1024 +-3107 4250 +-3995 -624 +-2058 662 +1800 -1996 +2102 -5681 +-631 -546 +-524 -293 +-1148 -2772 +14 3019 +1306 3894 +1916 891 +18 2330 +-3555 486 +2413 2822 +1820 1717 +-2048 -3072 +-2048 -689 +-286 -2420 +2492 -2459 +-1910 -502 +4057 -7236 +4061 -4253 +-1348 2180 +1748 724 +1239 1304 +61 -1380 +-5392 -4177 +1984 -1056 +-2110 1661 +-1660 -822 +4115 -5001 +-5120 0 +4115 5001 +-1660 822 +-2110 -1661 +1984 1056 +-5392 4177 +61 1380 +1239 -1304 +1748 -724 +-1348 -2180 +4061 4253 +4057 7236 +-1910 502 +2492 2459 +-286 2420 +-2048 689 +-2048 3072 +1509 -917 +1550 632 +95 2450 +-1586 -2394 +-6012 -1427 +-121 -1319 +3708 -1143 +300 -724 +-23 -2484 +94 1601 +-3798 866 +-2584 -1840 +-919 3682 +4492 4827 +4433 1179 +-3072 0 +4433 -1179 +4492 -4827 +-919 -3682 +-2584 1840 +-3798 -866 +94 -1601 +-23 2484 +300 724 +3708 1143 +-121 1319 +-6012 1427 +-1586 2394 +95 -2450 +1550 -632 +1509 917 +-2048 -3072 +-2048 -689 +-286 -2420 +2492 -2459 +-1910 -502 +4057 -7236 +4061 -4253 +-1348 2180 +1748 724 +1239 1304 +61 -1380 +-5392 -4177 +1984 -1056 +-2110 1661 +-1660 -822 +4115 -5001 +3072 3072 +-1062 1637 +-2894 -1576 +-296 2702 +2584 9820 +-2305 -872 +-1261 -524 +590 -949 +1324 724 +3404 5398 +-759 1728 +1369 6099 +1910 -4122 +-6 -7354 +-1806 1293 +-2714 -2912 +0 0 +-2714 2912 +-1806 -1293 +-6 7354 +1910 4122 +1369 -6099 +-759 -1728 +3404 -5398 +1324 -724 +590 949 +-1261 524 +-2305 872 +2584 -9820 +-296 -2702 +-2894 1576 +-1062 -1637 +3072 -3072 +135 43 +-2494 127 +-17 -4671 +-1984 2117 +2383 533 +-123 -924 +-3547 -557 +2772 -724 +3338 456 +943 -280 +120 3139 +1586 3771 +-1249 3377 +202 155 +-143 367 +-6144 0 +-143 -367 +202 -155 +-1249 -3377 +1586 -3771 +120 -3139 +943 280 +3338 -456 +2772 724 +-3547 557 +-123 924 +2383 -533 +-1984 -2117 +-17 4671 +-2494 -127 +135 -43 +3072 3072 +-1062 1637 +-2894 -1576 +-296 2702 +2584 9820 +-2305 -872 +-1261 -524 +590 -949 +1324 724 +3404 5398 +-759 1728 +1369 6099 +1910 -4122 +-6 -7354 +-1806 1293 +-2714 -2912 +6144 4096 +-2888 -3929 +-4523 -957 +122 2558 +-2412 1818 +2275 -2373 +2368 -2472 +-2331 2439 +-1872 1448 +-505 -379 +-1536 -1291 +-487 -1639 +3040 1182 +-1577 3474 +-2939 -1973 +537 -2473 +0 0 +537 2473 +-2939 1973 +-1577 -3474 +3040 -1182 +-487 1639 +-1536 1291 +-505 379 +-1872 -1448 +-2331 -2439 +2368 2472 +2275 2373 +-2412 -1818 +122 -2558 +-4523 957 +-2888 3929 +6144 -4096 +150 1020 +-985 957 +-2134 -1828 +-3980 2278 +1713 -2579 +-1415 2472 +1531 -532 +3920 -1448 +-4047 70 +-3512 1291 +-1284 2039 +-744 -5278 +1372 2608 +4351 1973 +7553 -2653 +8192 0 +7553 2653 +4351 -1973 +1372 -2608 +-744 5278 +-1284 -2039 +-3512 -1291 +-4047 -70 +3920 1448 +1531 532 +-1415 -2472 +1713 2579 +-3980 -2278 +-2134 1828 +-985 -957 +150 -1020 +6144 4096 +-2888 -3929 +-4523 -957 +122 2558 +-2412 1818 +2275 -2373 +2368 -2472 +-2331 2439 +-1872 1448 +-505 -379 +-1536 -1291 +-487 -1639 +3040 1182 +-1577 3474 +-2939 -1973 +537 -2473 +-2048 1024 +-1332 -2547 +1741 -2496 +-587 5222 +3418 18 +711 -7247 +-762 -2813 +237 -1338 +-1324 1748 +4344 -1518 +-1640 -1137 +-83 4617 +-816 1200 +-5586 -1123 +3192 -754 +5988 2499 +5120 0 +5988 -2499 +3192 754 +-5586 1123 +-816 -1200 +-83 -4617 +-1640 1137 +4344 1518 +-1324 -1748 +237 1338 +-762 2813 +711 7247 +3418 -18 +-587 -5222 +1741 2496 +-1332 2547 +-2048 -1024 +1289 -344 +1036 744 +1264 -2080 +1526 3478 +-1066 2832 +202 -6359 +-2978 -1962 +-2772 300 +-274 801 +-6489 -1043 +1326 -3607 +-32 -1800 +-1771 -4295 +2720 -2197 +-1481 -406 +-1024 0 +-1481 406 +2720 2197 +-1771 4295 +-32 1800 +1326 3607 +-6489 1043 +-274 -801 +-2772 -300 +-2978 1962 +202 6359 +-1066 -2832 +1526 -3478 +1264 2080 +1036 -744 +1289 344 +-2048 1024 +-1332 -2547 +1741 -2496 +-587 5222 +3418 18 +711 -7247 +-762 -2813 +237 -1338 +-1324 1748 +4344 -1518 +-1640 -1137 +-83 4617 +-816 1200 +-5586 -1123 +3192 -754 +5988 2499 +-1024 6144 +1974 667 +-392 1185 +-2534 2914 +-2016 -1938 +3156 66 +946 -497 +-638 5912 +4344 5793 +2711 -339 +-946 -1019 +1558 1504 +5004 4050 +-1442 -1067 +392 569 +-2488 -1563 +-13312 0 +-2488 1563 +392 -569 +-1442 1067 +5004 -4050 +1558 -1504 +-946 1019 +2711 339 +4344 -5793 +-638 -5912 +946 497 +3156 -66 +-2016 1938 +-2534 -2914 +-392 -1185 +1974 -667 +-1024 -6144 +-580 -777 +-392 1491 +-2817 -629 +1768 738 +917 298 +946 1605 +-3409 2523 +-4344 -5793 +3552 -673 +-946 2127 +-279 739 +3436 2942 +1441 -2960 +392 2107 +-1122 196 +-1024 0 +-1122 -196 +392 -2107 +1441 2960 +3436 -2942 +-279 -739 +-946 -2127 +3552 673 +-4344 5793 +-3409 -2523 +946 -1605 +917 -298 +1768 -738 +-2817 629 +-392 -1491 +-580 777 +-1024 6144 +1974 667 +-392 1185 +-2534 2914 +-2016 -1938 +3156 66 +946 -497 +-638 5912 +4344 5793 +2711 -339 +-946 -1019 +1558 1504 +5004 4050 +-1442 -1067 +392 569 +-2488 -1563 +-1024 2048 +-3375 -1104 +-2559 -3364 +1866 -588 +144 2362 +1871 -4266 +203 -3268 +1359 -1001 +-600 0 +-21 4912 +3671 -733 +933 -663 +-1084 -1578 +-539 -6120 +-4930 309 +-5533 -576 +-1024 0 +-5533 576 +-4930 -309 +-539 6120 +-1084 1578 +933 663 +3671 733 +-21 -4912 +-600 0 +1359 1001 +203 3268 +1871 4266 +144 -2362 +1866 588 +-2559 3364 +-3375 1104 +-1024 -2048 +-3690 -2066 +5051 348 +217 -1281 +-3640 -314 +2404 -2608 +4077 -1965 +-1696 3181 +-3496 0 +3702 1052 +-958 -1603 +-64 -7778 +484 -470 +4898 2683 +3638 -429 +-2331 1190 +3072 0 +-2331 -1190 +3638 429 +4898 -2683 +484 470 +-64 7778 +-958 1603 +3702 -1052 +-3496 0 +-1696 -3181 +4077 1965 +2404 2608 +-3640 314 +217 1281 +5051 -348 +-3690 2066 +-1024 2048 +-3375 -1104 +-2559 -3364 +1866 -588 +144 2362 +1871 -4266 +203 -3268 +1359 -1001 +-600 0 +-21 4912 +3671 -733 +933 -663 +-1084 -1578 +-539 -6120 +-4930 309 +-5533 -576 +1024 3072 +1304 -2252 +-998 1631 +-4162 -1402 +1775 -2584 +-723 914 +-2999 1428 +924 2899 +-1148 -1148 +6321 -232 +829 -4010 +97 -2446 +6334 1910 +-786 -2432 +-1638 2820 +-2952 1313 +-6144 0 +-2952 -1313 +-1638 -2820 +-786 2432 +6334 -1910 +97 2446 +829 4010 +6321 232 +-1148 1148 +924 -2899 +-2999 -1428 +-723 -914 +1775 2584 +-4162 1402 +-998 -1631 +1304 2252 +1024 -3072 +-1583 488 +399 2337 +630 -3939 +-576 1984 +-2659 6906 +-497 2393 +5657 -1568 +3196 3196 +-870 2451 +-4326 839 +-260 5801 +658 1586 +2070 -1580 +1038 2348 +-3009 3604 +0 0 +-3009 -3604 +1038 -2348 +2070 1580 +658 -1586 +-260 -5801 +-4326 -839 +-870 -2451 +3196 -3196 +5657 1568 +-497 -2393 +-2659 -6906 +-576 -1984 +630 3939 +399 -2337 +-1583 -488 +1024 3072 +1304 -2252 +-998 1631 +-4162 -1402 +1775 -2584 +-723 914 +-2999 1428 +924 2899 +-1148 -1148 +6321 -232 +829 -4010 +97 -2446 +6334 1910 +-786 -2432 +-1638 2820 +-2952 1313 +3072 0 +5370 4119 +1203 1701 +-1593 1343 +-2362 2616 +-1341 3090 +2114 2974 +-2807 -726 +176 1024 +2388 1530 +887 -826 +398 816 +-1578 1508 +-613 -1697 +-377 -3071 +358 467 +1024 0 +358 -467 +-377 3071 +-613 1697 +-1578 -1508 +398 -816 +887 826 +2388 -1530 +176 -1024 +-2807 726 +2114 -2974 +-1341 -3090 +-2362 -2616 +-1593 -1343 +1203 -1701 +5370 -4119 +3072 0 +-1896 -4828 +-6148 -5589 +-1791 2470 +314 -1168 +5855 1289 +-1266 4950 +-3887 1627 +5968 1024 +-1047 1587 +-39 2957 +-2695 -1789 +-470 -60 +1780 159 +-4567 -6610 +1519 1041 +5120 0 +1519 -1041 +-4567 6610 +1780 -159 +-470 60 +-2695 1789 +-39 -2957 +-1047 -1587 +5968 -1024 +-3887 -1627 +-1266 -4950 +5855 -1289 +314 1168 +-1791 -2470 +-6148 5589 +-1896 4828 +3072 0 +5370 4119 +1203 1701 +-1593 1343 +-2362 2616 +-1341 3090 +2114 2974 +-2807 -726 +176 1024 +2388 1530 +887 -826 +398 816 +-1578 1508 +-613 -1697 +-377 -3071 +358 467 +2048 -1024 +-1406 -3946 +3383 -4477 +1202 3011 +-1540 -3104 +3766 -3659 +3955 -3039 +-2957 -1280 +1748 5668 +4679 3229 +-2645 -2085 +1042 -1268 +2250 -346 +1414 -4240 +58 -992 +-1777 -943 +1024 0 +-1777 943 +58 992 +1414 4240 +2250 346 +1042 1268 +-2645 2085 +4679 -3229 +1748 -5668 +-2957 1280 +3955 3039 +3766 3659 +-1540 3104 +1202 -3011 +3383 4477 +-1406 3946 +2048 1024 +-1091 -2246 +-5106 -3771 +557 -879 +-756 -3888 +833 2386 +-1435 -2074 +-1948 -4114 +300 -1572 +-5567 -1054 +-3971 2764 +152 -3140 +4142 1546 +2620 3237 +-2430 -1463 +-1519 2319 +-1024 0 +-1519 -2319 +-2430 1463 +2620 -3237 +4142 -1546 +152 3140 +-3971 -2764 +-5567 1054 +300 1572 +-1948 4114 +-1435 2074 +833 -2386 +-756 3888 +557 879 +-5106 3771 +-1091 2246 +2048 -1024 +-1406 -3946 +3383 -4477 +1202 3011 +-1540 -3104 +3766 -3659 +3955 -3039 +-2957 -1280 +1748 5668 +4679 3229 +-2645 -2085 +1042 -1268 +2250 -346 +1414 -4240 +58 -992 +-1777 -943 +1024 0 +2026 -2310 +-1395 2020 +-1153 1159 +-2252 -1058 +-1669 1496 +2210 2343 +-219 -4061 +-1024 -2472 +2221 -1754 +5880 -2379 +3611 1942 +-3581 1943 +-6316 747 +852 -2230 +2107 4129 +-3072 0 +2107 -4129 +852 2230 +-6316 -747 +-3581 -1943 +3611 -1942 +5880 2379 +2221 1754 +-1024 2472 +-219 4061 +2210 -2343 +-1669 -1496 +-2252 1058 +-1153 -1159 +-1395 -2020 +2026 2310 +1024 0 +3168 34 +-3238 -2124 +-5218 -1130 +3100 5402 +-2569 -902 +1166 4013 +1948 3394 +-1024 424 +4059 6439 +631 2942 +186 869 +-1364 2402 +1543 1499 +2084 -3666 +-3725 -1054 +-3072 0 +-3725 1054 +2084 3666 +1543 -1499 +-1364 -2402 +186 -869 +631 -2942 +4059 -6439 +-1024 -424 +1948 -3394 +1166 -4013 +-2569 902 +3100 -5402 +-5218 1130 +-3238 2124 +3168 -34 +1024 0 +2026 -2310 +-1395 2020 +-1153 1159 +-2252 -1058 +-1669 1496 +2210 2343 +-219 -4061 +-1024 -2472 +2221 -1754 +5880 -2379 +3611 1942 +-3581 1943 +-6316 747 +852 -2230 +2107 4129 +-1024 3072 +5528 -8394 +-561 -1559 +302 1115 +562 -946 +-2064 329 +1080 486 +-1880 -1367 +-2172 4220 +1934 3784 +557 769 +-824 1898 +1560 -392 +459 -142 +3252 -754 +4160 5845 +0 0 +4160 -5845 +3252 754 +459 142 +1560 392 +-824 -1898 +557 -769 +1934 -3784 +-2172 -4220 +-1880 1367 +1080 -486 +-2064 -329 +562 946 +302 -1115 +-561 1559 +5528 8394 +-1024 -3072 +-929 -7167 +-223 450 +-3598 452 +886 946 +-2841 -1549 +813 2189 +3638 298 +2172 -124 +2100 1755 +-2449 1907 +-64 5411 +-3008 392 +-2956 2046 +-2468 -354 +-2966 -6607 +2048 0 +-2966 6607 +-2468 354 +-2956 -2046 +-3008 -392 +-64 -5411 +-2449 -1907 +2100 -1755 +2172 124 +3638 -298 +813 -2189 +-2841 1549 +886 -946 +-3598 -452 +-223 -450 +-929 7167 +-1024 3072 +5528 -8394 +-561 -1559 +302 1115 +562 -946 +-2064 329 +1080 486 +-1880 -1367 +-2172 4220 +1934 3784 +557 769 +-824 1898 +1560 -392 +459 -142 +3252 -754 +4160 5845 +3072 -2048 +-1195 -1277 +-2049 959 +-3711 -2012 +-150 -1052 +2682 -2010 +532 2611 +-1126 -473 +-2896 -1448 +-3530 4046 +-5688 4350 +-577 2820 +2523 -3412 +-1549 -1604 +56 248 +2802 586 +3072 0 +2802 -586 +56 -248 +-1549 1604 +2523 3412 +-577 -2820 +-5688 -4350 +-3530 -4046 +-2896 1448 +-1126 473 +532 -2611 +2682 2010 +-150 1052 +-3711 2012 +-2049 -959 +-1195 1277 +3072 2048 +-225 1364 +665 581 +2053 -3592 +-5043 4300 +-892 856 +-2136 -5479 +-2037 993 +2896 1448 +2908 -1959 +299 3870 +-2781 -189 +6766 -5629 +4774 -215 +128 -4004 +2402 1068 +-1024 0 +2402 -1068 +128 4004 +4774 215 +6766 5629 +-2781 189 +299 -3870 +2908 1959 +2896 -1448 +-2037 -993 +-2136 5479 +-892 -856 +-5043 -4300 +2053 3592 +665 -581 +-225 -1364 +3072 -2048 +-1195 -1277 +-2049 959 +-3711 -2012 +-150 -1052 +2682 -2010 +532 2611 +-1126 -473 +-2896 -1448 +-3530 4046 +-5688 4350 +-577 2820 +2523 -3412 +-1549 -1604 +56 248 +2802 586 +3072 0 +-569 24 +-6140 2666 +-929 -1640 +170 -3120 +5008 -694 +818 -4566 +-2054 -1325 +5544 2896 +-2099 -482 +718 -815 +1720 2691 +614 1773 +-481 1629 +-2167 218 +-1884 -6076 +-7168 0 +-1884 6076 +-2167 -218 +-481 -1629 +614 -1773 +1720 -2691 +718 815 +-2099 482 +5544 -2896 +-2054 1325 +818 4566 +5008 694 +170 3120 +-929 1640 +-6140 -2666 +-569 -24 +3072 0 +2667 5514 +412 4275 +934 -581 +1278 6016 +-319 825 +1923 -1725 +700 2123 +2648 -2896 +557 -3391 +-1762 -1380 +-3512 3471 +-2062 1124 +3372 -3613 +-1994 2626 +-3110 1149 +1024 0 +-3110 -1149 +-1994 -2626 +3372 3613 +-2062 -1124 +-3512 -3471 +-1762 1380 +557 3391 +2648 2896 +700 -2123 +1923 1725 +-319 -825 +1278 -6016 +934 581 +412 -4275 +2667 -5514 +3072 0 +-569 24 +-6140 2666 +-929 -1640 +170 -3120 +5008 -694 +818 -4566 +-2054 -1325 +5544 2896 +-2099 -482 +718 -815 +1720 2691 +614 1773 +-481 1629 +-2167 218 +-1884 -6076 +1024 2048 +1080 2652 +-1338 -4926 +1586 2299 +2976 794 +1118 -1631 +1776 -4955 +2080 252 +0 6992 +-1960 3557 +-1921 -2798 +779 -99 +2856 2206 +2929 -2148 +2048 2231 +-1936 -5348 +-5120 0 +-1936 5348 +2048 -2231 +2929 2148 +2856 -2206 +779 99 +-1921 2798 +-1960 -3557 +0 -6992 +2080 -252 +1776 4955 +1118 1631 +2976 -794 +1586 -2299 +-1338 4926 +1080 -2652 +1024 -2048 +-1303 4304 +3111 -3922 +3457 102 +-2376 1254 +-424 5232 +1344 3338 +-2345 -289 +0 1200 +896 -3521 +-4095 -18 +-2361 -3502 +640 -4254 +-1292 -252 +-925 -4087 +-2304 -1607 +-5120 0 +-2304 1607 +-925 4087 +-1292 252 +640 4254 +-2361 3502 +-4095 18 +896 3521 +0 -1200 +-2345 289 +1344 -3338 +-424 -5232 +-2376 -1254 +3457 -102 +3111 3922 +-1303 -4304 +1024 2048 +1080 2652 +-1338 -4926 +1586 2299 +2976 794 +1118 -1631 +1776 -4955 +2080 252 +0 6992 +-1960 3557 +-1921 -2798 +779 -99 +2856 2206 +2929 -2148 +2048 2231 +-1936 -5348 +-1024 2048 +-1583 -1549 +1148 -1069 +-3262 -4854 +2976 2702 +1043 4345 +284 3321 +1376 2646 +-2048 2048 +2639 -796 +-2038 -2799 +-2954 861 +2856 -2806 +1845 3007 +2402 453 +2223 -11841 +1024 0 +2223 11841 +2402 -453 +1845 -3007 +2856 2806 +-2954 -861 +-2038 2799 +2639 796 +-2048 -2048 +1376 -2646 +284 -3321 +1043 -4345 +2976 -2702 +-3262 4854 +1148 1069 +-1583 1549 +-1024 -2048 +5323 -2141 +2192 469 +1460 -4077 +-2376 2243 +-1572 -525 +-948 175 +-3829 3293 +-2048 2048 +-1074 1934 +-194 -697 +-980 2886 +640 3654 +-1372 1973 +-2846 147 +718 950 +1024 0 +718 -950 +-2846 -147 +-1372 -1973 +640 -3654 +-980 -2886 +-194 697 +-1074 -1934 +-2048 -2048 +-3829 -3293 +-948 -175 +-1572 525 +-2376 -2243 +1460 4077 +2192 -469 +5323 2141 +-1024 2048 +-1583 -1549 +1148 -1069 +-3262 -4854 +2976 2702 +1043 4345 +284 3321 +1376 2646 +-2048 2048 +2639 -796 +-2038 -2799 +-2954 861 +2856 -2806 +1845 3007 +2402 453 +2223 -11841 +1024 1024 +-166 -804 +2264 -767 +469 -2921 +-756 -188 +3132 -1027 +-1700 549 +-592 -629 +-2772 124 +-960 1188 +5447 431 +975 658 +4142 -586 +-2653 5994 +-993 4565 +7146 1264 +2048 0 +7146 -1264 +-993 -4565 +-2653 -5994 +4142 586 +975 -658 +5447 -431 +-960 -1188 +-2772 -124 +-592 629 +-1700 -549 +3132 1027 +-756 188 +469 2921 +2264 767 +-166 804 +1024 -1024 +-2451 785 +-5605 5519 +-3104 -1167 +-1540 -4756 +-2216 -87 +2364 5164 +292 -3995 +-1324 -4220 +2589 2307 +-3215 -1710 +-1002 -2763 +2250 -262 +-1393 2911 +1437 1386 +-65 4436 +-4096 0 +-65 -4436 +1437 -1386 +-1393 -2911 +2250 262 +-1002 2763 +-3215 1710 +2589 -2307 +-1324 4220 +292 3995 +2364 -5164 +-2216 87 +-1540 4756 +-3104 1167 +-5605 -5519 +-2451 -785 +1024 1024 +-166 -804 +2264 -767 +469 -2921 +-756 -188 +3132 -1027 +-1700 549 +-592 -629 +-2772 124 +-960 1188 +5447 431 +975 658 +4142 -586 +-2653 5994 +-993 4565 +7146 1264 +4096 3072 +-395 420 +1264 3427 +2132 583 +3359 -2394 +-2353 3135 +-275 159 +2130 -5185 +-5844 -724 +-1175 627 +-2233 -2910 +-2443 -852 +-3432 -1840 +-298 399 +-243 5541 +-3114 -668 +3072 0 +-3114 668 +-243 -5541 +-298 -399 +-3432 1840 +-2443 852 +-2233 2910 +-1175 -627 +-5844 724 +2130 5185 +-275 -159 +-2353 -3135 +3359 2394 +2132 -583 +1264 -3427 +-395 -420 +4096 -3072 +-348 -70 +-2048 985 +281 -1488 +3034 -502 +8650 -4073 +2167 1430 +-4050 3792 +-4396 724 +198 4972 +341 402 +-958 -1285 +1136 -1056 +782 -105 +1027 2967 +960 -5974 +1024 0 +960 5974 +1027 -2967 +782 105 +1136 1056 +-958 1285 +341 -402 +198 -4972 +-4396 -724 +-4050 -3792 +2167 -1430 +8650 4073 +3034 502 +281 1488 +-2048 -985 +-348 70 +4096 3072 +-395 420 +1264 3427 +2132 583 +3359 -2394 +-2353 3135 +-275 159 +2130 -5185 +-5844 -724 +-1175 627 +-2233 -2910 +-2443 -852 +-3432 -1840 +-298 399 +-243 5541 +-3114 -668 +-1024 -3072 +-5556 -775 +-258 -4040 +3770 429 +802 586 +-2768 -1190 +-1254 -910 +-3226 4590 +-4220 -973 +1662 -2108 +305 -522 +-2667 -1359 +692 4756 +1356 -1272 +2388 1225 +2257 4118 +0 0 +2257 -4118 +2388 -1225 +1356 1272 +692 -4756 +-2667 1359 +305 522 +1662 2108 +-4220 973 +-3226 -4590 +-1254 910 +-2768 1190 +802 -586 +3770 -429 +-258 4040 +-5556 775 +-1024 3072 +6191 165 +2489 -5916 +1359 3600 +2694 262 +-3648 2176 +-2086 1969 +2647 2836 +124 9165 +1164 975 +139 382 +-2950 -239 +-92 188 +-245 317 +-1723 -4189 +654 697 +2048 0 +654 -697 +-1723 4189 +-245 -317 +-92 -188 +-2950 239 +139 -382 +1164 -975 +124 -9165 +2647 -2836 +-2086 -1969 +-3648 -2176 +2694 -262 +1359 -3600 +2489 5916 +6191 -165 +-1024 -3072 +-5556 -775 +-258 -4040 +3770 429 +802 586 +-2768 -1190 +-1254 -910 +-3226 4590 +-4220 -973 +1662 -2108 +305 -522 +-2667 -1359 +692 4756 +1356 -1272 +2388 1225 +2257 4118 +3072 -1024 +-2467 484 +-504 -1571 +295 -850 +2584 2080 +-2882 -3753 +508 -4091 +3241 2152 +-724 724 +-309 -2942 +-6957 -1627 +964 1999 +1910 1370 +2898 -370 +2262 -1622 +-2494 -4408 +4096 0 +-2494 4408 +2262 1622 +2898 370 +1910 -1370 +964 -1999 +-6957 1627 +-309 2942 +-724 -724 +3241 -2152 +508 4091 +-2882 3753 +2584 -2080 +295 850 +-504 1571 +-2467 -484 +3072 1024 +3819 1102 +-1768 1543 +-1776 2168 +-1984 2864 +-5468 -177 +-6576 -2561 +-1421 1278 +724 -724 +56 2587 +240 6063 +3603 -4362 +1586 -522 +2367 3256 +4602 -3702 +-425 2210 +-2048 0 +-425 -2210 +4602 3702 +2367 -3256 +1586 522 +3603 4362 +240 -6063 +56 -2587 +724 724 +-1421 -1278 +-6576 2561 +-5468 177 +-1984 -2864 +-1776 -2168 +-1768 -1543 +3819 -1102 +3072 -1024 +-2467 484 +-504 -1571 +295 -850 +2584 2080 +-2882 -3753 +508 -4091 +3241 2152 +-724 724 +-309 -2942 +-6957 -1627 +964 1999 +1910 1370 +2898 -370 +2262 -1622 +-2494 -4408 +-5120 1024 +-357 -969 +1876 -2469 +1381 -522 +2270 -1286 +-2353 1036 +-336 1447 +1424 -1161 +-724 -1324 +-2679 1440 +-4353 1274 +-1746 -2189 +2380 836 +-3971 -1220 +-1632 -5831 +2998 754 +-2048 0 +2998 -754 +-1632 5831 +-3971 1220 +2380 -836 +-1746 2189 +-4353 -1274 +-2679 -1440 +-724 1324 +1424 1161 +-336 -1447 +-2353 -1036 +2270 1286 +1381 522 +1876 2469 +-357 969 +-5120 -1024 +-208 3850 +1584 1581 +1057 -1461 +378 -1610 +3367 -3129 +-2665 3017 +-2657 3020 +724 -2772 +-1441 -836 +7354 -2603 +2948 -6217 +3164 -3732 +-683 1115 +-1827 -849 +2918 -7321 +-4096 0 +2918 7321 +-1827 849 +-683 -1115 +3164 3732 +2948 6217 +7354 2603 +-1441 836 +724 2772 +-2657 -3020 +-2665 -3017 +3367 3129 +378 1610 +1057 1461 +1584 -1581 +-208 -3850 +-5120 1024 +-357 -969 +1876 -2469 +1381 -522 +2270 -1286 +-2353 1036 +-336 1447 +1424 -1161 +-724 -1324 +-2679 1440 +-4353 1274 +-1746 -2189 +2380 836 +-3971 -1220 +-1632 -5831 +2998 754 +5120 0 +685 2410 +-1750 -25 +1731 -5563 +628 854 +-2051 -3386 +4235 1073 +2445 -344 +-1872 -7416 +1280 -2042 +3027 203 +5648 3022 +-940 -410 +-3164 1672 +535 4447 +-71 -1332 +1024 0 +-71 1332 +535 -4447 +-3164 -1672 +-940 410 +5648 -3022 +3027 -203 +1280 2042 +-1872 7416 +2445 344 +4235 -1073 +-2051 3386 +628 -854 +1731 5563 +-1750 25 +685 -2410 +5120 0 +-873 434 +-807 469 +1132 -5903 +-4724 -254 +-567 -99 +-5463 -3305 +-5763 -2084 +3920 1272 +471 3060 +1097 462 +755 -1466 +-3156 -3086 +-3484 94 +-875 -1107 +1827 -569 +1024 0 +1827 569 +-875 1107 +-3484 -94 +-3156 3086 +755 1466 +1097 -462 +471 -3060 +3920 -1272 +-5763 2084 +-5463 3305 +-567 99 +-4724 254 +1132 5903 +-807 -469 +-873 -434 +5120 0 +685 2410 +-1750 -25 +1731 -5563 +628 854 +-2051 -3386 +4235 1073 +2445 -344 +-1872 -7416 +1280 -2042 +3027 203 +5648 3022 +-940 -410 +-3164 1672 +535 4447 +-71 -1332 +-5120 -6144 +-2327 -994 +-3417 -356 +-2138 -1317 +396 2726 +2811 -1446 +-720 2048 +744 4236 +4520 -1024 +-5579 1147 +-3336 -2280 +-1085 537 +1964 3510 +2063 -2214 +3072 965 +69 1141 +-11264 0 +69 -1141 +3072 -965 +2063 2214 +1964 -3510 +-1085 -537 +-3336 2280 +-5579 -1147 +4520 1024 +744 -4236 +-720 -2048 +2811 1446 +396 -2726 +-2138 1317 +-3417 356 +-2327 994 +-5120 6144 +153 3567 +-3982 -428 +-2312 -1995 +5748 1618 +163 175 +889 -3940 +-312 -1795 +1624 -1024 +5146 1294 +-928 388 +-1888 -1807 +4180 834 +2387 -1098 +232 -1749 +2105 1433 +1024 0 +2105 -1433 +232 1749 +2387 1098 +4180 -834 +-1888 1807 +-928 -388 +5146 -1294 +1624 1024 +-312 1795 +889 3940 +163 -175 +5748 -1618 +-2312 1995 +-3982 428 +153 -3567 +-5120 -6144 +-2327 -994 +-3417 -356 +-2138 -1317 +396 2726 +2811 -1446 +-720 2048 +744 4236 +4520 -1024 +-5579 1147 +-3336 -2280 +-1085 537 +1964 3510 +2063 -2214 +3072 965 +69 1141 +-4096 0 +-607 467 +-3703 -775 +-2782 1710 +2422 1292 +-334 -3816 +210 -3583 +2104 -304 +-424 2048 +-2387 1623 +-3972 -1064 +1557 4034 +4194 4280 +-1992 -3410 +772 2027 +44 1960 +-6144 0 +44 -1960 +772 -2027 +-1992 3410 +4194 -4280 +1557 -4034 +-3972 1064 +-2387 -1623 +-424 -2048 +2104 304 +210 3583 +-334 3816 +2422 -1292 +-2782 -1710 +-3703 775 +-607 -467 +-4096 0 +-1627 -1232 +243 5039 +-4654 486 +-1822 -2492 +2832 3037 +2791 -3817 +2893 4145 +2472 2048 +2502 -4334 +971 -2240 +-1600 -37 +-698 2712 +1180 -3603 +2687 -1858 +2870 -6877 +2048 0 +2870 6877 +2687 1858 +1180 3603 +-698 -2712 +-1600 37 +971 2240 +2502 4334 +2472 -2048 +2893 -4145 +2791 3817 +2832 -3037 +-1822 2492 +-4654 -486 +243 -5039 +-1627 1232 +-4096 0 +-607 467 +-3703 -775 +-2782 1710 +2422 1292 +-334 -3816 +210 -3583 +2104 -304 +-424 2048 +-2387 1623 +-3972 -1064 +1557 4034 +4194 4280 +-1992 -3410 +772 2027 +44 1960 +-2048 0 +-6061 211 +-3335 -4804 +-314 510 +854 156 +115 -1303 +-606 6169 +3201 1063 +2472 4944 +-2313 3085 +-9 748 +1840 243 +410 -2832 +1669 2696 +711 -3792 +-702 3275 +0 0 +-702 -3275 +711 3792 +1669 -2696 +410 2832 +1840 -243 +-9 -748 +-2313 -3085 +2472 -4944 +3201 -1063 +-606 -6169 +115 1303 +854 -156 +-314 -510 +-3335 4804 +-6061 -211 +-2048 0 +666 -8 +-1233 -3705 +297 2413 +-254 3940 +-2216 -8016 +931 -3662 +1339 2183 +-424 -848 +229 -3256 +-315 -2337 +941 2782 +3086 -1264 +3461 -1414 +3857 -620 +-2152 -4090 +-8192 0 +-2152 4090 +3857 620 +3461 1414 +3086 1264 +941 -2782 +-315 2337 +229 3256 +-424 848 +1339 -2183 +931 3662 +-2216 8016 +-254 -3940 +297 -2413 +-1233 3705 +666 8 +-2048 0 +-6061 211 +-3335 -4804 +-314 510 +854 156 +115 -1303 +-606 6169 +3201 1063 +2472 4944 +-2313 3085 +-9 748 +1840 243 +410 -2832 +1669 2696 +711 -3792 +-702 3275 +3072 1024 +-535 5239 +-863 2155 +3329 -3476 +-2224 1056 +2021 -2734 +-1765 -3890 +-5127 -114 +3620 1324 +-4390 3219 +-1664 -3730 +1021 -2222 +2454 2394 +3854 878 +1362 1206 +-433 -4691 +-6144 0 +-433 4691 +1362 -1206 +3854 -878 +2454 -2394 +1021 2222 +-1664 3730 +-4390 -3219 +3620 -1324 +-5127 114 +-1765 3890 +2021 2734 +-2224 -1056 +3329 3476 +-863 -2155 +-535 -5239 +3072 -1024 +1588 -451 +-1029 1629 +3882 1092 +776 1840 +-4803 1371 +982 2323 +-2385 7099 +-3620 2772 +-1459 294 +2447 2163 +4419 -101 +-1006 502 +-2137 3969 +530 2578 +1155 -2185 +0 0 +1155 2185 +530 -2578 +-2137 -3969 +-1006 -502 +4419 101 +2447 -2163 +-1459 -294 +-3620 -2772 +-2385 -7099 +982 -2323 +-4803 -1371 +776 -1840 +3882 -1092 +-1029 -1629 +1588 451 +3072 1024 +-535 5239 +-863 2155 +3329 -3476 +-2224 1056 +2021 -2734 +-1765 -3890 +-5127 -114 +3620 1324 +-4390 3219 +-1664 -3730 +1021 -2222 +2454 2394 +3854 878 +1362 1206 +-433 -4691 +1024 0 +-1665 -5508 +1559 1167 +275 4225 +-424 -614 +481 7084 +-2645 -4088 +-1315 -4382 +424 2472 +1493 -666 +-1466 -3699 +-2783 -2734 +2472 1278 +-1999 -3361 +-1393 512 +2201 -486 +-1024 0 +2201 486 +-1393 -512 +-1999 3361 +2472 -1278 +-2783 2734 +-1466 3699 +1493 666 +424 -2472 +-1315 4382 +-2645 4088 +481 -7084 +-424 614 +275 -4225 +1559 -1167 +-1665 5508 +1024 0 +4956 4214 +2225 3881 +-2768 3838 +-424 2062 +3320 137 +4213 -1420 +3994 -3772 +-2472 -424 +-1276 3938 +-102 2288 +-3914 -1947 +2472 170 +1595 1922 +-2391 440 +-2596 -3367 +-5120 0 +-2596 3367 +-2391 -440 +1595 -1922 +2472 -170 +-3914 1947 +-102 -2288 +-1276 -3938 +-2472 424 +3994 3772 +4213 1420 +3320 -137 +-424 -2062 +-2768 -3838 +2225 -3881 +4956 -4214 +1024 0 +-1665 -5508 +1559 1167 +275 4225 +-424 -614 +481 7084 +-2645 -4088 +-1315 -4382 +424 2472 +1493 -666 +-1466 -3699 +-2783 -2734 +2472 1278 +-1999 -3361 +-1393 512 +2201 -486 +-4096 1024 +-803 -480 +2118 -329 +5278 -4527 +-1984 -4996 +-1158 -1057 +-4976 -1912 +-2090 -1985 +6093 -1572 +-3028 -1440 +2591 -1068 +2099 -3185 +1586 -1130 +876 902 +-2851 -2659 +-29 -2963 +-3072 0 +-29 2963 +-2851 2659 +876 -902 +1586 1130 +2099 3185 +2591 1068 +-3028 1440 +6093 1572 +-2090 1985 +-4976 1912 +-1158 1057 +-1984 4996 +5278 4527 +2118 329 +-803 480 +-4096 -1024 +-1210 957 +1341 809 +-1392 -6894 +2584 -1996 +3621 2921 +1976 -3920 +-591 651 +-4045 5668 +-2539 -912 +409 -668 +551 3409 +1910 2330 +-4082 21 +-608 -956 +4497 24 +-1024 0 +4497 -24 +-608 956 +-4082 -21 +1910 -2330 +551 -3409 +409 668 +-2539 912 +-4045 -5668 +-591 -651 +1976 3920 +3621 -2921 +2584 1996 +-1392 6894 +1341 -809 +-1210 -957 +-4096 1024 +-803 -480 +2118 -329 +5278 -4527 +-1984 -4996 +-1158 -1057 +-4976 -1912 +-2090 -1985 +6093 -1572 +-3028 -1440 +2591 -1068 +2099 -3185 +1586 -1130 +876 902 +-2851 -2659 +-29 -2963 +4096 -3072 +-2962 -439 +-2370 -1068 +1986 1900 +2044 -92 +2105 -3647 +2057 1245 +3181 -3692 +-724 300 +5100 1748 +2647 -4270 +-1656 -150 +1030 -802 +-2117 -324 +-2057 843 +1028 -2082 +3072 0 +1028 2082 +-2057 -843 +-2117 324 +1030 802 +-1656 150 +2647 4270 +5100 -1748 +-724 -300 +3181 3692 +2057 -1245 +2105 3647 +2044 92 +1986 -1900 +-2370 1068 +-2962 439 +4096 3072 +-1695 -2068 +1381 -2104 +37 -1551 +-4092 692 +-5981 4199 +-7069 -5213 +3141 -4444 +724 1748 +610 893 +5261 -898 +1986 -2066 +-3078 -2694 +-2153 305 +150 2978 +-2610 -3633 +-3072 0 +-2610 3633 +150 -2978 +-2153 -305 +-3078 2694 +1986 2066 +5261 898 +610 -893 +724 -1748 +3141 4444 +-7069 5213 +-5981 -4199 +-4092 -692 +37 1551 +1381 2104 +-1695 2068 +4096 -3072 +-2962 -439 +-2370 -1068 +1986 1900 +2044 -92 +2105 -3647 +2057 1245 +3181 -3692 +-724 300 +5100 1748 +2647 -4270 +-1656 -150 +1030 -802 +-2117 -324 +-2057 843 +1028 -2082 +-5120 1024 +2291 -179 +413 -1002 +3913 -606 +-2210 -358 +-1823 4909 +3403 477 +88 1502 +2348 3796 +-3196 -460 +-4580 -1543 +232 -581 +236 27 +2755 -2305 +-252 3698 +-2396 3492 +0 0 +-2396 -3492 +-252 -3698 +2755 2305 +236 -27 +232 581 +-4580 1543 +-3196 460 +2348 -3796 +88 -1502 +3403 -477 +-1823 -4909 +-2210 358 +3913 606 +413 1002 +2291 179 +-5120 -1024 +-1919 -1623 +7976 -602 +-1945 -959 +-1886 -6034 +3184 -1968 +1441 -661 +-382 2433 +3796 2348 +4378 -69 +936 160 +2871 -3159 +-4332 -2324 +-3393 -147 +-1145 1690 +-4655 -3966 +-2048 0 +-4655 3966 +-1145 -1690 +-3393 147 +-4332 2324 +2871 3159 +936 -160 +4378 69 +3796 -2348 +-382 -2433 +1441 661 +3184 1968 +-1886 6034 +-1945 959 +7976 602 +-1919 1623 +-5120 1024 +2291 -179 +413 -1002 +3913 -606 +-2210 -358 +-1823 4909 +3403 477 +88 1502 +2348 3796 +-3196 -460 +-4580 -1543 +232 -581 +236 27 +2755 -2305 +-252 3698 +-2396 3492 +1024 -1024 +-980 -2396 +-1552 3470 +1215 -186 +646 -366 +5532 -4332 +6658 152 +-170 1710 +-1572 -2772 +345 3244 +106 1740 +-2267 3312 +-2140 -751 +1367 -2528 +-344 1743 +-1759 -6215 +0 0 +-1759 6215 +-344 -1743 +1367 2528 +-2140 751 +-2267 -3312 +106 -1740 +345 -3244 +-1572 2772 +-170 -1710 +6658 -152 +5532 4332 +646 366 +1215 186 +-1552 -3470 +-980 2396 +1024 1024 +-4801 346 +-3356 1594 +720 655 +-1246 5310 +12 -450 +-2209 3032 +-1959 4864 +5668 -1324 +2673 -3871 +-1658 2644 +1187 5818 +-1356 1600 +-1973 2924 +2356 -3672 +860 -635 +-2048 0 +860 635 +2356 3672 +-1973 -2924 +-1356 -1600 +1187 -5818 +-1658 -2644 +2673 3871 +5668 1324 +-1959 -4864 +-2209 -3032 +12 450 +-1246 -5310 +720 -655 +-3356 -1594 +-4801 -346 +1024 -1024 +-980 -2396 +-1552 3470 +1215 -186 +646 -366 +5532 -4332 +6658 152 +-170 1710 +-1572 -2772 +345 3244 +106 1740 +-2267 3312 +-2140 -751 +1367 -2528 +-344 1743 +-1759 -6215 +2048 4096 +6751 4869 +3979 -1412 +-1482 -1341 +1268 2002 +2237 -880 +-287 -2884 +2974 1953 +-176 -3496 +-243 -947 +1770 1100 +-4003 -2522 +2036 2786 +1693 1257 +-322 3709 +706 1641 +-4096 0 +706 -1641 +-322 -3709 +1693 -1257 +2036 -2786 +-4003 2522 +1770 -1100 +-243 947 +-176 3496 +2974 -1953 +-287 2884 +2237 880 +1268 -2002 +-1482 1341 +3979 1412 +6751 -4869 +2048 -4096 +3106 1824 +-39 2300 +-4837 -956 +-1867 894 +-2088 4264 +3119 -1580 +1052 -76 +-5968 -600 +-3342 -2528 +-506 229 +278 3689 +-5532 110 +-3384 -5771 +477 2971 +582 -299 +4096 0 +582 299 +477 -2971 +-3384 5771 +-5532 -110 +278 -3689 +-506 -229 +-3342 2528 +-5968 600 +1052 76 +3119 1580 +-2088 -4264 +-1867 -894 +-4837 956 +-39 -2300 +3106 -1824 +2048 4096 +6751 4869 +3979 -1412 +-1482 -1341 +1268 2002 +2237 -880 +-287 -2884 +2974 1953 +-176 -3496 +-243 -947 +1770 1100 +-4003 -2522 +2036 2786 +1693 1257 +-322 3709 +706 1641 +2048 -1024 +307 -950 +2488 612 +4756 432 +946 -1450 +-1464 -1267 +-5293 -95 +-2055 -732 +-1324 -2948 +-1579 -2774 +-2213 -377 +-2340 3749 +-392 2889 +-705 1188 +-109 -192 +448 -6215 +1024 0 +448 6215 +-109 192 +-705 -1188 +-392 -2889 +-2340 -3749 +-2213 377 +-1579 2774 +-1324 2948 +-2055 732 +-5293 95 +-1464 1267 +946 1450 +4756 -432 +2488 -612 +307 950 +2048 1024 +-307 1166 +408 -1396 +-4756 5213 +-946 5794 +1464 -9223 +2397 -1797 +2055 2458 +-2772 -7292 +1579 -1372 +-683 -1515 +2340 -1215 +392 1456 +705 -2297 +3005 -592 +-448 -2835 +3072 0 +-448 2835 +3005 592 +705 2297 +392 -1456 +2340 1215 +-683 1515 +1579 1372 +-2772 7292 +2055 -2458 +2397 1797 +1464 9223 +-946 -5794 +-4756 -5213 +408 1396 +-307 -1166 +2048 -1024 +307 -950 +2488 612 +4756 432 +946 -1450 +-1464 -1267 +-5293 -95 +-2055 -732 +-1324 -2948 +-1579 -2774 +-2213 -377 +-2340 3749 +-392 2889 +-705 1188 +-109 -192 +448 -6215 +1024 2048 +605 3559 +4248 -2270 +1444 266 +-2532 -2531 +2506 -2868 +1624 550 +-1607 -1902 +-3496 2048 +-5021 -1323 +-1763 1705 +4331 1542 +-2192 -5821 +-1382 1073 +-1623 1387 +-4833 521 +1024 0 +-4833 -521 +-1623 -1387 +-1382 -1073 +-2192 5821 +4331 -1542 +-1763 -1705 +-5021 1323 +-3496 -2048 +-1607 1902 +1624 -550 +2506 2868 +-2532 2531 +1444 -266 +4248 2270 +605 -3559 +1024 -2048 +194 -1196 +3816 -4750 +-908 -1852 +-964 4579 +-600 2513 +-1349 -6002 +5279 -984 +-600 2048 +-660 5 +2687 1531 +1124 1887 +1592 3773 +5071 1125 +551 282 +-5543 3409 +-3072 0 +-5543 -3409 +551 -282 +5071 -1125 +1592 -3773 +1124 -1887 +2687 -1531 +-660 -5 +-600 -2048 +5279 984 +-1349 6002 +-600 -2513 +-964 -4579 +-908 1852 +3816 4750 +194 1196 +1024 2048 +605 3559 +4248 -2270 +1444 266 +-2532 -2531 +2506 -2868 +1624 550 +-1607 -1902 +-3496 2048 +-5021 -1323 +-1763 1705 +4331 1542 +-2192 -5821 +-1382 1073 +-1623 1387 +-4833 521 +-2048 1024 +-8230 -109 +-941 -7829 +2435 713 +-3802 -946 +79 2229 +4949 865 +2949 -2605 +-300 724 +-4024 -2112 +6 4438 +5104 2141 +2768 -392 +2927 3789 +3106 1443 +91 2785 +-1024 0 +91 -2785 +3106 -1443 +2927 -3789 +2768 392 +5104 -2141 +6 -4438 +-4024 2112 +-300 -724 +2949 2605 +4949 -865 +79 -2229 +-3802 946 +2435 -713 +-941 7829 +-8230 109 +-2048 -1024 +1960 2950 +-470 -1748 +-575 1695 +306 946 +682 -3838 +100 -5090 +613 2040 +-1748 -724 +-5331 1547 +-959 2922 +-72 -3751 +-3368 392 +1006 -1381 +2401 565 +386 56 +1024 0 +386 -56 +2401 -565 +1006 1381 +-3368 -392 +-72 3751 +-959 -2922 +-5331 -1547 +-1748 724 +613 -2040 +100 5090 +682 3838 +306 -946 +-575 -1695 +-470 1748 +1960 -2950 +-2048 1024 +-8230 -109 +-941 -7829 +2435 713 +-3802 -946 +79 2229 +4949 865 +2949 -2605 +-300 724 +-4024 -2112 +6 4438 +5104 2141 +2768 -392 +2927 3789 +3106 1443 +91 2785 +-1024 1024 +-4410 -1755 +-132 -3655 +7010 2546 +-2694 -1814 +-995 -1772 +-2050 -608 +-1425 -795 +2172 -1324 +-2222 -593 +3055 -3327 +1404 -6674 +92 -2200 +3357 -3310 +1070 -1835 +-2668 -2119 +-6144 0 +-2668 2119 +1070 1835 +3357 3310 +92 2200 +1404 6674 +3055 3327 +-2222 593 +2172 1324 +-1425 795 +-2050 608 +-995 1772 +-2694 1814 +7010 -2546 +-132 3655 +-4410 1755 +-1024 -1024 +-973 -340 +4412 -4253 +-1822 714 +-802 3862 +4007 394 +758 -381 +450 4748 +-2172 -2772 +-1028 -2373 +-563 5234 +-2408 -705 +-692 152 +1032 569 +1643 -3177 +691 -6896 +0 0 +691 6896 +1643 3177 +1032 -569 +-692 -152 +-2408 705 +-563 -5234 +-1028 2373 +-2172 2772 +450 -4748 +758 381 +4007 -394 +-802 -3862 +-1822 -714 +4412 4253 +-973 340 +-1024 1024 +-4410 -1755 +-132 -3655 +7010 2546 +-2694 -1814 +-995 -1772 +-2050 -608 +-1425 -795 +2172 -1324 +-2222 -593 +3055 -3327 +1404 -6674 +92 -2200 +3357 -3310 +1070 -1835 +-2668 -2119 +-5120 1024 +1723 3947 +807 3604 +18 -2134 +1574 5251 +2702 -2152 +1466 -4784 +-724 8344 +3796 1748 +1977 2320 +1132 100 +-1460 -3650 +-1331 4216 +-1089 4021 +-2027 3636 +-108 -1761 +-2048 0 +-108 1761 +-2027 -3636 +-1089 -4021 +-1331 -4216 +-1460 3650 +1132 -100 +1977 -2320 +3796 -1748 +-724 -8344 +1466 4784 +2702 2152 +1574 -5251 +18 2134 +807 -3604 +1723 -3947 +-5120 -1024 +-2362 -2844 +901 -1112 +-313 1533 +-5670 1142 +-2331 -1036 +4706 504 +2085 1383 +2348 300 +1125 726 +-312 2613 +-5591 -866 +-2765 -1919 +2271 -158 +1519 -2344 +2076 1976 +0 0 +2076 -1976 +1519 2344 +2271 158 +-2765 1919 +-5591 866 +-312 -2613 +1125 -726 +2348 -300 +2085 -1383 +4706 -504 +-2331 1036 +-5670 -1142 +-313 -1533 +901 1112 +-2362 2844 +-5120 1024 +1723 3947 +807 3604 +18 -2134 +1574 5251 +2702 -2152 +1466 -4784 +-724 8344 +3796 1748 +1977 2320 +1132 100 +-1460 -3650 +-1331 4216 +-1089 4021 +-2027 3636 +-108 -1761 +3072 7168 +2709 3335 +3160 609 +681 -3958 +-208 -1984 +-2890 433 +1111 1388 +-375 -647 +300 -2348 +1579 -3617 +-1045 838 +3378 2498 +-2550 -1586 +-396 997 +2732 -2659 +-981 -313 +2048 0 +-981 313 +2732 2659 +-396 -997 +-2550 1586 +3378 -2498 +-1045 -838 +1579 3617 +300 2348 +-375 647 +1111 -1388 +-2890 -433 +-208 1984 +681 3958 +3160 -609 +2709 -3335 +3072 -7168 +5735 -4085 +964 515 +-1002 2147 +-992 2584 +-373 -3931 +-3667 4628 +-1691 4557 +1748 -3796 +-3298 -2570 +705 2282 +-1682 5018 +-4442 -1910 +2284 15 +-3960 887 +-3679 -2342 +4096 0 +-3679 2342 +-3960 -887 +2284 -15 +-4442 1910 +-1682 -5018 +705 -2282 +-3298 2570 +1748 3796 +-1691 -4557 +-3667 -4628 +-373 3931 +-992 -2584 +-1002 -2147 +964 -515 +5735 4085 +3072 7168 +2709 3335 +3160 609 +681 -3958 +-208 -1984 +-2890 433 +1111 1388 +-375 -647 +300 -2348 +1579 -3617 +-1045 838 +3378 2498 +-2550 -1586 +-396 997 +2732 -2659 +-981 -313 +-1024 -1024 +-865 1805 +-1749 1312 +-3231 -1310 +-658 -906 +-718 -1504 +-1293 -2981 +-2174 499 +-1748 -4644 +-1797 -702 +2863 3074 +6290 -1133 +-1775 129 +2687 -4585 +1078 -2501 +-2755 -2768 +4096 0 +-2755 2768 +1078 2501 +2687 4585 +-1775 -129 +6290 1133 +2863 -3074 +-1797 702 +-1748 4644 +-2174 -499 +-1293 2981 +-718 1504 +-658 906 +-3231 1310 +-1749 -1312 +-865 -1805 +-1024 1024 +3309 -405 +6812 -1496 +-1295 539 +-6334 3203 +716 4694 +-1891 -2408 +-560 -998 +-300 2596 +-5284 1532 +1521 -1470 +2608 3436 +576 6264 +-1266 -2866 +851 1117 +4334 -296 +2048 0 +4334 296 +851 -1117 +-1266 2866 +576 -6264 +2608 -3436 +1521 1470 +-5284 -1532 +-300 -2596 +-560 998 +-1891 2408 +716 -4694 +-6334 -3203 +-1295 -539 +6812 1496 +3309 405 +-1024 -1024 +-865 1805 +-1749 1312 +-3231 -1310 +-658 -906 +-718 -1504 +-1293 -2981 +-2174 499 +-1748 -4644 +-1797 -702 +2863 3074 +6290 -1133 +-1775 129 +2687 -4585 +1078 -2501 +-2755 -2768 +2048 -4096 +2899 -353 +-2130 -3548 +-741 -1336 +-3796 -1974 +1079 -536 +-1366 -3569 +-3592 -3550 +3920 -3496 +-768 -2023 +-1270 2767 +-1162 -1034 +-2348 1650 +1396 -511 +726 -3167 +1832 1249 +4096 0 +1832 -1249 +726 3167 +1396 511 +-2348 -1650 +-1162 1034 +-1270 -2767 +-768 2023 +3920 3496 +-3592 3550 +-1366 3569 +1079 536 +-3796 1974 +-741 1336 +-2130 3548 +2899 353 +2048 4096 +-397 1091 +4962 -2912 +-1935 2207 +-3796 -6218 +318 -768 +1522 4028 +-1563 -161 +-1872 -600 +5923 -1689 +5210 -2308 +-235 -269 +-2348 6542 +1279 1382 +538 -3293 +-4334 -510 +-4096 0 +-4334 510 +538 3293 +1279 -1382 +-2348 -6542 +-235 269 +5210 2308 +5923 1689 +-1872 600 +-1563 161 +1522 -4028 +318 768 +-3796 6218 +-1935 -2207 +4962 2912 +-397 -1091 +2048 -4096 +2899 -353 +-2130 -3548 +-741 -1336 +-3796 -1974 +1079 -536 +-1366 -3569 +-3592 -3550 +3920 -3496 +-768 -2023 +-1270 2767 +-1162 -1034 +-2348 1650 +1396 -511 +726 -3167 +1832 1249 +-3072 5120 +-4515 -297 +-74 -5030 +-1918 1245 +-1970 222 +-2916 -2507 +3759 3137 +2776 1084 +300 -3196 +-1516 -882 +-1628 367 +1047 -60 +-632 -332 +1010 1930 +2349 1102 +-204 -4035 +-2048 0 +-204 4035 +2349 -1102 +1010 -1930 +-632 332 +1047 60 +-1628 -367 +-1516 882 +300 3196 +2776 -1084 +3759 -3137 +-2916 2507 +-1970 -222 +-1918 -1245 +-74 5030 +-4515 297 +-3072 -5120 +5080 -3176 +-2342 -3633 +3527 -2953 +-78 -1670 +508 -1430 +4969 635 +-5616 2055 +1748 1148 +4357 -3547 +2788 -4788 +1362 -742 +-1416 -1116 +-2619 -502 +-1630 -1574 +-361 -7007 +-4096 0 +-361 7007 +-1630 1574 +-2619 502 +-1416 1116 +1362 742 +2788 4788 +4357 3547 +1748 -1148 +-5616 -2055 +4969 -635 +508 1430 +-78 1670 +3527 2953 +-2342 3633 +5080 3176 +-3072 5120 +-4515 -297 +-74 -5030 +-1918 1245 +-1970 222 +-2916 -2507 +3759 3137 +2776 1084 +300 -3196 +-1516 -882 +-1628 367 +1047 -60 +-632 -332 +1010 1930 +2349 1102 +-204 -4035 +0 -1024 +1418 1650 +1241 -3318 +-1278 -1019 +1370 -986 +55 1482 +582 -5640 +1027 -3573 +-4220 -1748 +-4234 -4738 +916 2698 +1015 -1118 +-2864 -912 +5414 1365 +4075 3135 +-3490 8151 +-1024 0 +-3490 -8151 +4075 -3135 +5414 -1365 +-2864 912 +1015 1118 +916 -2698 +-4234 4738 +-4220 1748 +1027 3573 +582 5640 +55 -1482 +1370 986 +-1278 1019 +1241 3318 +1418 -1650 +0 1024 +-1684 4721 +1147 865 +4087 4487 +-522 -1311 +754 -1364 +-2658 512 +-2104 -319 +124 -300 +-4266 -721 +2360 863 +2401 -2549 +-2080 -5480 +-863 -1681 +529 3102 +1747 -3347 +1024 0 +1747 3347 +529 -3102 +-863 1681 +-2080 5480 +2401 2549 +2360 -863 +-4266 721 +124 300 +-2104 319 +-2658 -512 +754 1364 +-522 1311 +4087 -4487 +1147 -865 +-1684 -4721 +0 -1024 +1418 1650 +1241 -3318 +-1278 -1019 +1370 -986 +55 1482 +582 -5640 +1027 -3573 +-4220 -1748 +-4234 -4738 +916 2698 +1015 -1118 +-2864 -912 +5414 1365 +4075 3135 +-3490 8151 +2048 -1024 +-1692 1063 +3822 3640 +-398 -4059 +-1176 -3348 +1824 746 +631 873 +-1257 48 +-724 -3196 +-3901 -2387 +-192 -347 +1275 1947 +-2838 666 +-1832 405 +-1464 2675 +-2231 -2616 +-3072 0 +-2231 2616 +-1464 -2675 +-1832 -405 +-2838 -666 +1275 -1947 +-192 347 +-3901 2387 +-724 3196 +-1257 -48 +631 -873 +1824 -746 +-1176 3348 +-398 4059 +3822 -3640 +-1692 -1063 +2048 1024 +4618 -1885 +-5610 -3077 +1880 -5081 +1176 -997 +3718 -165 +4941 -769 +-2213 1280 +724 1148 +-3774 5595 +412 6244 +1193 -109 +2838 -5010 +3926 -98 +-2541 3681 +-1136 -4518 +-1024 0 +-1136 4518 +-2541 -3681 +3926 98 +2838 5010 +1193 109 +412 -6244 +-3774 -5595 +724 -1148 +-2213 -1280 +4941 769 +3718 165 +1176 997 +1880 5081 +-5610 3077 +4618 1885 +2048 -1024 +-1692 1063 +3822 3640 +-398 -4059 +-1176 -3348 +1824 746 +631 873 +-1257 48 +-724 -3196 +-3901 -2387 +-192 -347 +1275 1947 +-2838 666 +-1832 405 +-1464 2675 +-2231 -2616 +2048 1024 +2315 -328 +626 -988 +-216 -5804 +-3732 -296 +-2816 3180 +586 3366 +-718 -3003 +-5668 -1748 +173 1692 +4304 -3880 +-1440 1316 +1286 730 +-2445 -4317 +1299 -3861 +6754 -917 +1024 0 +6754 917 +1299 3861 +-2445 4317 +1286 -730 +-1440 -1316 +4304 3880 +173 -1692 +-5668 1748 +-718 3003 +586 -3366 +-2816 -3180 +-3732 296 +-216 5804 +626 988 +2315 328 +2048 -1024 +-2565 2415 +-6199 1993 +-2375 -4067 +836 5840 +-1617 2353 +3419 314 +1512 2424 +1572 -300 +4826 1825 +-2516 1768 +81 121 +1610 -3378 +-757 -1458 +-1520 -927 +-712 -1093 +3072 0 +-712 1093 +-1520 927 +-757 1458 +1610 3378 +81 -121 +-2516 -1768 +4826 -1825 +1572 300 +1512 -2424 +3419 -314 +-1617 -2353 +836 -5840 +-2375 4067 +-6199 -1993 +-2565 -2415 +2048 1024 +2315 -328 +626 -988 +-216 -5804 +-3732 -296 +-2816 3180 +586 3366 +-718 -3003 +-5668 -1748 +173 1692 +4304 -3880 +-1440 1316 +1286 730 +-2445 -4317 +1299 -3861 +6754 -917 +1024 4096 +4333 -281 +913 -2111 +2510 169 +894 300 +3095 -2002 +2057 21 +1183 3149 +1024 1872 +-2967 -5611 +-32 1829 +-593 3544 +-110 -1748 +-491 7786 +-3487 1951 +-1656 -545 +-1024 0 +-1656 545 +-3487 -1951 +-491 -7786 +-110 1748 +-593 -3544 +-32 -1829 +-2967 5611 +1024 -1872 +1183 -3149 +2057 -21 +3095 2002 +894 -300 +2510 -169 +913 2111 +4333 281 +1024 -4096 +-3953 -3417 +-625 -4386 +-1722 -2873 +2002 300 +2089 152 +-1089 4038 +1834 -3818 +1024 -3920 +-4514 1397 +-2135 1030 +2090 846 +-2786 -1748 +-1184 1542 +-3793 -1455 +-52 -906 +11264 0 +-52 906 +-3793 1455 +-1184 -1542 +-2786 1748 +2090 -846 +-2135 -1030 +-4514 -1397 +1024 3920 +1834 3818 +-1089 -4038 +2089 -152 +2002 -300 +-1722 2873 +-625 4386 +-3953 3417 +1024 4096 +4333 -281 +913 -2111 +2510 169 +894 300 +3095 -2002 +2057 21 +1183 3149 +1024 1872 +-2967 -5611 +-32 1829 +-593 3544 +-110 -1748 +-491 7786 +-3487 1951 +-1656 -545 +1024 1024 +748 477 +323 -5673 +3926 -5346 +2200 1560 +3123 2487 +3244 3586 +2778 1198 +-2348 -1748 +3208 437 +1056 -1148 +-3150 -2620 +3862 -886 +-4255 3832 +-4533 4543 +2918 -2492 +2048 0 +2918 2492 +-4533 -4543 +-4255 -3832 +3862 886 +-3150 2620 +1056 1148 +3208 -437 +-2348 1748 +2778 -1198 +3244 -3586 +3123 -2487 +2200 -1560 +3926 5346 +323 5673 +748 -477 +1024 -1024 +-4480 -777 +3461 1513 +-393 877 +-152 -3008 +758 -2804 +-1677 -2542 +1057 -289 +-3796 -300 +-1930 -546 +-2623 3889 +1725 662 +-1814 -562 +-7526 4043 +749 1185 +1494 -1225 +0 0 +1494 1225 +749 -1185 +-7526 -4043 +-1814 562 +1725 -662 +-2623 -3889 +-1930 546 +-3796 300 +1057 289 +-1677 2542 +758 2804 +-152 3008 +-393 -877 +3461 -1513 +-4480 777 +1024 1024 +748 477 +323 -5673 +3926 -5346 +2200 1560 +3123 2487 +3244 3586 +2778 1198 +-2348 -1748 +3208 437 +1056 -1148 +-3150 -2620 +3862 -886 +-4255 3832 +-4533 4543 +2918 -2492 +-6144 -5120 +-876 -6295 +1154 -1346 +-991 -1886 +-3203 -3334 +2005 5602 +619 878 +-994 -3555 +3196 4220 +-754 -314 +-231 -2834 +-961 907 +6264 2884 +1490 1741 +-3029 -5180 +1875 -4241 +-3072 0 +1875 4241 +-3029 5180 +1490 -1741 +6264 -2884 +-961 -907 +-231 2834 +-754 314 +3196 -4220 +-994 3555 +619 -878 +2005 -5602 +-3203 3334 +-991 1886 +1154 1346 +-876 6295 +-6144 5120 +-2581 3490 +-2158 2506 +737 -2409 +906 -3658 +-1695 1911 +3061 1537 +-854 679 +-1148 -124 +-294 -1362 +2343 3553 +3547 -386 +129 -1684 +1661 956 +-1759 -3549 +-1314 236 +3072 0 +-1314 -236 +-1759 3549 +1661 -956 +129 1684 +3547 386 +2343 -3553 +-294 1362 +-1148 124 +-854 -679 +3061 -1537 +-1695 -1911 +906 3658 +737 2409 +-2158 -2506 +-2581 -3490 +-6144 -5120 +-876 -6295 +1154 -1346 +-991 -1886 +-3203 -3334 +2005 5602 +619 878 +-994 -3555 +3196 4220 +-754 -314 +-231 -2834 +-961 907 +6264 2884 +1490 1741 +-3029 -5180 +1875 -4241 +-2048 2048 +772 -3063 +293 3223 +-1937 4323 +1808 410 +-893 -2639 +-49 651 +498 -3351 +600 -1024 +4002 6431 +-1422 1827 +-984 462 +2916 254 +3231 852 +-77 853 +-224 2401 +4096 0 +-224 -2401 +-77 -853 +3231 -852 +2916 -254 +-984 -462 +-1422 -1827 +4002 -6431 +600 1024 +498 3351 +-49 -651 +-893 2639 +1808 -410 +-1937 -4323 +293 -3223 +772 3063 +-2048 -2048 +-2491 -1355 +-2681 1685 +-1326 -919 +240 3086 +2782 -417 +2125 3798 +7947 -2 +3496 -1024 +-8662 5144 +-1854 -275 +663 2924 +-868 -854 +-1535 -2591 +-4527 1159 +-1841 -3476 +0 0 +-1841 3476 +-4527 -1159 +-1535 2591 +-868 854 +663 -2924 +-1854 275 +-8662 -5144 +3496 1024 +7947 2 +2125 -3798 +2782 417 +240 -3086 +-1326 919 +-2681 -1685 +-2491 1355 +-2048 2048 +772 -3063 +293 3223 +-1937 4323 +1808 410 +-893 -2639 +-49 651 +498 -3351 +600 -1024 +4002 6431 +-1422 1827 +-984 462 +2916 254 +3231 852 +-77 853 +-224 2401 +2048 -1024 +1274 2506 +-1190 -1602 +109 1090 +562 4358 +1419 -3454 +1171 493 +-2604 1401 +-1748 -2772 +3534 -3470 +-1249 -3881 +871 -3207 +1560 -3908 +760 1820 +-391 3044 +-4836 -3384 +-3072 0 +-4836 3384 +-391 -3044 +760 -1820 +1560 3908 +871 3207 +-1249 3881 +3534 3470 +-1748 2772 +-2604 -1401 +1171 -493 +1419 3454 +562 -4358 +109 -1090 +-1190 1602 +1274 -2506 +2048 1024 +-359 -365 +4530 -158 +-1465 3649 +886 4682 +3908 -719 +-1835 587 +878 3706 +-300 -1324 +1976 2914 +-982 -335 +-4631 -655 +-3008 660 +-971 -4961 +-53 6284 +136 8054 +3072 0 +136 -8054 +-53 -6284 +-971 4961 +-3008 -660 +-4631 655 +-982 335 +1976 -2914 +-300 1324 +878 -3706 +-1835 -587 +3908 719 +886 -4682 +-1465 -3649 +4530 158 +-359 365 +2048 -1024 +1274 2506 +-1190 -1602 +109 1090 +562 4358 +1419 -3454 +1171 493 +-2604 1401 +-1748 -2772 +3534 -3470 +-1249 -3881 +871 -3207 +1560 -3908 +760 1820 +-391 3044 +-4836 -3384 +1024 -3072 +702 4010 +-132 -781 +-3381 -1422 +152 1936 +3630 -441 +-875 1365 +714 -243 +300 1148 +-2586 305 +3888 -749 +1014 791 +1814 287 +-2126 1645 +-822 -1024 +7425 -660 +4096 0 +7425 660 +-822 1024 +-2126 -1645 +1814 -287 +1014 -791 +3888 749 +-2586 -305 +300 -1148 +714 243 +-875 -1365 +3630 441 +152 -1936 +-3381 1422 +-132 781 +702 -4010 +1024 3072 +449 -412 +-4981 -2051 +-1350 5243 +-2200 6504 +-4402 3419 +-1580 -1209 +2508 -3019 +1748 -3196 +-3092 -1926 +4360 -3191 +-921 -1228 +-3862 -38 +1744 1158 +143 2288 +-327 -8085 +-2048 0 +-327 8085 +143 -2288 +1744 -1158 +-3862 38 +-921 1228 +4360 3191 +-3092 1926 +1748 3196 +2508 3019 +-1580 1209 +-4402 -3419 +-2200 -6504 +-1350 -5243 +-4981 2051 +449 412 +1024 -3072 +702 4010 +-132 -781 +-3381 -1422 +152 1936 +3630 -441 +-875 1365 +714 -243 +300 1148 +-2586 305 +3888 -749 +1014 791 +1814 287 +-2126 1645 +-822 -1024 +7425 -660 +-1024 0 +1455 4460 +-815 -692 +89 1631 +3980 -350 +148 -1605 +-2815 3109 +-59 -4076 +-1448 -1448 +1542 -1505 +-659 -248 +905 3831 +744 -2870 +-1050 -527 +1448 -857 +-737 -4421 +-1024 0 +-737 4421 +1448 857 +-1050 527 +744 2870 +905 -3831 +-659 248 +1542 1505 +-1448 1448 +-59 4076 +-2815 -3109 +148 1605 +3980 350 +89 -1631 +-815 692 +1455 -4460 +-1024 0 +-5933 -1575 +2159 -1696 +2584 -1643 +2412 -4594 +1684 1418 +-4989 -5185 +-1200 -6757 +1448 1448 +605 -767 +-226 -3028 +1726 -1434 +-3040 2022 +-294 5499 +5897 5461 +-1465 1882 +-5120 0 +-1465 -1882 +5897 -5461 +-294 -5499 +-3040 -2022 +1726 1434 +-226 3028 +605 767 +1448 -1448 +-1200 6757 +-4989 5185 +1684 -1418 +2412 4594 +2584 1643 +2159 1696 +-5933 1575 +-1024 0 +1455 4460 +-815 -692 +89 1631 +3980 -350 +148 -1605 +-2815 3109 +-59 -4076 +-1448 -1448 +1542 -1505 +-659 -248 +905 3831 +744 -2870 +-1050 -527 +1448 -857 +-737 -4421 +-1024 -1024 +1670 -4202 +177 804 +-6463 -4515 +-1006 -3962 +-870 -2404 +1950 -1711 +4646 11 +-2172 -724 +-1784 224 +-746 414 +-4468 2527 +-2224 1944 +2019 2320 +-460 565 +-1863 -2518 +0 0 +-1863 2518 +-460 -565 +2019 -2320 +-2224 -1944 +-4468 -2527 +-746 -414 +-1784 -224 +-2172 724 +4646 -11 +1950 1711 +-870 2404 +-1006 3962 +-6463 4515 +177 -804 +1670 4202 +-1024 1024 +3637 -4968 +-961 -3480 +2934 3038 +2454 1065 +-7020 -7806 +-58 603 +2085 962 +2172 724 +3980 -211 +-1146 -1523 +-1003 -1072 +776 -4840 +3286 -325 +1243 -3241 +-787 578 +2048 0 +-787 -578 +1243 3241 +3286 325 +776 4840 +-1003 1072 +-1146 1523 +3980 211 +2172 -724 +2085 -962 +-58 -603 +-7020 7806 +2454 -1065 +2934 -3038 +-961 3480 +3637 4968 +-1024 -1024 +1670 -4202 +177 804 +-6463 -4515 +-1006 -3962 +-870 -2404 +1950 -1711 +4646 11 +-2172 -724 +-1784 224 +-746 414 +-4468 2527 +-2224 1944 +2019 2320 +-460 565 +-1863 -2518 +-1024 -2048 +2138 4813 +3124 5295 +1238 2281 +1808 -5218 +4418 -3898 +-2151 -709 +-1158 -3156 +424 3072 +-557 -973 +-7 -5580 +2752 696 +2916 -798 +-125 4472 +643 7353 +-1055 -3613 +-3072 0 +-1055 3613 +643 -7353 +-125 -4472 +2916 798 +2752 -696 +-7 5580 +-557 973 +424 -3072 +-1158 3156 +-2151 709 +4418 3898 +1808 5218 +1238 -2281 +3124 -5295 +2138 -4813 +-1024 2048 +-2287 58 +3556 -4343 +-1901 163 +240 -326 +2547 1483 +822 -703 +-790 -2238 +-2472 3072 +1826 355 +-4457 72 +-1469 1041 +-868 3446 +-1668 4524 +-1531 -2305 +-3910 -725 +1024 0 +-3910 725 +-1531 2305 +-1668 -4524 +-868 -3446 +-1469 -1041 +-4457 -72 +1826 -355 +-2472 -3072 +-790 2238 +822 703 +2547 -1483 +240 326 +-1901 -163 +3556 4343 +-2287 -58 +-1024 -2048 +2138 4813 +3124 5295 +1238 2281 +1808 -5218 +4418 -3898 +-2151 -709 +-1158 -3156 +424 3072 +-557 -973 +-7 -5580 +2752 696 +2916 -798 +-125 4472 +643 7353 +-1055 -3613 +2048 -1024 +3541 702 +-3439 -2709 +1077 -1788 +3348 4600 +-1677 -1238 +-908 3838 +395 1483 +-3196 -2172 +-4891 559 +1407 -2604 +979 1098 +666 3094 +1891 5389 +-4063 1759 +-1034 -3130 +5120 0 +-1034 3130 +-4063 -1759 +1891 -5389 +666 -3094 +979 -1098 +1407 2604 +-4891 -559 +-3196 2172 +395 -1483 +-908 -3838 +-1677 1238 +3348 -4600 +1077 1788 +-3439 2709 +3541 -702 +2048 1024 +1828 -2041 +2775 -2615 +848 -3525 +997 -3752 +-4398 -411 +464 598 +2224 -3945 +1148 2172 +3839 426 +1933 -4048 +1312 2293 +-5010 1851 +-32 2530 +1831 -1787 +-5902 -2954 +-5120 0 +-5902 2954 +1831 1787 +-32 -2530 +-5010 -1851 +1312 -2293 +1933 4048 +3839 -426 +1148 -2172 +2224 3945 +464 -598 +-4398 411 +997 3752 +848 3525 +2775 2615 +1828 2041 +2048 -1024 +3541 702 +-3439 -2709 +1077 -1788 +3348 4600 +-1677 -1238 +-908 3838 +395 1483 +-3196 -2172 +-4891 559 +1407 -2604 +979 1098 +666 3094 +1891 5389 +-4063 1759 +-1034 -3130 +1024 -4096 +-2934 -3106 +3973 1182 +7522 1549 +-3940 1638 +-855 -824 +4513 -1825 +1147 -5757 +-1024 424 +-299 685 +198 -45 +-341 59 +-1264 -2302 +-4581 3349 +-643 -3329 +1121 417 +-3072 0 +1121 -417 +-643 3329 +-4581 -3349 +-1264 2302 +-341 -59 +198 45 +-299 -685 +-1024 -424 +1147 5757 +4513 1825 +-855 824 +-3940 -1638 +7522 -1549 +3973 -1182 +-2934 3106 +1024 4096 +-2262 1762 +3307 3097 +6151 -290 +-156 -1038 +388 -3654 +-2345 3118 +-1642 3925 +-1024 -2472 +-2752 1946 +-1166 2537 +-5432 2143 +-2832 -1194 +2940 -1202 +355 617 +1827 -3090 +5120 0 +1827 3090 +355 -617 +2940 1202 +-2832 1194 +-5432 -2143 +-1166 -2537 +-2752 -1946 +-1024 2472 +-1642 -3925 +-2345 -3118 +388 3654 +-156 1038 +6151 290 +3307 -3097 +-2262 -1762 +1024 -4096 +-2934 -3106 +3973 1182 +7522 1549 +-3940 1638 +-855 -824 +4513 -1825 +1147 -5757 +-1024 424 +-299 685 +198 -45 +-341 59 +-1264 -2302 +-4581 3349 +-643 -3329 +1121 417 +1024 2048 +794 1730 +363 -701 +-154 2263 +-144 -2362 +2558 -4293 +-4981 -4550 +-4039 -2987 +3496 -2896 +-120 2100 +-1921 1548 +-3493 -1210 +1084 1578 +3029 -5302 +-1762 -989 +-2543 2833 +-3072 0 +-2543 -2833 +-1762 989 +3029 5302 +1084 -1578 +-3493 1210 +-1921 -1548 +-120 -2100 +3496 2896 +-4039 2987 +-4981 4550 +2558 4293 +-144 2362 +-154 -2263 +363 701 +794 -1730 +1024 -2048 +389 2013 +-2855 -5211 +-2876 -4642 +3640 314 +1037 -3201 +701 -3578 +1246 1472 +600 2896 +1345 5961 +-791 -987 +3683 -2059 +-484 470 +-3783 -4437 +3054 3766 +2927 -1098 +1024 0 +2927 1098 +3054 -3766 +-3783 4437 +-484 -470 +3683 2059 +-791 987 +1345 -5961 +600 -2896 +1246 -1472 +701 3578 +1037 3201 +3640 -314 +-2876 4642 +-2855 5211 +389 -2013 +1024 2048 +794 1730 +363 -701 +-154 2263 +-144 -2362 +2558 -4293 +-4981 -4550 +-4039 -2987 +3496 -2896 +-120 2100 +-1921 1548 +-3493 -1210 +1084 1578 +3029 -5302 +-1762 -989 +-2543 2833 +0 1024 +-538 -1219 +-4417 -892 +-631 4956 +2898 -2310 +2272 -3950 +1092 -2109 +4869 2748 +3196 724 +-2679 -103 +2291 -2387 +6741 -1618 +1441 1860 +-4195 -6256 +-1669 -342 +588 -188 +-1024 0 +588 188 +-1669 342 +-4195 6256 +1441 -1860 +6741 1618 +2291 2387 +-2679 103 +3196 -724 +4869 -2748 +1092 2109 +2272 3950 +2898 2310 +-631 -4956 +-4417 892 +-538 1219 +0 -1024 +552 4154 +5320 552 +-3670 1142 +-4346 -2634 +-710 -2814 +-5320 -2015 +1175 -3683 +-1148 -724 +-2717 -703 +4834 1159 +833 958 +8 -2708 +-640 -1319 +-2130 2898 +-1251 -141 +-3072 0 +-1251 141 +-2130 -2898 +-640 1319 +8 2708 +833 -958 +4834 -1159 +-2717 703 +-1148 724 +1175 3683 +-5320 2015 +-710 2814 +-4346 2634 +-3670 -1142 +5320 -552 +552 -4154 +0 1024 +-538 -1219 +-4417 -892 +-631 4956 +2898 -2310 +2272 -3950 +1092 -2109 +4869 2748 +3196 724 +-2679 -103 +2291 -2387 +6741 -1618 +1441 1860 +-4195 -6256 +-1669 -342 +588 -188 +-3072 -2048 +3269 506 +1422 -479 +-5682 1664 +2022 3216 +-906 -895 +232 -1064 +-456 1801 +-2472 424 +42 -2055 +-220 -3771 +-939 -3731 +350 -1988 +-1340 -3603 +-5733 -5062 +-589 -2105 +5120 0 +-589 2105 +-5733 5062 +-1340 3603 +350 1988 +-939 3731 +-220 3771 +42 2055 +-2472 -424 +-456 -1801 +232 1064 +-906 895 +2022 -3216 +-5682 -1664 +1422 479 +3269 -506 +-3072 2048 +3696 1735 +-2102 -2041 +717 194 +-2870 -568 +-1042 -5604 +8016 -1309 +-206 2307 +424 -2472 +-1835 -388 +-2236 5495 +2209 2007 +4594 -3556 +1192 -3748 +620 -1554 +1873 194 +-3072 0 +1873 -194 +620 1554 +1192 3748 +4594 3556 +2209 -2007 +-2236 -5495 +-1835 388 +424 2472 +-206 -2307 +8016 1309 +-1042 5604 +-2870 568 +717 -194 +-2102 2041 +3696 -1735 +-3072 -2048 +3269 506 +1422 -479 +-5682 1664 +2022 3216 +-906 -895 +232 -1064 +-456 1801 +-2472 424 +42 -2055 +-220 -3771 +-939 -3731 +350 -1988 +-1340 -3603 +-5733 -5062 +-589 -2105 +5120 1024 +5415 -1632 +5367 255 +2150 633 +-332 6888 +-454 2224 +-906 -2583 +2221 1057 +-724 -724 +-717 220 +-931 670 +-2193 814 +1670 1914 +-5032 -655 +-2232 -1510 +2901 4413 +-2048 0 +2901 -4413 +-2232 1510 +-5032 655 +1670 -1914 +-2193 -814 +-931 -670 +-717 -220 +-724 724 +2221 -1057 +-906 2583 +-454 -2224 +-332 -6888 +2150 -633 +5367 -255 +5415 1632 +5120 -1024 +-2387 1219 +-1279 -883 +3927 -4493 +-1116 104 +-4634 -2755 +-5251 -574 +-1176 -1546 +724 724 +352 1746 +2992 270 +-966 -2024 +-222 -3113 +1410 1908 +-5951 -3213 +-816 3423 +8192 0 +-816 -3423 +-5951 3213 +1410 -1908 +-222 3113 +-966 2024 +2992 -270 +352 -1746 +724 -724 +-1176 1546 +-5251 574 +-4634 2755 +-1116 -104 +3927 4493 +-1279 883 +-2387 -1219 +5120 1024 +5415 -1632 +5367 255 +2150 633 +-332 6888 +-454 2224 +-906 -2583 +2221 1057 +-724 -724 +-717 220 +-931 670 +-2193 814 +1670 1914 +-5032 -655 +-2232 -1510 +2901 4413 +1024 -4096 +1817 4613 +-932 954 +611 -5310 +2602 1148 +-1657 -1717 +-2635 -1254 +-282 -55 +-176 -3072 +3471 -1081 +-134 1732 +827 2774 +710 3196 +62 1554 +720 -744 +-257 1701 +3072 0 +-257 -1701 +720 744 +62 -1554 +710 -3196 +827 -2774 +-134 -1732 +3471 1081 +-176 3072 +-282 55 +-2635 1254 +-1657 1717 +2602 -1148 +611 5310 +-932 -954 +1817 -4613 +1024 4096 +-1565 -469 +5056 -4295 +3693 -4045 +1494 1148 +-2214 3749 +79 589 +3003 -1873 +-5968 -3072 +727 4817 +-206 500 +-2957 -1054 +3386 3196 +1636 -3028 +-1948 300 +-6914 -85 +-9216 0 +-6914 85 +-1948 -300 +1636 3028 +3386 -3196 +-2957 1054 +-206 -500 +727 -4817 +-5968 3072 +3003 1873 +79 -589 +-2214 -3749 +1494 -1148 +3693 4045 +5056 4295 +-1565 469 +1024 -4096 +1817 4613 +-932 954 +611 -5310 +2602 1148 +-1657 -1717 +-2635 -1254 +-282 -55 +-176 -3072 +3471 -1081 +-134 1732 +827 2774 +710 3196 +62 1554 +720 -744 +-257 1701 +3072 -1024 +4129 516 +-3422 -3993 +1045 -397 +3972 776 +-1406 -3848 +-4226 -621 +-2457 1946 +-900 900 +-2321 -1773 +2894 -3308 +-3751 -990 +-2154 1006 +-871 -1745 +-1251 -619 +4802 6136 +2048 0 +4802 -6136 +-1251 619 +-871 1745 +-2154 -1006 +-3751 990 +2894 3308 +-2321 1773 +-900 -900 +-2457 -1946 +-4226 621 +-1406 3848 +3972 -776 +1045 397 +-3422 3993 +4129 -516 +3072 1024 +6353 838 +2093 1162 +-2768 1702 +972 -2224 +-378 2777 +3338 776 +-923 586 +-5244 5244 +1029 -2688 +3787 -632 +-496 1118 +1306 -2454 +2833 1851 +-3213 1883 +-4819 2209 +-4096 0 +-4819 -2209 +-3213 -1883 +2833 -1851 +1306 2454 +-496 -1118 +3787 632 +1029 2688 +-5244 -5244 +-923 -586 +3338 -776 +-378 -2777 +972 2224 +-2768 -1702 +2093 -1162 +6353 -838 +3072 -1024 +4129 516 +-3422 -3993 +1045 -397 +3972 776 +-1406 -3848 +-4226 -621 +-2457 1946 +-900 900 +-2321 -1773 +2894 -3308 +-3751 -990 +-2154 1006 +-871 -1745 +-1251 -619 +4802 6136 +0 3072 +518 488 +2145 -2341 +3698 68 +-6250 -2694 +-516 -1911 +912 -2389 +-6516 -906 +3620 -1748 +3396 1425 +1686 6428 +2467 2108 +-3124 -92 +-1821 -736 +-3365 3734 +20 1996 +7168 0 +20 -1996 +-3365 -3734 +-1821 736 +-3124 92 +2467 -2108 +1686 -6428 +3396 -1425 +3620 1748 +-6516 906 +912 2389 +-516 1911 +-6250 2694 +3698 -68 +2145 2341 +518 -488 +0 -3072 +-172 -2779 +2239 -2787 +1412 -422 +-2790 -802 +-6193 992 +4152 -3847 +1619 -2995 +-3620 -300 +3069 -1541 +243 -3976 +457 -4595 +-124 692 +495 -1186 +181 -173 +-1933 -504 +1024 0 +-1933 504 +181 173 +495 1186 +-124 -692 +457 4595 +243 3976 +3069 1541 +-3620 300 +1619 2995 +4152 3847 +-6193 -992 +-2790 802 +1412 422 +2239 2787 +-172 2779 +0 3072 +518 488 +2145 -2341 +3698 68 +-6250 -2694 +-516 -1911 +912 -2389 +-6516 -906 +3620 -1748 +3396 1425 +1686 6428 +2467 2108 +-3124 -92 +-1821 -736 +-3365 3734 +20 1996 +-2048 0 +-2728 2288 +-509 -5 +-3208 2549 +-1808 1194 +2878 -2350 +-1438 -1740 +545 -5255 +-600 1624 +1825 1807 +3646 -3278 +-2832 -69 +-2916 -1638 +5546 -4333 +1164 -5042 +-2430 -1356 +4096 0 +-2430 1356 +1164 5042 +5546 4333 +-2916 1638 +-2832 69 +3646 3278 +1825 -1807 +-600 -1624 +545 5255 +-1438 1740 +2878 2350 +-1808 -1194 +-3208 -2549 +-509 5 +-2728 -2288 +-2048 0 +-3441 -689 +2897 1778 +-488 4206 +-240 2302 +1184 905 +-638 -1380 +4926 496 +-3496 4520 +-3512 5228 +-371 -2738 +337 -2503 +868 1038 +-3418 -1623 +3440 3918 +4814 3163 +0 0 +4814 -3163 +3440 -3918 +-3418 1623 +868 -1038 +337 2503 +-371 2738 +-3512 -5228 +-3496 -4520 +4926 -496 +-638 1380 +1184 -905 +-240 -2302 +-488 -4206 +2897 -1778 +-3441 689 +-2048 0 +-2728 2288 +-509 -5 +-3208 2549 +-1808 1194 +2878 -2350 +-1438 -1740 +545 -5255 +-600 1624 +1825 1807 +3646 -3278 +-2832 -69 +-2916 -1638 +5546 -4333 +1164 -5042 +-2430 -1356 +-2048 2048 +2120 -377 +3948 -15 +-464 1354 +-3496 495 +1533 4708 +1436 -1906 +1178 739 +4944 5968 +2081 -3029 +862 -5214 +-564 1883 +-600 3954 +1259 790 +1302 2288 +-21 -3831 +-2048 0 +-21 3831 +1302 -2288 +1259 -790 +-600 -3954 +-564 -1883 +862 5214 +2081 3029 +4944 -5968 +1178 -739 +1436 1906 +1533 -4708 +-3496 -495 +-464 -1354 +3948 15 +2120 377 +-2048 -2048 +1375 -2815 +2105 -141 +-2033 3423 +-3496 954 +-1999 3098 +392 3170 +-5208 3547 +-848 176 +-948 1284 +-4387 2382 +3927 -4542 +-600 -2506 +4135 -685 +2534 1652 +-6371 399 +-2048 0 +-6371 -399 +2534 -1652 +4135 685 +-600 2506 +3927 4542 +-4387 -2382 +-948 -1284 +-848 -176 +-5208 -3547 +392 -3170 +-1999 -3098 +-3496 -954 +-2033 -3423 +2105 141 +1375 2815 +-2048 2048 +2120 -377 +3948 -15 +-464 1354 +-3496 495 +1533 4708 +1436 -1906 +1178 739 +4944 5968 +2081 -3029 +862 -5214 +-564 1883 +-600 3954 +1259 790 +1302 2288 +-21 -3831 +-1024 1024 +2404 -2010 +-1783 -2423 +-365 4036 +2694 -1645 +-2839 253 +-1191 2327 +3159 -934 +2772 -4220 +1835 1123 +-3815 -2550 +-3742 -6332 +-92 5200 +-2052 542 +3568 -4370 +7198 -5628 +4096 0 +7198 5628 +3568 4370 +-2052 -542 +-92 -5200 +-3742 6332 +-3815 2550 +1835 -1123 +2772 4220 +3159 934 +-1191 -2327 +-2839 -253 +2694 1645 +-365 -4036 +-1783 2423 +2404 2010 +-1024 -1024 +-1994 -1594 +399 -2589 +-240 4808 +802 -403 +59 737 +-413 -420 +1659 -2303 +1324 124 +707 -3711 +-1573 1561 +-3055 -1815 +692 -3152 +649 -833 +-3384 -3538 +-3382 2673 +-2048 0 +-3382 -2673 +-3384 3538 +649 833 +692 3152 +-3055 1815 +-1573 -1561 +707 3711 +1324 -124 +1659 2303 +-413 420 +59 -737 +802 403 +-240 -4808 +399 2589 +-1994 1594 +-1024 1024 +2404 -2010 +-1783 -2423 +-365 4036 +2694 -1645 +-2839 253 +-1191 2327 +3159 -934 +2772 -4220 +1835 1123 +-3815 -2550 +-3742 -6332 +-92 5200 +-2052 542 +3568 -4370 +7198 -5628 +3072 0 +-780 2043 +-5081 -1240 +841 -932 +1352 1818 +-111 -344 +-849 1153 +2139 -1690 +600 -1448 +-2357 -2091 +-1576 -1072 +-6417 995 +-1664 1182 +2793 4851 +3128 -1457 +757 1061 +-5120 0 +757 -1061 +3128 1457 +2793 -4851 +-1664 -1182 +-6417 -995 +-1576 1072 +-2357 2091 +600 1448 +2139 1690 +-849 -1153 +-111 344 +1352 -1818 +841 932 +-5081 1240 +-780 -2043 +3072 0 +-686 -142 +733 -2544 +-1478 2712 +-4000 2278 +-1294 -5989 +-615 415 +-806 4281 +3496 1448 +-1192 -1630 +-2753 2639 +2471 2119 +-3880 -5278 +3195 -1815 +7012 -2328 +2925 2719 +7168 0 +2925 -2719 +7012 2328 +3195 1815 +-3880 5278 +2471 -2119 +-2753 -2639 +-1192 1630 +3496 -1448 +-806 -4281 +-615 -415 +-1294 5989 +-4000 -2278 +-1478 -2712 +733 2544 +-686 142 +3072 0 +-780 2043 +-5081 -1240 +841 -932 +1352 1818 +-111 -344 +-849 1153 +2139 -1690 +600 -1448 +-2357 -2091 +-1576 -1072 +-6417 995 +-1664 1182 +2793 4851 +3128 -1457 +757 1061 +-3072 4096 +1789 6 +-553 -1615 +1458 -266 +5088 -2362 +-3488 -4027 +-6315 -1567 +-570 892 +0 1448 +-1638 1843 +5511 2694 +-2087 3179 +-1932 1578 +2149 -680 +-368 426 +1469 2292 +-1024 0 +1469 -2292 +-368 -426 +2149 680 +-1932 -1578 +-2087 -3179 +5511 -2694 +-1638 -1843 +0 -1448 +-570 -892 +-6315 1567 +-3488 4027 +5088 2362 +1458 266 +-553 1615 +1789 -6 +-3072 -4096 +5666 -90 +1676 6679 +892 3981 +1304 314 +-7140 4155 +298 4751 +-1249 -575 +0 -1448 +353 1058 +-2391 1690 +2899 2374 +-364 470 +-476 -4165 +2141 -2354 +-27 2608 +-1024 0 +-27 -2608 +2141 2354 +-476 4165 +-364 -470 +2899 -2374 +-2391 -1690 +353 -1058 +0 1448 +-1249 575 +298 -4751 +-7140 -4155 +1304 -314 +892 -3981 +1676 -6679 +5666 90 +-3072 4096 +1789 6 +-553 -1615 +1458 -266 +5088 -2362 +-3488 -4027 +-6315 -1567 +-570 892 +0 1448 +-1638 1843 +5511 2694 +-2087 3179 +-1932 1578 +2149 -680 +-368 426 +1469 2292 +4096 0 +3848 2044 +-629 -1463 +-185 198 +534 6472 +2812 746 +3295 -4557 +2486 -4076 +1448 1872 +-4263 1370 +-2119 -952 +-2228 -594 +-4474 -3456 +630 425 +2999 -1041 +3361 36 +4096 0 +3361 -36 +2999 1041 +630 -425 +-4474 3456 +-2228 594 +-2119 952 +-4263 -1370 +1448 -1872 +2486 4076 +3295 4557 +2812 -746 +534 -6472 +-185 -198 +-629 1463 +3848 -2044 +4096 0 +2639 2372 +-2166 -3926 +-785 -2290 +3210 1120 +-3507 -4047 +149 5941 +-828 934 +-1448 -3920 +1717 4048 +-4222 1136 +-1541 -122 +-3366 -1240 +-989 2467 +2693 2645 +-3168 -1045 +-4096 0 +-3168 1045 +2693 -2645 +-989 -2467 +-3366 1240 +-1541 122 +-4222 -1136 +1717 -4048 +-1448 3920 +-828 -934 +149 -5941 +-3507 4047 +3210 -1120 +-785 2290 +-2166 3926 +2639 -2372 +4096 0 +3848 2044 +-629 -1463 +-185 198 +534 6472 +2812 746 +3295 -4557 +2486 -4076 +1448 1872 +-4263 1370 +-2119 -952 +-2228 -594 +-4474 -3456 +630 425 +2999 -1041 +3361 36 +1024 -3072 +556 -272 +-35 7553 +2673 -1930 +2270 -946 +2281 1434 +-3618 -2804 +-932 -2386 +-3620 -1324 +-8483 -3453 +-522 -2304 +-1357 -3229 +2380 -392 +2618 3388 +-537 -4582 +-328 1653 +-4096 0 +-328 -1653 +-537 4582 +2618 -3388 +2380 392 +-1357 3229 +-522 2304 +-8483 3453 +-3620 1324 +-932 2386 +-3618 2804 +2281 -1434 +2270 946 +2673 1930 +-35 -7553 +556 272 +1024 3072 +-1122 -3114 +1667 -1501 +109 244 +378 946 +-4107 -2397 +-3218 976 +6936 -2553 +3620 -2772 +-2635 969 +-2530 -1221 +727 1586 +3164 392 +2848 39 +601 746 +215 3209 +2048 0 +215 -3209 +601 -746 +2848 -39 +3164 -392 +727 -1586 +-2530 1221 +-2635 -969 +3620 2772 +6936 2553 +-3218 -976 +-4107 2397 +378 -946 +109 -244 +1667 1501 +-1122 3114 +1024 -3072 +556 -272 +-35 7553 +2673 -1930 +2270 -946 +2281 1434 +-3618 -2804 +-932 -2386 +-3620 -1324 +-8483 -3453 +-522 -2304 +-1357 -3229 +2380 -392 +2618 3388 +-537 -4582 +-328 1653 +-2048 4096 +-2453 -1340 +-882 -3100 +-598 2077 +84 6138 +-4927 2324 +-1355 6024 +1088 3917 +-2896 -1624 +690 -3300 +2387 -4247 +-302 -247 +-3700 -790 +3588 3078 +7352 2007 +109 -2916 +-2048 0 +109 2916 +7352 -2007 +3588 -3078 +-3700 790 +-302 247 +2387 4247 +690 3300 +-2896 1624 +1088 -3917 +-1355 -6024 +-4927 -2324 +84 -6138 +-598 -2077 +-882 3100 +-2453 1340 +-2048 -4096 +-739 1223 +594 -331 +1925 -1158 +-2132 7247 +194 -4246 +387 -2372 +1305 -1625 +2896 -4520 +-1516 1808 +-220 -3189 +1251 -107 +1652 1886 +-1131 -591 +-71 -142 +1515 -984 +-2048 0 +1515 984 +-71 142 +-1131 591 +1652 -1886 +1251 107 +-220 3189 +-1516 -1808 +2896 4520 +1305 1625 +387 2372 +194 4246 +-2132 -7247 +1925 1158 +594 331 +-739 -1223 +-2048 4096 +-2453 -1340 +-882 -3100 +-598 2077 +84 6138 +-4927 2324 +-1355 6024 +1088 3917 +-2896 -1624 +690 -3300 +2387 -4247 +-302 -247 +-3700 -790 +3588 3078 +7352 2007 +109 -2916 +5120 -1024 +1589 3549 +2052 592 +3912 -3499 +3078 -2426 +-4759 1554 +-1040 377 +6066 -2206 +300 -3796 +-657 1283 +-3115 1797 +-3605 1588 +-2044 5212 +-5162 -2670 +-1942 -612 +-50 2174 +-2048 0 +-50 -2174 +-1942 612 +-5162 2670 +-2044 -5212 +-3605 -1588 +-3115 -1797 +-657 -1283 +300 3796 +6066 2206 +-1040 -377 +-4759 -1554 +3078 2426 +3912 3499 +2052 -592 +1589 -3549 +5120 1024 +76 -2105 +-4100 192 +-1560 3405 +-1030 -4318 +2907 1992 +-1008 1515 +-1326 -1034 +1748 -2348 +1710 -4523 +1067 95 +-336 1958 +4092 4428 +-2984 2576 +-106 1396 +4178 -730 +-4096 0 +4178 730 +-106 -1396 +-2984 -2576 +4092 -4428 +-336 -1958 +1067 -95 +1710 4523 +1748 2348 +-1326 1034 +-1008 -1515 +2907 -1992 +-1030 4318 +-1560 -3405 +-4100 -192 +76 2105 +5120 -1024 +1589 3549 +2052 592 +3912 -3499 +3078 -2426 +-4759 1554 +-1040 377 +6066 -2206 +300 -3796 +-657 1283 +-3115 1797 +-3605 1588 +-2044 5212 +-5162 -2670 +-1942 -612 +-50 2174 +-4096 -1024 +-1215 -3675 +-1993 -3381 +-2382 -525 +802 -1950 +488 1960 +617 -643 +-398 1358 +1748 4220 +-167 1920 +-3753 -2675 +114 594 +692 -2504 +3784 -7922 +4701 5192 +-1112 -3210 +-3072 0 +-1112 3210 +4701 -5192 +3784 7922 +692 2504 +114 -594 +-3753 2675 +-167 -1920 +1748 -4220 +-398 -1358 +617 643 +488 -1960 +802 1950 +-2382 525 +-1993 3381 +-1215 3675 +-4096 1024 +-139 1497 +321 4009 +590 7499 +2694 -3842 +-483 -3209 +-3188 3799 +-4596 2912 +300 -124 +2265 -1985 +532 1736 +2778 -2419 +-92 -3288 +905 335 +2764 -468 +-430 -904 +-1024 0 +-430 904 +2764 468 +905 -335 +-92 3288 +2778 2419 +532 -1736 +2265 1985 +300 124 +-4596 -2912 +-3188 -3799 +-483 3209 +2694 3842 +590 -7499 +321 -4009 +-139 -1497 +-4096 -1024 +-1215 -3675 +-1993 -3381 +-2382 -525 +802 -1950 +488 1960 +617 -643 +-398 1358 +1748 4220 +-167 1920 +-3753 -2675 +114 594 +692 -2504 +3784 -7922 +4701 5192 +-1112 -3210 +-1024 -4096 +-887 -4398 +1586 913 +2249 -1133 +2916 2062 +-4096 -3252 +-3463 -2240 +-1839 2046 +-1024 2472 +-1941 -695 +-1701 -3139 +6953 1359 +240 170 +-977 2 +2520 202 +235 2683 +3072 0 +235 -2683 +2520 -202 +-977 -2 +240 -170 +6953 -1359 +-1701 3139 +-1941 695 +-1024 -2472 +-1839 -2046 +-3463 2240 +-4096 3252 +2916 -2062 +2249 1133 +1586 -913 +-887 4398 +-1024 4096 +-1296 -942 +-4041 7032 +2919 4736 +-868 -614 +-2381 -1203 +2783 -371 +-1949 -1391 +-1024 -424 +617 -291 +-3412 -1169 +-2931 -2398 +1808 1278 +4057 4617 +5728 -2146 +1269 4321 +-5120 0 +1269 -4321 +5728 2146 +4057 -4617 +1808 -1278 +-2931 2398 +-3412 1169 +617 291 +-1024 424 +-1949 1391 +2783 371 +-2381 1203 +-868 614 +2919 -4736 +-4041 -7032 +-1296 942 +-1024 -4096 +-887 -4398 +1586 913 +2249 -1133 +2916 2062 +-4096 -3252 +-3463 -2240 +-1839 2046 +-1024 2472 +-1941 -695 +-1701 -3139 +6953 1359 +240 170 +-977 2 +2520 202 +235 2683 +-4096 2048 +-830 2972 +221 279 +-931 -19 +0 6002 +-4636 -863 +-2091 3407 +4942 2735 +3496 -1024 +-2643 -1945 +-2020 -50 +-536 953 +0 -1094 +6279 8723 +-55 5312 +-3959 1531 +2048 0 +-3959 -1531 +-55 -5312 +6279 -8723 +0 1094 +-536 -953 +-2020 50 +-2643 1945 +3496 1024 +4942 -2735 +-2091 -3407 +-4636 863 +0 -6002 +-931 19 +221 -279 +-830 -2972 +-4096 -2048 +1733 -3183 +887 3181 +-1240 -2752 +0 -458 +615 4096 +4767 -406 +2010 -73 +600 -1024 +1042 694 +-656 3050 +2340 -2257 +0 -1553 +-1891 3747 +-1053 -1852 +-2295 -2262 +-2048 0 +-2295 2262 +-1053 1852 +-1891 -3747 +0 1553 +2340 2257 +-656 -3050 +1042 -694 +600 1024 +2010 73 +4767 406 +615 -4096 +0 458 +-1240 2752 +887 -3181 +1733 3183 +-4096 2048 +-830 2972 +221 279 +-931 -19 +0 6002 +-4636 -863 +-2091 3407 +4942 2735 +3496 -1024 +-2643 -1945 +-2020 -50 +-536 953 +0 -1094 +6279 8723 +-55 5312 +-3959 1531 +-2048 -2048 +1583 -3204 +48 129 +3419 1930 +495 -3700 +-1123 -3496 +-225 -5307 +850 -3414 +3072 1448 +-144 -1187 +4148 -4197 +2861 1616 +-3954 2132 +454 -3755 +1490 1310 +-3807 -3080 +-6144 0 +-3807 3080 +1490 -1310 +454 3755 +-3954 -2132 +2861 -1616 +4148 4197 +-144 1187 +3072 -1448 +850 3414 +-225 5307 +-1123 3496 +495 3700 +3419 -1930 +48 -129 +1583 3204 +-2048 2048 +-3366 -3874 +-492 -3144 +-967 1776 +954 1652 +-917 4517 +-2007 75 +-2121 2361 +3072 -1448 +-593 -5530 +-4812 1861 +6539 -283 +2506 -84 +1320 -420 +1850 -1429 +-3987 -1469 +-2048 0 +-3987 1469 +1850 1429 +1320 420 +2506 84 +6539 283 +-4812 -1861 +-593 5530 +3072 1448 +-2121 -2361 +-2007 -75 +-917 -4517 +954 -1652 +-967 -1776 +-492 3144 +-3366 3874 +-2048 -2048 +1583 -3204 +48 129 +3419 1930 +495 -3700 +-1123 -3496 +-225 -5307 +850 -3414 +3072 1448 +-144 -1187 +4148 -4197 +2861 1616 +-3954 2132 +454 -3755 +1490 1310 +-3807 -3080 +2048 -1024 +-1850 -2804 +613 4868 +181 5792 +-3378 1186 +-1101 -2497 +-2772 1405 +1191 1994 +-1748 2172 +162 1041 +5675 -609 +-5165 1344 +296 1260 +1110 3035 +-3935 -720 +-801 953 +-3072 0 +-801 -953 +-3935 720 +1110 -3035 +296 -1260 +-5165 -1344 +5675 609 +162 -1041 +-1748 -2172 +1191 -1994 +-2772 -1405 +-1101 2497 +-3378 -1186 +181 -5792 +613 -4868 +-1850 2804 +2048 1024 +2349 4480 +-338 6876 +-2484 -2775 +730 862 +1872 2117 +1700 3108 +1873 3189 +-300 -2172 +1238 -2538 +2389 529 +-2286 -3053 +-5840 -3308 +2081 4445 +4860 -321 +1631 -166 +3072 0 +1631 166 +4860 321 +2081 -4445 +-5840 3308 +-2286 3053 +2389 -529 +1238 2538 +-300 2172 +1873 -3189 +1700 -3108 +1872 -2117 +730 -862 +-2484 2775 +-338 -6876 +2349 -4480 +2048 -1024 +-1850 -2804 +613 4868 +181 5792 +-3378 1186 +-1101 -2497 +-2772 1405 +1191 1994 +-1748 2172 +162 1041 +5675 -609 +-5165 1344 +296 1260 +1110 3035 +-3935 -720 +-801 953 +-2048 -1024 +-1851 2384 +723 -2538 +5605 -1449 +3152 -1800 +2613 1322 +680 2693 +-2778 5793 +-2172 -1148 +-257 -2311 +1906 362 +1323 -253 +-1645 18 +-2259 -4985 +2368 2095 +438 -686 +-5120 0 +438 686 +2368 -2095 +-2259 4985 +-1645 -18 +1323 253 +1906 -362 +-257 2311 +-2172 1148 +-2778 -5793 +680 -2693 +2613 -1322 +3152 1800 +5605 1449 +723 2538 +-1851 -2384 +-2048 1024 +-206 6058 +4341 -3111 +-5089 572 +-5200 1200 +888 3249 +-3864 6311 +1429 1717 +2172 3196 +38 2902 +2478 -2446 +-1040 -1177 +-403 3478 +-2042 -1892 +-440 -2448 +3186 2208 +1024 0 +3186 -2208 +-440 2448 +-2042 1892 +-403 -3478 +-1040 1177 +2478 2446 +38 -2902 +2172 -3196 +1429 -1717 +-3864 -6311 +888 -3249 +-5200 -1200 +-5089 -572 +4341 3111 +-206 -6058 +-2048 -1024 +-1851 2384 +723 -2538 +5605 -1449 +3152 -1800 +2613 1322 +680 2693 +-2778 5793 +-2172 -1148 +-257 -2311 +1906 362 +1323 -253 +-1645 18 +-2259 -4985 +2368 2095 +438 -686 +0 1024 +-571 539 +2347 248 +794 -543 +6818 346 +-718 1668 +-2676 4339 +2803 -273 +-5244 -4220 +125 611 +-1118 1027 +-1349 4641 +-432 3888 +-2009 -664 +-1271 3538 +-158 1399 +5120 0 +-158 -1399 +-1271 -3538 +-2009 664 +-432 -3888 +-1349 -4641 +-1118 -1027 +125 -611 +-5244 4220 +2803 273 +-2676 -4339 +-718 -1668 +6818 -346 +794 543 +2347 -248 +-571 -539 +0 -1024 +566 37 +2441 601 +748 -1775 +-426 -1546 +5712 -1279 +564 605 +-1011 639 +-900 124 +-4813 -1445 +-2562 -5971 +-749 2739 +-1865 3104 +3364 -8646 +2275 -4386 +-2734 377 +-1024 0 +-2734 -377 +2275 4386 +3364 8646 +-1865 -3104 +-749 -2739 +-2562 5971 +-4813 1445 +-900 -124 +-1011 -639 +564 -605 +5712 1279 +-426 1546 +748 1775 +2441 -601 +566 -37 +0 1024 +-571 539 +2347 248 +794 -543 +6818 346 +-718 1668 +-2676 4339 +2803 -273 +-5244 -4220 +125 611 +-1118 1027 +-1349 4641 +-432 3888 +-2009 -664 +-1271 3538 +-158 1399 +1024 -1024 +4052 -1197 +1377 972 +-1152 -5110 +-862 -3118 +-314 -973 +542 -2376 +-1250 5457 +-3796 -2596 +34 3884 +3449 7966 +-875 -3094 +-3308 -2564 +-141 -495 +1260 7773 +1404 -1255 +2048 0 +1404 1255 +1260 -7773 +-141 495 +-3308 2564 +-875 3094 +3449 -7966 +34 -3884 +-3796 2596 +-1250 -5457 +542 2376 +-314 973 +-862 3118 +-1152 5110 +1377 -972 +4052 1197 +1024 1024 +-1376 -900 +671 2267 +43 443 +-1186 -1226 +1423 5882 +1506 3589 +-1426 5529 +-2348 4644 +-2709 -4042 +-1401 -961 +1984 -7 +1260 -1780 +-967 -596 +788 1259 +1271 -401 +0 0 +1271 401 +788 -1259 +-967 596 +1260 1780 +1984 7 +-1401 961 +-2709 4042 +-2348 -4644 +-1426 -5529 +1506 -3589 +1423 -5882 +-1186 1226 +43 -443 +671 -2267 +-1376 900 +1024 -1024 +4052 -1197 +1377 972 +-1152 -5110 +-862 -3118 +-314 -973 +542 -2376 +-1250 5457 +-3796 -2596 +34 3884 +3449 7966 +-875 -3094 +-3308 -2564 +-141 -495 +1260 7773 +1404 -1255 +-1024 -3072 +2746 -1375 +1438 -5044 +-2949 -1606 +-1996 2768 +220 -2785 +625 -5976 +-1136 -5889 +4644 -1748 +3212 2895 +1451 1298 +1227 -2302 +-2330 -306 +-846 338 +-2042 -2050 +-1977 927 +0 0 +-1977 -927 +-2042 2050 +-846 -338 +-2330 306 +1227 2302 +1451 -1298 +3212 -2895 +4644 1748 +-1136 5889 +625 5976 +220 2785 +-1996 -2768 +-2949 1606 +1438 5044 +2746 1375 +-1024 3072 +-200 -3015 +1838 -1600 +3644 5303 +-4996 -3368 +139 -1428 +1763 1047 +-5351 -3439 +-2596 -300 +-3405 -191 +3154 766 +-257 1634 +1130 3802 +4615 1112 +-34 -5794 +319 922 +-2048 0 +319 -922 +-34 5794 +4615 -1112 +1130 -3802 +-257 -1634 +3154 -766 +-3405 191 +-2596 300 +-5351 3439 +1763 -1047 +139 1428 +-4996 3368 +3644 -5303 +1838 1600 +-200 3015 +-1024 -3072 +2746 -1375 +1438 -5044 +-2949 -1606 +-1996 2768 +220 -2785 +625 -5976 +-1136 -5889 +4644 -1748 +3212 2895 +1451 1298 +1227 -2302 +-2330 -306 +-846 338 +-2042 -2050 +-1977 927 +1024 1024 +-461 4093 +-311 4005 +645 -336 +-862 66 +-2364 -2468 +1801 -4425 +-3127 1443 +-5244 -7292 +1200 -1957 +2771 7134 +1833 -245 +-3308 2500 +2306 1997 +3856 1296 +-1804 -700 +0 0 +-1804 700 +3856 -1296 +2306 -1997 +-3308 -2500 +1833 245 +2771 -7134 +1200 1957 +-5244 7292 +-3127 -1443 +1801 4425 +-2364 2468 +-862 -66 +645 336 +-311 -4005 +-461 -4093 +1024 -1024 +461 -1087 +-537 1762 +-645 -3 +-1186 -5610 +2364 -3252 +3143 -2243 +3127 -1176 +-900 -2948 +-1200 2224 +2173 183 +-1833 -5475 +1260 148 +-2306 -2336 +-4705 2073 +1804 3706 +2048 0 +1804 -3706 +-4705 -2073 +-2306 2336 +1260 -148 +-1833 5475 +2173 -183 +-1200 -2224 +-900 2948 +3127 1176 +3143 2243 +2364 3252 +-1186 5610 +-645 3 +-537 -1762 +461 1087 +1024 1024 +-461 4093 +-311 4005 +645 -336 +-862 66 +-2364 -2468 +1801 -4425 +-3127 1443 +-5244 -7292 +1200 -1957 +2771 7134 +1833 -245 +-3308 2500 +2306 1997 +3856 1296 +-1804 -700 +-3072 -5120 +1444 127 +-474 3485 +-1727 -2854 +452 -2440 +-760 405 +2576 1617 +1103 375 +-2772 -2172 +2667 -796 +6761 -545 +358 714 +3562 2994 +5427 1412 +-1345 815 +-2000 1150 +-2048 0 +-2000 -1150 +-1345 -815 +5427 -1412 +3562 -2994 +358 -714 +6761 545 +2667 796 +-2772 2172 +1103 -375 +2576 -1617 +-760 -405 +452 2440 +-1727 2854 +-474 -3485 +1444 -127 +-3072 5120 +-2993 -467 +868 -1437 +1872 -1270 +-1900 -1656 +1800 -1633 +-5984 -3665 +-8076 2181 +-1324 2172 +-806 -1761 +6535 2593 +-3853 513 +-2114 1102 +2675 2712 +-747 -2863 +2870 -810 +0 0 +2870 810 +-747 2863 +2675 -2712 +-2114 -1102 +-3853 -513 +6535 -2593 +-806 1761 +-1324 -2172 +-8076 -2181 +-5984 3665 +1800 1633 +-1900 1656 +1872 1270 +868 1437 +-2993 467 +-3072 -5120 +1444 127 +-474 3485 +-1727 -2854 +452 -2440 +-760 405 +2576 1617 +1103 375 +-2772 -2172 +2667 -796 +6761 -545 +358 714 +3562 2994 +5427 1412 +-1345 815 +-2000 1150 +4096 -2048 +-819 -823 +3232 -482 +7547 -754 +-3844 3210 +-3143 989 +-796 30 +-1201 -749 +2472 -2896 +1556 -1685 +-3189 -6397 +-333 -2305 +-1049 3366 +-4572 -1738 +-1501 -1063 +1910 -120 +4096 0 +1910 120 +-1501 1063 +-4572 1738 +-1049 -3366 +-333 2305 +-3189 6397 +1556 1685 +2472 2896 +-1201 749 +-796 -30 +-3143 -989 +-3844 -3210 +7547 754 +3232 482 +-819 823 +4096 2048 +1216 380 +956 2099 +-1383 2986 +5292 534 +141 -1653 +-4813 -1885 +426 -2592 +-424 2896 +3682 5025 +-3988 -2451 +-3346 2969 +-399 4474 +-705 -494 +1905 3880 +-979 564 +0 0 +-979 -564 +1905 -3880 +-705 494 +-399 -4474 +-3346 -2969 +-3988 2451 +3682 -5025 +-424 -2896 +426 2592 +-4813 1885 +141 1653 +5292 -534 +-1383 -2986 +956 -2099 +1216 -380 +4096 -2048 +-819 -823 +3232 -482 +7547 -754 +-3844 3210 +-3143 989 +-796 30 +-1201 -749 +2472 -2896 +1556 -1685 +-3189 -6397 +-333 -2305 +-1049 3366 +-4572 -1738 +-1501 -1063 +1910 -120 +-3072 2048 +-379 219 +-137 -2948 +4641 563 +-1832 -110 +-5454 -1944 +2794 -801 +-464 1787 +0 0 +83 1837 +-1507 4870 +5294 -4430 +3400 -2002 +1653 1087 +82 -2488 +1386 965 +7168 0 +1386 -965 +82 2488 +1653 -1087 +3400 2002 +5294 4430 +-1507 -4870 +83 -1837 +0 0 +-464 -1787 +2794 801 +-5454 1944 +-1832 110 +4641 -563 +-137 2948 +-379 -219 +-3072 -2048 +-5277 -2425 +-3543 3039 +-480 -241 +384 -2786 +-1303 5131 +1995 -3515 +205 -2841 +0 0 +5527 3422 +2511 4798 +-754 -1832 +-1952 -894 +-3598 -2021 +-2194 180 +-1081 -5051 +-1024 0 +-1081 5051 +-2194 -180 +-3598 2021 +-1952 894 +-754 1832 +2511 -4798 +5527 -3422 +0 0 +205 2841 +1995 3515 +-1303 -5131 +384 2786 +-480 241 +-3543 -3039 +-5277 2425 +-3072 2048 +-379 219 +-137 -2948 +4641 563 +-1832 -110 +-5454 -1944 +2794 -801 +-464 1787 +0 0 +83 1837 +-1507 4870 +5294 -4430 +3400 -2002 +1653 1087 +82 -2488 +1386 965 +2048 3072 +1075 -693 +3233 4331 +1980 -4280 +-1056 -4612 +-2530 5805 +-5149 -1454 +-1655 1545 +-2172 1748 +824 -4535 +-2519 -1004 +-1619 1270 +2394 822 +-3800 -3668 +-2851 -3571 +3738 371 +7168 0 +3738 -371 +-2851 3571 +-3800 3668 +2394 -822 +-1619 -1270 +-2519 1004 +824 4535 +-2172 -1748 +-1655 -1545 +-5149 1454 +-2530 -5805 +-1056 4612 +1980 4280 +3233 -4331 +1075 693 +2048 -3072 +-4077 -1588 +-817 1397 +-3861 -1279 +-1840 -3828 +3256 -1610 +-3579 4194 +130 69 +2172 300 +3597 3252 +1358 2048 +-2004 29 +502 -1070 +2784 1005 +2131 -590 +2160 246 +5120 0 +2160 -246 +2131 590 +2784 -1005 +502 1070 +-2004 -29 +1358 -2048 +3597 -3252 +2172 -300 +130 -69 +-3579 -4194 +3256 1610 +-1840 3828 +-3861 1279 +-817 -1397 +-4077 1588 +2048 3072 +1075 -693 +3233 4331 +1980 -4280 +-1056 -4612 +-2530 5805 +-5149 -1454 +-1655 1545 +-2172 1748 +824 -4535 +-2519 -1004 +-1619 1270 +2394 822 +-3800 -3668 +-2851 -3571 +3738 371 +0 0 +379 3193 +2995 1243 +-2103 -4194 +-626 6536 +127 2453 +-140 -1182 +2411 -4170 +0 -3920 +-476 1422 +5296 -2175 +3292 2734 +-5194 3380 +369 2690 +-1002 3961 +139 297 +10240 0 +139 -297 +-1002 -3961 +369 -2690 +-5194 -3380 +3292 -2734 +5296 2175 +-476 -1422 +0 3920 +2411 4170 +-140 1182 +127 -2453 +-626 -6536 +-2103 4194 +2995 -1243 +379 -3193 +0 0 +1143 -2163 +281 -799 +-660 -1339 +-5518 2752 +461 -2172 +2528 -1050 +517 4966 +0 1872 +-4019 -1275 +-691 2840 +-97 6684 +-950 1813 +-1390 914 +-1074 -621 +-93 83 +-2048 0 +-93 -83 +-1074 621 +-1390 -914 +-950 -1813 +-97 -6684 +-691 -2840 +-4019 1275 +0 -1872 +517 -4966 +2528 1050 +461 2172 +-5518 -2752 +-660 1339 +281 799 +1143 2163 +0 0 +379 3193 +2995 1243 +-2103 -4194 +-626 6536 +127 2453 +-140 -1182 +2411 -4170 +0 -3920 +-476 1422 +5296 -2175 +3292 2734 +-5194 3380 +369 2690 +-1002 3961 +139 297 +0 0 +-5491 -2556 +-2949 4551 +-119 1081 +-3256 -1194 +678 3582 +940 2794 +-1052 2357 +1448 -2472 +2685 -2171 +1811 125 +4852 4779 +-1468 1638 +-438 -2382 +763 7869 +-2535 2787 +2048 0 +-2535 -2787 +763 -7869 +-438 2382 +-1468 -1638 +4852 -4779 +1811 -125 +2685 2171 +1448 2472 +-1052 -2357 +940 -2794 +678 -3582 +-3256 1194 +-119 -1081 +-2949 -4551 +-5491 2556 +0 0 +-2134 2960 +1501 3201 +-949 -2968 +-1688 -2302 +1011 -3828 +508 -540 +1763 2632 +-1448 424 +2843 -3617 +-363 -4864 +-4293 -2257 +2316 -1038 +5051 863 +-2211 1083 +-1872 826 +6144 0 +-1872 -826 +-2211 -1083 +5051 -863 +2316 1038 +-4293 2257 +-363 4864 +2843 3617 +-1448 -424 +1763 -2632 +508 540 +1011 3828 +-1688 2302 +-949 2968 +1501 -3201 +-2134 -2960 +0 0 +-5491 -2556 +-2949 4551 +-119 1081 +-3256 -1194 +678 3582 +940 2794 +-1052 2357 +1448 -2472 +2685 -2171 +1811 125 +4852 4779 +-1468 1638 +-438 -2382 +763 7869 +-2535 2787 +2048 -2048 +1760 -1690 +1574 -2482 +-777 1588 +-3026 3915 +-2102 3165 +879 45 +6468 -1756 +-600 424 +-1255 -6919 +901 -1771 +3109 2472 +1762 -7580 +-2500 -4145 +2937 -1049 +2026 -1599 +-2048 0 +2026 1599 +2937 1049 +-2500 4145 +1762 7580 +3109 -2472 +901 1771 +-1255 6919 +-600 -424 +6468 1756 +879 -45 +-2102 -3165 +-3026 -3915 +-777 -1588 +1574 2482 +1760 1690 +2048 2048 +-4451 -1331 +3489 -3846 +2269 -1633 +-1918 780 +-520 1042 +-4064 711 +-559 -1745 +-3496 -2472 +-870 1851 +3483 -2769 +1080 -2050 +-914 -12 +-560 316 +-1008 5809 +-3119 -2990 +-2048 0 +-3119 2990 +-1008 -5809 +-560 -316 +-914 12 +1080 2050 +3483 2769 +-870 -1851 +-3496 2472 +-559 1745 +-4064 -711 +-520 -1042 +-1918 -780 +2269 1633 +3489 3846 +-4451 1331 +2048 -2048 +1760 -1690 +1574 -2482 +-777 1588 +-3026 3915 +-2102 3165 +879 45 +6468 -1756 +-600 424 +-1255 -6919 +901 -1771 +3109 2472 +1762 -7580 +-2500 -4145 +2937 -1049 +2026 -1599 +-1024 1024 +4045 212 +798 5068 +-1568 -1193 +-2054 -1466 +-1124 1516 +-225 1487 +-1995 -311 +-3196 -300 +-1183 -2050 +303 1765 +-1452 3145 +3068 -2648 +3670 5842 +783 4518 +2161 -2535 +2048 0 +2161 2535 +783 -4518 +3670 -5842 +3068 2648 +-1452 -3145 +303 -1765 +-1183 2050 +-3196 300 +-1995 311 +-225 -1487 +-1124 -1516 +-2054 1466 +-1568 1193 +798 -5068 +4045 -212 +-1024 -1024 +-5134 402 +-4922 3624 +1358 -6310 +2054 -4926 +-3914 -740 +2781 1394 +1241 -219 +1148 -1748 +3504 -826 +36 -1781 +2706 -3122 +-3068 352 +325 5679 +444 1278 +-2639 4196 +4096 0 +-2639 -4196 +444 -1278 +325 -5679 +-3068 -352 +2706 3122 +36 1781 +3504 826 +1148 1748 +1241 219 +2781 -1394 +-3914 740 +2054 4926 +1358 6310 +-4922 -3624 +-5134 -402 +-1024 1024 +4045 212 +798 5068 +-1568 -1193 +-2054 -1466 +-1124 1516 +-225 1487 +-1995 -311 +-3196 -300 +-1183 -2050 +303 1765 +-1452 3145 +3068 -2648 +3670 5842 +783 4518 +2161 -2535 +1024 2048 +-3496 1712 +3201 2287 +5131 -652 +-568 -2630 +-5562 -2685 +-2685 287 +968 1356 +0 4096 +628 937 +-3036 2002 +-270 4266 +3556 -3726 +1733 218 +4599 1404 +2982 -146 +-1024 0 +2982 146 +4599 -1404 +1733 -218 +3556 3726 +-270 -4266 +-3036 -2002 +628 -937 +0 -4096 +968 -1356 +-2685 -287 +-5562 2685 +-568 2630 +5131 652 +3201 -2287 +-3496 -1712 +1024 -2048 +654 106 +-1569 -1659 +1668 -1447 +3216 3830 +2937 -136 +-4151 2869 +1630 2016 +0 4096 +-8339 3114 +-16 -2941 +440 1161 +1988 -3267 +-284 139 +-4534 3319 +-820 -3149 +-1024 0 +-820 3149 +-4534 -3319 +-284 -139 +1988 3267 +440 -1161 +-16 2941 +-8339 -3114 +0 -4096 +1630 -2016 +-4151 -2869 +2937 136 +3216 -3830 +1668 1447 +-1569 1659 +654 -106 +1024 2048 +-3496 1712 +3201 2287 +5131 -652 +-568 -2630 +-5562 -2685 +-2685 287 +968 1356 +0 4096 +628 937 +-3036 2002 +-270 4266 +3556 -3726 +1733 218 +4599 1404 +2982 -146 +1024 5120 +1012 1515 +2135 1421 +-1361 -2008 +406 2810 +1995 2244 +443 -2501 +276 -456 +-124 724 +-1533 -773 +-509 -516 +-1239 2433 +-1272 -3260 +3990 -3992 +156 1826 +-144 2522 +6144 0 +-144 -2522 +156 -1826 +3990 3992 +-1272 3260 +-1239 -2433 +-509 516 +-1533 773 +-124 -724 +276 456 +443 2501 +1995 -2244 +406 -2810 +-1361 2008 +2135 -1421 +1012 -1515 +1024 -5120 +3099 -416 +865 5039 +1527 4362 +-3054 2486 +-1163 -2755 +3017 2042 +-3022 401 +4220 -724 +4280 -3377 +-2950 57 +407 1153 +-4272 -7828 +-4155 2250 +-3156 4634 +-3967 2673 +0 0 +-3967 -2673 +-3156 -4634 +-4155 -2250 +-4272 7828 +407 -1153 +-2950 -57 +4280 3377 +4220 724 +-3022 -401 +3017 -2042 +-1163 2755 +-3054 -2486 +1527 -4362 +865 -5039 +3099 416 +1024 5120 +1012 1515 +2135 1421 +-1361 -2008 +406 2810 +1995 2244 +443 -2501 +276 -456 +-124 724 +-1533 -773 +-509 -516 +-1239 2433 +-1272 -3260 +3990 -3992 +156 1826 +-144 2522 +4096 2048 +534 -1542 +1728 -272 +-500 6898 +-2702 -640 +-1226 1508 +-2310 921 +-4664 328 +-848 3672 +-1962 442 +-1385 -1233 +3036 -1926 +-2806 2976 +1064 1937 +-1297 -2685 +-2831 -3844 +6144 0 +-2831 3844 +-1297 2685 +1064 -1937 +-2806 -2976 +3036 1926 +-1385 1233 +-1962 -442 +-848 -3672 +-4664 -328 +-2310 -921 +-1226 -1508 +-2702 640 +-500 -6898 +1728 272 +534 1542 +4096 -2048 +2352 746 +-2548 3612 +-2929 2520 +-2243 -2856 +2813 4024 +602 -256 +734 53 +4944 6568 +4325 588 +1894 -999 +-838 -1678 +3654 -2376 +-1418 -1656 +-4875 3129 +1512 3697 +2048 0 +1512 -3697 +-4875 -3129 +-1418 1656 +3654 2376 +-838 1678 +1894 999 +4325 -588 +4944 -6568 +734 -53 +602 256 +2813 -4024 +-2243 2856 +-2929 -2520 +-2548 -3612 +2352 -746 +4096 2048 +534 -1542 +1728 -272 +-500 6898 +-2702 -640 +-1226 1508 +-2310 921 +-4664 328 +-848 3672 +-1962 442 +-1385 -1233 +3036 -1926 +-2806 2976 +1064 1937 +-1297 -2685 +-2831 -3844 +-2048 -2048 +-2394 394 +416 2771 +-2313 1733 +-4424 -1219 +3850 -462 +483 -1463 +-4237 -3291 +-1872 2896 +-875 -3104 +2303 -1735 +-2623 2392 +-1408 -4678 +4446 1014 +2503 -1047 +-3344 -1749 +-8192 0 +-3344 1749 +2503 1047 +4446 -1014 +-1408 4678 +-2623 -2392 +2303 1735 +-875 3104 +-1872 -2896 +-4237 3291 +483 1463 +3850 462 +-4424 1219 +-2313 -1733 +416 -2771 +-2394 -394 +-2048 2048 +3222 3784 +848 1234 +-4647 968 +928 -1678 +2631 -4005 +3457 1683 +3031 -5050 +3920 -2896 +4298 -1660 +-2147 -3837 +1493 4285 +808 1782 +-2838 1246 +329 -741 +299 -2083 +0 0 +299 2083 +329 741 +-2838 -1246 +808 -1782 +1493 -4285 +-2147 3837 +4298 1660 +3920 2896 +3031 5050 +3457 -1683 +2631 4005 +928 1678 +-4647 -968 +848 -1234 +3222 -3784 +-2048 -2048 +-2394 394 +416 2771 +-2313 1733 +-4424 -1219 +3850 -462 +483 -1463 +-4237 -3291 +-1872 2896 +-875 -3104 +2303 -1735 +-2623 2392 +-1408 -4678 +4446 1014 +2503 -1047 +-3344 -1749 +2048 2048 +221 657 +-5505 -2197 +-94 3804 +7510 2772 +-1233 7443 +-5723 2464 +525 916 +0 -424 +-693 -4304 +-2190 -1537 +-2106 -712 +2262 -1324 +-623 -1782 +-1011 3452 +-785 -2598 +-4096 0 +-785 2598 +-1011 -3452 +-623 1782 +2262 1324 +-2106 712 +-2190 1537 +-693 4304 +0 424 +525 -916 +-5723 -2464 +-1233 -7443 +7510 -2772 +-94 -3804 +-5505 2197 +221 -657 +2048 -2048 +-1669 1628 +-328 -1963 +1542 5785 +-518 2772 +2681 1412 +2107 -1420 +-1973 -4470 +0 2472 +-755 512 +1709 4277 +3554 4895 +-1063 -1324 +2071 905 +2747 2276 +-663 -1149 +0 0 +-663 1149 +2747 -2276 +2071 -905 +-1063 1324 +3554 -4895 +1709 -4277 +-755 -512 +0 -2472 +-1973 4470 +2107 1420 +2681 -1412 +-518 -2772 +1542 -5785 +-328 1963 +-1669 -1628 +2048 2048 +221 657 +-5505 -2197 +-94 3804 +7510 2772 +-1233 7443 +-5723 2464 +525 916 +0 -424 +-693 -4304 +-2190 -1537 +-2106 -712 +2262 -1324 +-623 -1782 +-1011 3452 +-785 -2598 +5120 3072 +1612 2630 +-4565 -2099 +1492 -2740 +2584 -2524 +1195 367 +293 -76 +-92 -3772 +-2772 -4220 +-4171 -1658 +-1010 -5066 +-3546 -698 +1910 -706 +4311 -4085 +-874 5171 +754 -5844 +2048 0 +754 5844 +-874 -5171 +4311 4085 +1910 706 +-3546 698 +-1010 5066 +-4171 1658 +-2772 4220 +-92 3772 +293 76 +1195 -367 +2584 2524 +1492 2740 +-4565 2099 +1612 -2630 +5120 -3072 +1418 69 +285 1447 +-2717 -311 +-1984 476 +-1579 4106 +999 -1520 +-98 611 +-1324 124 +576 -3071 +-1482 -1825 +2363 1387 +1586 2754 +-1518 -2750 +-1839 5265 +1 6975 +4096 0 +1 -6975 +-1839 -5265 +-1518 2750 +1586 -2754 +2363 -1387 +-1482 1825 +576 3071 +-1324 -124 +-98 -611 +999 1520 +-1579 -4106 +-1984 -476 +-2717 311 +285 -1447 +1418 -69 +5120 3072 +1612 2630 +-4565 -2099 +1492 -2740 +2584 -2524 +1195 367 +293 -76 +-92 -3772 +-2772 -4220 +-4171 -1658 +-1010 -5066 +-3546 -698 +1910 -706 +4311 -4085 +-874 5171 +754 -5844 +1024 0 +-2455 -2406 +-1187 -5001 +-1059 -1453 +5048 2642 +6781 1863 +-2086 -852 +3811 -92 +-424 2472 +-1334 194 +-107 -1668 +-4167 456 +-1412 -190 +-6015 -1054 +-151 -18 +294 -593 +-9216 0 +294 593 +-151 18 +-6015 1054 +-1412 190 +-4167 -456 +-107 1668 +-1334 -194 +-424 -2472 +3811 92 +-2086 852 +6781 -1863 +5048 -2642 +-1059 1453 +-1187 5001 +-2455 2406 +1024 0 +1208 -2072 +3263 -5739 +-1747 -1221 +-952 3750 +-1623 -31 +-2518 19 +2175 1351 +2472 -424 +4245 1953 +-2281 -365 +3032 -3087 +5508 2486 +-995 -290 +-3124 -3730 +-2152 2796 +3072 0 +-2152 -2796 +-3124 3730 +-995 290 +5508 -2486 +3032 3087 +-2281 365 +4245 -1953 +2472 424 +2175 -1351 +-2518 -19 +-1623 31 +-952 -3750 +-1747 1221 +3263 5739 +1208 2072 +1024 0 +-2455 -2406 +-1187 -5001 +-1059 -1453 +5048 2642 +6781 1863 +-2086 -852 +3811 -92 +-424 2472 +-1334 194 +-107 -1668 +-4167 456 +-1412 -190 +-6015 -1054 +-151 -18 +294 -593 +1024 2048 +-1702 -2343 +-94 -3579 +-248 -1572 +2062 -2676 +449 -3866 +-3324 2358 +645 1917 +2048 -6392 +-5020 2060 +-1309 759 +-752 -2197 +-170 1108 +310 -1613 +876 4552 +1652 1918 +-3072 0 +1652 -1918 +876 -4552 +310 1613 +-170 -1108 +-752 2197 +-1309 -759 +-5020 -2060 +2048 6392 +645 -1917 +-3324 -2358 +449 3866 +2062 2676 +-248 1572 +-94 3579 +-1702 2343 +1024 -2048 +7690 1895 +878 -738 +1581 -400 +-614 2676 +-1914 -2570 +1432 -50 +-2050 1034 +2048 2296 +1073 2147 +3201 -850 +4434 2074 +-1278 -1108 +-3860 -2239 +-1659 5117 +-2288 7082 +-7168 0 +-2288 -7082 +-1659 -5117 +-3860 2239 +-1278 1108 +4434 -2074 +3201 850 +1073 -2147 +2048 -2296 +-2050 -1034 +1432 50 +-1914 2570 +-614 -2676 +1581 400 +878 738 +7690 -1895 +1024 2048 +-1702 -2343 +-94 -3579 +-248 -1572 +2062 -2676 +449 -3866 +-3324 2358 +645 1917 +2048 -6392 +-5020 2060 +-1309 759 +-752 -2197 +-170 1108 +310 -1613 +876 4552 +1652 1918 +2048 0 +96 -4453 +-1280 -1556 +198 4650 +444 5402 +3209 -2424 +-3068 -3144 +344 -3334 +-1448 424 +-4745 -1915 +3862 -7301 +-311 478 +664 2402 +-924 -1343 +-3153 -4197 +-609 855 +-4096 0 +-609 -855 +-3153 4197 +-924 1343 +664 -2402 +-311 -478 +3862 7301 +-4745 1915 +-1448 -424 +344 3334 +-3068 3144 +3209 2424 +444 -5402 +198 -4650 +-1280 1556 +96 4453 +2048 0 +-4101 -671 +-49 -1683 +22 -4848 +-3340 -1058 +2363 -2436 +2180 1932 +-2132 697 +1448 -2472 +2957 534 +2818 296 +5883 975 +2232 1943 +1145 -734 +-1310 -4834 +-3396 3470 +0 0 +-3396 -3470 +-1310 4834 +1145 734 +2232 -1943 +5883 -975 +2818 -296 +2957 -534 +1448 2472 +-2132 -697 +2180 -1932 +2363 2436 +-3340 1058 +22 4848 +-49 1683 +-4101 671 +2048 0 +96 -4453 +-1280 -1556 +198 4650 +444 5402 +3209 -2424 +-3068 -3144 +344 -3334 +-1448 424 +-4745 -1915 +3862 -7301 +-311 478 +664 2402 +-924 -1343 +-3153 -4197 +-609 855 +-2048 -1024 +-924 1906 +6862 4073 +-37 -938 +-2813 1167 +3425 6860 +-865 3658 +-294 -3934 +-300 -2772 +-1227 -1748 +1055 -1529 +877 2349 +-5140 4397 +-136 1603 +547 -1331 +-4674 3234 +-1024 0 +-4674 -3234 +547 1331 +-136 -1603 +-5140 -4397 +877 -2349 +1055 1529 +-1227 1748 +-300 2772 +-294 3934 +-865 -3658 +3425 -6860 +-2813 -1167 +-37 938 +6862 -4073 +-924 -1906 +-2048 1024 +-3510 -301 +-1134 1499 +2033 946 +2214 4626 +-1238 1803 +-1876 346 +1776 2898 +-1748 -1324 +-697 2928 +-11 -259 +512 962 +1644 1396 +6150 -6947 +3614 1110 +-2036 589 +1024 0 +-2036 -589 +3614 -1110 +6150 6947 +1644 -1396 +512 -962 +-11 259 +-697 -2928 +-1748 1324 +1776 -2898 +-1876 -346 +-1238 -1803 +2214 -4626 +2033 -946 +-1134 -1499 +-3510 301 +-2048 -1024 +-924 1906 +6862 4073 +-37 -938 +-2813 1167 +3425 6860 +-865 3658 +-294 -3934 +-300 -2772 +-1227 -1748 +1055 -1529 +877 2349 +-5140 4397 +-136 1603 +547 -1331 +-4674 3234 +-1024 -1024 +743 -2769 +3149 -132 +-146 2272 +-2824 548 +-873 971 +-1593 1609 +-881 -2808 +3372 -124 +-178 1261 +407 -4445 +508 -2689 +-1042 -3778 +-880 -4236 +-469 291 +3186 -2725 +4096 0 +3186 2725 +-469 -291 +-880 4236 +-1042 3778 +508 2689 +407 4445 +-178 -1261 +3372 124 +-881 2808 +-1593 -1609 +-873 -971 +-2824 -548 +-146 -2272 +3149 132 +743 2769 +-1024 1024 +-5019 -3 +-6150 1240 +1281 -340 +176 3548 +2758 4623 +-1866 -4285 +387 -1087 +4820 4220 +-4680 1157 +3053 1769 +-176 -1164 +-4502 -318 +-2471 4912 +3469 817 +6442 -1926 +-2048 0 +6442 1926 +3469 -817 +-2471 -4912 +-4502 318 +-176 1164 +3053 -1769 +-4680 -1157 +4820 -4220 +387 1087 +-1866 4285 +2758 -4623 +176 -3548 +1281 340 +-6150 -1240 +-5019 3 +-1024 -1024 +743 -2769 +3149 -132 +-146 2272 +-2824 548 +-873 971 +-1593 1609 +-881 -2808 +3372 -124 +-178 1261 +407 -4445 +508 -2689 +-1042 -3778 +-880 -4236 +-469 291 +3186 -2725 +-2048 3072 +-5634 -1679 +-2206 24 +1140 2913 +2165 -1716 +1589 638 +-2337 -1644 +-4958 2462 +-4820 -1148 +-1764 -2238 +557 -47 +-4347 2491 +-5070 3718 +2241 -5343 +3615 1084 +3246 -1141 +5120 0 +3246 1141 +3615 -1084 +2241 5343 +-5070 -3718 +-4347 -2491 +557 47 +-1764 2238 +-4820 1148 +-4958 -2462 +-2337 1644 +1589 -638 +2165 1716 +1140 -2913 +-2206 -24 +-5634 1679 +-2048 -3072 +844 4971 +469 928 +2551 -4468 +732 -932 +-643 1769 +2818 232 +-572 -1069 +-3372 3196 +2181 1176 +3059 -5461 +945 596 +2174 1826 +2315 -1325 +2218 3964 +864 -3815 +-1024 0 +864 3815 +2218 -3964 +2315 1325 +2174 -1826 +945 -596 +3059 5461 +2181 -1176 +-3372 -3196 +-572 1069 +2818 -232 +-643 -1769 +732 932 +2551 4468 +469 -928 +844 -4971 +-2048 3072 +-5634 -1679 +-2206 24 +1140 2913 +2165 -1716 +1589 638 +-2337 -1644 +-4958 2462 +-4820 -1148 +-1764 -2238 +557 -47 +-4347 2491 +-5070 3718 +2241 -5343 +3615 1084 +3246 -1141 +-1024 2048 +5520 -122 +2033 -3341 +-182 2554 +-600 254 +-368 1410 +-2274 -3244 +5 -2628 +-2472 2224 +-1965 -1412 +5621 -1408 +-1128 391 +-3496 3086 +-1339 -1931 +631 -2548 +3227 1827 +1024 0 +3227 -1827 +631 2548 +-1339 1931 +-3496 -3086 +-1128 -391 +5621 1408 +-1965 1412 +-2472 -2224 +5 2628 +-2274 3244 +-368 -1410 +-600 -254 +-182 -2554 +2033 3341 +5520 122 +-1024 -2048 +-5076 -1031 +3031 -627 +2414 3492 +-600 -854 +1033 2305 +-910 -577 +-3345 188 +424 8016 +-1375 7869 +-1237 4579 +1792 -700 +-3496 410 +3571 -1839 +1298 -2620 +-2783 125 +5120 0 +-2783 -125 +1298 2620 +3571 1839 +-3496 -410 +1792 700 +-1237 -4579 +-1375 -7869 +424 -8016 +-3345 -188 +-910 577 +1033 -2305 +-600 854 +2414 -3492 +3031 627 +-5076 1031 +-1024 2048 +5520 -122 +2033 -3341 +-182 2554 +-600 254 +-368 1410 +-2274 -3244 +5 -2628 +-2472 2224 +-1965 -1412 +5621 -1408 +-1128 391 +-3496 3086 +-1339 -1931 +631 -2548 +3227 1827 +-6144 -3072 +373 -2864 +2841 -5306 +-4028 -2642 +-5924 3548 +-1242 4502 +836 619 +248 -3787 +3196 -2772 +315 2298 +2067 1988 +-372 -452 +321 -318 +-259 -2598 +2021 -2277 +2982 1985 +-5120 0 +2982 -1985 +2021 2277 +-259 2598 +321 318 +-372 452 +2067 -1988 +315 -2298 +3196 2772 +248 3787 +836 -619 +-1242 -4502 +-5924 -3548 +-4028 2642 +2841 5306 +373 2864 +-6144 3072 +5293 2627 +-1576 414 +-3217 1606 +2428 548 +-1990 -1087 +3104 3625 +-3574 3982 +-1148 -1324 +3452 114 +-1911 2255 +28 -1485 +-921 -3778 +-505 -3789 +811 -2616 +2497 -6 +5120 0 +2497 6 +811 2616 +-505 3789 +-921 3778 +28 1485 +-1911 -2255 +3452 -114 +-1148 1324 +-3574 -3982 +3104 -3625 +-1990 1087 +2428 -548 +-3217 -1606 +-1576 -414 +5293 -2627 +-6144 -3072 +373 -2864 +2841 -5306 +-4028 -2642 +-5924 3548 +-1242 4502 +836 619 +248 -3787 +3196 -2772 +315 2298 +2067 1988 +-372 -452 +321 -318 +-259 -2598 +2021 -2277 +2982 1985 +-2048 1024 +-708 -881 +2641 -3034 +-909 -4080 +2428 992 +876 1203 +-2865 -2880 +-1389 2609 +-300 1324 +-197 -298 +519 -5472 +3087 -8551 +-921 -4442 +-5 -5522 +377 1894 +-1762 -523 +1024 0 +-1762 523 +377 -1894 +-5 5522 +-921 4442 +3087 8551 +519 5472 +-197 298 +-300 -1324 +-1389 -2609 +-2865 2880 +876 -1203 +2428 -992 +-909 4080 +2641 3034 +-708 881 +-2048 -1024 +309 -1114 +1299 2355 +2047 -1890 +-5924 208 +-2579 4113 +5696 -5368 +3397 2852 +-1748 2772 +-1812 -3168 +745 3017 +-1384 506 +321 -2550 +-1133 -2224 +-221 3219 +2162 1186 +-1024 0 +2162 -1186 +-221 -3219 +-1133 2224 +321 2550 +-1384 -506 +745 -3017 +-1812 3168 +-1748 -2772 +3397 -2852 +5696 5368 +-2579 -4113 +-5924 -208 +2047 1890 +1299 -2355 +309 1114 +-2048 1024 +-708 -881 +2641 -3034 +-909 -4080 +2428 992 +876 1203 +-2865 -2880 +-1389 2609 +-300 1324 +-197 -298 +519 -5472 +3087 -8551 +-921 -4442 +-5 -5522 +377 1894 +-1762 -523 +3072 0 +-3697 -2193 +599 2070 +2142 -3094 +-3830 -300 +-5400 -68 +3635 -806 +6012 -824 +1024 -1024 +-297 1416 +437 587 +1458 209 +-3267 1748 +-1794 3089 +-1125 -1787 +1689 -211 +9216 0 +1689 211 +-1125 1787 +-1794 -3089 +-3267 -1748 +1458 -209 +437 -587 +-297 -1416 +1024 1024 +6012 824 +3635 806 +-5400 68 +-3830 300 +2142 3094 +599 -2070 +-3697 2193 +3072 0 +2420 22 +-939 1271 +4128 -6330 +2630 -300 +3249 1165 +489 1470 +326 -1472 +1024 -1024 +-4474 -265 +-1665 -2819 +-3091 5929 +-3726 1748 +-691 719 +-1431 2231 +-1980 -6705 +-3072 0 +-1980 6705 +-1431 -2231 +-691 -719 +-3726 -1748 +-3091 -5929 +-1665 2819 +-4474 265 +1024 1024 +326 1472 +489 -1470 +3249 -1165 +2630 300 +4128 6330 +-939 -1271 +2420 -22 +3072 0 +-3697 -2193 +599 2070 +2142 -3094 +-3830 -300 +-5400 -68 +3635 -806 +6012 -824 +1024 -1024 +-297 1416 +437 587 +1458 209 +-3267 1748 +-1794 3089 +-1125 -1787 +1689 -211 +-9216 2048 +942 -1335 +4093 -2649 +-1283 2884 +724 -214 +1421 -639 +3425 -853 +-896 -3647 +3496 848 +1562 1977 +-1848 -2285 +3958 -3983 +-724 -2566 +-1333 -875 +2804 -3225 +919 -4635 +-1024 0 +919 4635 +2804 3225 +-1333 875 +-724 2566 +3958 3983 +-1848 2285 +1562 -1977 +3496 -848 +-896 3647 +3425 853 +1421 639 +724 214 +-1283 -2884 +4093 2649 +942 1335 +-9216 -2048 +-1990 375 +-1721 -4751 +1593 -870 +724 3111 +1730 -3649 +3191 684 +-2560 590 +600 -4944 +-1001 -2138 +-672 -1979 +-4213 2590 +-724 5462 +3919 -8 +-1081 -79 +-2767 778 +-5120 0 +-2767 -778 +-1081 79 +3919 8 +-724 -5462 +-4213 -2590 +-672 1979 +-1001 2138 +600 4944 +-2560 -590 +3191 -684 +1730 3649 +724 -3111 +1593 870 +-1721 4751 +-1990 -375 +-9216 2048 +942 -1335 +4093 -2649 +-1283 2884 +724 -214 +1421 -639 +3425 -853 +-896 -3647 +3496 848 +1562 1977 +-1848 -2285 +3958 -3983 +-724 -2566 +-1333 -875 +2804 -3225 +919 -4635 +1024 2048 +-2925 -1023 +-3113 3058 +623 -220 +554 -6353 +1923 2977 +510 964 +2822 1417 +-424 -5368 +-4584 -4477 +323 4232 +-1070 -727 +-1338 -1776 +1131 -6319 +-959 -3678 +-2562 -1581 +-3072 0 +-2562 1581 +-959 3678 +1131 6319 +-1338 1776 +-1070 727 +323 -4232 +-4584 4477 +-424 5368 +2822 -1417 +510 -964 +1923 -2977 +554 6353 +623 220 +-3113 -3058 +-2925 1023 +1024 -2048 +-4531 -335 +-1011 283 +1418 920 +-554 -4136 +4471 -1689 +2047 -299 +2161 -2131 +2472 3320 +-2407 -1900 +17 -6464 +2036 2326 +1338 3576 +1053 -861 +2187 4122 +441 2751 +-3072 0 +441 -2751 +2187 -4122 +1053 861 +1338 -3576 +2036 -2326 +17 6464 +-2407 1900 +2472 -3320 +2161 2131 +2047 299 +4471 1689 +-554 4136 +1418 -920 +-1011 -283 +-4531 335 +1024 2048 +-2925 -1023 +-3113 3058 +623 -220 +554 -6353 +1923 2977 +510 964 +2822 1417 +-424 -5368 +-4584 -4477 +323 4232 +-1070 -727 +-1338 -1776 +1131 -6319 +-959 -3678 +-2562 -1581 +0 3072 +-1483 4437 +2232 1399 +-352 -2358 +-1356 1381 +3246 -1525 +-3991 1299 +766 1309 +7292 -1324 +825 616 +1967 -7252 +2870 -1502 +646 6962 +-2178 959 +-5578 -2212 +-2359 411 +1024 0 +-2359 -411 +-5578 2212 +-2178 -959 +646 -6962 +2870 1502 +1967 7252 +825 -616 +7292 1324 +766 -1309 +-3991 -1299 +3246 1525 +-1356 -1381 +-352 2358 +2232 -1399 +-1483 -4437 +0 -3072 +-2866 1122 +-821 -70 +1222 -751 +-2140 1515 +-664 -3325 +-1057 -2187 +1786 -3174 +2948 -2772 +-1160 -4698 +-1014 571 +-101 2003 +-1246 -4066 +-4044 1284 +70 -2251 +4490 2930 +3072 0 +4490 -2930 +70 2251 +-4044 -1284 +-1246 4066 +-101 -2003 +-1014 -571 +-1160 4698 +2948 2772 +1786 3174 +-1057 2187 +-664 3325 +-2140 -1515 +1222 751 +-821 70 +-2866 -1122 +0 3072 +-1483 4437 +2232 1399 +-352 -2358 +-1356 1381 +3246 -1525 +-3991 1299 +766 1309 +7292 -1324 +825 616 +1967 -7252 +2870 -1502 +646 6962 +-2178 959 +-5578 -2212 +-2359 411 +-3072 3072 +-6983 -1291 +1784 -4219 +-37 1251 +4476 -4092 +2599 -5310 +-2479 1453 +6103 -1897 +2772 -1324 +2405 -731 +-2437 -7171 +-1088 -2070 +1127 3078 +-5065 -470 +-3588 1026 +568 2922 +4096 0 +568 -2922 +-3588 -1026 +-5065 470 +1127 -3078 +-1088 2070 +-2437 7171 +2405 731 +2772 1324 +6103 1897 +-2479 -1453 +2599 5310 +4476 4092 +-37 -1251 +1784 4219 +-6983 1291 +-3072 -3072 +-2129 702 +2184 -1105 +3169 -1206 +-3876 2044 +694 2382 +-1341 2983 +-962 -865 +1324 -2772 +1590 1752 +-734 519 +-2854 -2426 +2369 -1030 +2582 -1052 +-1580 -1054 +-593 273 +2048 0 +-593 -273 +-1580 1054 +2582 1052 +2369 1030 +-2854 2426 +-734 -519 +1590 -1752 +1324 2772 +-962 865 +-1341 -2983 +694 -2382 +-3876 -2044 +3169 1206 +2184 1105 +-2129 -702 +-3072 3072 +-6983 -1291 +1784 -4219 +-37 1251 +4476 -4092 +2599 -5310 +-2479 1453 +6103 -1897 +2772 -1324 +2405 -731 +-2437 -7171 +-1088 -2070 +1127 3078 +-5065 -470 +-3588 1026 +568 2922 +4096 -2048 +1438 -967 +513 2536 +608 2871 +-1030 300 +-2156 1806 +-3510 466 +350 597 +5544 424 +-467 -2133 +-2721 -583 +-3201 -1864 +415 -1748 +2302 558 +-4592 -50 +-1218 1835 +4096 0 +-1218 -1835 +-4592 50 +2302 -558 +415 1748 +-3201 1864 +-2721 583 +-467 2133 +5544 -424 +350 -597 +-3510 -466 +-2156 -1806 +-1030 -300 +608 -2871 +513 -2536 +1438 967 +4096 2048 +-2722 3759 +-4009 -304 +1119 -2681 +-9707 300 +-1847 1224 +2910 2874 +-3082 -213 +2648 -2472 +2551 949 +2121 1027 +-1932 1109 +6226 -1748 +5106 -4153 +1096 -615 +3151 -610 +-4096 0 +3151 610 +1096 615 +5106 4153 +6226 1748 +-1932 -1109 +2121 -1027 +2551 -949 +2648 2472 +-3082 213 +2910 -2874 +-1847 -1224 +-9707 -300 +1119 2681 +-4009 304 +-2722 -3759 +4096 -2048 +1438 -967 +513 2536 +608 2871 +-1030 300 +-2156 1806 +-3510 466 +350 597 +5544 424 +-467 -2133 +-2721 -583 +-3201 -1864 +415 -1748 +2302 558 +-4592 -50 +-1218 1835 +3072 -1024 +-827 2392 +-8 -1852 +-2223 1570 +-2335 946 +7968 -3893 +-310 -1563 +-1279 6311 +4220 -724 +2128 -3521 +2213 -936 +-1175 -5467 +4456 392 +501 813 +-3155 -2696 +954 -4049 +-2048 0 +954 4049 +-3155 2696 +501 -813 +4456 -392 +-1175 5467 +2213 936 +2128 3521 +4220 724 +-1279 -6311 +-310 1563 +7968 3893 +-2335 -946 +-2223 -1570 +-8 1852 +-827 -2392 +3072 1024 +-787 2565 +-148 -1452 +924 1051 +-2010 -946 +-2409 -587 +-954 -2701 +663 -1176 +-124 724 +-4408 849 +-5045 767 +-1488 -551 +-112 -392 +3694 -5522 +-785 -4704 +-2237 -4594 +4096 0 +-2237 4594 +-785 4704 +3694 5522 +-112 392 +-1488 551 +-5045 -767 +-4408 -849 +-124 -724 +663 1176 +-954 2701 +-2409 587 +-2010 946 +924 -1051 +-148 1452 +-787 -2565 +3072 -1024 +-827 2392 +-8 -1852 +-2223 1570 +-2335 946 +7968 -3893 +-310 -1563 +-1279 6311 +4220 -724 +2128 -3521 +2213 -936 +-1175 -5467 +4456 392 +501 813 +-3155 -2696 +954 -4049 +2048 3072 +3328 2712 +-618 -3262 +-1986 -5027 +188 417 +2188 548 +-1947 -1391 +1188 2049 +-1324 -2348 +-7740 976 +3019 1123 +3965 -451 +-586 5370 +-811 1660 +-3266 3907 +-4140 198 +-5120 0 +-4140 -198 +-3266 -3907 +-811 -1660 +-586 -5370 +3965 451 +3019 -1123 +-7740 -976 +-1324 2348 +1188 -2049 +-1947 1391 +2188 -548 +188 -417 +-1986 5027 +-618 3262 +3328 -2712 +2048 -3072 +-107 1789 +-830 5533 +366 -956 +4756 -1016 +1386 3303 +3395 -4677 +2394 -1156 +-2772 -3796 +-306 -2668 +-1571 5594 +-859 -1124 +-262 -1874 +1544 917 +1818 2957 +-409 -681 +1024 0 +-409 681 +1818 -2957 +1544 -917 +-262 1874 +-859 1124 +-1571 -5594 +-306 2668 +-2772 3796 +2394 1156 +3395 4677 +1386 -3303 +4756 1016 +366 956 +-830 -5533 +-107 -1789 +2048 3072 +3328 2712 +-618 -3262 +-1986 -5027 +188 417 +2188 548 +-1947 -1391 +1188 2049 +-1324 -2348 +-7740 976 +3019 1123 +3965 -451 +-586 5370 +-811 1660 +-3266 3907 +-4140 198 +-1024 -2048 +-674 1309 +-224 2489 +-2641 1513 +2806 -2772 +2538 -746 +-1926 158 +-996 2778 +1624 5368 +4018 2685 +-3218 -1978 +-2355 -797 +2243 1324 +-1470 885 +2103 5862 +2880 -1767 +-1024 0 +2880 1767 +2103 -5862 +-1470 -885 +2243 -1324 +-2355 797 +-3218 1978 +4018 -2685 +1624 -5368 +-996 -2778 +-1926 -158 +2538 746 +2806 2772 +-2641 -1513 +-224 -2489 +-674 -1309 +-1024 2048 +-4090 -947 +-4500 -597 +-1827 3401 +-3654 -2772 +-279 -2430 +986 -941 +5174 1835 +4520 -3320 +-186 -3424 +61 1194 +-346 -4596 +2702 1324 +-5207 1812 +-1476 -3970 +5460 -3223 +-1024 0 +5460 3223 +-1476 3970 +-5207 -1812 +2702 -1324 +-346 4596 +61 -1194 +-186 3424 +4520 3320 +5174 -1835 +986 941 +-279 2430 +-3654 2772 +-1827 -3401 +-4500 597 +-4090 947 +-1024 -2048 +-674 1309 +-224 2489 +-2641 1513 +2806 -2772 +2538 -746 +-1926 158 +-996 2778 +1624 5368 +4018 2685 +-3218 -1978 +-2355 -797 +2243 1324 +-1470 885 +2103 5862 +2880 -1767 +1024 1024 +5140 2421 +2369 -127 +-1170 734 +-3308 1116 +1649 -634 +478 2146 +3630 567 +-2348 -2596 +-5349 624 +3806 1647 +2719 -526 +-1186 -222 +881 1642 +2477 555 +1828 -2249 +2048 0 +1828 2249 +2477 -555 +881 -1642 +-1186 222 +2719 526 +3806 -1647 +-5349 -624 +-2348 2596 +3630 -567 +478 -2146 +1649 634 +-3308 -1116 +-1170 -734 +2369 127 +5140 -2421 +1024 -1024 +-4936 -1966 +4143 -2874 +-1948 892 +1260 332 +1138 1050 +-5110 1314 +1661 -890 +-3796 4644 +-3076 4846 +-870 1813 +2062 6734 +-862 1670 +-5332 -5809 +900 -3556 +1104 -3088 +0 0 +1104 3088 +900 3556 +-5332 5809 +-862 -1670 +2062 -6734 +-870 -1813 +-3076 -4846 +-3796 -4644 +1661 890 +-5110 -1314 +1138 -1050 +1260 -332 +-1948 -892 +4143 2874 +-4936 1966 +1024 1024 +5140 2421 +2369 -127 +-1170 734 +-3308 1116 +1649 -634 +478 2146 +3630 567 +-2348 -2596 +-5349 624 +3806 1647 +2719 -526 +-1186 -222 +881 1642 +2477 555 +1828 -2249 +1024 7168 +-106 1167 +-1462 -670 +674 -2790 +1486 -1730 +1263 -1151 +2731 255 +364 7906 +724 4220 +7793 50 +-263 -3213 +-2660 -88 +488 1500 +-162 -1954 +-3309 2583 +-5655 -3375 +-2048 0 +-5655 3375 +-3309 -2583 +-162 1954 +488 -1500 +-2660 88 +-263 3213 +7793 -50 +724 -4220 +364 -7906 +2731 -255 +1263 1151 +1486 1730 +674 2790 +-1462 670 +-106 -1167 +1024 -7168 +-959 -1413 +2415 -270 +-1116 -2140 +1162 1730 +1297 -2914 +-1319 -883 +1556 2269 +-724 -124 +751 -339 +-5245 -1510 +338 -3738 +5056 -1500 +-5427 3055 +-1739 574 +2049 -1543 +0 0 +2049 1543 +-1739 -574 +-5427 -3055 +5056 1500 +338 3738 +-5245 1510 +751 339 +-724 124 +1556 -2269 +-1319 883 +1297 2914 +1162 -1730 +-1116 2140 +2415 270 +-959 1413 +1024 7168 +-106 1167 +-1462 -670 +674 -2790 +1486 -1730 +1263 -1151 +2731 255 +364 7906 +724 4220 +7793 50 +-263 -3213 +-2660 -88 +488 1500 +-162 -1954 +-3309 2583 +-5655 -3375 +-2048 -3072 +1844 601 +3094 3096 +2853 975 +-522 2694 +344 -1434 +2536 -3513 +-2379 -3582 +-1572 1748 +-675 4223 +861 212 +-3081 1497 +-2080 92 +267 1242 +-2038 2790 +-2504 -1626 +-5120 0 +-2504 1626 +-2038 -2790 +267 -1242 +-2080 -92 +-3081 -1497 +861 -212 +-675 -4223 +-1572 -1748 +-2379 3582 +2536 3513 +344 1434 +-522 -2694 +2853 -975 +3094 -3096 +1844 -601 +-2048 3072 +-220 3300 +-2494 6336 +-396 -3461 +1370 802 +605 1788 +960 -7059 +1553 5075 +5668 300 +3068 -6514 +2635 305 +-1652 424 +-2864 -692 +1060 -2161 +2638 1347 +-688 1743 +-7168 0 +-688 -1743 +2638 -1347 +1060 2161 +-2864 692 +-1652 -424 +2635 -305 +3068 6514 +5668 -300 +1553 -5075 +960 7059 +605 -1788 +1370 -802 +-396 3461 +-2494 -6336 +-220 -3300 +-2048 -3072 +1844 601 +3094 3096 +2853 975 +-522 2694 +344 -1434 +2536 -3513 +-2379 -3582 +-1572 1748 +-675 4223 +861 212 +-3081 1497 +-2080 92 +267 1242 +-2038 2790 +-2504 -1626 +7168 -1024 +839 -2930 +3500 1662 +755 -5170 +2694 742 +2869 5261 +-3592 -716 +-108 3998 +-724 124 +781 -955 +696 -1176 +1659 -1308 +-92 1924 +-146 -996 +5049 1983 +-957 319 +-6144 0 +-957 -319 +5049 -1983 +-146 996 +-92 -1924 +1659 1308 +696 1176 +781 955 +-724 -124 +-108 -3998 +-3592 716 +2869 -5261 +2694 -742 +755 5170 +3500 -1662 +839 2930 +7168 1024 +1410 -2023 +-5992 -214 +-2011 1758 +802 4202 +428 1136 +-688 2164 +-2008 247 +724 -4220 +1984 -2160 +-3409 -272 +4179 -1871 +692 -1076 +-7734 -408 +-3757 -3431 +-1942 -1047 +0 0 +-1942 1047 +-3757 3431 +-7734 408 +692 1076 +4179 1871 +-3409 272 +1984 2160 +724 4220 +-2008 -247 +-688 -2164 +428 -1136 +802 -4202 +-2011 -1758 +-5992 214 +1410 2023 +7168 -1024 +839 -2930 +3500 1662 +755 -5170 +2694 742 +2869 5261 +-3592 -716 +-108 3998 +-724 124 +781 -955 +696 -1176 +1659 -1308 +-92 1924 +-146 -996 +5049 1983 +-957 319 +3072 0 +-2685 -1480 +-2571 -1174 +1320 4863 +-3700 1553 +540 -3902 +1504 2495 +-3693 -423 +2472 2472 +-687 -1089 +-5050 -225 +-250 354 +-2132 -6002 +-503 4851 +1128 -1806 +-2425 -5449 +-3072 0 +-2425 5449 +1128 1806 +-503 -4851 +-2132 6002 +-250 -354 +-5050 225 +-687 1089 +2472 -2472 +-3693 423 +1504 -2495 +540 3902 +-3700 -1553 +1320 -4863 +-2571 1174 +-2685 1480 +3072 0 +1543 -1112 +2112 130 +2230 -417 +1652 1094 +4055 -141 +4956 3233 +3782 6799 +-424 -424 +-2298 -7095 +-1410 -3936 +-1448 -63 +84 458 +-152 1531 +-669 -935 +671 2281 +1024 0 +671 -2281 +-669 935 +-152 -1531 +84 -458 +-1448 63 +-1410 3936 +-2298 7095 +-424 424 +3782 -6799 +4956 -3233 +4055 141 +1652 -1094 +2230 417 +2112 -130 +1543 1112 +3072 0 +-2685 -1480 +-2571 -1174 +1320 4863 +-3700 1553 +540 -3902 +1504 2495 +-3693 -423 +2472 2472 +-687 -1089 +-5050 -225 +-250 354 +-2132 -6002 +-503 4851 +1128 -1806 +-2425 -5449 +-1024 0 +3294 -3518 +3440 546 +2793 2231 +226 84 +1684 1489 +-3700 -476 +-5016 2725 +2896 2048 +-140 -56 +-3724 -3462 +-2701 -2643 +1350 3700 +-155 1637 +-4223 2244 +-2070 -4346 +-1024 0 +-2070 4346 +-4223 -2244 +-155 -1637 +1350 -3700 +-2701 2643 +-3724 3462 +-140 56 +2896 -2048 +-5016 -2725 +-3700 476 +1684 -1489 +226 -84 +2793 -2231 +3440 -546 +3294 3518 +-1024 0 +33 47 +528 5795 +-736 2031 +4470 -2132 +-2744 1542 +-121 -2319 +3053 -947 +-2896 2048 +3670 2483 +553 -2230 +-23 -3462 +6242 -1652 +1882 -6511 +-944 1200 +-2825 1524 +-5120 0 +-2825 -1524 +-944 -1200 +1882 6511 +6242 1652 +-23 3462 +553 2230 +3670 -2483 +-2896 -2048 +3053 947 +-121 2319 +-2744 -1542 +4470 2132 +-736 -2031 +528 -5795 +33 -47 +-1024 0 +3294 -3518 +3440 546 +2793 2231 +226 84 +1684 1489 +-3700 -476 +-5016 2725 +2896 2048 +-140 -56 +-3724 -3462 +-2701 -2643 +1350 3700 +-155 1637 +-4223 2244 +-2070 -4346 +-4096 -1024 +-189 108 +-3824 -4055 +2920 -888 +346 -103 +2184 88 +4702 -68 +-1852 -289 +2772 -8740 +620 -6290 +-2422 4209 +-1544 -957 +-3888 -4900 +-146 -2850 +834 1388 +776 -1887 +3072 0 +776 1887 +834 -1388 +-146 2850 +-3888 4900 +-1544 957 +-2422 -4209 +620 6290 +2772 8740 +-1852 289 +4702 68 +2184 -88 +346 103 +2920 888 +-3824 4055 +-189 -108 +-4096 1024 +-3935 721 +4304 -3890 +-363 -590 +-1546 -1345 +-1844 765 +1131 2679 +3080 2404 +1324 -1500 +608 157 +686 98 +1883 -3302 +-3104 3452 +2702 2052 +2782 556 +-4900 260 +-3072 0 +-4900 -260 +2782 -556 +2702 -2052 +-3104 -3452 +1883 3302 +686 -98 +608 -157 +1324 1500 +3080 -2404 +1131 -2679 +-1844 -765 +-1546 1345 +-363 590 +4304 3890 +-3935 -721 +-4096 -1024 +-189 108 +-3824 -4055 +2920 -888 +346 -103 +2184 88 +4702 -68 +-1852 -289 +2772 -8740 +620 -6290 +-2422 4209 +-1544 -957 +-3888 -4900 +-146 -2850 +834 1388 +776 -1887 +-1024 0 +1671 -1783 +-1546 -6645 +2323 -5155 +2232 2422 +1501 -538 +2255 -3986 +-1432 -868 +424 -2472 +3030 -810 +-2832 2749 +226 -3499 +444 -4194 +-2232 3451 +-717 663 +-770 -5457 +1024 0 +-770 5457 +-717 -663 +-2232 -3451 +444 4194 +226 3499 +-2832 -2749 +3030 810 +424 2472 +-1432 868 +2255 3986 +1501 538 +2232 -2422 +2323 5155 +-1546 6645 +1671 1783 +-1024 0 +-2942 -1370 +1427 1517 +-1986 3483 +664 -1822 +-4413 -3284 +81 -2250 +2815 -3173 +-2472 424 +5164 2432 +-2400 -296 +-1539 -635 +-3340 698 +-5465 2757 +3733 2898 +4050 -224 +5120 0 +4050 224 +3733 -2898 +-5465 -2757 +-3340 -698 +-1539 635 +-2400 296 +5164 -2432 +-2472 -424 +2815 3173 +81 2250 +-4413 3284 +664 1822 +-1986 -3483 +1427 -1517 +-2942 1370 +-1024 0 +1671 -1783 +-1546 -6645 +2323 -5155 +2232 2422 +1501 -538 +2255 -3986 +-1432 -868 +424 -2472 +3030 -810 +-2832 2749 +226 -3499 +444 -4194 +-2232 3451 +-717 663 +-770 -5457 +1024 1024 +325 1374 +641 -4251 +-1275 -2986 +-32 1716 +-2152 -3334 +-4117 4510 +-425 -656 +1748 -6692 +104 -561 +-5394 348 +78 2068 +3418 -3718 +-3028 -3993 +-1302 -2446 +109 -3619 +-2048 0 +109 3619 +-1302 2446 +-3028 3993 +3418 3718 +78 -2068 +-5394 -348 +104 561 +1748 6692 +-425 656 +-4117 -4510 +-2152 3334 +-32 -1716 +-1275 2986 +641 4251 +325 -1374 +1024 -1024 +-1319 -3812 +2883 -5521 +5488 -1134 +-816 932 +-218 -2582 +-1935 1937 +2463 1858 +300 548 +-4359 -454 +1558 -2093 +-3060 -2633 +1526 -1826 +4166 5226 +-525 866 +3101 -1035 +4096 0 +3101 1035 +-525 -866 +4166 -5226 +1526 1826 +-3060 2633 +1558 2093 +-4359 454 +300 -548 +2463 -1858 +-1935 -1937 +-218 2582 +-816 -932 +5488 1134 +2883 5521 +-1319 3812 +1024 1024 +325 1374 +641 -4251 +-1275 -2986 +-32 1716 +-2152 -3334 +-4117 4510 +-425 -656 +1748 -6692 +104 -561 +-5394 348 +78 2068 +3418 -3718 +-3028 -3993 +-1302 -2446 +109 -3619 +-4096 -1024 +136 1335 +900 -480 +1571 -2327 +706 -138 +-766 -315 +-3982 -6170 +-111 433 +-1572 6093 +-5423 5761 +-696 -289 +-2363 -552 +476 4032 +1156 -3718 +15 -1813 +2522 -1723 +1024 0 +2522 1723 +15 1813 +1156 3718 +476 -4032 +-2363 552 +-696 289 +-5423 -5761 +-1572 -6093 +-111 -433 +-3982 6170 +-766 315 +706 138 +1571 2327 +900 480 +136 -1335 +-4096 1024 +-2101 2678 +5011 297 +96 -2101 +-2754 -462 +-1410 3145 +-4147 782 +-1620 -139 +5668 -4045 +474 -43 +136 1893 +5868 -1601 +-2524 -536 +1641 -3294 +2762 430 +330 -2824 +7168 0 +330 2824 +2762 -430 +1641 3294 +-2524 536 +5868 1601 +136 -1893 +474 43 +5668 4045 +-1620 139 +-4147 -782 +-1410 -3145 +-2754 462 +96 2101 +5011 -297 +-2101 -2678 +-4096 -1024 +136 1335 +900 -480 +1571 -2327 +706 -138 +-766 -315 +-3982 -6170 +-111 433 +-1572 6093 +-5423 5761 +-696 -289 +-2363 -552 +476 4032 +1156 -3718 +15 -1813 +2522 -1723 +-7168 -2048 +969 3475 +4674 2944 +1636 -90 +1808 -614 +-4984 -6515 +-1566 -800 +3927 -4514 +424 -3920 +72 -539 +-663 -5089 +1856 -4515 +2916 1278 +-788 3499 +287 -4279 +-1425 4678 +-5120 0 +-1425 -4678 +287 4279 +-788 -3499 +2916 -1278 +1856 4515 +-663 5089 +72 539 +424 3920 +3927 4514 +-1566 800 +-4984 6515 +1808 614 +1636 90 +4674 -2944 +969 -3475 +-7168 2048 +-2342 1 +-1518 277 +211 -1051 +240 2062 +164 1223 +6290 -872 +-380 3 +-2472 1872 +1733 1379 +35 -2375 +747 1440 +-868 170 +1158 -2423 +653 1708 +-2554 4151 +-1024 0 +-2554 -4151 +653 -1708 +1158 2423 +-868 -170 +747 -1440 +35 2375 +1733 -1379 +-2472 -1872 +-380 -3 +6290 872 +164 -1223 +240 -2062 +211 1051 +-1518 -277 +-2342 -1 +-7168 -2048 +969 3475 +4674 2944 +1636 -90 +1808 -614 +-4984 -6515 +-1566 -800 +3927 -4514 +424 -3920 +72 -539 +-663 -5089 +1856 -4515 +2916 1278 +-788 3499 +287 -4279 +-1425 4678 +0 2048 +1349 -2605 +-5772 -1429 +2708 -921 +1278 2472 +-2252 4187 +728 2066 +-2342 1001 +2472 3496 +4308 6000 +4813 -1319 +-1560 -2934 +-2062 424 +-1640 -408 +1760 1408 +4770 -230 +-2048 0 +4770 230 +1760 -1408 +-1640 408 +-2062 -424 +-1560 2934 +4813 1319 +4308 -6000 +2472 -3496 +-2342 -1001 +728 -2066 +-2252 -4187 +1278 -2472 +2708 921 +-5772 1429 +1349 2605 +0 -2048 +4404 1220 +3999 6661 +-4350 -641 +170 2472 +-2133 5771 +-3848 -2185 +-1503 -3875 +-424 600 +1545 1223 +1203 -1697 +-1415 1877 +614 424 +-944 -3977 +-2883 929 +-946 750 +-2048 0 +-946 -750 +-2883 -929 +-944 3977 +614 -424 +-1415 -1877 +1203 1697 +1545 -1223 +-424 -600 +-1503 3875 +-3848 2185 +-2133 -5771 +170 -2472 +-4350 641 +3999 -6661 +4404 -1220 +0 2048 +1349 -2605 +-5772 -1429 +2708 -921 +1278 2472 +-2252 4187 +728 2066 +-2342 1001 +2472 3496 +4308 6000 +4813 -1319 +-1560 -2934 +-2062 424 +-1640 -408 +1760 1408 +4770 -230 +-4096 0 +-55 1088 +1846 439 +6680 1752 +130 3915 +-3937 -509 +3022 5581 +2797 1650 +-2048 -3072 +679 -3863 +767 -72 +-934 -720 +1134 -7580 +-1160 2382 +963 2065 +-190 979 +-6144 0 +-190 -979 +963 -2065 +-1160 -2382 +1134 7580 +-934 720 +767 72 +679 3863 +-2048 3072 +2797 -1650 +3022 -5581 +-3937 509 +130 -3915 +6680 -1752 +1846 -439 +-55 -1088 +-4096 0 +-5106 -466 +4326 2902 +767 38 +-978 780 +1552 -2715 +-3530 -4917 +-2273 -3070 +-2048 -3072 +364 -1341 +941 -2160 +-465 -937 +3810 -12 +-2502 976 +-142 -1621 +3784 -4142 +-2048 0 +3784 4142 +-142 1621 +-2502 -976 +3810 12 +-465 937 +941 2160 +364 1341 +-2048 3072 +-2273 3070 +-3530 4917 +1552 2715 +-978 -780 +767 -38 +4326 -2902 +-5106 466 +-4096 0 +-55 1088 +1846 439 +6680 1752 +130 3915 +-3937 -509 +3022 5581 +2797 1650 +-2048 -3072 +679 -3863 +767 -72 +-934 -720 +1134 -7580 +-1160 2382 +963 2065 +-190 979 +-1024 3072 +-35 964 +-2028 1638 +-1631 3733 +862 2454 +-3557 -1038 +3391 -2611 +6253 2943 +-2348 -1748 +2102 890 +2319 3713 +4462 -4932 +3308 -776 +-1067 2331 +-3992 240 +-1789 1330 +6144 0 +-1789 -1330 +-3992 -240 +-1067 -2331 +3308 776 +4462 4932 +2319 -3713 +2102 -890 +-2348 1748 +6253 -2943 +3391 2611 +-3557 1038 +862 -2454 +-1631 -3733 +-2028 -1638 +-35 -964 +-1024 -3072 +-998 2571 +240 -227 +-647 -1581 +1186 -1006 +-35 1481 +2181 7660 +-149 -562 +-3796 -300 +2259 2691 +-2098 -2760 +-631 -1617 +-1260 2224 +-2687 6814 +-13 5268 +-1851 1005 +0 0 +-1851 -1005 +-13 -5268 +-2687 -6814 +-1260 -2224 +-631 1617 +-2098 2760 +2259 -2691 +-3796 300 +-149 562 +2181 -7660 +-35 -1481 +1186 1006 +-647 1581 +240 227 +-998 -2571 +-1024 3072 +-35 964 +-2028 1638 +-1631 3733 +862 2454 +-3557 -1038 +3391 -2611 +6253 2943 +-2348 -1748 +2102 890 +2319 3713 +4462 -4932 +3308 -776 +-1067 2331 +-3992 240 +-1789 1330 +2048 3072 +1362 1733 +-208 317 +-510 432 +-2813 -162 +-4265 -513 +-1177 -1472 +62 -6288 +-2348 -1924 +-1555 -4280 +12 2019 +-3417 2288 +-5140 -2284 +121 6518 +4242 -4168 +1671 -739 +-1024 0 +1671 739 +4242 4168 +121 -6518 +-5140 2284 +-3417 -2288 +12 -2019 +-1555 4280 +-2348 1924 +62 6288 +-1177 1472 +-4265 513 +-2813 162 +-510 -432 +-208 -317 +1362 -1733 +2048 -3072 +146 -757 +364 2891 +2048 1475 +2214 162 +3292 -4204 +2441 1840 +-3179 2381 +-3796 -6268 +2455 -1843 +2820 748 +-962 -1654 +1644 2284 +3693 740 +-302 -6609 +-963 -502 +1024 0 +-963 502 +-302 6609 +3693 -740 +1644 -2284 +-962 1654 +2820 -748 +2455 1843 +-3796 6268 +-3179 -2381 +2441 -1840 +3292 4204 +2214 -162 +2048 -1475 +364 -2891 +146 757 +2048 3072 +1362 1733 +-208 317 +-510 432 +-2813 -162 +-4265 -513 +-1177 -1472 +62 -6288 +-2348 -1924 +-1555 -4280 +12 2019 +-3417 2288 +-5140 -2284 +121 6518 +4242 -4168 +1671 -739 +1024 -3072 +1317 -259 +2881 1216 +1486 -1235 +-78 666 +-1090 1111 +3398 491 +-1260 378 +-2596 4644 +4346 6841 +-1056 1119 +5357 88 +-1416 -997 +-5222 -2799 +-1155 372 +-3419 -217 +2048 0 +-3419 217 +-1155 -372 +-5222 2799 +-1416 997 +5357 -88 +-1056 -1119 +4346 -6841 +-2596 -4644 +-1260 -378 +3398 -491 +-1090 -1111 +-78 -666 +1486 1235 +2881 -1216 +1317 259 +1024 3072 +-4375 -1473 +-665 1616 +2845 2297 +-1970 -5010 +1133 -2490 +1954 -647 +3163 -4418 +4644 -2596 +-1577 3680 +-4296 2821 +631 -5801 +-632 -3348 +653 1926 +-1061 -1636 +-3987 -939 +0 0 +-3987 939 +-1061 1636 +653 -1926 +-632 3348 +631 5801 +-4296 -2821 +-1577 -3680 +4644 2596 +3163 4418 +1954 647 +1133 2490 +-1970 5010 +2845 -2297 +-665 -1616 +-4375 1473 +1024 -3072 +1317 -259 +2881 1216 +1486 -1235 +-78 666 +-1090 1111 +3398 491 +-1260 378 +-2596 4644 +4346 6841 +-1056 1119 +5357 88 +-1416 -997 +-5222 -2799 +-1155 372 +-3419 -217 +-3072 -3072 +2622 -1032 +-2036 -5263 +1936 -984 +-596 4456 +-4193 1441 +-697 -869 +-2548 2458 +-1148 3196 +-2790 1215 +2023 878 +-1500 488 +-2479 2010 +1628 -197 +0 -2139 +207 2853 +-2048 0 +207 -2853 +0 2139 +1628 197 +-2479 -2010 +-1500 -488 +2023 -878 +-2790 -1215 +-1148 -3196 +-2548 -2458 +-697 869 +-4193 -1441 +-596 -4456 +1936 984 +-2036 5263 +2622 1032 +-3072 3072 +-859 2811 +-639 -5357 +650 -769 +5540 -112 +2660 -5172 +1805 2372 +-3155 2562 +3196 -1148 +3380 389 +-3132 2322 +578 8125 +1630 2335 +4033 -3197 +2676 1407 +-2650 -2091 +-4096 0 +-2650 2091 +2676 -1407 +4033 3197 +1630 -2335 +578 -8125 +-3132 -2322 +3380 -389 +3196 1148 +-3155 -2562 +1805 -2372 +2660 5172 +5540 112 +650 769 +-639 5357 +-859 -2811 +-3072 -3072 +2622 -1032 +-2036 -5263 +1936 -984 +-596 4456 +-4193 1441 +-697 -869 +-2548 2458 +-1148 3196 +-2790 1215 +2023 878 +-1500 488 +-2479 2010 +1628 -197 +0 -2139 +207 2853 +0 -4096 +-2507 -1713 +-1237 1277 +-1611 1063 +-2426 -640 +1297 -4740 +1195 -5121 +-467 255 +2048 -3920 +-1918 2041 +-5213 -716 +3313 -1379 +5258 2976 +-780 -5664 +1252 4216 +1429 691 +-2048 0 +1429 -691 +1252 -4216 +-780 5664 +5258 -2976 +3313 1379 +-5213 716 +-1918 -2041 +2048 3920 +-467 -255 +1195 5121 +1297 4740 +-2426 640 +-1611 -1063 +-1237 -1277 +-2507 1713 +0 4096 +882 -1567 +-931 -4837 +-846 303 +-1318 -2856 +-2246 690 +-907 2669 +1292 -1238 +2048 1872 +-475 6553 +-2067 6952 +1420 1555 +2582 -2376 +-548 -331 +-285 912 +1763 -5979 +2048 0 +1763 5979 +-285 -912 +-548 331 +2582 2376 +1420 -1555 +-2067 -6952 +-475 -6553 +2048 -1872 +1292 1238 +-907 -2669 +-2246 -690 +-1318 2856 +-846 -303 +-931 4837 +882 1567 +0 -4096 +-2507 -1713 +-1237 1277 +-1611 1063 +-2426 -640 +1297 -4740 +1195 -5121 +-467 255 +2048 -3920 +-1918 2041 +-5213 -716 +3313 -1379 +5258 2976 +-780 -5664 +1252 4216 +1429 691 +5120 -1024 +241 1154 +232 2856 +-4311 -1075 +3138 -3078 +3424 385 +-2160 2883 +-1651 -519 +-2772 124 +1697 2675 +-5380 -79 +-1160 2785 +572 -2044 +-5792 -4248 +-2957 5852 +97 2264 +4096 0 +97 -2264 +-2957 -5852 +-5792 4248 +572 2044 +-1160 -2785 +-5380 79 +1697 -2675 +-2772 -124 +-1651 519 +-2160 -2883 +3424 -385 +3138 3078 +-4311 1075 +232 -2856 +241 -1154 +5120 1024 +1813 3561 +2169 5052 +4992 3452 +-2538 1030 +909 -3369 +2124 -1894 +-2445 -3531 +-1324 -4220 +831 2852 +-1575 -1828 +611 -1544 +2924 4092 +1326 -735 +-643 -840 +-584 443 +2048 0 +-584 -443 +-643 840 +1326 735 +2924 -4092 +611 1544 +-1575 1828 +831 -2852 +-1324 4220 +-2445 3531 +2124 1894 +909 3369 +-2538 -1030 +4992 -3452 +2169 -5052 +1813 -3561 +5120 -1024 +241 1154 +232 2856 +-4311 -1075 +3138 -3078 +3424 385 +-2160 2883 +-1651 -519 +-2772 124 +1697 2675 +-5380 -79 +-1160 2785 +572 -2044 +-5792 -4248 +-2957 5852 +97 2264 +6144 -3072 +-3243 3153 +3321 3515 +2435 -910 +452 3308 +-880 2698 +-3762 -1210 +1330 1302 +-3196 1324 +-2563 909 +-1320 2469 +5062 -370 +3562 -1186 +-4757 1536 +-2378 1001 +1551 -344 +5120 0 +1551 344 +-2378 -1001 +-4757 -1536 +3562 1186 +5062 370 +-1320 -2469 +-2563 -909 +-3196 -1324 +1330 -1302 +-3762 1210 +-880 -2698 +452 -3308 +2435 910 +3321 -3515 +-3243 -3153 +6144 3072 +-2199 -3447 +-3137 -8120 +3813 -2192 +-1900 -1260 +2024 -730 +-1626 4485 +-1813 5750 +1148 2772 +-1418 -538 +-284 -393 +475 1009 +-2114 -862 +-2379 -175 +995 1387 +2562 -838 +3072 0 +2562 838 +995 -1387 +-2379 175 +-2114 862 +475 -1009 +-284 393 +-1418 538 +1148 -2772 +-1813 -5750 +-1626 -4485 +2024 730 +-1900 1260 +3813 2192 +-3137 8120 +-2199 3447 +6144 -3072 +-3243 3153 +3321 3515 +2435 -910 +452 3308 +-880 2698 +-3762 -1210 +1330 1302 +-3196 1324 +-2563 909 +-1320 2469 +5062 -370 +3562 -1186 +-4757 1536 +-2378 1001 +1551 -344 +0 0 +2174 -1330 +-4733 -2836 +-5412 -367 +3221 1398 +-1807 620 +-3192 -76 +2540 -3829 +1448 424 +2479 63 +2393 -3438 +173 -3390 +-7464 -3170 +594 -1000 +2457 -1044 +-5811 2797 +-2048 0 +-5811 -2797 +2457 1044 +594 1000 +-7464 3170 +173 3390 +2393 3438 +2479 -63 +1448 -424 +2540 3829 +-3192 76 +-1807 -620 +3221 -1398 +-5412 367 +-4733 2836 +2174 1330 +0 0 +-221 -3646 +949 -1732 +-1460 -3659 +2572 -2846 +-1131 2902 +1624 -249 +4706 -3446 +-1448 -2472 +3636 4326 +-825 3114 +107 -320 +1672 1722 +-2650 -2066 +1327 -3524 +2082 -4301 +2048 0 +2082 4301 +1327 3524 +-2650 2066 +1672 -1722 +107 320 +-825 -3114 +3636 -4326 +-1448 2472 +4706 3446 +1624 249 +-1131 -2902 +2572 2846 +-1460 3659 +949 1732 +-221 3646 +0 0 +2174 -1330 +-4733 -2836 +-5412 -367 +3221 1398 +-1807 620 +-3192 -76 +2540 -3829 +1448 424 +2479 63 +2393 -3438 +173 -3390 +-7464 -3170 +594 -1000 +2457 -1044 +-5811 2797 +-1024 -2048 +1548 -4185 +4537 -1403 +1592 -424 +-2172 -554 +2349 2816 +-3031 825 +-31 -7099 +4344 848 +-454 470 +-1568 -7828 +-4783 -3463 +2172 -1338 +1764 2251 +-2040 1190 +926 1472 +-1024 0 +926 -1472 +-2040 -1190 +1764 -2251 +2172 1338 +-4783 3463 +-1568 7828 +-454 -470 +4344 -848 +-31 7099 +-3031 -825 +2349 -2816 +-2172 554 +1592 424 +4537 1403 +1548 4185 +-1024 2048 +133 4295 +2928 1311 +-2534 -1861 +-2172 554 +-2217 -3180 +-190 3492 +3466 -1336 +-4344 -4944 +-5198 542 +-1003 -1841 +-700 1220 +2172 1338 +4529 1777 +368 1118 +-390 -105 +3072 0 +-390 105 +368 -1118 +4529 -1777 +2172 -1338 +-700 -1220 +-1003 1841 +-5198 -542 +-4344 4944 +3466 1336 +-190 -3492 +-2217 3180 +-2172 -554 +-2534 1861 +2928 -1311 +133 -4295 +-1024 -2048 +1548 -4185 +4537 -1403 +1592 -424 +-2172 -554 +2349 2816 +-3031 825 +-31 -7099 +4344 848 +-454 470 +-1568 -7828 +-4783 -3463 +2172 -1338 +1764 2251 +-2040 1190 +926 1472 +5120 2048 +-3453 -3747 +-429 -8408 +3852 871 +314 -724 +1999 -234 +263 -1191 +-4887 -4935 +-3920 2472 +4564 -3203 +3555 -1770 +1879 2375 +-470 -724 +-944 -15 +-1945 -2874 +-2233 4751 +3072 0 +-2233 -4751 +-1945 2874 +-944 15 +-470 724 +1879 -2375 +3555 1770 +4564 3203 +-3920 -2472 +-4887 4935 +263 1191 +1999 234 +314 724 +3852 -871 +-429 8408 +-3453 3747 +5120 -2048 +-1952 2998 +-3576 2900 +-1492 -1103 +-2362 -724 +-88 -532 +-43 239 +671 4320 +1872 -424 +4324 -4404 +2017 -3278 +2241 -1940 +-1578 -724 +-1655 -1417 +157 1463 +-2827 1492 +-1024 0 +-2827 -1492 +157 -1463 +-1655 1417 +-1578 724 +2241 1940 +2017 3278 +4324 4404 +1872 424 +671 -4320 +-43 -239 +-88 532 +-2362 724 +-1492 1103 +-3576 -2900 +-1952 -2998 +5120 2048 +-3453 -3747 +-429 -8408 +3852 871 +314 -724 +1999 -234 +263 -1191 +-4887 -4935 +-3920 2472 +4564 -3203 +3555 -1770 +1879 2375 +-470 -724 +-944 -15 +-1945 -2874 +-2233 4751 +-1024 0 +-1798 1668 +1471 -2960 +384 1166 +-1652 4138 +-1089 1066 +-2746 898 +788 -1539 +-2472 -2472 +-1104 -1963 +2902 337 +1247 3289 +-84 2558 +-1872 681 +-1510 175 +-2194 1792 +-3072 0 +-2194 -1792 +-1510 -175 +-1872 -681 +-84 -2558 +1247 -3289 +2902 -337 +-1104 1963 +-2472 2472 +788 1539 +-2746 -898 +-1089 -1066 +-1652 -4138 +384 -1166 +1471 2960 +-1798 -1668 +-1024 0 +571 -1147 +1425 -2089 +-724 75 +3700 -3890 +-1467 2004 +-150 4610 +3336 163 +424 424 +5228 -1054 +-5799 1075 +-3804 3197 +2132 5883 +1532 1576 +4406 -1128 +966 11073 +1024 0 +966 -11073 +4406 1128 +1532 -1576 +2132 -5883 +-3804 -3197 +-5799 -1075 +5228 1054 +424 -424 +3336 -163 +-150 -4610 +-1467 -2004 +3700 3890 +-724 -75 +1425 2089 +571 1147 +-1024 0 +-1798 1668 +1471 -2960 +384 1166 +-1652 4138 +-1089 1066 +-2746 898 +788 -1539 +-2472 -2472 +-1104 -1963 +2902 337 +1247 3289 +-84 2558 +-1872 681 +-1510 175 +-2194 1792 +-2048 3072 +-1660 4809 +777 1518 +1388 174 +-2813 1730 +2379 2920 +525 -1271 +-760 -2978 +7292 -724 +344 3974 +1111 1647 +835 238 +-5140 -1500 +-4509 -5052 +1914 918 +996 23 +-7168 0 +996 -23 +1914 -918 +-4509 5052 +-5140 1500 +835 -238 +1111 -1647 +344 -3974 +7292 724 +-760 2978 +525 1271 +2379 -2920 +-2813 -1730 +1388 -174 +777 -1518 +-1660 -4809 +-2048 -3072 +-564 2704 +1115 -3566 +3683 -4180 +2214 -1730 +529 -44 +258 3319 +1477 -1317 +2948 724 +1835 -1277 +-1895 -3695 +-6639 1438 +1644 1500 +-3458 2246 +-3806 1130 +4125 498 +-1024 0 +4125 -498 +-3806 -1130 +-3458 -2246 +1644 -1500 +-6639 -1438 +-1895 3695 +1835 1277 +2948 -724 +1477 1317 +258 -3319 +529 44 +2214 1730 +3683 4180 +1115 3566 +-564 -2704 +-2048 3072 +-1660 4809 +777 1518 +1388 174 +-2813 1730 +2379 2920 +525 -1271 +-760 -2978 +7292 -724 +344 3974 +1111 1647 +835 238 +-5140 -1500 +-4509 -5052 +1914 918 +996 23 +3072 -2048 +-956 -975 +1725 -2647 +-1012 -2430 +1278 -940 +6237 -2427 +-3709 -2104 +-226 372 +-1448 3496 +-215 -102 +2583 -6899 +-3140 -1127 +-2062 4724 +-5914 -855 +-3251 -1431 +2485 3097 +1024 0 +2485 -3097 +-3251 1431 +-5914 855 +-2062 -4724 +-3140 1127 +2583 6899 +-215 102 +-1448 -3496 +-226 -372 +-3709 2104 +6237 2427 +1278 940 +-1012 2430 +1725 2647 +-956 975 +3072 2048 +-407 -1790 +-1422 -3644 +-2712 -377 +170 -3156 +-3001 -2232 +-4015 -740 +3921 -4802 +1448 600 +1634 3920 +1045 -41 +2359 1581 +614 -628 +1391 -2632 +-1148 -764 +-442 -3407 +9216 0 +-442 3407 +-1148 764 +1391 2632 +614 628 +2359 -1581 +1045 41 +1634 -3920 +1448 -600 +3921 4802 +-4015 740 +-3001 2232 +170 3156 +-2712 377 +-1422 3644 +-407 1790 +3072 -2048 +-956 -975 +1725 -2647 +-1012 -2430 +1278 -940 +6237 -2427 +-3709 -2104 +-226 372 +-1448 3496 +-215 -102 +2583 -6899 +-3140 -1127 +-2062 4724 +-5914 -855 +-3251 -1431 +2485 3097 +-3072 -4096 +3215 755 +-2194 6859 +-1441 3013 +70 -1024 +-4889 -327 +2022 271 +-5323 596 +-1448 0 +3411 -1033 +-1818 799 +3248 2272 +-1482 1024 +-5870 -130 +-192 -2624 +849 -4462 +-1024 0 +849 4462 +-192 2624 +-5870 130 +-1482 -1024 +3248 -2272 +-1818 -799 +3411 1033 +-1448 0 +-5323 -596 +2022 -271 +-4889 327 +70 1024 +-1441 -3013 +-2194 -6859 +3215 -755 +-3072 4096 +3532 -1072 +5535 -1795 +4024 2540 +529 -1024 +-2754 -3387 +-2687 2913 +-1469 4304 +1448 0 +-2858 949 +-414 3585 +2148 2573 +4978 1024 +-259 258 +-252 695 +4436 1560 +-1024 0 +4436 -1560 +-252 -695 +-259 -258 +4978 -1024 +2148 -2573 +-414 -3585 +-2858 -949 +1448 0 +-1469 -4304 +-2687 -2913 +-2754 3387 +529 1024 +4024 -2540 +5535 1795 +3532 1072 +-3072 -4096 +3215 755 +-2194 6859 +-1441 3013 +70 -1024 +-4889 -327 +2022 271 +-5323 596 +-1448 0 +3411 -1033 +-1818 799 +3248 2272 +-1482 1024 +-5870 -130 +-192 -2624 +849 -4462 +1024 -3072 +1662 1220 +1653 731 +119 -4134 +2140 1260 +-1672 4129 +2505 1582 +-771 -3350 +-5668 -4820 +3084 3008 +-846 4430 +3845 345 +1246 862 +512 70 +2082 -1251 +-4750 -535 +-2048 0 +-4750 535 +2082 1251 +512 -70 +1246 -862 +3845 -345 +-846 -4430 +3084 -3008 +-5668 4820 +-771 3350 +2505 -1582 +-1672 -4129 +2140 -1260 +119 4134 +1653 -731 +1662 -1220 +1024 3072 +-3105 -903 +-4993 -1019 +-1791 -1419 +1356 -3308 +860 -414 +-1840 -614 +2056 -1550 +1572 -3372 +-1265 -2925 +3078 -2263 +6783 -5190 +-646 1186 +-2863 -198 +-1638 -6029 +-2705 3436 +0 0 +-2705 -3436 +-1638 6029 +-2863 198 +-646 -1186 +6783 5190 +3078 2263 +-1265 2925 +1572 3372 +2056 1550 +-1840 614 +860 414 +1356 3308 +-1791 1419 +-4993 1019 +-3105 903 +1024 -3072 +1662 1220 +1653 731 +119 -4134 +2140 1260 +-1672 4129 +2505 1582 +-771 -3350 +-5668 -4820 +3084 3008 +-846 4430 +3845 345 +1246 862 +512 70 +2082 -1251 +-4750 -535 +0 2048 +6230 -2874 +-490 -477 +-1756 2740 +314 4424 +-816 4566 +-297 -2997 +-3022 2487 +-4944 3672 +5 330 +2872 3607 +-4694 1475 +-470 -1408 +-1354 258 +567 2368 +7002 -1138 +2048 0 +7002 1138 +567 -2368 +-1354 -258 +-470 1408 +-4694 -1475 +2872 -3607 +5 -330 +-4944 -3672 +-3022 -2487 +-297 2997 +-816 -4566 +314 -4424 +-1756 -2740 +-490 477 +6230 2874 +0 -2048 +-1606 2325 +2657 -1755 +944 -4794 +-2362 -928 +-147 -2038 +9 -344 +786 1978 +848 6568 +-1112 352 +4409 -4050 +513 2621 +-1578 808 +-4275 -745 +-1535 -1704 +3303 -3195 +-2048 0 +3303 3195 +-1535 1704 +-4275 745 +-1578 -808 +513 -2621 +4409 4050 +-1112 -352 +848 -6568 +786 -1978 +9 344 +-147 2038 +-2362 928 +944 4794 +2657 1755 +-1606 -2325 +0 2048 +6230 -2874 +-490 -477 +-1756 2740 +314 4424 +-816 4566 +-297 -2997 +-3022 2487 +-4944 3672 +5 330 +2872 3607 +-4694 1475 +-470 -1408 +-1354 258 +567 2368 +7002 -1138 +2048 0 +1362 135 +-1375 -178 +3451 1151 +2662 -240 +1938 -7235 +-466 1160 +-1329 -695 +1024 0 +-319 410 +-1793 -3835 +-350 -2106 +3326 -868 +1294 3714 +-3514 -4977 +-3141 -5093 +-2048 0 +-3141 5093 +-3514 4977 +1294 -3714 +3326 868 +-350 2106 +-1793 3835 +-319 -410 +1024 0 +-1329 695 +-466 -1160 +1938 7235 +2662 240 +3451 -1151 +-1375 178 +1362 -135 +2048 0 +-4970 -3487 +1339 2475 +2933 1811 +-14 -1808 +633 1619 +-3134 5232 +-1233 -738 +1024 0 +3769 2621 +4194 -2557 +2243 3171 +2218 2916 +-6349 136 +-3442 2680 +67 411 +-6144 0 +67 -411 +-3442 -2680 +-6349 -136 +2218 -2916 +2243 -3171 +4194 2557 +3769 -2621 +1024 0 +-1233 738 +-3134 -5232 +633 -1619 +-14 1808 +2933 -1811 +1339 -2475 +-4970 3487 +2048 0 +1362 135 +-1375 -178 +3451 1151 +2662 -240 +1938 -7235 +-466 1160 +-1329 -695 +1024 0 +-319 410 +-1793 -3835 +-350 -2106 +3326 -868 +1294 3714 +-3514 -4977 +-3141 -5093 +2048 -2048 +2445 -2823 +710 -2695 +-4465 -654 +-3411 2113 +-756 -1126 +1519 -530 +-2279 -807 +-1872 -3496 +-366 1538 +-198 -1275 +1986 -1217 +3714 4788 +1956 919 +950 92 +548 1874 +-2048 0 +548 -1874 +950 -92 +1956 -919 +3714 -4788 +1986 1217 +-198 1275 +-366 -1538 +-1872 3496 +-2279 807 +1519 530 +-756 1126 +-3411 -2113 +-4465 654 +710 2695 +2445 2823 +2048 2048 +987 3038 +-5278 1755 +-1153 -50 +-86 3680 +5385 -345 +-1195 -98 +-5227 5042 +3920 -600 +-5729 -4296 +-126 -3449 +714 946 +-4314 1004 +2125 -2823 +3618 3065 +3827 5334 +2048 0 +3827 -5334 +3618 -3065 +2125 2823 +-4314 -1004 +714 -946 +-126 3449 +-5729 4296 +3920 600 +-5227 -5042 +-1195 98 +5385 345 +-86 -3680 +-1153 50 +-5278 -1755 +987 -3038 +2048 -2048 +2445 -2823 +710 -2695 +-4465 -654 +-3411 2113 +-756 -1126 +1519 -530 +-2279 -807 +-1872 -3496 +-366 1538 +-198 -1275 +1986 -1217 +3714 4788 +1956 919 +950 92 +548 1874 +-3072 1024 +-2305 -1005 +6 -6437 +-5208 902 +-4286 -2250 +3772 -2285 +-1196 1747 +-3924 -571 +-300 900 +-3821 -1604 +-586 -468 +4760 543 +2624 -756 +1173 -722 +-1987 -1603 +1621 -2486 +8192 0 +1621 2486 +-1987 1603 +1173 722 +2624 756 +4760 -543 +-586 468 +-3821 1604 +-300 -900 +-3924 571 +-1196 -1747 +3772 2285 +-4286 2250 +-5208 -902 +6 6437 +-2305 1005 +-3072 -1024 +-278 -1962 +4118 3096 +5409 2638 +1390 -4142 +588 4137 +-1361 -2411 +-4534 2265 +-1748 5244 +-433 -2366 +246 2700 +2674 1622 +273 -1540 +-1583 -3618 +760 1159 +2089 2047 +2048 0 +2089 -2047 +760 -1159 +-1583 3618 +273 1540 +2674 -1622 +246 -2700 +-433 2366 +-1748 -5244 +-4534 -2265 +-1361 2411 +588 -4137 +1390 4142 +5409 -2638 +4118 -3096 +-278 1962 +-3072 1024 +-2305 -1005 +6 -6437 +-5208 902 +-4286 -2250 +3772 -2285 +-1196 1747 +-3924 -571 +-300 900 +-3821 -1604 +-586 -468 +4760 543 +2624 -756 +1173 -722 +-1987 -1603 +1621 -2486 +1024 -3072 +-1725 -5369 +4232 -2086 +-575 -3218 +-946 -2094 +2318 -2779 +2351 1723 +834 3479 +1748 3796 +1530 1226 +-3372 2489 +-1946 1787 +392 -3588 +5665 3157 +3885 -305 +-3843 -3054 +-4096 0 +-3843 3054 +3885 305 +5665 -3157 +392 3588 +-1946 -1787 +-3372 -2489 +1530 -1226 +1748 -3796 +834 -3479 +2351 -1723 +2318 2779 +-946 2094 +-575 3218 +4232 2086 +-1725 5369 +1024 3072 +4088 -4970 +-1676 -1254 +2161 808 +946 -202 +-2673 -1207 +-1123 -2388 +2052 1451 +300 2348 +-2848 -6834 +-752 -258 +-1483 1665 +-392 -2804 +-3467 5265 +-3545 -139 +-87 1954 +-2048 0 +-87 -1954 +-3545 139 +-3467 -5265 +-392 2804 +-1483 -1665 +-752 258 +-2848 6834 +300 -2348 +2052 -1451 +-1123 2388 +-2673 1207 +946 202 +2161 -808 +-1676 1254 +4088 4970 +1024 -3072 +-1725 -5369 +4232 -2086 +-575 -3218 +-946 -2094 +2318 -2779 +2351 1723 +834 3479 +1748 3796 +1530 1226 +-3372 2489 +-1946 1787 +392 -3588 +5665 3157 +3885 -305 +-3843 -3054 +2048 2048 +-1795 922 +-594 1307 +435 -2782 +-4170 -2800 +191 -4560 +-3253 3260 +-953 958 +-1448 -2472 +-2688 475 +6272 -1339 +-4620 -443 +-4494 -3112 +2804 -970 +-4056 -1190 +88 -2696 +6144 0 +88 2696 +-4056 1190 +2804 970 +-4494 3112 +-4620 443 +6272 1339 +-2688 -475 +-1448 2472 +-953 -958 +-3253 -3260 +191 4560 +-4170 2800 +435 2782 +-594 -1307 +-1795 -922 +2048 -2048 +-307 -6516 +1941 -302 +1102 3387 +74 2552 +114 -728 +1257 420 +4100 1183 +1448 424 +-459 -2429 +1517 -774 +4315 -749 +398 -5329 +-4341 -2522 +-3084 -3598 +2014 1198 +6144 0 +2014 -1198 +-3084 3598 +-4341 2522 +398 5329 +4315 749 +1517 774 +-459 2429 +1448 -424 +4100 -1183 +1257 -420 +114 728 +74 -2552 +1102 -3387 +1941 302 +-307 6516 +2048 2048 +-1795 922 +-594 1307 +435 -2782 +-4170 -2800 +191 -4560 +-3253 3260 +-953 958 +-1448 -2472 +-2688 475 +6272 -1339 +-4620 -443 +-4494 -3112 +2804 -970 +-4056 -1190 +88 -2696 +-2048 -5120 +3462 -2023 +-2778 1035 +390 -3007 +-1286 2454 +-2261 626 +3261 -2158 +1871 -3373 +4220 -1748 +1692 279 +-1492 477 +1130 1431 +-836 -776 +-2679 -710 +886 -2900 +1401 1381 +-1024 0 +1401 -1381 +886 2900 +-2679 710 +-836 776 +1130 -1431 +-1492 -477 +1692 -279 +4220 1748 +1871 3373 +3261 2158 +-2261 -626 +-1286 -2454 +390 3007 +-2778 -1035 +3462 2023 +-2048 5120 +-2983 -386 +102 -31 +-2671 142 +-1610 -1006 +-7299 502 +-2153 5838 +-677 808 +-124 -300 +8258 -1588 +384 -2590 +420 6009 +3732 2224 +1385 -4035 +1790 -1889 +-1440 5658 +-3072 0 +-1440 -5658 +1790 1889 +1385 4035 +3732 -2224 +420 -6009 +384 2590 +8258 1588 +-124 300 +-677 -808 +-2153 -5838 +-7299 -502 +-1610 1006 +-2671 -142 +102 31 +-2983 386 +-2048 -5120 +3462 -2023 +-2778 1035 +390 -3007 +-1286 2454 +-2261 626 +3261 -2158 +1871 -3373 +4220 -1748 +1692 279 +-1492 477 +1130 1431 +-836 -776 +-2679 -710 +886 -2900 +1401 1381 +-1024 2048 +1250 848 +-2156 -5048 +1494 1287 +170 4788 +-433 850 +5047 2678 +-4875 -4473 +-2048 1448 +2554 5624 +-1041 -3010 +-762 1019 +614 3680 +-1565 1214 +-1732 1684 +504 2772 +-1024 0 +504 -2772 +-1732 -1684 +-1565 -1214 +614 -3680 +-762 -1019 +-1041 3010 +2554 -5624 +-2048 -1448 +-4875 4473 +5047 -2678 +-433 -850 +170 -4788 +1494 -1287 +-2156 5048 +1250 -848 +-1024 -2048 +3660 -3749 +4529 1432 +4833 3337 +1278 1004 +-957 1678 +1569 -4414 +-2799 -3600 +-2048 -1448 +-5345 -3232 +-1479 -2822 +1913 1270 +-2062 2113 +1268 -2621 +3456 -1203 +-742 -1001 +-5120 0 +-742 1001 +3456 1203 +1268 2621 +-2062 -2113 +1913 -1270 +-1479 2822 +-5345 3232 +-2048 1448 +-2799 3600 +1569 4414 +-957 -1678 +1278 -1004 +4833 -3337 +4529 -1432 +3660 3749 +-1024 2048 +1250 848 +-2156 -5048 +1494 1287 +170 4788 +-433 850 +5047 2678 +-4875 -4473 +-2048 1448 +2554 5624 +-1041 -3010 +-762 1019 +614 3680 +-1565 1214 +-1732 1684 +504 2772 +5120 -1024 +-3392 4350 +-1809 -3261 +-3870 610 +-586 4502 +1634 -2820 +-3515 599 +3569 -432 +-300 -3796 +-1794 -3003 +1001 365 +4970 643 +4756 -2824 +-3454 -2412 +914 -1639 +3278 2734 +0 0 +3278 -2734 +914 1639 +-3454 2412 +4756 2824 +4970 -643 +1001 -365 +-1794 3003 +-300 3796 +3569 432 +-3515 -599 +1634 2820 +-586 -4502 +-3870 -610 +-1809 3261 +-3392 -4350 +5120 1024 +919 767 +-239 -1619 +1861 1769 +-262 1042 +44 616 +1467 1605 +4397 534 +-1748 -2348 +-3955 -2246 +-3049 -6353 +-1297 -5064 +188 176 +112 2574 +-2962 4952 +-3022 -2969 +2048 0 +-3022 2969 +-2962 -4952 +112 -2574 +188 -176 +-1297 5064 +-3049 6353 +-3955 2246 +-1748 2348 +4397 -534 +1467 -1605 +44 -616 +-262 -1042 +1861 -1769 +-239 1619 +919 -767 +5120 -1024 +-3392 4350 +-1809 -3261 +-3870 610 +-586 4502 +1634 -2820 +-3515 599 +3569 -432 +-300 -3796 +-1794 -3003 +1001 365 +4970 643 +4756 -2824 +-3454 -2412 +914 -1639 +3278 2734 +0 -1024 +5045 1121 +3840 1777 +-5045 2717 +2694 162 +3392 4560 +2828 1498 +2912 -5002 +-1148 1324 +-1771 1222 +1201 -448 +2161 1465 +-92 2284 +-4474 2821 +-1032 -2378 +-795 -3959 +-7168 0 +-795 3959 +-1032 2378 +-4474 -2821 +-92 -2284 +2161 -1465 +1201 448 +-1771 -1222 +-1148 -1324 +2912 5002 +2828 -1498 +3392 -4560 +2694 -162 +-5045 -2717 +3840 -1777 +5045 -1121 +0 1024 +3558 1504 +-5952 -2405 +-1764 395 +802 -162 +-2831 -4698 +-1823 -4654 +1645 203 +3196 2772 +-3025 971 +3587 1388 +1950 -2803 +692 -2284 +818 1490 +-2648 -2345 +-1777 -408 +-5120 0 +-1777 408 +-2648 2345 +818 -1490 +692 2284 +1950 2803 +3587 -1388 +-3025 -971 +3196 -2772 +1645 -203 +-1823 4654 +-2831 4698 +802 162 +-1764 -395 +-5952 2405 +3558 -1504 +0 -1024 +5045 1121 +3840 1777 +-5045 2717 +2694 162 +3392 4560 +2828 1498 +2912 -5002 +-1148 1324 +-1771 1222 +1201 -448 +2161 1465 +-92 2284 +-4474 2821 +-1032 -2378 +-795 -3959 +1024 -3072 +-1854 2784 +-969 52 +-829 1536 +-4182 1874 +1797 2782 +-4400 -2607 +-4520 -2861 +5244 1748 +-1742 289 +-4428 -438 +-1324 -425 +2060 -417 +1778 -3432 +-1701 -2584 +486 -3710 +2048 0 +486 3710 +-1701 2584 +1778 3432 +2060 417 +-1324 425 +-4428 438 +-1742 -289 +5244 -1748 +-4520 2861 +-4400 2607 +1797 -2782 +-4182 -1874 +-829 -1536 +-969 -52 +-1854 -2784 +1024 3072 +1992 586 +4374 -1345 +959 3813 +-4507 -5370 +-4279 -1939 +683 -105 +-357 493 +900 300 +-61 -1327 +-4640 4717 +5135 380 +6628 1016 +2557 2100 +2889 92 +264 2616 +0 0 +264 -2616 +2889 -92 +2557 -2100 +6628 -1016 +5135 -380 +-4640 -4717 +-61 1327 +900 -300 +-357 -493 +683 105 +-4279 1939 +-4507 5370 +959 -3813 +4374 1345 +1992 -586 +1024 -3072 +-1854 2784 +-969 52 +-829 1536 +-4182 1874 +1797 2782 +-4400 -2607 +-4520 -2861 +5244 1748 +-1742 289 +-4428 -438 +-1324 -425 +2060 -417 +1778 -3432 +-1701 -2584 +486 -3710 +0 -2048 +2267 878 +3397 3495 +-1040 -1379 +-2797 2146 +1022 4128 +-1983 -4219 +-2411 -4873 +-1448 1024 +-1315 788 +-2927 -1300 +257 724 +4992 3870 +5631 2095 +2556 -3025 +2270 -3934 +6144 0 +2270 3934 +2556 3025 +5631 -2095 +4992 -3870 +257 -724 +-2927 1300 +-1315 -788 +-1448 -1024 +-2411 4873 +-1983 4219 +1022 -4128 +-2797 -2146 +-1040 1379 +3397 -3495 +2267 -878 +0 2048 +-3268 -1848 +-620 3002 +-869 4875 +-2148 -2746 +-2510 -1474 +1423 159 +-97 -2956 +1448 1024 +2494 2159 +-5202 -1559 +343 -837 +-4144 -374 +2959 1033 +3356 2529 +-5734 -244 +2048 0 +-5734 244 +3356 -2529 +2959 -1033 +-4144 374 +343 837 +-5202 1559 +2494 -2159 +1448 -1024 +-97 2956 +1423 -159 +-2510 1474 +-2148 2746 +-869 -4875 +-620 -3002 +-3268 1848 +0 -2048 +2267 878 +3397 3495 +-1040 -1379 +-2797 2146 +1022 4128 +-1983 -4219 +-2411 -4873 +-1448 1024 +-1315 788 +-2927 -1300 +257 724 +4992 3870 +5631 2095 +2556 -3025 +2270 -3934 +0 1024 +3190 -2903 +2056 -4065 +-1503 -9113 +502 -2454 +3041 3825 +-83 218 +-2402 -5141 +-2772 -300 +-2533 460 +416 -1092 +-1037 3862 +-1056 776 +-354 -4002 +1373 -5419 +2898 2191 +1024 0 +2898 -2191 +1373 5419 +-354 4002 +-1056 -776 +-1037 -3862 +416 1092 +-2533 -460 +-2772 300 +-2402 5141 +-83 -218 +3041 -3825 +502 2454 +-1503 9113 +2056 4065 +3190 2903 +0 -1024 +2326 156 +-2056 2393 +-2014 -3891 +2394 1006 +-3542 2193 +83 2353 +292 1071 +-1324 -1748 +-708 -875 +-416 -2129 +3755 -5516 +-1840 -2224 +1654 3103 +-1373 -2046 +-3062 2111 +7168 0 +-3062 -2111 +-1373 2046 +1654 -3103 +-1840 2224 +3755 5516 +-416 2129 +-708 875 +-1324 1748 +292 -1071 +83 -2353 +-3542 -2193 +2394 -1006 +-2014 3891 +-2056 -2393 +2326 -156 +0 1024 +3190 -2903 +2056 -4065 +-1503 -9113 +502 -2454 +3041 3825 +-83 218 +-2402 -5141 +-2772 -300 +-2533 460 +416 -1092 +-1037 3862 +-1056 776 +-354 -4002 +1373 -5419 +2898 2191 +4096 2048 +1117 -6274 +3526 -3155 +-244 821 +-3510 987 +-1088 1609 +-984 -5096 +-1410 2400 +-1624 600 +2430 -7760 +-1551 -2267 +-641 -5416 +1618 -3425 +797 965 +147 -1691 +-880 1670 +2048 0 +-880 -1670 +147 1691 +797 -965 +1618 3425 +-641 5416 +-1551 2267 +2430 7760 +-1624 -600 +-1410 -2400 +-984 5096 +-1088 -1609 +-3510 -987 +-244 -821 +3526 3155 +1117 6274 +4096 -2048 +1340 249 +-186 3036 +-1410 -279 +-834 -5932 +-711 -1977 +319 2760 +750 -13 +-4520 3496 +-203 -3526 +-681 -2965 +-1345 4918 +2726 2576 +4641 2842 +-591 -1325 +-3144 -1591 +2048 0 +-3144 1591 +-591 1325 +4641 -2842 +2726 -2576 +-1345 -4918 +-681 2965 +-203 3526 +-4520 -3496 +750 13 +319 -2760 +-711 1977 +-834 5932 +-1410 279 +-186 -3036 +1340 -249 +4096 2048 +1117 -6274 +3526 -3155 +-244 821 +-3510 987 +-1088 1609 +-984 -5096 +-1410 2400 +-1624 600 +2430 -7760 +-1551 -2267 +-641 -5416 +1618 -3425 +797 965 +147 -1691 +-880 1670 +0 -2048 +-589 6060 +1986 -4520 +-2248 -2355 +-2376 4614 +2815 -3361 +544 4021 +3162 6534 +1024 848 +754 -1287 +-3386 -1091 +-4507 -1412 +640 -5159 +766 -654 +400 3339 +671 1637 +2048 0 +671 -1637 +400 -3339 +766 654 +640 5159 +-4507 1412 +-3386 1091 +754 1287 +1024 -848 +3162 -6534 +544 -4021 +2815 3361 +-2376 -4614 +-2248 2355 +1986 4520 +-589 -6060 +0 2048 +-5093 1630 +754 424 +3018 1422 +2976 -3414 +1797 -113 +-4705 75 +-219 -3991 +1024 -4944 +1655 -1521 +-2342 -3005 +-2321 -4279 +2856 -1834 +681 -2496 +-1443 757 +-341 702 +2048 0 +-341 -702 +-1443 -757 +681 2496 +2856 1834 +-2321 4279 +-2342 3005 +1655 1521 +1024 4944 +-219 3991 +-4705 -75 +1797 113 +2976 3414 +3018 -1422 +754 -424 +-5093 -1630 +0 -2048 +-589 6060 +1986 -4520 +-2248 -2355 +-2376 4614 +2815 -3361 +544 4021 +3162 6534 +1024 848 +754 -1287 +-3386 -1091 +-4507 -1412 +640 -5159 +766 -654 +400 3339 +671 1637 +1024 2048 +3004 -7596 +-944 -815 +-2226 2089 +-1278 -2676 +3424 -7895 +5288 -4367 +-1737 -729 +-1448 600 +2152 6283 +-3237 1353 +-2147 163 +2062 1108 +-1967 -475 +-1752 2191 +253 2634 +-1024 0 +253 -2634 +-1752 -2191 +-1967 475 +2062 -1108 +-2147 -163 +-3237 -1353 +2152 -6283 +-1448 -600 +-1737 729 +5288 4367 +3424 7895 +-1278 2676 +-2226 -2089 +-944 815 +3004 7596 +1024 -2048 +-1733 511 +3944 1924 +4799 -1277 +-170 2676 +-983 -3211 +-1829 1692 +-1575 -528 +1448 3496 +1159 4860 +-223 -4029 +-294 3052 +-614 -1108 +-607 -4168 +-1248 -1082 +-1523 -712 +-1024 0 +-1523 712 +-1248 1082 +-607 4168 +-614 1108 +-294 -3052 +-223 4029 +1159 -4860 +1448 -3496 +-1575 528 +-1829 -1692 +-983 3211 +-170 -2676 +4799 1277 +3944 -1924 +-1733 -511 +1024 2048 +3004 -7596 +-944 -815 +-2226 2089 +-1278 -2676 +3424 -7895 +5288 -4367 +-1737 -729 +-1448 600 +2152 6283 +-3237 1353 +-2147 163 +2062 1108 +-1967 -475 +-1752 2191 +253 2634 +-4096 1024 +4412 7207 +537 2835 +-4612 -1468 +-92 208 +3238 -2350 +1173 -4 +3736 -4128 +-300 -3620 +-2234 -2433 +5891 -3304 +1729 -1879 +802 -2550 +659 5068 +-3061 2174 +885 -1458 +3072 0 +885 1458 +-3061 -2174 +659 -5068 +802 2550 +1729 1879 +5891 3304 +-2234 2433 +-300 3620 +3736 4128 +1173 4 +3238 2350 +-92 -208 +-4612 1468 +537 -2835 +4412 -7207 +-4096 -1024 +-2935 976 +1135 322 +-1520 1471 +692 992 +-1611 -2638 +1399 -4720 +523 -2536 +-1748 3620 +3088 545 +-2670 2676 +-901 1043 +2694 -4442 +-2774 1487 +-4403 -3114 +-1683 432 +1024 0 +-1683 -432 +-4403 3114 +-2774 -1487 +2694 4442 +-901 -1043 +-2670 -2676 +3088 -545 +-1748 -3620 +523 2536 +1399 4720 +-1611 2638 +692 -992 +-1520 -1471 +1135 -322 +-2935 -976 +-4096 1024 +4412 7207 +537 2835 +-4612 -1468 +-92 208 +3238 -2350 +1173 -4 +3736 -4128 +-300 -3620 +-2234 -2433 +5891 -3304 +1729 -1879 +802 -2550 +659 5068 +-3061 2174 +885 -1458 +2048 -1024 +-1704 -3131 +1744 1590 +524 -2075 +-4826 1970 +-2145 2454 +167 -1971 +1597 1888 +6692 -1572 +2250 3020 +-88 2491 +-1730 -3608 +1744 -632 +2176 2588 +-163 3992 +1440 -4788 +-1024 0 +1440 4788 +-163 -3992 +2176 -2588 +1744 632 +-1730 3608 +-88 -2491 +2250 -3020 +6692 1572 +1597 -1888 +167 1971 +-2145 -2454 +-4826 -1970 +524 2075 +1744 -1590 +-1704 3131 +2048 1024 +4734 -3201 +-3976 -3259 +-1749 -1738 +-718 78 +1761 -1661 +3173 -1265 +-1786 356 +-548 5668 +-5845 4887 +-355 2962 +546 4089 +-4392 -1416 +617 1478 +-502 3028 +-686 -4072 +1024 0 +-686 4072 +-502 -3028 +617 -1478 +-4392 1416 +546 -4089 +-355 -2962 +-5845 -4887 +-548 -5668 +-1786 -356 +3173 1265 +1761 1661 +-718 -78 +-1749 1738 +-3976 3259 +4734 3201 +2048 -1024 +-1704 -3131 +1744 1590 +524 -2075 +-4826 1970 +-2145 2454 +167 -1971 +1597 1888 +6692 -1572 +2250 3020 +-88 2491 +-1730 -3608 +1744 -632 +2176 2588 +-163 3992 +1440 -4788 +7168 4096 +2219 1117 +1236 -3575 +-5248 -71 +724 -4050 +-611 -399 +-6238 4275 +-203 -2961 +0 -1448 +1824 -390 +-2983 -3294 +-143 -1813 +-724 -738 +-1184 -2552 +2525 -3501 +-2568 602 +-3072 0 +-2568 -602 +2525 3501 +-1184 2552 +-724 738 +-143 1813 +-2983 3294 +1824 390 +0 1448 +-203 2961 +-6238 -4275 +-611 399 +724 4050 +-5248 71 +1236 3575 +2219 -1117 +7168 -4096 +-1909 -1771 +-4956 -2037 +5808 -19 +724 -2942 +-1237 -744 +1618 1128 +-52 -1633 +0 1448 +1327 -1308 +-2286 -1191 +-906 3567 +-724 1938 +-2273 -434 +2891 -3807 +5154 -4153 +5120 0 +5154 4153 +2891 3807 +-2273 434 +-724 -1938 +-906 -3567 +-2286 1191 +1327 1308 +0 -1448 +-52 1633 +1618 -1128 +-1237 744 +724 2942 +5808 19 +-4956 2037 +-1909 1771 +7168 4096 +2219 1117 +1236 -3575 +-5248 -71 +724 -4050 +-611 -399 +-6238 4275 +-203 -2961 +0 -1448 +1824 -390 +-2983 -3294 +-143 -1813 +-724 -738 +-1184 -2552 +2525 -3501 +-2568 602 +-2048 -4096 +481 -98 +-205 -3869 +3113 -2962 +-1224 1678 +-3949 -2143 +1437 720 +-1618 356 +3072 3496 +2022 6761 +2620 3306 +4451 -184 +-676 -1782 +-974 2891 +550 3754 +1032 1699 +0 0 +1032 -1699 +550 -3754 +-974 -2891 +-676 1782 +4451 184 +2620 -3306 +2022 -6761 +3072 -3496 +-1618 -356 +1437 -720 +-3949 2143 +-1224 -1678 +3113 2962 +-205 3869 +481 98 +-2048 4096 +2361 -3622 +360 -2811 +-1474 3085 +-575 1219 +946 -808 +-172 -2049 +-1890 2217 +3072 600 +-3866 1164 +212 1157 +769 -551 +-9812 4678 +-2881 -551 +3390 -4642 +1478 -67 +0 0 +1478 67 +3390 4642 +-2881 551 +-9812 -4678 +769 551 +212 -1157 +-3866 -1164 +3072 -600 +-1890 -2217 +-172 2049 +946 808 +-575 -1219 +-1474 -3085 +360 2811 +2361 3622 +-2048 -4096 +481 -98 +-205 -3869 +3113 -2962 +-1224 1678 +-3949 -2143 +1437 720 +-1618 356 +3072 3496 +2022 6761 +2620 3306 +4451 -184 +-676 -1782 +-974 2891 +550 3754 +1032 1699 +0 3072 +-336 -1947 +-2065 1564 +-4962 -1629 +-3622 -4281 +-513 3056 +-1608 -2677 +-759 671 +1924 -1748 +-757 336 +-1245 2329 +2417 -298 +-717 6829 +-3623 -1522 +1437 -4834 +2014 -5281 +-1024 0 +2014 5281 +1437 4834 +-3623 1522 +-717 -6829 +2417 298 +-1245 -2329 +-757 -336 +1924 1748 +-759 -671 +-1608 2677 +-513 -3056 +-3622 4281 +-4962 1629 +-2065 -1564 +-336 1947 +0 -3072 +-1732 -1109 +-3768 -4084 +273 -1025 +3622 4530 +-1100 -2436 +-2008 304 +4924 7258 +6268 -300 +1704 -654 +764 -606 +1651 -4195 +717 1612 +64 -453 +299 -1782 +734 -231 +1024 0 +734 231 +299 1782 +64 453 +717 -1612 +1651 4195 +764 606 +1704 654 +6268 300 +4924 -7258 +-2008 -304 +-1100 2436 +3622 -4530 +273 1025 +-3768 4084 +-1732 1109 +0 3072 +-336 -1947 +-2065 1564 +-4962 -1629 +-3622 -4281 +-513 3056 +-1608 -2677 +-759 671 +1924 -1748 +-757 336 +-1245 2329 +2417 -298 +-717 6829 +-3623 -1522 +1437 -4834 +2014 -5281 +-1024 2048 +-1510 -1514 +3355 -314 +-1301 2081 +-1154 1813 +-233 2224 +4392 2239 +3765 -2940 +-1872 -2472 +-1886 -1087 +2528 -6429 +677 1803 +-2158 6536 +-1543 1773 +442 1407 +143 -4454 +-3072 0 +143 4454 +442 -1407 +-1543 -1773 +-2158 -6536 +677 -1803 +2528 6429 +-1886 1087 +-1872 2472 +3765 2940 +4392 -2239 +-233 -2224 +-1154 -1813 +-1301 -2081 +3355 314 +-1510 1514 +-1024 -2048 +5588 434 +-3864 4502 +-235 3701 +-46 3380 +-3303 794 +-3571 3369 +-498 3546 +3920 424 +-2269 3021 +3644 -747 +-1605 327 +-4834 2752 +1749 -2672 +1266 -1811 +2459 -1090 +1024 0 +2459 1090 +1266 1811 +1749 2672 +-4834 -2752 +-1605 -327 +3644 747 +-2269 -3021 +3920 -424 +-498 -3546 +-3571 -3369 +-3303 -794 +-46 -3380 +-235 -3701 +-3864 -4502 +5588 -434 +-1024 2048 +-1510 -1514 +3355 -314 +-1301 2081 +-1154 1813 +-233 2224 +4392 2239 +3765 -2940 +-1872 -2472 +-1886 -1087 +2528 -6429 +677 1803 +-2158 6536 +-1543 1773 +442 1407 +143 -4454 +0 1024 +-665 -1117 +5235 819 +4020 511 +-268 6020 +4714 -280 +-1442 -2948 +-3975 -696 +-1748 1324 +-1512 2492 +1594 1047 +-6784 4986 +-5166 106 +2854 -2828 +1812 -463 +-577 582 +-3072 0 +-577 -582 +1812 463 +2854 2828 +-5166 -106 +-6784 -4986 +1594 -1047 +-1512 -2492 +-1748 -1324 +-3975 696 +-1442 2948 +4714 280 +-268 -6020 +4020 -511 +5235 -819 +-665 1117 +0 -1024 +-1332 1349 +-3631 3565 +-518 -3928 +516 3020 +-738 1390 +1258 -2116 +-1939 638 +-300 2772 +746 1914 +-210 882 +4136 2804 +-3274 -3354 +-1893 298 +3576 3648 +3463 -1679 +7168 0 +3463 1679 +3576 -3648 +-1893 -298 +-3274 3354 +4136 -2804 +-210 -882 +746 -1914 +-300 -2772 +-1939 -638 +1258 2116 +-738 -1390 +516 -3020 +-518 3928 +-3631 -3565 +-1332 -1349 +0 1024 +-665 -1117 +5235 819 +4020 511 +-268 6020 +4714 -280 +-1442 -2948 +-3975 -696 +-1748 1324 +-1512 2492 +1594 1047 +-6784 4986 +-5166 106 +2854 -2828 +1812 -463 +-577 582 +2048 1024 +2435 -391 +2262 -1887 +5365 1364 +-4696 5964 +-5207 -1214 +-1931 -4557 +-1267 4646 +3196 -724 +-1873 -2963 +2048 398 +2035 44 +2878 842 +5224 -4325 +-320 -4053 +1389 -1035 +3072 0 +1389 1035 +-320 4053 +5224 4325 +2878 -842 +2035 -44 +2048 -398 +-1873 2963 +3196 724 +-1267 -4646 +-1931 4557 +-5207 1214 +-4696 -5964 +5365 -1364 +2262 1887 +2435 391 +2048 -1024 +-3675 -208 +-2587 -1416 +-268 2133 +-1696 -171 +165 -2282 +-2637 292 +-2798 -4046 +-1148 724 +-1393 2363 +2520 -567 +-4801 3452 +-582 4951 +3279 829 +645 -3347 +1388 1635 +-3072 0 +1388 -1635 +645 3347 +3279 -829 +-582 -4951 +-4801 -3452 +2520 567 +-1393 -2363 +-1148 -724 +-2798 4046 +-2637 -292 +165 2282 +-1696 171 +-268 -2133 +-2587 1416 +-3675 208 +2048 1024 +2435 -391 +2262 -1887 +5365 1364 +-4696 5964 +-5207 -1214 +-1931 -4557 +-1267 4646 +3196 -724 +-1873 -2963 +2048 398 +2035 44 +2878 842 +5224 -4325 +-320 -4053 +1389 -1035 +-1024 -8192 +1113 -2954 +3859 699 +6866 -958 +1638 868 +471 -2252 +2445 1543 +2988 1562 +2048 -2896 +-2993 -1854 +-869 2466 +-1646 2600 +2302 1808 +1183 1111 +-352 -3213 +717 222 +-5120 0 +717 -222 +-352 3213 +1183 -1111 +2302 -1808 +-1646 -2600 +-869 -2466 +-2993 1854 +2048 2896 +2988 -1562 +2445 -1543 +471 2252 +1638 -868 +6866 958 +3859 -699 +1113 2954 +-1024 8192 +-2300 -4166 +-519 -3883 +1753 -2742 +-1038 -2916 +-465 1369 +-3110 -3472 +-2477 1716 +2048 2896 +-4199 -294 +-1363 2598 +2969 1501 +1194 240 +-5338 -2227 +-92 -1171 +1358 1217 +-9216 0 +1358 -1217 +-92 1171 +-5338 2227 +1194 -240 +2969 -1501 +-1363 -2598 +-4199 294 +2048 -2896 +-2477 -1716 +-3110 3472 +-465 -1369 +-1038 2916 +1753 2742 +-519 3883 +-2300 4166 +-1024 -8192 +1113 -2954 +3859 699 +6866 -958 +1638 868 +471 -2252 +2445 1543 +2988 1562 +2048 -2896 +-2993 -1854 +-869 2466 +-1646 2600 +2302 1808 +1183 1111 +-352 -3213 +717 222 +6144 -4096 +3337 3505 +-2999 1102 +-1766 -126 +3940 3290 +-1666 -6041 +-3566 -298 +74 2142 +-4096 -1624 +2194 5597 +1118 4713 +-1502 -563 +1264 -2387 +1312 -2917 +-187 -1600 +-908 236 +2048 0 +-908 -236 +-187 1600 +1312 2917 +1264 2387 +-1502 563 +1118 -4713 +2194 -5597 +-4096 1624 +74 -2142 +-3566 298 +-1666 6041 +3940 -3290 +-1766 126 +-2999 -1102 +3337 -3505 +6144 4096 +-586 1820 +-158 2838 +1056 -2477 +156 -4738 +-3494 -389 +-1158 -2533 +2245 -2020 +-4096 -4520 +-1616 -2579 +-491 -3448 +3766 -2971 +2832 938 +-3498 -2581 +-752 1445 +1053 2193 +2048 0 +1053 -2193 +-752 -1445 +-3498 2581 +2832 -938 +3766 2971 +-491 3448 +-1616 2579 +-4096 4520 +2245 2020 +-1158 2533 +-3494 389 +156 4738 +1056 2477 +-158 -2838 +-586 -1820 +6144 -4096 +3337 3505 +-2999 1102 +-1766 -126 +3940 3290 +-1666 -6041 +-3566 -298 +74 2142 +-4096 -1624 +2194 5597 +1118 4713 +-1502 -563 +1264 -2387 +1312 -2917 +-187 -1600 +-908 236 +-1024 -2048 +1747 3484 +4067 1565 +-5940 -707 +-8788 2970 +-259 -450 +2204 -1549 +2438 1232 +2896 -2048 +-920 -312 +-2292 1171 +-773 -158 +-200 2498 +-2156 918 +-5392 2197 +-674 408 +5120 0 +-674 -408 +-5392 -2197 +-2156 -918 +-200 -2498 +-773 158 +-2292 -1171 +-920 312 +2896 2048 +2438 -1232 +2204 1549 +-259 450 +-8788 -2970 +-5940 707 +4067 -1565 +1747 -3484 +-1024 2048 +2406 -2830 +981 262 +-1301 796 +348 -1273 +2994 1593 +3303 -2287 +-855 3362 +-2896 -2048 +-903 2010 +880 4882 +2709 -1596 +449 7391 +-1068 2068 +4439 1327 +2552 3143 +-3072 0 +2552 -3143 +4439 -1327 +-1068 -2068 +449 -7391 +2709 1596 +880 -4882 +-903 -2010 +-2896 2048 +-855 -3362 +3303 2287 +2994 -1593 +348 1273 +-1301 -796 +981 -262 +2406 2830 +-1024 -2048 +1747 3484 +4067 1565 +-5940 -707 +-8788 2970 +-259 -450 +2204 -1549 +2438 1232 +2896 -2048 +-920 -312 +-2292 1171 +-773 -158 +-200 2498 +-2156 918 +-5392 2197 +-674 408 +1024 -1024 +-1500 713 +623 -5269 +4587 -1015 +-67 -6080 +-1705 -1766 +-1630 3464 +1595 -2523 +3196 -1148 +-6228 801 +-2814 1300 +1228 -1350 +-5514 2510 +1862 574 +4297 -4668 +-1030 2175 +0 0 +-1030 -2175 +4297 4668 +1862 -574 +-5514 -2510 +1228 1350 +-2814 -1300 +-6228 -801 +3196 1148 +1595 2523 +-1630 -3464 +-1705 1766 +-67 6080 +4587 1015 +623 5269 +-1500 -713 +1024 1024 +167 1146 +1934 -1856 +2172 150 +67 -1512 +840 2589 +2858 -2561 +2412 -279 +-1148 3196 +-2004 4277 +-1310 2499 +1645 -3490 +5514 2186 +957 1090 +-3958 440 +-4997 -628 +-6144 0 +-4997 628 +-3958 -440 +957 -1090 +5514 -2186 +1645 3490 +-1310 -2499 +-2004 -4277 +-1148 -3196 +2412 279 +2858 2561 +840 -2589 +67 1512 +2172 -150 +1934 1856 +167 -1146 +1024 -1024 +-1500 713 +623 -5269 +4587 -1015 +-67 -6080 +-1705 -1766 +-1630 3464 +1595 -2523 +3196 -1148 +-6228 801 +-2814 1300 +1228 -1350 +-5514 2510 +1862 574 +4297 -4668 +-1030 2175 +-4096 -2048 +-276 -2938 +2846 -2352 +-5994 2094 +-1233 654 +4322 -3381 +2038 -2121 +1684 1267 +-3072 848 +-1564 2341 +948 4049 +444 1057 +6896 -758 +4893 -978 +-1148 3346 +565 1541 +2048 0 +565 -1541 +-1148 -3346 +4893 978 +6896 758 +444 -1057 +948 -4049 +-1564 -2341 +-3072 -848 +1684 -1267 +2038 2121 +4322 3381 +-1233 -654 +-5994 -2094 +2846 2352 +-276 2938 +-4096 2048 +-241 -1002 +-2402 1792 +2166 738 +984 195 +-6553 2117 +194 -3791 +2106 -1111 +-3072 -4944 +-658 -2497 +-284 -1272 +-1998 208 +1544 5702 +2719 -1854 +-2192 4782 +-1616 2399 +2048 0 +-1616 -2399 +-2192 -4782 +2719 1854 +1544 -5702 +-1998 -208 +-284 1272 +-658 2497 +-3072 4944 +2106 1111 +194 3791 +-6553 -2117 +984 -195 +2166 -738 +-2402 -1792 +-241 1002 +-4096 -2048 +-276 -2938 +2846 -2352 +-5994 2094 +-1233 654 +4322 -3381 +2038 -2121 +1684 1267 +-3072 848 +-1564 2341 +948 4049 +444 1057 +6896 -758 +4893 -978 +-1148 3346 +565 1541 +-4096 1024 +1243 -134 +5689 -1092 +-867 -2128 +912 3548 +-222 2433 +3769 3116 +-827 5569 +-5244 2172 +-259 -1392 +-3158 1872 +-539 1103 +-1311 -318 +-1007 5596 +631 2377 +1963 4659 +7168 0 +1963 -4659 +631 -2377 +-1007 -5596 +-1311 318 +-539 -1103 +-3158 -1872 +-259 1392 +-5244 -2172 +-827 -5569 +3769 -3116 +-222 -2433 +912 -3548 +-867 2128 +5689 1092 +1243 134 +-4096 -1024 +3281 155 +-3797 611 +-2827 -513 +5480 548 +1585 -2868 +-2985 2716 +-2410 645 +-900 -2172 +1040 -1603 +2374 -136 +-1504 5014 +-986 -3778 +-412 -4085 +-2523 1239 +1762 137 +5120 0 +1762 -137 +-2523 -1239 +-412 4085 +-986 3778 +-1504 -5014 +2374 136 +1040 1603 +-900 2172 +-2410 -645 +-2985 -2716 +1585 2868 +5480 -548 +-2827 513 +-3797 -611 +3281 -155 +-4096 1024 +1243 -134 +5689 -1092 +-867 -2128 +912 3548 +-222 2433 +3769 3116 +-827 5569 +-5244 2172 +-259 -1392 +-3158 1872 +-539 1103 +-1311 -318 +-1007 5596 +631 2377 +1963 4659 +-1024 -2048 +-3933 -935 +1225 2134 +144 3576 +-4808 -326 +-3758 -3257 +189 2582 +4038 1109 +3072 -5120 +-674 1191 +-754 1135 +-1017 -1576 +543 3446 +3786 -2532 +384 -2704 +-2275 1450 +-1024 0 +-2275 -1450 +384 2704 +3786 2532 +543 -3446 +-1017 1576 +-754 -1135 +-674 -1191 +3072 5120 +4038 -1109 +189 -2582 +-3758 3257 +-4808 326 +144 -3576 +1225 -2134 +-3933 935 +-1024 2048 +2140 -3504 +-2792 698 +-2093 -3047 +2760 -5218 +3610 1222 +3595 -2738 +2927 2111 +3072 -5120 +1957 -2747 +-3030 2805 +-3948 -4611 +-2591 -798 +-2517 -3491 +1183 1440 +1612 3320 +-1024 0 +1612 -3320 +1183 -1440 +-2517 3491 +-2591 798 +-3948 4611 +-3030 -2805 +1957 2747 +3072 5120 +2927 -2111 +3595 2738 +3610 -1222 +2760 5218 +-2093 3047 +-2792 -698 +2140 3504 +-1024 -2048 +-3933 -935 +1225 2134 +144 3576 +-4808 -326 +-3758 -3257 +189 2582 +4038 1109 +3072 -5120 +-674 1191 +-754 1135 +-1017 -1576 +543 3446 +3786 -2532 +384 -2704 +-2275 1450 +-2048 -4096 +-753 -4322 +-4861 2765 +-5892 2723 +495 -2591 +1395 -2986 +1689 473 +-101 -823 +-424 -2048 +1583 1040 +4308 -1846 +-362 -2813 +-3954 4808 +-3593 2876 +-3317 -2395 +-401 1303 +0 0 +-401 -1303 +-3317 2395 +-3593 -2876 +-3954 -4808 +-362 2813 +4308 1846 +1583 -1040 +-424 2048 +-101 823 +1689 -473 +1395 2986 +495 2591 +-5892 -2723 +-4861 -2765 +-753 4322 +-2048 4096 +2730 -2897 +2868 -208 +1503 4385 +954 543 +-390 -1857 +-3020 -1701 +3351 -1933 +2472 -2048 +-609 -4108 +5713 -2278 +-2651 498 +2506 -2760 +-1595 -1431 +-3378 2055 +5784 -642 +0 0 +5784 642 +-3378 -2055 +-1595 1431 +2506 2760 +-2651 -498 +5713 2278 +-609 4108 +2472 2048 +3351 1933 +-3020 1701 +-390 1857 +954 -543 +1503 -4385 +2868 208 +2730 2897 +-2048 -4096 +-753 -4322 +-4861 2765 +-5892 2723 +495 -2591 +1395 -2986 +1689 473 +-101 -823 +-424 -2048 +1583 1040 +4308 -1846 +-362 -2813 +-3954 4808 +-3593 2876 +-3317 -2395 +-401 1303 +1024 1024 +77 6567 +2438 -675 +1531 -1293 +-3152 3164 +1274 -178 +-4364 -1016 +-3085 -1956 +4045 2348 +134 5840 +5219 -972 +-2246 -2115 +1645 -2270 +5644 -421 +375 2849 +824 -3327 +-4096 0 +824 3327 +375 -2849 +5644 421 +1645 2270 +-2246 2115 +5219 972 +134 -5840 +4045 -2348 +-3085 1956 +-4364 1016 +1274 178 +-3152 -3164 +1531 1293 +2438 675 +77 -6567 +1024 -1024 +3796 1040 +-1979 -2378 +-1662 528 +5200 2380 +710 1610 +-2096 -616 +-2453 1207 +-6093 3796 +-5061 403 +1241 1037 +24 2347 +403 -378 +518 855 +-834 3987 +-25 3942 +-2048 0 +-25 -3942 +-834 -3987 +518 -855 +403 378 +24 -2347 +1241 -1037 +-5061 -403 +-6093 -3796 +-2453 -1207 +-2096 616 +710 -1610 +5200 -2380 +-1662 -528 +-1979 2378 +3796 -1040 +1024 1024 +77 6567 +2438 -675 +1531 -1293 +-3152 3164 +1274 -178 +-4364 -1016 +-3085 -1956 +4045 2348 +134 5840 +5219 -972 +-2246 -2115 +1645 -2270 +5644 -421 +375 2849 +824 -3327 +-1024 -4096 +-1280 -3627 +2442 2664 +-2335 -2740 +-2446 -1592 +-2146 -653 +2170 1368 +2041 3354 +-1024 -5968 +161 -3583 +1486 -174 +-156 -5415 +2122 -2532 +935 1674 +-3424 4456 +-735 5137 +1024 0 +-735 -5137 +-3424 -4456 +935 -1674 +2122 2532 +-156 5415 +1486 174 +161 3583 +-1024 5968 +2041 -3354 +2170 -1368 +-2146 653 +-2446 1592 +-2335 2740 +2442 -2664 +-1280 3627 +-1024 4096 +3910 -1239 +-4110 4461 +2248 4749 +2446 2192 +59 -1455 +1066 -2271 +-221 1903 +-1024 -176 +-5765 -2616 +3966 -3626 +676 -2175 +-2122 -964 +719 -1753 +-3597 -228 +1889 -1682 +5120 0 +1889 1682 +-3597 228 +719 1753 +-2122 964 +676 2175 +3966 3626 +-5765 2616 +-1024 176 +-221 -1903 +1066 2271 +59 1455 +2446 -2192 +2248 -4749 +-4110 -4461 +3910 1239 +-1024 -4096 +-1280 -3627 +2442 2664 +-2335 -2740 +-2446 -1592 +-2146 -653 +2170 1368 +2041 3354 +-1024 -5968 +161 -3583 +1486 -174 +-156 -5415 +2122 -2532 +935 1674 +-3424 4456 +-735 5137 +-2048 2048 +5012 1605 +3289 703 +405 1568 +-458 84 +-2338 -1356 +-2942 1196 +-3519 6284 +424 -5544 +3288 134 +2848 1451 +3906 -5447 +1553 3700 +306 -1598 +1655 -1144 +-1316 -2200 +-4096 0 +-1316 2200 +1655 1144 +306 1598 +1553 -3700 +3906 5447 +2848 -1451 +3288 -134 +424 5544 +-3519 -6284 +-2942 -1196 +-2338 1356 +-458 -84 +405 -1568 +3289 -703 +5012 -1605 +-2048 -2048 +-1655 4467 +1619 2312 +1015 -2224 +6002 -2132 +2960 3996 +-1506 4037 +294 -2031 +-2472 -2648 +-1631 1295 +-1296 886 +-744 -2010 +1094 -1652 +-5510 -963 +-3666 1264 +-474 -2742 +-4096 0 +-474 2742 +-3666 -1264 +-5510 963 +1094 1652 +-744 2010 +-1296 -886 +-1631 -1295 +-2472 2648 +294 2031 +-1506 -4037 +2960 -3996 +6002 2132 +1015 2224 +1619 -2312 +-1655 -4467 +-2048 2048 +5012 1605 +3289 703 +405 1568 +-458 84 +-2338 -1356 +-2942 1196 +-3519 6284 +424 -5544 +3288 134 +2848 1451 +3906 -5447 +1553 3700 +306 -1598 +1655 -1144 +-1316 -2200 +-4096 -5120 +1237 -1891 +2216 -3483 +-1328 -1718 +-2705 -1232 +-1646 1173 +1104 358 +-1389 -1229 +-1148 724 +351 -1408 +-2341 -2240 +1219 -400 +4190 3574 +2027 -685 +-379 -4703 +630 -1573 +3072 0 +630 1573 +-379 4703 +2027 685 +4190 -3574 +1219 400 +-2341 2240 +351 1408 +-1148 -724 +-1389 1229 +1104 -358 +-1646 -1173 +-2705 1232 +-1328 1718 +2216 3483 +1237 1891 +-4096 5120 +-4483 -1920 +-2400 -3577 +-1248 1856 +-2839 -2016 +2435 630 +4284 3598 +-1713 5564 +3196 -724 +3639 1279 +3945 -797 +2457 -4478 +-6838 5466 +1878 -65 +1762 -1157 +-4064 -909 +1024 0 +-4064 909 +1762 1157 +1878 65 +-6838 -5466 +2457 4478 +3945 797 +3639 -1279 +3196 724 +-1713 -5564 +4284 -3598 +2435 -630 +-2839 2016 +-1248 -1856 +-2400 3577 +-4483 1920 +-4096 -5120 +1237 -1891 +2216 -3483 +-1328 -1718 +-2705 -1232 +-1646 1173 +1104 358 +-1389 -1229 +-1148 724 +351 -1408 +-2341 -2240 +1219 -400 +4190 3574 +2027 -685 +-379 -4703 +630 -1573 +-1024 -3072 +1657 -4464 +-3833 5789 +-5512 6557 +-282 2250 +1203 2435 +-1213 1832 +-4412 -914 +-2596 -2348 +-319 -2606 +5075 2189 +-1064 2376 +-2948 756 +731 2740 +-1877 -2263 +-379 -2824 +0 0 +-379 2824 +-1877 2263 +731 -2740 +-2948 -756 +-1064 -2376 +5075 -2189 +-319 2606 +-2596 2348 +-4412 914 +-1213 -1832 +1203 -2435 +-282 -2250 +-5512 -6557 +-3833 -5789 +1657 4464 +-1024 3072 +3340 -112 +1276 3215 +-635 -176 +3178 4142 +3900 -17 +-15 -1480 +647 5016 +4644 -3796 +-2835 1045 +-951 3459 +1962 353 +52 1540 +-585 -4239 +1537 178 +2301 776 +-2048 0 +2301 -776 +1537 -178 +-585 4239 +52 -1540 +1962 -353 +-951 -3459 +-2835 -1045 +4644 3796 +647 -5016 +-15 1480 +3900 17 +3178 -4142 +-635 176 +1276 -3215 +3340 112 +-1024 -3072 +1657 -4464 +-3833 5789 +-5512 6557 +-282 2250 +1203 2435 +-1213 1832 +-4412 -914 +-2596 -2348 +-319 -2606 +5075 2189 +-1064 2376 +-2948 756 +731 2740 +-1877 -2263 +-379 -2824 +-2048 2048 +-1029 617 +-2495 -1095 +-807 -3084 +-398 2108 +407 -2396 +1834 -141 +1218 -4614 +-3496 -3672 +-3512 7171 +807 730 +-535 -2545 +4170 -4664 +4296 -1854 +2734 2617 +2290 -830 +-2048 0 +2290 830 +2734 -2617 +4296 1854 +4170 4664 +-535 2545 +807 -730 +-3512 -7171 +-3496 3672 +1218 4614 +1834 141 +407 2396 +-398 -2108 +-807 3084 +-2495 1095 +-1029 -617 +-2048 -2048 +3140 -1080 +3823 1878 +-1911 -2820 +4494 540 +3110 -775 +-946 2033 +-1053 722 +-600 -6568 +-2004 -1615 +-7487 1162 +-765 -2505 +-74 -880 +-3796 2264 +1730 -1833 +951 1624 +-2048 0 +951 -1624 +1730 1833 +-3796 -2264 +-74 880 +-765 2505 +-7487 -1162 +-2004 1615 +-600 6568 +-1053 -722 +-946 -2033 +3110 775 +4494 -540 +-1911 2820 +3823 -1878 +3140 1080 +-2048 2048 +-1029 617 +-2495 -1095 +-807 -3084 +-398 2108 +407 -2396 +1834 -141 +1218 -4614 +-3496 -3672 +-3512 7171 +807 730 +-535 -2545 +4170 -4664 +4296 -1854 +2734 2617 +2290 -830 +1024 2048 +-2309 7699 +3132 -2251 +-1360 -1207 +-2232 1519 +3427 -1577 +-1289 6452 +777 1815 +-424 1024 +1753 2573 +2117 -4141 +-1792 -905 +-444 2930 +3490 2304 +2333 71 +-3842 -577 +-5120 0 +-3842 577 +2333 -71 +3490 -2304 +-444 -2930 +-1792 905 +2117 4141 +1753 -2573 +-424 -1024 +777 -1815 +-1289 -6452 +3427 1577 +-2232 -1519 +-1360 1207 +3132 2251 +-2309 -7699 +1024 -2048 +-2025 -2794 +-1684 -6294 +-2200 -2096 +-664 1978 +2062 2136 +-159 -345 +2614 2878 +2472 1024 +3992 2432 +-3565 4952 +-4346 -1065 +3340 -3530 +719 57 +-885 2473 +-960 -2398 +-1024 0 +-960 2398 +-885 -2473 +719 -57 +3340 3530 +-4346 1065 +-3565 -4952 +3992 -2432 +2472 -1024 +2614 -2878 +-159 345 +2062 -2136 +-664 -1978 +-2200 2096 +-1684 6294 +-2025 2794 +1024 2048 +-2309 7699 +3132 -2251 +-1360 -1207 +-2232 1519 +3427 -1577 +-1289 6452 +777 1815 +-424 1024 +1753 2573 +2117 -4141 +-1792 -905 +-444 2930 +3490 2304 +2333 71 +-3842 -577 +1024 4096 +258 -454 +-2512 942 +2112 -3968 +1903 3216 +1937 -2011 +1539 -4474 +-3475 3139 +-1024 -1872 +565 1509 +380 1609 +652 -2351 +-4882 -1988 +-2325 -3418 +4398 -218 +1978 5045 +-1024 0 +1978 -5045 +4398 218 +-2325 3418 +-4882 1988 +652 2351 +380 -1609 +565 -1509 +-1024 1872 +-3475 -3139 +1539 4474 +1937 2011 +1903 -3216 +2112 3968 +-2512 -942 +258 454 +1024 -4096 +-2444 -3574 +-164 -1466 +207 -5675 +145 -568 +814 -5746 +-431 -5039 +-1685 925 +-1024 3920 +1698 -1303 +-1488 -1232 +-507 3363 +6930 -3556 +2902 144 +-1722 1391 +-2688 1055 +-5120 0 +-2688 -1055 +-1722 -1391 +2902 -144 +6930 3556 +-507 -3363 +-1488 1232 +1698 1303 +-1024 -3920 +-1685 -925 +-431 5039 +814 5746 +145 568 +207 5675 +-164 1466 +-2444 3574 +1024 4096 +258 -454 +-2512 942 +2112 -3968 +1903 3216 +1937 -2011 +1539 -4474 +-3475 3139 +-1024 -1872 +565 1509 +380 1609 +652 -2351 +-4882 -1988 +-2325 -3418 +4398 -218 +1978 5045 +0 1024 +809 1350 +2901 -138 +-1107 -2356 +-2044 92 +-1249 -3181 +-163 -3801 +-5903 898 +1324 300 +1596 -1743 +-1623 -1470 +4447 1618 +-1030 802 +-5209 -5099 +-3717 -4771 +-275 -752 +3072 0 +-275 752 +-3717 4771 +-5209 5099 +-1030 -802 +4447 -1618 +-1623 1470 +1596 1743 +1324 -300 +-5903 -898 +-163 3801 +-1249 3181 +-2044 -92 +-1107 2356 +2901 138 +809 -1350 +0 -1024 +3781 -2534 +-6398 435 +1325 -674 +4092 -692 +-567 -414 +-436 -7419 +-3238 -3691 +2772 1748 +625 518 +1023 1338 +3370 -1429 +3078 2694 +-1010 5853 +221 -228 +2604 1136 +-3072 0 +2604 -1136 +221 228 +-1010 -5853 +3078 -2694 +3370 1429 +1023 -1338 +625 -518 +2772 -1748 +-3238 3691 +-436 7419 +-567 414 +4092 692 +1325 674 +-6398 -435 +3781 2534 +0 1024 +809 1350 +2901 -138 +-1107 -2356 +-2044 92 +-1249 -3181 +-163 -3801 +-5903 898 +1324 300 +1596 -1743 +-1623 -1470 +4447 1618 +-1030 802 +-5209 -5099 +-3717 -4771 +-275 -752 +-4096 -5120 +-877 -2426 +8464 1903 +-3898 2905 +-2648 392 +186 -511 +284 1428 +-234 1356 +-300 -2172 +5512 -3700 +-3967 -624 +3117 1647 +4926 -946 +-2852 -4178 +-1749 -3324 +-1232 -744 +3072 0 +-1232 744 +-1749 3324 +-2852 4178 +4926 946 +3117 -1647 +-3967 624 +5512 3700 +-300 2172 +-234 -1356 +284 -1428 +186 511 +-2648 -392 +-3898 -2905 +8464 -1903 +-877 2426 +-4096 5120 +338 4502 +-3676 3041 +1289 1699 +352 -392 +-4913 -1877 +-2397 -580 +-3290 1919 +-1748 2172 +3125 425 +287 -224 +4065 740 +1466 946 +-2787 -426 +2754 -1621 +2451 -1332 +1024 0 +2451 1332 +2754 1621 +-2787 426 +1466 -946 +4065 -740 +287 224 +3125 -425 +-1748 -2172 +-3290 -1919 +-2397 580 +-4913 1877 +352 392 +1289 -1699 +-3676 -3041 +338 -4502 +-4096 -5120 +-877 -2426 +8464 1903 +-3898 2905 +-2648 392 +186 -511 +284 1428 +-234 1356 +-300 -2172 +5512 -3700 +-3967 -624 +3117 1647 +4926 -946 +-2852 -4178 +-1749 -3324 +-1232 -744 +1024 1024 +-2242 2059 +-2023 1543 +-247 -653 +3404 -1416 +1028 1786 +-543 -3482 +1692 2953 +-4220 -724 +-326 -4571 +964 3909 +-2953 5515 +1402 1970 +-5635 -994 +-1407 5126 +5536 -2384 +0 0 +5536 2384 +-1407 -5126 +-5635 994 +1402 -1970 +-2953 -5515 +964 -3909 +-326 4571 +-4220 724 +1692 -2953 +-543 3482 +1028 -1786 +3404 1416 +-247 653 +-2023 -1543 +-2242 -2059 +1024 -1024 +1629 445 +419 -5888 +857 -4335 +4188 -632 +6020 3723 +727 -862 +200 -4136 +124 724 +-3133 -396 +-2348 435 +-310 1562 +3294 78 +1241 -2427 +-3981 -782 +-3355 1103 +-2048 0 +-3355 -1103 +-3981 782 +1241 2427 +3294 -78 +-310 -1562 +-2348 -435 +-3133 396 +124 -724 +200 4136 +727 862 +6020 -3723 +4188 632 +857 4335 +419 5888 +1629 -445 +1024 1024 +-2242 2059 +-2023 1543 +-247 -653 +3404 -1416 +1028 1786 +-543 -3482 +1692 2953 +-4220 -724 +-326 -4571 +964 3909 +-2953 5515 +1402 1970 +-5635 -994 +-1407 5126 +5536 -2384 +0 0 +-498 -1162 +1317 -1881 +-2432 3465 +-360 2302 +1759 -3172 +975 -1976 +216 -1337 +3496 -2472 +5293 4206 +-398 105 +-1656 -971 +-4364 1038 +-2535 -3380 +947 5998 +2450 930 +6144 0 +2450 -930 +947 -5998 +-2535 3380 +-4364 -1038 +-1656 971 +-398 -105 +5293 -4206 +3496 2472 +216 1337 +975 1976 +1759 3172 +-360 -2302 +-2432 -3465 +1317 1881 +-498 1162 +0 0 +-1916 -3809 +-1657 -2619 +6320 3320 +1208 1194 +-76 -1107 +3149 -1105 +1256 5223 +600 424 +-84 -3088 +-830 1408 +-1356 -100 +-580 -1638 +-5817 -612 +-3503 2287 +-924 -6269 +-6144 0 +-924 6269 +-3503 -2287 +-5817 612 +-580 1638 +-1356 100 +-830 -1408 +-84 3088 +600 -424 +1256 -5223 +3149 1105 +-76 1107 +1208 -1194 +6320 -3320 +-1657 2619 +-1916 3809 +0 0 +-498 -1162 +1317 -1881 +-2432 3465 +-360 2302 +1759 -3172 +975 -1976 +216 -1337 +3496 -2472 +5293 4206 +-398 105 +-1656 -971 +-4364 1038 +-2535 -3380 +947 5998 +2450 930 +-1024 0 +-4196 2515 +-7 3720 +3472 -3570 +1024 1278 +853 1818 +3124 1616 +9 817 +1872 -4520 +1145 -850 +-1531 419 +2703 1526 +1024 2062 +-589 -31 +-2151 -4320 +-3391 -6166 +-1024 0 +-3391 6166 +-2151 4320 +-589 31 +1024 -2062 +2703 -1526 +-1531 -419 +1145 850 +1872 4520 +9 -817 +3124 -1616 +853 -1818 +1024 -1278 +3472 3570 +-7 -3720 +-4196 -2515 +-1024 0 +637 2245 +-4457 441 +694 -5537 +1024 170 +-2922 1983 +3556 -2660 +-1622 -5957 +-3920 -1624 +2923 -5307 +643 -3160 +45 635 +1024 -614 +1537 3269 +822 -1408 +-1298 7510 +-1024 0 +-1298 -7510 +822 1408 +1537 -3269 +1024 614 +45 -635 +643 3160 +2923 5307 +-3920 1624 +-1622 5957 +3556 2660 +-2922 -1983 +1024 -170 +694 5537 +-4457 -441 +637 -2245 +-1024 0 +-4196 2515 +-7 3720 +3472 -3570 +1024 1278 +853 1818 +3124 1616 +9 817 +1872 -4520 +1145 -850 +-1531 419 +2703 1526 +1024 2062 +-589 -31 +-2151 -4320 +-3391 -6166 +2048 -1024 +-3764 948 +-2701 4145 +5119 -4633 +-816 -980 +-4643 4026 +-671 533 +3103 3367 +-2772 -300 +-2509 -929 +-156 761 +-950 -3140 +1526 527 +3350 -509 +3077 -3745 +-851 1604 +-3072 0 +-851 -1604 +3077 3745 +3350 509 +1526 -527 +-950 3140 +-156 -761 +-2509 929 +-2772 300 +3103 -3367 +-671 -533 +-4643 -4026 +-816 980 +5119 4633 +-2701 -4145 +-3764 -948 +2048 1024 +-1943 835 +2581 3919 +1605 4167 +-32 7372 +-315 3066 +3007 -809 +2926 -1174 +-1324 -1748 +503 2754 +-5077 163 +2804 -546 +3418 1770 +-1177 3251 +-62 4816 +-3258 -2588 +-1024 0 +-3258 2588 +-62 -4816 +-1177 -3251 +3418 -1770 +2804 546 +-5077 -163 +503 -2754 +-1324 1748 +2926 1174 +3007 809 +-315 -3066 +-32 -7372 +1605 -4167 +2581 -3919 +-1943 -835 +2048 -1024 +-3764 948 +-2701 4145 +5119 -4633 +-816 -980 +-4643 4026 +-671 533 +3103 3367 +-2772 -300 +-2509 -929 +-156 761 +-950 -3140 +1526 527 +3350 -509 +3077 -3745 +-851 1604 +7168 3072 +649 1018 +-4117 -3779 +554 -3118 +-3334 3634 +6863 -798 +5790 -3519 +-688 -1070 +1748 2348 +-1730 -1689 +739 -1805 +-1055 2010 +-2884 -4632 +-2365 -229 +-1664 992 +-105 -2832 +-2048 0 +-105 2832 +-1664 -992 +-2365 229 +-2884 4632 +-1055 -2010 +739 1805 +-1730 1689 +1748 -2348 +-688 1070 +5790 3519 +6863 798 +-3334 -3634 +554 3118 +-4117 3779 +649 -1018 +7168 -3072 +440 1784 +3777 1768 +-343 -1722 +-3658 3958 +-1824 1663 +-1666 1967 +1442 2617 +300 3796 +-591 3547 +-1967 -2643 +-199 -3673 +1684 -64 +-1629 1052 +-893 -5899 +583 -2246 +0 0 +583 2246 +-893 5899 +-1629 -1052 +1684 64 +-199 3673 +-1967 2643 +-591 -3547 +300 -3796 +1442 -2617 +-1666 -1967 +-1824 -1663 +-3658 -3958 +-343 1722 +3777 -1768 +440 -1784 +7168 3072 +649 1018 +-4117 -3779 +554 -3118 +-3334 3634 +6863 -798 +5790 -3519 +-688 -1070 +1748 2348 +-1730 -1689 +739 -1805 +-1055 2010 +-2884 -4632 +-2365 -229 +-1664 992 +-105 -2832 +-2048 -1024 +1407 -514 +899 5201 +448 -2123 +-2694 502 +-3821 1419 +-1228 -4025 +-1895 5719 +-548 124 +-2815 -3966 +330 -3053 +635 -4187 +92 1056 +-1613 -5337 +-2719 -2086 +1427 8192 +1024 0 +1427 -8192 +-2719 2086 +-1613 5337 +92 -1056 +635 4187 +330 3053 +-2815 3966 +-548 -124 +-1895 -5719 +-1228 4025 +-3821 -1419 +-2694 -502 +448 2123 +899 -5201 +1407 514 +-2048 1024 +750 924 +993 1960 +1918 3357 +-802 2394 +-2006 -3925 +2012 -479 +4243 -3463 +6692 -4220 +1146 1445 +-1114 -3147 +-3056 -2471 +-692 1840 +1703 20 +827 -642 +1529 1427 +-1024 0 +1529 -1427 +827 642 +1703 -20 +-692 -1840 +-3056 2471 +-1114 3147 +1146 -1445 +6692 4220 +4243 3463 +2012 479 +-2006 3925 +-802 -2394 +1918 -3357 +993 -1960 +750 -924 +-2048 -1024 +1407 -514 +899 5201 +448 -2123 +-2694 502 +-3821 1419 +-1228 -4025 +-1895 5719 +-548 124 +-2815 -3966 +330 -3053 +635 -4187 +92 1056 +-1613 -5337 +-2719 -2086 +1427 8192 +-1024 -4096 +324 -864 +-1334 -5075 +-2823 939 +384 2630 +-2962 709 +-2271 2525 +731 -132 +-2896 3496 +-1847 1064 +3803 -405 +-3621 276 +-1952 3726 +-540 5832 +-2229 -6256 +2303 -4524 +1024 0 +2303 4524 +-2229 6256 +-540 -5832 +-1952 -3726 +-3621 -276 +3803 405 +-1847 -1064 +-2896 -3496 +731 132 +-2271 -2525 +-2962 -709 +384 -2630 +-2823 -939 +-1334 5075 +324 864 +-1024 4096 +4080 2412 +330 -2494 +1465 -2822 +-1832 -3830 +-907 -772 +5951 610 +-951 1600 +2896 600 +5644 2284 +-1691 3540 +-3654 917 +3400 3267 +1457 1732 +-2560 -1313 +1302 -242 +1024 0 +1302 242 +-2560 1313 +1457 -1732 +3400 -3267 +-3654 -917 +-1691 -3540 +5644 -2284 +2896 -600 +-951 -1600 +5951 -610 +-907 772 +-1832 3830 +1465 2822 +330 2494 +4080 -2412 +-1024 -4096 +324 -864 +-1334 -5075 +-2823 939 +384 2630 +-2962 709 +-2271 2525 +731 -132 +-2896 3496 +-1847 1064 +3803 -405 +-3621 276 +-1952 3726 +-540 5832 +-2229 -6256 +2303 -4524 +2048 1024 +4768 377 +-3327 -1133 +1967 -6095 +-92 -236 +735 -4036 +6613 -3332 +343 -340 +-1748 -724 +2446 617 +983 -785 +-2185 1204 +802 -1886 +-2246 -2979 +-2642 -3863 +821 -4279 +-1024 0 +821 4279 +-2642 3863 +-2246 2979 +802 1886 +-2185 -1204 +983 785 +2446 -617 +-1748 724 +343 340 +6613 3332 +735 4036 +-92 236 +1967 6095 +-3327 1133 +4768 -377 +2048 -1024 +-4029 -535 +-3029 1614 +-7229 57 +692 4332 +2196 -3500 +-716 -2500 +205 3046 +-300 724 +2119 -1328 +-1087 -951 +1710 3604 +2694 -2210 +-740 -4699 +3205 248 +-881 3104 +-7168 0 +-881 -3104 +3205 -248 +-740 4699 +2694 2210 +1710 -3604 +-1087 951 +2119 1328 +-300 -724 +205 -3046 +-716 2500 +2196 3500 +692 -4332 +-7229 -57 +-3029 -1614 +-4029 535 +2048 1024 +4768 377 +-3327 -1133 +1967 -6095 +-92 -236 +735 -4036 +6613 -3332 +343 -340 +-1748 -724 +2446 617 +983 -785 +-2185 1204 +802 -1886 +-2246 -2979 +-2642 -3863 +821 -4279 +4096 2048 +-3043 3941 +-3431 1507 +2052 -927 +-2905 568 +-2660 760 +-5182 -3527 +-1445 -327 +-600 -2472 +-2927 -3177 +3295 1440 +-2446 2397 +-4338 3556 +-1821 73 +3380 1123 +5601 -1757 +0 0 +5601 1757 +3380 -1123 +-1821 -73 +-4338 -3556 +-2446 -2397 +3295 -1440 +-2927 3177 +-600 2472 +-1445 327 +-5182 3527 +-2660 -760 +-2905 -568 +2052 927 +-3431 -1507 +-3043 -3941 +4096 -2048 +-1836 -2175 +-1461 4481 +3337 6092 +2905 -3216 +56 -1300 +938 -1353 +-2331 3348 +-3496 424 +4486 -3250 +948 1872 +-301 -1058 +4338 1988 +1782 -1221 +1512 -3327 +1495 2267 +0 0 +1495 -2267 +1512 3327 +1782 1221 +4338 -1988 +-301 1058 +948 -1872 +4486 3250 +-3496 -424 +-2331 -3348 +938 1353 +56 1300 +2905 3216 +3337 -6092 +-1461 -4481 +-1836 2175 +4096 2048 +-3043 3941 +-3431 1507 +2052 -927 +-2905 568 +-2660 760 +-5182 -3527 +-1445 -327 +-600 -2472 +-2927 -3177 +3295 1440 +-2446 2397 +-4338 3556 +-1821 73 +3380 1123 +5601 -1757 +-2048 0 +1396 -3523 +-4531 -1488 +902 5529 +-4364 -2746 +-2329 -6667 +4986 -277 +-1550 -2949 +4944 -4520 +1613 -3582 +-2501 -3102 +-1989 1644 +1208 -374 +1956 -2019 +-3375 1792 +-146 -114 +2048 0 +-146 114 +-3375 -1792 +1956 2019 +1208 374 +-1989 -1644 +-2501 3102 +1613 3582 +4944 4520 +-1550 2949 +4986 277 +-2329 6667 +-4364 2746 +902 -5529 +-4531 1488 +1396 3523 +-2048 0 +941 1175 +5300 -2792 +3827 -3454 +-580 2146 +-6095 1984 +1814 -1015 +-167 -2085 +-848 -1624 +2352 -1083 +-1402 610 +-1618 4449 +-360 3870 +-445 887 +-290 921 +1354 533 +2048 0 +1354 -533 +-290 -921 +-445 -887 +-360 -3870 +-1618 -4449 +-1402 -610 +2352 1083 +-848 1624 +-167 2085 +1814 1015 +-6095 -1984 +-580 -2146 +3827 3454 +5300 2792 +941 -1175 +-2048 0 +1396 -3523 +-4531 -1488 +902 5529 +-4364 -2746 +-2329 -6667 +4986 -277 +-1550 -2949 +4944 -4520 +1613 -3582 +-2501 -3102 +-1989 1644 +1208 -374 +1956 -2019 +-3375 1792 +-146 -114 +5120 -4096 +4213 5304 +1274 -3364 +-689 1723 +-2688 3330 +-1099 -2322 +-512 1161 +-1408 3513 +-1448 600 +-964 -2382 +713 -1718 +-3211 -5741 +-5024 -1866 +1873 2745 +1085 -595 +-3378 -674 +-3072 0 +-3378 674 +1085 595 +1873 -2745 +-5024 1866 +-3211 5741 +713 1718 +-964 2382 +-1448 -600 +-1408 -3513 +-512 -1161 +-1099 2322 +-2688 -3330 +-689 -1723 +1274 3364 +4213 -5304 +5120 4096 +2529 2105 +4114 -3436 +767 1010 +-4904 -2481 +-156 1038 +1896 -4826 +480 490 +1448 3496 +-1892 -2751 +-896 949 +2898 3807 +328 6811 +-383 -661 +520 -3309 +421 -1054 +1024 0 +421 1054 +520 3309 +-383 661 +328 -6811 +2898 -3807 +-896 -949 +-1892 2751 +1448 -3496 +480 -490 +1896 4826 +-156 -1038 +-4904 2481 +767 -1010 +4114 3436 +2529 -2105 +5120 -4096 +4213 5304 +1274 -3364 +-689 1723 +-2688 3330 +-1099 -2322 +-512 1161 +-1408 3513 +-1448 600 +-964 -2382 +713 -1718 +-3211 -5741 +-5024 -1866 +1873 2745 +1085 -595 +-3378 -674 +-2048 2048 +693 2380 +1811 2301 +-1599 -1069 +-4560 26 +-3162 960 +531 -387 +-1571 3879 +-1872 -2048 +-56 -4320 +-1052 3576 +-4955 -2646 +-1103 -1698 +2112 3961 +2195 -2988 +2693 -2258 +0 0 +2693 2258 +2195 2988 +2112 -3961 +-1103 1698 +-4955 2646 +-1052 -3576 +-56 4320 +-1872 2048 +-1571 -3879 +531 387 +-3162 -960 +-4560 -26 +-1599 1069 +1811 -2301 +693 -2380 +-2048 -2048 +-2202 175 +681 1196 +1640 1555 +-6777 4918 +1402 3221 +3749 -213 +163 -704 +3920 -2048 +576 -4536 +3764 -2976 +2252 3281 +4248 2546 +-3482 -1229 +-3487 -508 +5497 -1427 +0 0 +5497 1427 +-3487 508 +-3482 1229 +4248 -2546 +2252 -3281 +3764 2976 +576 4536 +3920 2048 +163 704 +3749 213 +1402 -3221 +-6777 -4918 +1640 -1555 +681 -1196 +-2202 -175 +-2048 2048 +693 2380 +1811 2301 +-1599 -1069 +-4560 26 +-3162 960 +531 -387 +-1571 3879 +-1872 -2048 +-56 -4320 +-1052 3576 +-4955 -2646 +-1103 -1698 +2112 3961 +2195 -2988 +2693 -2258 +-4096 0 +518 1310 +-342 1881 +3555 3202 +3700 -4314 +-3895 -2507 +1973 -3985 +170 -820 +-3496 -3320 +1456 740 +-1412 3274 +-356 -3478 +2132 3411 +-247 224 +87 -1649 +1451 -732 +2048 0 +1451 732 +87 1649 +-247 -224 +2132 -3411 +-356 3478 +-1412 -3274 +1456 -740 +-3496 3320 +170 820 +1973 3985 +-3895 2507 +3700 4314 +3555 -3202 +-342 -1881 +518 -1310 +-4096 0 +-1153 8385 +223 -2065 +-1000 -2814 +-1652 3714 +-4962 2120 +363 -1403 +2563 -16 +-600 5368 +-1941 3848 +-3820 -1670 +-2820 -1893 +-84 86 +3931 -2420 +2928 266 +2730 1866 +6144 0 +2730 -1866 +2928 -266 +3931 2420 +-84 -86 +-2820 1893 +-3820 1670 +-1941 -3848 +-600 -5368 +2563 16 +363 1403 +-4962 -2120 +-1652 -3714 +-1000 2814 +223 2065 +-1153 -8385 +-4096 0 +518 1310 +-342 1881 +3555 3202 +3700 -4314 +-3895 -2507 +1973 -3985 +170 -820 +-3496 -3320 +1456 740 +-1412 3274 +-356 -3478 +2132 3411 +-247 224 +87 -1649 +1451 -732 +0 3072 +-4135 -3412 +-633 -214 +4560 1213 +-1186 -4586 +1426 3486 +3741 5644 +1117 -1626 +2172 300 +1045 2495 +-3021 -1431 +440 -4943 +1260 -876 +1840 2151 +1328 -2177 +928 -2547 +5120 0 +928 2547 +1328 2177 +1840 -2151 +1260 876 +440 4943 +-3021 1431 +1045 -2495 +2172 -300 +1117 1626 +3741 -5644 +1426 -3486 +-1186 4586 +4560 -1213 +-633 214 +-4135 3412 +0 -3072 +-1787 -359 +-594 618 +-1981 -1420 +-862 1090 +-3140 -773 +-4081 1533 +-5616 4312 +-2172 1748 +4342 -176 +464 -4178 +5738 -3120 +-3308 1476 +-3090 850 +2796 -2012 +-1687 -3991 +3072 0 +-1687 3991 +2796 2012 +-3090 -850 +-3308 -1476 +5738 3120 +464 4178 +4342 176 +-2172 -1748 +-5616 -4312 +-4081 -1533 +-3140 773 +-862 -1090 +-1981 1420 +-594 -618 +-1787 359 +0 3072 +-4135 -3412 +-633 -214 +4560 1213 +-1186 -4586 +1426 3486 +3741 5644 +1117 -1626 +2172 300 +1045 2495 +-3021 -1431 +440 -4943 +1260 -876 +1840 2151 +1328 -2177 +928 -2547 +0 -3072 +2355 4232 +-2059 2262 +-1829 4439 +-462 162 +1141 -2333 +685 5411 +2238 5647 +2348 724 +-2663 -1375 +426 890 +-4445 1715 +536 2284 +683 2165 +-1464 1574 +3359 5642 +-1024 0 +3359 -5642 +-1464 -1574 +683 -2165 +536 -2284 +-4445 -1715 +426 -890 +-2663 1375 +2348 -724 +2238 -5647 +685 -5411 +1141 2333 +-462 -162 +-1829 -4439 +-2059 -2262 +2355 -4232 +0 3072 +804 3093 +-1400 -3631 +-1199 2009 +-138 -162 +-775 1177 +2316 4885 +1383 -1328 +3796 -724 +-3414 -857 +-3426 -482 +3400 1904 +-4032 -2284 +-2768 -4926 +4923 -4639 +1730 -2469 +-3072 0 +1730 2469 +4923 4639 +-2768 4926 +-4032 2284 +3400 -1904 +-3426 482 +-3414 857 +3796 724 +1383 1328 +2316 -4885 +-775 -1177 +-138 162 +-1199 -2009 +-1400 3631 +804 -3093 +0 -3072 +2355 4232 +-2059 2262 +-1829 4439 +-462 162 +1141 -2333 +685 5411 +2238 5647 +2348 724 +-2663 -1375 +426 890 +-4445 1715 +536 2284 +683 2165 +-1464 1574 +3359 5642 +2048 -3072 +-2540 3997 +-740 749 +2701 1897 +-572 2100 +-690 -2756 +910 302 +889 -4404 +-1748 124 +5256 4692 +-1460 596 +-5705 1563 +2538 -1766 +-1102 -1071 +-4175 1071 +1897 -1194 +7168 0 +1897 1194 +-4175 -1071 +-1102 1071 +2538 1766 +-5705 -1563 +-1460 -596 +5256 -4692 +-1748 -124 +889 4404 +910 -302 +-690 2756 +-572 -2100 +2701 -1897 +-740 -749 +-2540 -3997 +2048 3072 +-3969 0 +2632 -2797 +637 -2662 +-2924 -900 +3054 5467 +-126 1746 +96 -2608 +-300 -4220 +2006 -2496 +676 -2644 +-1773 -5404 +-3138 -5226 +-2917 -3846 +2283 977 +2157 415 +1024 0 +2157 -415 +2283 -977 +-2917 3846 +-3138 5226 +-1773 5404 +676 2644 +2006 2496 +-300 4220 +96 2608 +-126 -1746 +3054 -5467 +-2924 900 +637 2662 +2632 2797 +-3969 0 +2048 -3072 +-2540 3997 +-740 749 +2701 1897 +-572 2100 +-690 -2756 +910 302 +889 -4404 +-1748 124 +5256 4692 +-1460 596 +-5705 1563 +2538 -1766 +-1102 -1071 +-4175 1071 +1897 -1194 +3072 0 +3087 1759 +-262 -168 +-2423 -1472 +-1688 1278 +-2485 968 +1276 3088 +-1190 -1650 +-424 -424 +4665 1763 +-971 -1107 +2430 -321 +2316 2062 +-3762 3743 +-1841 -2637 +105 1498 +1024 0 +105 -1498 +-1841 2637 +-3762 -3743 +2316 -2062 +2430 321 +-971 1107 +4665 -1763 +-424 424 +-1190 1650 +1276 -3088 +-2485 -968 +-1688 -1278 +-2423 1472 +-262 168 +3087 -1759 +3072 0 +-1639 5533 +-1306 8792 +975 -275 +-3256 170 +1037 7306 +2508 2548 +2638 -1146 +2472 2472 +-3217 -3359 +-2814 -746 +-3879 1602 +-1468 -614 +2314 1502 +3408 -4420 +1343 4595 +-3072 0 +1343 -4595 +3408 4420 +2314 -1502 +-1468 614 +-3879 -1602 +-2814 746 +-3217 3359 +2472 -2472 +2638 1146 +2508 -2548 +1037 -7306 +-3256 -170 +975 275 +-1306 -8792 +-1639 -5533 +3072 0 +3087 1759 +-262 -168 +-2423 -1472 +-1688 1278 +-2485 968 +1276 3088 +-1190 -1650 +-424 -424 +4665 1763 +-971 -1107 +2430 -321 +2316 2062 +-3762 3743 +-1841 -2637 +105 1498 +2048 -1024 +265 -2131 +-1836 -2830 +1156 2846 +-1090 946 +310 -1814 +2814 2223 +2284 -4474 +300 -724 +334 2716 +-1522 1236 +-4068 4236 +1476 392 +-1175 -1541 +2878 -4599 +5068 623 +-3072 0 +5068 -623 +2878 4599 +-1175 1541 +1476 -392 +-4068 -4236 +-1522 -1236 +334 -2716 +300 724 +2284 4474 +2814 -2223 +310 1814 +-1090 -946 +1156 -2846 +-1836 2830 +265 2131 +2048 1024 +-1097 3128 +112 -954 +2955 -3963 +4586 -946 +2437 1384 +-294 -656 +-2450 -7414 +1748 724 +-169 1892 +-5094 332 +1321 5560 +-876 -392 +-2936 -935 +-5250 815 +-4236 5285 +3072 0 +-4236 -5285 +-5250 -815 +-2936 935 +-876 392 +1321 -5560 +-5094 -332 +-169 -1892 +1748 -724 +-2450 7414 +-294 656 +2437 -1384 +4586 946 +2955 3963 +112 954 +-1097 -3128 +2048 -1024 +265 -2131 +-1836 -2830 +1156 2846 +-1090 946 +310 -1814 +2814 2223 +2284 -4474 +300 -724 +334 2716 +-1522 1236 +-4068 4236 +1476 392 +-1175 -1541 +2878 -4599 +5068 623 +-1024 0 +-8 3673 +1845 3047 +-2676 -2739 +914 3253 +4360 3868 +2295 5227 +2709 1414 +-2472 -3920 +-3227 3958 +635 1311 +-443 794 +3026 412 +3199 -1140 +-3026 2748 +-3166 -4 +-1024 0 +-3166 4 +-3026 -2748 +3199 1140 +3026 -412 +-443 -794 +635 -1311 +-3227 -3958 +-2472 3920 +2709 -1414 +2295 -5227 +4360 -3868 +914 -3253 +-2676 2739 +1845 -3047 +-8 -3673 +-1024 0 +97 1658 +-737 -5420 +978 -2043 +-1762 6388 +-810 -3235 +380 1389 +-4462 1243 +424 1872 +2084 5691 +-3311 1209 +-211 -1361 +1918 -7156 +1396 -2443 +1917 -1024 +180 -1657 +-1024 0 +180 1657 +1917 1024 +1396 2443 +1918 7156 +-211 1361 +-3311 -1209 +2084 -5691 +424 -1872 +-4462 -1243 +380 -1389 +-810 3235 +-1762 -6388 +978 2043 +-737 5420 +97 -1658 +-1024 0 +-8 3673 +1845 3047 +-2676 -2739 +914 3253 +4360 3868 +2295 5227 +2709 1414 +-2472 -3920 +-3227 3958 +635 1311 +-443 794 +3026 412 +3199 -1140 +-3026 2748 +-3166 -4 +5120 4096 +-11 1057 +-2575 -474 +1074 334 +-6086 -6633 +-1827 2481 +2502 2034 +660 896 +3496 0 +1463 -6012 +-1615 1779 +-2462 341 +2605 -3165 +1839 -1192 +-2008 1374 +-608 2915 +-1024 0 +-608 -2915 +-2008 -1374 +1839 1192 +2605 3165 +-2462 -341 +-1615 -1779 +1463 6012 +3496 0 +660 -896 +2502 -2034 +-1827 -2481 +-6086 6633 +1074 -334 +-2575 474 +-11 -1057 +5120 -4096 +365 -1267 +-1705 -2083 +-1191 -2655 +2590 -8200 +334 -2959 +-1210 -806 +1826 -2151 +600 0 +-2382 973 +-877 2345 +170 749 +-3205 620 +2062 438 +-705 -1034 +-1313 -6909 +7168 0 +-1313 6909 +-705 1034 +2062 -438 +-3205 -620 +170 -749 +-877 -2345 +-2382 -973 +600 0 +1826 2151 +-1210 806 +334 2959 +2590 8200 +-1191 2655 +-1705 2083 +365 1267 +5120 4096 +-11 1057 +-2575 -474 +1074 334 +-6086 -6633 +-1827 2481 +2502 2034 +660 896 +3496 0 +1463 -6012 +-1615 1779 +-2462 341 +2605 -3165 +1839 -1192 +-2008 1374 +-608 2915 +-3072 4096 +-3501 640 +-863 -304 +1249 3552 +1398 3340 +-587 2667 +-1031 -1996 +-2400 202 +-4344 0 +-1172 2346 +-434 -5488 +2091 -5650 +3170 2232 +-1997 -5794 +3183 1650 +513 -60 +-9216 0 +513 60 +3183 -1650 +-1997 5794 +3170 -2232 +2091 5650 +-434 5488 +-1172 -2346 +-4344 0 +-2400 -202 +-1031 1996 +-587 -2667 +1398 -3340 +1249 -3552 +-863 304 +-3501 -640 +-3072 -4096 +-1494 2069 +1239 3148 +1643 3783 +-2846 -444 +-1205 -3477 +507 -199 +859 1455 +4344 0 +-183 -928 +-739 -804 +2598 168 +-1722 664 +2001 2664 +6329 5290 +1585 -3261 +-1024 0 +1585 3261 +6329 -5290 +2001 -2664 +-1722 -664 +2598 -168 +-739 804 +-183 928 +4344 0 +859 -1455 +507 199 +-1205 3477 +-2846 444 +1643 -3783 +1239 -3148 +-1494 -2069 +-3072 4096 +-3501 640 +-863 -304 +1249 3552 +1398 3340 +-587 2667 +-1031 -1996 +-2400 202 +-4344 0 +-1172 2346 +-434 -5488 +2091 -5650 +3170 2232 +-1997 -5794 +3183 1650 +513 -60 +1024 4096 +-322 -1519 +-1288 389 +-1987 -668 +280 -3894 +-2661 -23 +3839 821 +-55 1404 +-4096 2648 +719 -542 +1505 -893 +-1101 -459 +-1388 -3570 +2352 -3510 +1577 1271 +-686 3878 +-1024 0 +-686 -3878 +1577 -1271 +2352 3510 +-1388 3570 +-1101 459 +1505 893 +719 542 +-4096 -2648 +-55 -1404 +3839 -821 +-2661 23 +280 3894 +-1987 668 +-1288 -389 +-322 1519 +1024 -4096 +4361 -668 +-4128 4335 +4603 -1651 +4064 998 +533 2774 +1430 -1761 +-6315 3755 +-4096 5544 +-4814 2805 +3114 4050 +2990 314 +-2956 674 +1063 4087 +2142 -644 +1320 -3169 +-1024 0 +1320 3169 +2142 644 +1063 -4087 +-2956 -674 +2990 -314 +3114 -4050 +-4814 -2805 +-4096 -5544 +-6315 -3755 +1430 1761 +533 -2774 +4064 -998 +4603 1651 +-4128 -4335 +4361 668 +1024 4096 +-322 -1519 +-1288 389 +-1987 -668 +280 -3894 +-2661 -23 +3839 821 +-55 1404 +-4096 2648 +719 -542 +1505 -893 +-1101 -459 +-1388 -3570 +2352 -3510 +1577 1271 +-686 3878 +4096 3072 +17 -931 +-967 -4297 +770 -3780 +-392 -3672 +1232 657 +-1515 3476 +-598 -1720 +-724 -5244 +-4450 -7812 +4089 -914 +-2635 150 +-946 -3902 +5026 -320 +-4876 -523 +21 3878 +7168 0 +21 -3878 +-4876 523 +5026 320 +-946 3902 +-2635 -150 +4089 914 +-4450 7812 +-724 5244 +-598 1720 +-1515 -3476 +1232 -657 +-392 3672 +770 3780 +-967 4297 +17 931 +4096 -3072 +1754 -3757 +-2410 -751 +637 -1214 +392 -672 +1056 697 +-1421 2032 +-1793 1725 +724 -900 +-3623 4920 +543 2326 +108 -1692 +946 -442 +-401 -1778 +-1636 -429 +2880 -5670 +1024 0 +2880 5670 +-1636 429 +-401 1778 +946 442 +108 1692 +543 -2326 +-3623 -4920 +724 900 +-1793 -1725 +-1421 -2032 +1056 -697 +392 672 +637 1214 +-2410 751 +1754 3757 +4096 3072 +17 -931 +-967 -4297 +770 -3780 +-392 -3672 +1232 657 +-1515 3476 +-598 -1720 +-724 -5244 +-4450 -7812 +4089 -914 +-2635 150 +-946 -3902 +5026 -320 +-4876 -523 +21 3878 +0 0 +4285 -1474 +-2802 3787 +2209 1604 +3306 -4724 +750 -110 +260 -6280 +-2085 -5163 +424 0 +-1962 -2215 +827 -842 +2140 1103 +-3151 3156 +-203 526 +577 257 +-2081 -2720 +-2048 0 +-2081 2720 +577 -257 +-203 -526 +-3151 -3156 +2140 -1103 +827 842 +-1962 2215 +424 0 +-2085 5163 +260 6280 +750 110 +3306 4724 +2209 -1604 +-2802 -3787 +4285 1474 +0 0 +-1592 -2588 +910 -851 +-1233 605 +5982 628 +3212 1918 +-1044 -232 +-3372 5819 +-2472 0 +1388 -4121 +-44 4219 +4362 1905 +-2042 940 +-5445 483 +1315 4376 +-373 5651 +-2048 0 +-373 -5651 +1315 -4376 +-5445 -483 +-2042 -940 +4362 -1905 +-44 -4219 +1388 4121 +-2472 0 +-3372 -5819 +-1044 232 +3212 -1918 +5982 -628 +-1233 -605 +910 851 +-1592 2588 +0 0 +4285 -1474 +-2802 3787 +2209 1604 +3306 -4724 +750 -110 +260 -6280 +-2085 -5163 +424 0 +-1962 -2215 +827 -842 +2140 1103 +-3151 3156 +-203 526 +577 257 +-2081 -2720 +-1024 0 +1006 490 +1048 -6426 +2445 2110 +-1324 554 +-2417 -1463 +-1026 1816 +-1351 -1972 +2048 -2648 +1479 1104 +1222 -3829 +-566 -1883 +-2772 1338 +155 -4843 +2665 1299 +153 -107 +-3072 0 +153 107 +2665 -1299 +155 4843 +-2772 -1338 +-566 1883 +1222 3829 +1479 -1104 +2048 2648 +-1351 1972 +-1026 -1816 +-2417 1463 +-1324 -554 +2445 -2110 +1048 6426 +1006 -490 +-1024 0 +2884 6976 +437 4378 +-5164 2690 +-1324 -554 +-2343 1625 +3178 232 +5373 -882 +2048 -5544 +-2605 -4196 +-5071 1781 +2429 -2627 +-2772 -1338 +-333 -821 +5739 749 +-1146 1541 +-3072 0 +-1146 -1541 +5739 -749 +-333 821 +-2772 1338 +2429 2627 +-5071 -1781 +-2605 4196 +2048 5544 +5373 882 +3178 -232 +-2343 -1625 +-1324 554 +-5164 -2690 +437 -4378 +2884 -6976 +-1024 0 +1006 490 +1048 -6426 +2445 2110 +-1324 554 +-2417 -1463 +-1026 1816 +-1351 -1972 +2048 -2648 +1479 1104 +1222 -3829 +-566 -1883 +-2772 1338 +155 -4843 +2665 1299 +153 -107 +1024 3072 +437 4003 +921 2360 +-4867 -1729 +872 -5480 +-2096 -3142 +-1113 6199 +1782 3789 +-1748 -4045 +1174 -1984 +-3404 990 +1901 705 +-790 -986 +-2168 3480 +-1587 6373 +-3408 -1229 +4096 0 +-3408 1229 +-1587 -6373 +-2168 -3480 +-790 986 +1901 -705 +-3404 -990 +1174 1984 +-1748 4045 +1782 -3789 +-1113 -6199 +-2096 3142 +872 5480 +-4867 1729 +921 -2360 +437 -4003 +1024 -3072 +2525 -2051 +-1520 279 +1836 -2041 +3224 -912 +-2586 2833 +-2383 -1491 +-717 2669 +-300 6093 +4441 -456 +-92 2519 +1452 3010 +4886 -1311 +735 2566 +987 3259 +-442 76 +-2048 0 +-442 -76 +987 -3259 +735 -2566 +4886 1311 +1452 -3010 +-92 -2519 +4441 456 +-300 -6093 +-717 -2669 +-2383 1491 +-2586 -2833 +3224 912 +1836 2041 +-1520 -279 +2525 2051 +1024 3072 +437 4003 +921 2360 +-4867 -1729 +872 -5480 +-2096 -3142 +-1113 6199 +1782 3789 +-1748 -4045 +1174 -1984 +-3404 990 +1901 705 +-790 -986 +-2168 3480 +-1587 6373 +-3408 -1229 +-3072 -2048 +-2668 1317 +1377 -977 +558 87 +1988 1078 +203 -853 +-3673 2536 +-209 670 +3496 -2048 +2411 1889 +1060 -2443 +1521 -3122 +-568 1714 +-4252 -2740 +-1815 -110 +1316 633 +1024 0 +1316 -633 +-1815 110 +-4252 2740 +-568 -1714 +1521 3122 +1060 2443 +2411 -1889 +3496 2048 +-209 -670 +-3673 -2536 +203 853 +1988 -1078 +558 -87 +1377 977 +-2668 -1317 +-3072 2048 +1101 -1771 +-2641 1605 +-1165 2678 +3556 619 +247 4672 +-267 621 +-1387 2817 +600 -2048 +7433 918 +-1216 1503 +-7084 -1308 +3216 8174 +4179 3050 +-1016 4833 +-2205 4026 +-3072 0 +-2205 -4026 +-1016 -4833 +4179 -3050 +3216 -8174 +-7084 1308 +-1216 -1503 +7433 -918 +600 2048 +-1387 -2817 +-267 -621 +247 -4672 +3556 -619 +-1165 -2678 +-2641 -1605 +1101 1771 +-3072 -2048 +-2668 1317 +1377 -977 +558 87 +1988 1078 +203 -853 +-3673 2536 +-209 670 +3496 -2048 +2411 1889 +1060 -2443 +1521 -3122 +-568 1714 +-4252 -2740 +-1815 -110 +1316 633 +0 2048 +238 -3317 +1975 1865 +1647 841 +-1604 -2726 +158 -317 +2542 2441 +80 -58 +6992 -3920 +-76 -2457 +-2142 -766 +5265 83 +-1384 -3510 +-2645 -1085 +-837 3696 +1029 -4186 +4096 0 +1029 4186 +-837 -3696 +-2645 1085 +-1384 3510 +5265 -83 +-2142 766 +-76 2457 +6992 3920 +80 58 +2542 -2441 +158 317 +-1604 2726 +1647 -841 +1975 -1865 +238 3317 +0 -2048 +3176 -2442 +-866 2923 +-3626 -1537 +-5388 -1618 +-353 -2254 +134 -328 +956 5440 +1200 1872 +-6311 -1608 +-533 -2914 +-2853 -775 +184 -834 +2407 -5923 +-272 -4700 +909 -2829 +-4096 0 +909 2829 +-272 4700 +2407 5923 +184 834 +-2853 775 +-533 2914 +-6311 1608 +1200 -1872 +956 -5440 +134 328 +-353 2254 +-5388 1618 +-3626 1537 +-866 -2923 +3176 2442 +0 2048 +238 -3317 +1975 1865 +1647 841 +-1604 -2726 +158 -317 +2542 2441 +80 -58 +6992 -3920 +-76 -2457 +-2142 -766 +5265 83 +-1384 -3510 +-2645 -1085 +-837 3696 +1029 -4186 +-2048 1024 +3920 2289 +-1745 -648 +-750 3593 +3478 4562 +1364 445 +1834 3640 +-3317 -4492 +-1748 -1324 +2532 2583 +-3038 -1154 +-2334 -3492 +1800 -5440 +4141 -994 +2027 -5274 +-2522 1351 +-3072 0 +-2522 -1351 +2027 5274 +4141 994 +1800 5440 +-2334 3492 +-3038 1154 +2532 -2583 +-1748 1324 +-3317 4492 +1834 -3640 +1364 -445 +3478 -4562 +-750 -3593 +-1745 648 +3920 -2289 +-2048 -1024 +-4999 2510 +-607 -1180 +1698 -4931 +18 -466 +105 -3556 +3842 196 +1122 125 +-300 -2772 +-98 42 +-2638 -4899 +-3807 -819 +-1200 1344 +5376 855 +324 1750 +-2431 -3544 +3072 0 +-2431 3544 +324 -1750 +5376 -855 +-1200 -1344 +-3807 819 +-2638 4899 +-98 -42 +-300 2772 +1122 -125 +3842 -196 +105 3556 +18 466 +1698 4931 +-607 1180 +-4999 -2510 +-2048 1024 +3920 2289 +-1745 -648 +-750 3593 +3478 4562 +1364 445 +1834 3640 +-3317 -4492 +-1748 -1324 +2532 2583 +-3038 -1154 +-2334 -3492 +1800 -5440 +4141 -994 +2027 -5274 +-2522 1351 +-4096 1024 +-3525 1413 +1377 -549 +-966 217 +-4442 1162 +-3553 -3586 +542 3344 +4588 -1743 +4220 -300 +4855 2533 +3449 -2133 +1280 1259 +-208 -5056 +-3925 -2702 +1260 1000 +4472 -212 +1024 0 +4472 212 +1260 -1000 +-3925 2702 +-208 5056 +1280 -1259 +3449 2133 +4855 -2533 +4220 300 +4588 1743 +542 -3344 +-3553 3586 +-4442 -1162 +-966 -217 +1377 549 +-3525 -1413 +-4096 -1024 +-1588 877 +671 -715 +1803 -7165 +-2550 1486 +762 -199 +1506 597 +-6278 4409 +-124 -1748 +5083 813 +-1401 1978 +-3602 3204 +-992 -488 +2408 -1790 +788 1832 +-1815 -2612 +-1024 0 +-1815 2612 +788 -1832 +2408 1790 +-992 488 +-3602 -3204 +-1401 -1978 +5083 -813 +-124 1748 +-6278 -4409 +1506 -597 +762 199 +-2550 -1486 +1803 7165 +671 715 +-1588 -877 +-4096 1024 +-3525 1413 +1377 -549 +-966 217 +-4442 1162 +-3553 -3586 +542 3344 +4588 -1743 +4220 -300 +4855 2533 +3449 -2133 +1280 1259 +-208 -5056 +-3925 -2702 +1260 1000 +4472 -212 +-2048 -4096 +-4594 3303 +-4488 513 +1891 -902 +2676 1553 +-2121 -4549 +-709 1224 +4505 819 +5544 2472 +-977 2818 +-5623 1785 +118 -2295 +1108 -6002 +-3602 2539 +125 -2622 +226 1026 +-4096 0 +226 -1026 +125 2622 +-3602 -2539 +1108 6002 +118 2295 +-5623 -1785 +-977 -2818 +5544 -2472 +4505 -819 +-709 -1224 +-2121 4549 +2676 -1553 +1891 902 +-4488 -513 +-4594 -3303 +-2048 4096 +-1144 901 +-236 -357 +-1258 -415 +-2676 1094 +-2971 -296 +-230 -2488 +4375 2311 +2648 -424 +345 -4801 +2467 1047 +-140 -95 +-1108 458 +2290 4393 +503 -1318 +3057 3857 +8192 0 +3057 -3857 +503 1318 +2290 -4393 +-1108 -458 +-140 95 +2467 -1047 +345 4801 +2648 424 +4375 -2311 +-230 2488 +-2971 296 +-2676 -1094 +-1258 415 +-236 357 +-1144 -901 +-2048 -4096 +-4594 3303 +-4488 513 +1891 -902 +2676 1553 +-2121 -4549 +-709 1224 +4505 819 +5544 2472 +-977 2818 +-5623 1785 +118 -2295 +1108 -6002 +-3602 2539 +125 -2622 +226 1026 +0 4096 +3410 4492 +-2260 -1235 +-3232 2322 +5148 -1638 +1229 -1737 +3735 1524 +6188 470 +600 424 +1596 -1211 +-2326 1485 +-4814 3706 +684 2302 +549 -1656 +-2939 -874 +-2981 1219 +-2048 0 +-2981 -1219 +-2939 874 +549 1656 +684 -2302 +-4814 -3706 +-2326 -1485 +1596 1211 +600 -424 +6188 -470 +3735 -1524 +1229 1737 +5148 1638 +-3232 -2322 +-2260 1235 +3410 -4492 +0 -4096 +-28 -2006 +-1695 -2106 +755 -31 +-204 1038 +-2182 1620 +2126 -2188 +-1747 1451 +3496 -2472 +6675 1566 +-4734 747 +-6025 -7607 +-1533 1194 +2136 164 +-98 430 +-1528 -300 +2048 0 +-1528 300 +-98 -430 +2136 -164 +-1533 -1194 +-6025 7607 +-4734 -747 +6675 -1566 +3496 2472 +-1747 -1451 +2126 2188 +-2182 -1620 +-204 -1038 +755 31 +-1695 2106 +-28 2006 +0 4096 +3410 4492 +-2260 -1235 +-3232 2322 +5148 -1638 +1229 -1737 +3735 1524 +6188 470 +600 424 +1596 -1211 +-2326 1485 +-4814 3706 +684 2302 +549 -1656 +-2939 -874 +-2981 1219 +0 4096 +-1216 138 +-151 -1374 +-1017 -345 +470 5909 +2728 3415 +1282 1135 +-1893 988 +-3496 3920 +796 6072 +1826 -3193 +1174 2121 +2362 2440 +-1229 -2354 +96 3337 +85 785 +-4096 0 +85 -785 +96 -3337 +-1229 2354 +2362 -2440 +1174 -2121 +1826 3193 +796 -6072 +-3496 -3920 +-1893 -988 +1282 -1135 +2728 -3415 +470 -5909 +-1017 345 +-151 1374 +-1216 -138 +0 -4096 +-2289 2809 +-2865 -10 +2981 -2309 +1578 7476 +2221 -286 +3950 469 +-904 -18 +-600 -1872 +-2463 -1895 +-4162 -2195 +557 1375 +-314 -1344 +-1623 2467 +24 -3521 +2092 -8615 +4096 0 +2092 8615 +24 3521 +-1623 -2467 +-314 1344 +557 -1375 +-4162 2195 +-2463 1895 +-600 1872 +-904 18 +3950 -469 +2221 286 +1578 -7476 +2981 2309 +-2865 10 +-2289 -2809 +0 4096 +-1216 138 +-151 -1374 +-1017 -345 +470 5909 +2728 3415 +1282 1135 +-1893 988 +-3496 3920 +796 6072 +1826 -3193 +1174 2121 +2362 2440 +-1229 -2354 +96 3337 +85 785 +-4096 -2048 +1856 436 +-950 -1190 +3514 -1097 +4834 1168 +911 1226 +-2876 -5203 +-3347 236 +1448 2472 +1736 -6137 +5439 4246 +343 7320 +1154 60 +223 1235 +467 602 +729 3828 +-8192 0 +729 -3828 +467 -602 +223 -1235 +1154 -60 +343 -7320 +5439 -4246 +1736 6137 +1448 -2472 +-3347 -236 +-2876 5203 +911 -1226 +4834 -1168 +3514 1097 +-950 1190 +1856 -436 +-4096 2048 +124 3214 +3626 185 +2873 681 +2158 -2616 +-2849 -867 +1767 1523 +935 -2422 +-1448 -424 +-1541 -2362 +-4331 -2133 +-3757 2487 +46 -1508 +-1259 -395 +-3143 4187 +-491 1702 +0 0 +-491 -1702 +-3143 -4187 +-1259 395 +46 1508 +-3757 -2487 +-4331 2133 +-1541 2362 +-1448 424 +935 2422 +1767 -1523 +-2849 867 +2158 2616 +2873 -681 +3626 -185 +124 -3214 +-4096 -2048 +1856 436 +-950 -1190 +3514 -1097 +4834 1168 +911 1226 +-2876 -5203 +-3347 236 +1448 2472 +1736 -6137 +5439 4246 +343 7320 +1154 60 +223 1235 +467 602 +729 3828 +1024 4096 +396 383 +296 -1260 +1421 2724 +60 1338 +-4706 445 +2012 458 +6445 -1511 +0 -600 +-505 22 +-3150 5547 +464 1492 +2616 -554 +3736 5546 +-2305 109 +-7267 1713 +-1024 0 +-7267 -1713 +-2305 -109 +3736 -5546 +2616 554 +464 -1492 +-3150 -5547 +-505 -22 +0 600 +6445 1511 +2012 -458 +-4706 -445 +60 -1338 +1421 -2724 +296 1260 +396 -383 +1024 -4096 +405 1359 +2704 4248 +828 -2670 +-1508 -1338 +-1693 -1543 +1447 -1878 +742 1000 +0 -3496 +4021 3564 +-309 1224 +1502 -6687 +-1168 554 +-1552 -1395 +-696 -5313 +-4237 -4067 +-1024 0 +-4237 4067 +-696 5313 +-1552 1395 +-1168 -554 +1502 6687 +-309 -1224 +4021 -3564 +0 3496 +742 -1000 +1447 1878 +-1693 1543 +-1508 1338 +828 2670 +2704 -4248 +405 -1359 +1024 4096 +396 383 +296 -1260 +1421 2724 +60 1338 +-4706 445 +2012 458 +6445 -1511 +0 -600 +-505 22 +-3150 5547 +464 1492 +2616 -554 +3736 5546 +-2305 109 +-7267 1713 +-3072 3072 +1333 -1740 +-76 914 +272 1958 +-692 -1704 +49 538 +-1309 386 +-4150 -2007 +8316 -7117 +876 -6651 +-3913 -4402 +172 -2270 +-2694 -197 +2420 705 +374 2556 +-1280 -3509 +2048 0 +-1280 3509 +374 -2556 +2420 -705 +-2694 197 +172 2270 +-3913 4402 +876 6651 +8316 7117 +-4150 2007 +-1309 -386 +49 -538 +-692 1704 +272 -1958 +-76 -914 +1333 1740 +-3072 -3072 +3800 -449 +1368 -1034 +-3034 2108 +92 6648 +-739 922 +-1403 -2722 +814 -1659 +3972 3021 +-1324 1418 +-367 -831 +-1049 -54 +-802 1046 +1910 -423 +-2866 -5572 +-68 -247 +4096 0 +-68 247 +-2866 5572 +1910 423 +-802 -1046 +-1049 54 +-367 831 +-1324 -1418 +3972 -3021 +814 1659 +-1403 2722 +-739 -922 +92 -6648 +-3034 -2108 +1368 1034 +3800 449 +-3072 3072 +1333 -1740 +-76 914 +272 1958 +-692 -1704 +49 538 +-1309 386 +-4150 -2007 +8316 -7117 +876 -6651 +-3913 -4402 +172 -2270 +-2694 -197 +2420 705 +374 2556 +-1280 -3509 +-5120 1024 +1070 -200 +-846 2499 +187 -1758 +-52 1681 +-4800 445 +-2692 -2332 +981 2297 +2348 300 +-2598 4163 +130 -2026 +1600 -2073 +282 5214 +-2014 -2635 +-1013 -1319 +1416 -974 +-2048 0 +1416 974 +-1013 1319 +-2014 2635 +282 -5214 +1600 2073 +130 2026 +-2598 -4163 +2348 -300 +981 -2297 +-2692 2332 +-4800 -445 +-52 -1681 +187 1758 +-846 -2499 +1070 200 +-5120 -1024 +-2151 1589 +-1490 6045 +-1578 1696 +2948 1815 +3584 -51 +-323 -3776 +1577 -322 +3796 1748 +-3744 342 +-10 1214 +-1951 -5413 +-3178 -5814 +4971 2885 +6245 -1225 +3450 -3302 +0 0 +3450 3302 +6245 1225 +4971 -2885 +-3178 5814 +-1951 5413 +-10 -1214 +-3744 -342 +3796 -1748 +1577 322 +-323 3776 +3584 51 +2948 -1815 +-1578 -1696 +-1490 -6045 +-2151 -1589 +-5120 1024 +1070 -200 +-846 2499 +187 -1758 +-52 1681 +-4800 445 +-2692 -2332 +981 2297 +2348 300 +-2598 4163 +130 -2026 +1600 -2073 +282 5214 +-2014 -2635 +-1013 -1319 +1416 -974 +-3072 1024 +1782 -2308 +-3378 14 +-2050 3496 +3528 776 +4193 -2484 +-2828 6204 +-2795 2744 +4045 300 +-2837 2201 +-129 72 +3294 3416 +-2818 1006 +-187 -786 +-972 -3635 +-441 -2741 +4096 0 +-441 2741 +-972 3635 +-187 786 +-2818 -1006 +3294 -3416 +-129 -72 +-2837 -2201 +4045 -300 +-2795 -2744 +-2828 -6204 +4193 2484 +3528 -776 +-2050 -3496 +-3378 -14 +1782 2308 +-3072 -1024 +440 -2606 +2270 -2387 +-1823 -2952 +4312 -2224 +733 -4631 +153 412 +-3367 399 +-6093 1748 +2967 2878 +2805 2448 +2244 4029 +-926 -2454 +-611 1907 +2081 5358 +-1542 2161 +-2048 0 +-1542 -2161 +2081 -5358 +-611 -1907 +-926 2454 +2244 -4029 +2805 -2448 +2967 -2878 +-6093 -1748 +-3367 -399 +153 -412 +733 4631 +4312 2224 +-1823 2952 +2270 2387 +440 2606 +-3072 1024 +1782 -2308 +-3378 14 +-2050 3496 +3528 776 +4193 -2484 +-2828 6204 +-2795 2744 +4045 300 +-2837 2201 +-129 72 +3294 3416 +-2818 1006 +-187 -786 +-972 -3635 +-441 -2741 +-1024 1024 +-500 360 +2806 -4623 +-4435 -108 +2103 2080 +-1562 4212 +-1349 2157 +4743 -379 +-4220 1324 +2790 -4217 +928 -1726 +-1131 3635 +3647 1370 +3426 1305 +624 -1105 +467 -2334 +6144 0 +467 2334 +624 1105 +3426 -1305 +3647 -1370 +-1131 -3635 +928 1726 +2790 4217 +-4220 -1324 +4743 379 +-1349 -2157 +-1562 -4212 +2103 -2080 +-4435 108 +2806 4623 +-500 -360 +-1024 -1024 +2336 3643 +365 720 +-3356 2041 +-5600 2864 +-3298 -1479 +-2619 -2926 +211 2106 +124 2772 +1833 -3191 +4240 -1938 +1765 -1552 +-4246 -522 +-2995 -20 +3197 -5695 +-295 -2799 +-4096 0 +-295 2799 +3197 5695 +-2995 20 +-4246 522 +1765 1552 +4240 1938 +1833 3191 +124 -2772 +211 -2106 +-2619 2926 +-3298 1479 +-5600 -2864 +-3356 -2041 +365 -720 +2336 -3643 +-1024 1024 +-500 360 +2806 -4623 +-4435 -108 +2103 2080 +-1562 4212 +-1349 2157 +4743 -379 +-4220 1324 +2790 -4217 +928 -1726 +-1131 3635 +3647 1370 +3426 1305 +624 -1105 +467 -2334 +-2048 2048 +-2567 2524 +-1812 2053 +-1582 -1428 +2316 1194 +-4628 3356 +-1480 1886 +3739 -5410 +-848 -3072 +-90 -464 +1225 694 +-292 5806 +-3256 -1638 +3167 -5639 +-36 -4632 +-4283 -552 +2048 0 +-4283 552 +-36 4632 +3167 5639 +-3256 1638 +-292 -5806 +1225 -694 +-90 464 +-848 3072 +3739 5410 +-1480 -1886 +-4628 -3356 +2316 -1194 +-1582 1428 +-1812 -2053 +-2567 -2524 +-2048 -2048 +-1908 1632 +-3421 2227 +3057 -1158 +-1468 2302 +-1374 -1102 +1361 -594 +446 -2110 +4944 -3072 +-73 1503 +1790 1798 +3190 -968 +-1688 1038 +4255 8037 +2372 1920 +-1057 -717 +2048 0 +-1057 717 +2372 -1920 +4255 -8037 +-1688 -1038 +3190 968 +1790 -1798 +-73 -1503 +4944 3072 +446 2110 +1361 594 +-1374 1102 +-1468 -2302 +3057 1158 +-3421 -2227 +-1908 -1632 +-2048 2048 +-2567 2524 +-1812 2053 +-1582 -1428 +2316 1194 +-4628 3356 +-1480 1886 +3739 -5410 +-848 -3072 +-90 -464 +1225 694 +-292 5806 +-3256 -1638 +3167 -5639 +-36 -4632 +-4283 -552 +3072 1024 +-2060 1814 +-3524 -319 +-1652 19 +248 4092 +-2911 -1467 +5367 -2163 +-103 2 +-7716 6268 +115 3861 +-2366 -3491 +1108 -1295 +2030 -3078 +-2404 1070 +-1302 1034 +-1103 -2175 +0 0 +-1103 2175 +-1302 -1034 +-2404 -1070 +2030 3078 +1108 1295 +-2366 3491 +115 -3861 +-7716 -6268 +-103 -2 +5367 2163 +-2911 1467 +248 -4092 +-1652 -19 +-3524 319 +-2060 -1814 +3072 -1024 +2670 -146 +-1383 -281 +6631 -1280 +3248 -2044 +-536 -1668 +-918 5659 +-4069 967 +-476 1924 +-2623 5667 +814 -5 +3668 744 +-1430 1030 +1889 2653 +3313 -434 +1381 -1581 +2048 0 +1381 1581 +3313 434 +1889 -2653 +-1430 -1030 +3668 -744 +814 5 +-2623 -5667 +-476 -1924 +-4069 -967 +-918 -5659 +-536 1668 +3248 2044 +6631 1280 +-1383 281 +2670 146 +3072 1024 +-2060 1814 +-3524 -319 +-1652 19 +248 4092 +-2911 -1467 +5367 -2163 +-103 2 +-7716 6268 +115 3861 +-2366 -3491 +1108 -1295 +2030 -3078 +-2404 1070 +-1302 1034 +-1103 -2175 +0 3072 +-4335 -4266 +-9075 -896 +1264 1588 +4227 1526 +1279 -2537 +2782 2497 +3495 501 +-300 -2772 +-2213 248 +1794 -771 +-3385 2863 +-5240 32 +-1532 -1216 +-308 -2 +1333 -873 +1024 0 +1333 873 +-308 2 +-1532 1216 +-5240 -32 +-3385 -2863 +1794 771 +-2213 -248 +-300 2772 +3495 -501 +2782 -2497 +1279 2537 +4227 -1526 +1264 -1588 +-9075 896 +-4335 4266 +0 -3072 +2766 -1407 +-946 2344 +-8256 -3840 +118 3418 +-2122 206 +-789 -1049 +3735 1328 +-1748 -1324 +4560 -947 +4902 -677 +2 2687 +895 816 +1164 -1349 +1640 -1446 +2245 864 +3072 0 +2245 -864 +1640 1446 +1164 1349 +895 -816 +2 -2687 +4902 677 +4560 947 +-1748 1324 +3735 -1328 +-789 1049 +-2122 -206 +118 -3418 +-8256 3840 +-946 -2344 +2766 1407 +0 3072 +-4335 -4266 +-9075 -896 +1264 1588 +4227 1526 +1279 -2537 +2782 2497 +3495 501 +-300 -2772 +-2213 248 +1794 -771 +-3385 2863 +-5240 32 +-1532 -1216 +-308 -2 +1333 -873 +0 4096 +-363 -459 +-1915 -7886 +-5442 -4039 +1254 1748 +3865 -39 +1820 -106 +-1760 1728 +-600 1024 +5393 7247 +-2181 4670 +1578 -114 +4254 -300 +1268 922 +1139 5059 +-1710 -2721 +0 0 +-1710 2721 +1139 -5059 +1268 -922 +4254 300 +1578 114 +-2181 -4670 +5393 -7247 +-600 -1024 +-1760 -1728 +1820 106 +3865 39 +1254 -1748 +-5442 4039 +-1915 7886 +-363 459 +0 -4096 +3559 1239 +1796 -1507 +-1474 -1820 +794 1748 +-2733 938 +516 1269 +1648 1738 +-3496 1024 +1400 -1014 +-3052 1086 +-4039 -2195 +-2206 -300 +1184 3995 +1877 -1667 +-2373 3870 +0 0 +-2373 -3870 +1877 1667 +1184 -3995 +-2206 300 +-4039 2195 +-3052 -1086 +1400 1014 +-3496 -1024 +1648 -1738 +516 -1269 +-2733 -938 +794 -1748 +-1474 1820 +1796 1507 +3559 -1239 +0 4096 +-363 -459 +-1915 -7886 +-5442 -4039 +1254 1748 +3865 -39 +1820 -106 +-1760 1728 +-600 1024 +5393 7247 +-2181 4670 +1578 -114 +4254 -300 +1268 922 +1139 5059 +-1710 -2721 +-1024 -2048 +-2935 -1363 +3852 -2223 +2315 289 +-2860 698 +-4856 -507 +-2698 -246 +1604 4219 +-1624 5120 +-1250 1645 +-3332 -2160 +250 83 +496 2422 +-1492 -569 +2611 1018 +-603 507 +-3072 0 +-603 -507 +2611 -1018 +-1492 569 +496 -2422 +250 -83 +-3332 2160 +-1250 -1645 +-1624 -5120 +1604 -4219 +-2698 246 +-4856 507 +-2860 -698 +2315 -289 +3852 2223 +-2935 1363 +-1024 2048 +-2062 2643 +-1295 -1118 +3832 -298 +4059 -4194 +-247 -2601 +3926 -419 +2161 -208 +-4520 5120 +4404 -162 +-792 4392 +-1148 4689 +6497 -1822 +1346 248 +-2271 -1462 +-1320 6436 +1024 0 +-1320 -6436 +-2271 1462 +1346 -248 +6497 1822 +-1148 -4689 +-792 -4392 +4404 162 +-4520 -5120 +2161 208 +3926 419 +-247 2601 +4059 4194 +3832 298 +-1295 1118 +-2062 -2643 +-1024 -2048 +-2935 -1363 +3852 -2223 +2315 289 +-2860 698 +-4856 -507 +-2698 -246 +1604 4219 +-1624 5120 +-1250 1645 +-3332 -2160 +250 83 +496 2422 +-1492 -569 +2611 1018 +-603 507 +-5120 0 +2515 921 +-1329 3859 +-3913 -1046 +-784 -5643 +-652 2601 +-418 -2445 +-1881 -6084 +5968 -2472 +4034 -2509 +1161 -869 +982 937 +-1892 -3270 +-249 -2742 +845 352 +-1636 -7201 +-5120 0 +-1636 7201 +845 -352 +-249 2742 +-1892 3270 +982 -937 +1161 869 +4034 2509 +5968 2472 +-1881 6084 +-418 2445 +-652 -2601 +-784 5643 +-3913 1046 +-1329 -3859 +2515 -921 +-5120 0 +936 5821 +2556 -519 +2092 -2130 +784 -750 +-170 -2790 +758 3110 +-4890 -514 +176 424 +1169 2015 +1395 -1363 +3624 2138 +1892 973 +-1715 -562 +-4969 92 +-247 271 +3072 0 +-247 -271 +-4969 -92 +-1715 562 +1892 -973 +3624 -2138 +1395 1363 +1169 -2015 +176 -424 +-4890 514 +758 -3110 +-170 2790 +784 750 +2092 2130 +2556 519 +936 -5821 +-5120 0 +2515 921 +-1329 3859 +-3913 -1046 +-784 -5643 +-652 2601 +-418 -2445 +-1881 -6084 +5968 -2472 +4034 -2509 +1161 -869 +982 937 +-1892 -3270 +-249 -2742 +845 352 +-1636 -7201 +-2048 0 +1612 -162 +-4159 -227 +-8868 -3181 +2976 -6062 +-575 938 +1594 2103 +3492 -1736 +-2472 -1448 +2472 -974 +-2166 -616 +4254 390 +2856 3711 +-1136 1381 +541 -860 +-2139 4960 +2048 0 +-2139 -4960 +541 860 +-1136 -1381 +2856 -3711 +4254 -390 +-2166 616 +2472 974 +-2472 1448 +3492 1736 +1594 -2103 +-575 -938 +2976 6062 +-8868 3181 +-4159 227 +1612 162 +-2048 0 +-275 4779 +2267 1076 +-666 -3721 +-2376 1966 +-3661 4833 +-2377 2841 +2471 337 +424 1448 +2030 775 +2950 -4328 +221 -1611 +640 385 +4640 -1291 +1351 11 +-3870 -1543 +-2048 0 +-3870 1543 +1351 -11 +4640 1291 +640 -385 +221 1611 +2950 4328 +2030 -775 +424 -1448 +2471 -337 +-2377 -2841 +-3661 -4833 +-2376 -1966 +-666 3721 +2267 -1076 +-275 -4779 +-2048 0 +1612 -162 +-4159 -227 +-8868 -3181 +2976 -6062 +-575 938 +1594 2103 +3492 -1736 +-2472 -1448 +2472 -974 +-2166 -616 +4254 390 +2856 3711 +-1136 1381 +541 -860 +-2139 4960 +6144 1024 +-5732 1798 +-3927 -1411 +-838 928 +-2154 -2982 +-1538 -1089 +-2893 4226 +947 608 +-2772 4644 +-2002 1388 +-920 -1921 +-81 524 +972 -2259 +-160 -3876 +2416 -5931 +787 -1466 +-1024 0 +787 1466 +2416 5931 +-160 3876 +972 2259 +-81 -524 +-920 1921 +-2002 -1388 +-2772 -4644 +947 -608 +-2893 -4226 +-1538 1089 +-2154 2982 +-838 -928 +-3927 1411 +-5732 -1798 +6144 -1024 +867 439 +-3354 -1709 +-2120 3954 +1306 6478 +1889 -3461 +725 -3103 +5395 520 +-1324 -2596 +-555 3965 +1888 148 +1298 -3066 +3972 1659 +1550 -818 +-2128 -85 +294 -3658 +5120 0 +294 3658 +-2128 85 +1550 818 +3972 -1659 +1298 3066 +1888 -148 +-555 -3965 +-1324 2596 +5395 -520 +725 3103 +1889 3461 +1306 -6478 +-2120 -3954 +-3354 1709 +867 -439 +6144 1024 +-5732 1798 +-3927 -1411 +-838 928 +-2154 -2982 +-1538 -1089 +-2893 4226 +947 608 +-2772 4644 +-2002 1388 +-920 -1921 +-81 524 +972 -2259 +-160 -3876 +2416 -5931 +787 -1466 +3072 2048 +1544 -1180 +1930 -647 +954 -528 +2870 4220 +1565 -235 +2503 5331 +382 5463 +-176 -2472 +1457 -821 +-1392 -1371 +-841 -169 +-4594 124 +-2063 296 +278 -1606 +-1692 -1465 +1024 0 +-1692 1465 +278 1606 +-2063 -296 +-4594 -124 +-841 169 +-1392 1371 +1457 821 +-176 2472 +382 -5463 +2503 -5331 +1565 235 +2870 -4220 +954 528 +1930 647 +1544 1180 +3072 -2048 +2961 -3104 +-2779 -1025 +-1010 -1786 +-2022 4220 +-5027 -1702 +2442 -2759 +4922 -1658 +-5968 424 +-969 4627 +6337 -1850 +-1490 -1768 +-350 124 +-3674 -2610 +-1127 -5858 +2980 -2820 +-3072 0 +2980 2820 +-1127 5858 +-3674 2610 +-350 -124 +-1490 1768 +6337 1850 +-969 -4627 +-5968 -424 +4922 1658 +2442 2759 +-5027 1702 +-2022 -4220 +-1010 1786 +-2779 1025 +2961 3104 +3072 2048 +1544 -1180 +1930 -647 +954 -528 +2870 4220 +1565 -235 +2503 5331 +382 5463 +-176 -2472 +1457 -821 +-1392 -1371 +-841 -169 +-4594 124 +-2063 296 +278 -1606 +-1692 -1465 +1024 -1024 +-1307 2727 +-1225 -918 +2662 -4034 +476 112 +621 -1965 +2942 -1142 +-578 3436 +900 2596 +1477 -630 +1451 157 +233 3549 +-2754 -2335 +-4364 -5506 +255 918 +2257 837 +-2048 0 +2257 -837 +255 -918 +-4364 5506 +-2754 2335 +233 -3549 +1451 -157 +1477 630 +900 -2596 +-578 -3436 +2942 1142 +621 1965 +476 -112 +2662 4034 +-1225 918 +-1307 -2727 +1024 1024 +-3411 -1747 +117 -1822 +7016 5 +-2524 -4456 +-2342 -5172 +-5618 -3018 +-2238 -2433 +5244 -4644 +-3774 -1784 +1225 5571 +-967 1658 +706 -2010 +2934 -163 +853 -1962 +1781 -874 +-4096 0 +1781 874 +853 1962 +2934 163 +706 2010 +-967 -1658 +1225 -5571 +-3774 1784 +5244 4644 +-2238 2433 +-5618 3018 +-2342 5172 +-2524 4456 +7016 -5 +117 1822 +-3411 1747 +1024 -1024 +-1307 2727 +-1225 -918 +2662 -4034 +476 112 +621 -1965 +2942 -1142 +-578 3436 +900 2596 +1477 -630 +1451 157 +233 3549 +-2754 -2335 +-4364 -5506 +255 918 +2257 837 +-4096 2048 +-1157 -3382 +3395 -455 +-422 -3573 +-614 -3072 +-4699 1596 +-4183 -6338 +3840 -1218 +-1024 600 +-2590 918 +1861 -1956 +-302 -2378 +-1278 3072 +885 313 +6509 6918 +3283 -946 +-4096 0 +3283 946 +6509 -6918 +885 -313 +-1278 -3072 +-302 2378 +1861 1956 +-2590 -918 +-1024 -600 +3840 1218 +-4183 6338 +-4699 -1596 +-614 3072 +-422 3573 +3395 455 +-1157 3382 +-4096 -2048 +-2290 -3105 +-4467 1852 +701 2603 +2062 -3072 +527 -901 +5108 2694 +1138 2876 +-1024 3496 +2075 1628 +-1586 -2887 +-2207 -1391 +-170 3072 +-275 46 +1555 1471 +1493 1140 +0 0 +1493 -1140 +1555 -1471 +-275 -46 +-170 -3072 +-2207 1391 +-1586 2887 +2075 -1628 +-1024 -3496 +1138 -2876 +5108 -2694 +527 901 +2062 3072 +701 -2603 +-4467 -1852 +-2290 3105 +-4096 2048 +-1157 -3382 +3395 -455 +-422 -3573 +-614 -3072 +-4699 1596 +-4183 -6338 +3840 -1218 +-1024 600 +-2590 918 +1861 -1956 +-302 -2378 +-1278 3072 +885 313 +6509 6918 +3283 -946 +7168 -1024 +-1275 -5177 +-5937 -1870 +277 939 +3362 -802 +-1648 -1645 +-981 237 +-1660 5149 +-4644 300 +1844 -1171 +-1144 265 +-556 -27 +-1552 692 +-3865 -918 +-2486 782 +-912 -1429 +4096 0 +-912 1429 +-2486 -782 +-3865 918 +-1552 -692 +-556 27 +-1144 -265 +1844 1171 +-4644 -300 +-1660 -5149 +-981 -237 +-1648 1645 +3362 802 +277 -939 +-5937 1870 +-1275 5177 +7168 1024 +819 1207 +1813 -1470 +4260 -2791 +-1665 -2694 +761 -2695 +3537 -901 +-592 -2182 +2596 1748 +4192 1609 +1484 1967 +3009 3568 +-8337 -92 +-2239 -1246 +3713 -1226 +-2416 3123 +2048 0 +-2416 -3123 +3713 1226 +-2239 1246 +-8337 92 +3009 -3568 +1484 -1967 +4192 -1609 +2596 -1748 +-592 2182 +3537 901 +761 2695 +-1665 2694 +4260 2791 +1813 1470 +819 -1207 +7168 -1024 +-1275 -5177 +-5937 -1870 +277 939 +3362 -802 +-1648 -1645 +-981 237 +-1660 5149 +-4644 300 +1844 -1171 +-1144 265 +-556 -27 +-1552 692 +-3865 -918 +-2486 782 +-912 -1429 +3072 -1024 +-5440 540 +-1262 3594 +-1784 -513 +-332 1656 +4160 2559 +-800 809 +3792 -2244 +-1324 -4220 +-6926 528 +4246 1431 +4134 678 +1670 -1102 +3735 1142 +3281 4786 +861 4398 +-2048 0 +861 -4398 +3281 -4786 +3735 -1142 +1670 1102 +4134 -678 +4246 -1431 +-6926 -528 +-1324 4220 +3792 2244 +-800 -809 +4160 -2559 +-332 -1656 +-1784 513 +-1262 -3594 +-5440 -540 +3072 1024 +709 4655 +-4635 1978 +497 -1229 +-1116 2440 +-1297 1026 +237 3195 +-536 -1907 +-2772 124 +-2123 -582 +2110 -3219 +-1204 -1189 +-222 -2994 +3344 1212 +-3177 -5006 +-1922 -3300 +4096 0 +-1922 3300 +-3177 5006 +3344 -1212 +-222 2994 +-1204 1189 +2110 3219 +-2123 582 +-2772 -124 +-536 1907 +237 -3195 +-1297 -1026 +-1116 -2440 +497 1229 +-4635 -1978 +709 -4655 +3072 -1024 +-5440 540 +-1262 3594 +-1784 -513 +-332 1656 +4160 2559 +-800 809 +3792 -2244 +-1324 -4220 +-6926 528 +4246 1431 +4134 678 +1670 -1102 +3735 1142 +3281 4786 +861 4398 +-2048 -2048 +655 2901 +1687 -2665 +1234 483 +-1338 2616 +-6696 1033 +2137 -5071 +3895 -2851 +-2648 -2472 +-2097 -3785 +-917 1026 +3138 -1508 +-554 1508 +-589 1294 +3079 437 +3455 3652 +6144 0 +3455 -3652 +3079 -437 +-589 -1294 +-554 -1508 +3138 1508 +-917 -1026 +-2097 3785 +-2648 2472 +3895 2851 +2137 5071 +-6696 -1033 +-1338 -2616 +1234 -483 +1687 2665 +655 -2901 +-2048 2048 +364 130 +3037 -5739 +3140 2321 +1338 -1168 +470 1317 +-1197 1222 +-3249 -1485 +-5544 424 +2131 -1231 +4073 -3178 +-5160 -4390 +554 -60 +-1330 -945 +-3707 1048 +639 4492 +-2048 0 +639 -4492 +-3707 -1048 +-1330 945 +554 60 +-5160 4390 +4073 3178 +2131 1231 +-5544 -424 +-3249 1485 +-1197 -1222 +470 -1317 +1338 1168 +3140 -2321 +3037 5739 +364 -130 +-2048 -2048 +655 2901 +1687 -2665 +1234 483 +-1338 2616 +-6696 1033 +2137 -5071 +3895 -2851 +-2648 -2472 +-2097 -3785 +-917 1026 +3138 -1508 +-554 1508 +-589 1294 +3079 437 +3455 3652 +-1024 6144 +-2146 660 +-6637 -2207 +3463 2397 +-3954 3940 +-1968 4968 +7174 934 +-965 -3180 +0 -1448 +-1164 942 +-1754 3186 +-3213 -1794 +954 -1264 +-623 470 +-3805 -5259 +858 -874 +-1024 0 +858 874 +-3805 5259 +-623 -470 +954 1264 +-3213 1794 +-1754 -3186 +-1164 -942 +0 1448 +-965 3180 +7174 -934 +-1968 -4968 +-3954 -3940 +3463 -2397 +-6637 2207 +-2146 -660 +-1024 -6144 +14 -1894 +4065 4086 +2759 2681 +2506 156 +-802 2729 +291 322 +1300 -409 +0 1448 +-1388 1782 +83 6261 +631 43 +495 -2832 +-247 3352 +584 -1054 +3491 -2240 +3072 0 +3491 2240 +584 1054 +-247 -3352 +495 2832 +631 -43 +83 -6261 +-1388 -1782 +0 -1448 +1300 409 +291 -322 +-802 -2729 +2506 -156 +2759 -2681 +4065 -4086 +14 1894 +-1024 6144 +-2146 660 +-6637 -2207 +3463 2397 +-3954 3940 +-1968 4968 +7174 934 +-965 -3180 +0 -1448 +-1164 942 +-1754 3186 +-3213 -1794 +954 -1264 +-623 470 +-3805 -5259 +858 -874 +-2048 3072 +1230 -3111 +1210 -1810 +26 990 +-476 64 +-191 875 +919 201 +2760 8599 +5668 1748 +-548 -3657 +301 5694 +1854 -2111 +2754 -3634 +1057 1008 +-2364 -2488 +-287 579 +-1024 0 +-287 -579 +-2364 2488 +1057 -1008 +2754 3634 +1854 2111 +301 -5694 +-548 3657 +5668 -1748 +2760 -8599 +919 -201 +-191 -875 +-476 -64 +26 -990 +1210 1810 +1230 3111 +-2048 -3072 +1400 -2313 +-2030 -3747 +-113 447 +2524 4632 +-1896 6488 +-2627 4486 +-940 -3648 +-1572 300 +-5056 1247 +207 1889 +-1334 -103 +-706 -3958 +597 2437 +-3808 -173 +1441 -1778 +5120 0 +1441 1778 +-3808 173 +597 -2437 +-706 3958 +-1334 103 +207 -1889 +-5056 -1247 +-1572 -300 +-940 3648 +-2627 -4486 +-1896 -6488 +2524 -4632 +-113 -447 +-2030 3747 +1400 2313 +-2048 3072 +1230 -3111 +1210 -1810 +26 990 +-476 64 +-191 875 +919 201 +2760 8599 +5668 1748 +-548 -3657 +301 5694 +1854 -2111 +2754 -3634 +1057 1008 +-2364 -2488 +-287 579 +-7168 -6144 +586 -1128 +1339 -1168 +1166 -1319 +-1796 110 +-2066 1311 +4654 2711 +-2035 -3680 +1448 2048 +5236 3559 +-220 -2754 +-1313 622 +999 2002 +-1045 3805 +-1088 2162 +98 -1980 +-3072 0 +98 1980 +-1088 -2162 +-1045 -3805 +999 -2002 +-1313 -622 +-220 2754 +5236 -3559 +1448 -2048 +-2035 3680 +4654 -2711 +-2066 -1311 +-1796 -110 +1166 1319 +1339 1168 +586 1128 +-7168 6144 +-4030 1567 +-3910 1168 +5498 2111 +7340 2786 +-814 1303 +2811 -2711 +-1811 4041 +-1448 2048 +1745 897 +-1452 2754 +-3375 -2105 +1649 894 +1950 1083 +-2133 -2162 +211 -1677 +1024 0 +211 1677 +-2133 2162 +1950 -1083 +1649 -894 +-3375 2105 +-1452 -2754 +1745 -897 +-1448 -2048 +-1811 -4041 +2811 2711 +-814 -1303 +7340 -2786 +5498 -2111 +-3910 -1168 +-4030 -1567 +-7168 -6144 +586 -1128 +1339 -1168 +1166 -1319 +-1796 110 +-2066 1311 +4654 2711 +-2035 -3680 +1448 2048 +5236 3559 +-220 -2754 +-1313 622 +999 2002 +-1045 3805 +-1088 2162 +98 -1980 +1024 4096 +3466 -1558 +2830 61 +4254 2348 +3126 -3759 +-733 -3218 +-4134 1920 +-5088 3557 +1024 -1024 +-482 646 +-104 -1536 +-331 1393 +334 4749 +83 -3838 +443 -3090 +4311 -3261 +3072 0 +4311 3261 +443 3090 +83 3838 +334 -4749 +-331 -1393 +-104 1536 +-482 -646 +1024 1024 +-5088 -3557 +-4134 -1920 +-733 3218 +3126 3759 +4254 -2348 +2830 -61 +3466 1558 +1024 -4096 +1972 3725 +-2490 -504 +-867 -1806 +2667 3160 +1796 -5318 +10 311 +-783 2030 +1024 -1024 +-2783 2854 +1332 872 +-82 1527 +-6126 -1252 +-4119 -3940 +2113 -250 +-614 -52 +-9216 0 +-614 52 +2113 250 +-4119 3940 +-6126 1252 +-82 -1527 +1332 -872 +-2783 -2854 +1024 1024 +-783 -2030 +10 -311 +1796 5318 +2667 -3160 +-867 1806 +-2490 504 +1972 -3725 +1024 4096 +3466 -1558 +2830 61 +4254 2348 +3126 -3759 +-733 -3218 +-4134 1920 +-5088 3557 +1024 -1024 +-482 646 +-104 -1536 +-331 1393 +334 4749 +83 -3838 +443 -3090 +4311 -3261 +1024 1024 +-1903 -3906 +-4169 -4672 +-2428 -60 +1644 -1444 +-142 -2344 +-1255 -23 +1651 -6521 +4820 -2772 +-83 787 +-906 1092 +3786 298 +-2813 -2466 +27 4923 +-1176 205 +-3237 -2342 +4096 0 +-3237 2342 +-1176 -205 +27 -4923 +-2813 2466 +3786 -298 +-906 -1092 +-83 -787 +4820 2772 +1651 6521 +-1255 23 +-142 2344 +1644 1444 +-2428 60 +-4169 4672 +-1903 3906 +1024 -1024 +2452 149 +4132 -561 +374 343 +-5140 4692 +-2386 20 +-2346 142 +2815 1288 +3372 -1324 +-599 -4453 +3307 1924 +309 1162 +2214 -6575 +460 -857 +-5780 -2541 +-1097 152 +2048 0 +-1097 -152 +-5780 2541 +460 857 +2214 6575 +309 -1162 +3307 -1924 +-599 4453 +3372 1324 +2815 -1288 +-2346 -142 +-2386 -20 +-5140 -4692 +374 -343 +4132 561 +2452 -149 +1024 1024 +-1903 -3906 +-4169 -4672 +-2428 -60 +1644 -1444 +-142 -2344 +-1255 -23 +1651 -6521 +4820 -2772 +-83 787 +-906 1092 +3786 298 +-2813 -2466 +27 4923 +-1176 205 +-3237 -2342 +0 1024 +905 -3313 +-197 -3764 +-1563 -2169 +4286 -1162 +-777 4215 +2786 3475 +-793 6249 +-7117 1748 +4354 -1732 +2147 2849 +-4863 2248 +-2624 5056 +3558 2364 +1129 1874 +-1456 2187 +3072 0 +-1456 -2187 +1129 -1874 +3558 -2364 +-2624 -5056 +-4863 -2248 +2147 -2849 +4354 1732 +-7117 -1748 +-793 -6249 +2786 -3475 +-777 -4215 +4286 1162 +-1563 2169 +-197 3764 +905 3313 +0 -1024 +2735 -4073 +-2699 -2133 +-3120 50 +-1390 -1486 +2573 -55 +111 -2912 +-2659 1269 +3021 300 +-902 -639 +750 3507 +3067 216 +-273 488 +1126 -2786 +-4026 -1978 +-2184 316 +5120 0 +-2184 -316 +-4026 1978 +1126 2786 +-273 -488 +3067 -216 +750 -3507 +-902 639 +3021 -300 +-2659 -1269 +111 2912 +2573 55 +-1390 1486 +-3120 -50 +-2699 2133 +2735 4073 +0 1024 +905 -3313 +-197 -3764 +-1563 -2169 +4286 -1162 +-777 4215 +2786 3475 +-793 6249 +-7117 1748 +4354 -1732 +2147 2849 +-4863 2248 +-2624 5056 +3558 2364 +1129 1874 +-1456 2187 +2048 0 +-2584 -2556 +772 325 +-41 -1468 +170 204 +-143 3278 +2972 1862 +-350 -1251 +-3920 4944 +955 3987 +-2917 -2427 +320 -5852 +614 -1533 +-5154 7124 +2107 1285 +2909 4468 +-2048 0 +2909 -4468 +2107 -1285 +-5154 -7124 +614 1533 +320 5852 +-2917 2427 +955 -3987 +-3920 -4944 +-350 1251 +2972 -1862 +-143 -3278 +170 -204 +-41 1468 +772 -325 +-2584 2556 +2048 0 +2536 -2928 +-1895 1124 +2260 -663 +1278 -5148 +4782 -5219 +3044 -414 +-599 -1126 +1872 -848 +-1573 -1142 +-203 979 +-1175 24 +-2062 684 +-849 6635 +-3880 -2733 +-1293 -1337 +2048 0 +-1293 1337 +-3880 2733 +-849 -6635 +-2062 -684 +-1175 -24 +-203 -979 +-1573 1142 +1872 848 +-599 1126 +3044 414 +4782 5219 +1278 5148 +2260 663 +-1895 -1124 +2536 2928 +2048 0 +-2584 -2556 +772 325 +-41 -1468 +170 204 +-143 3278 +2972 1862 +-350 -1251 +-3920 4944 +955 3987 +-2917 -2427 +320 -5852 +614 -1533 +-5154 7124 +2107 1285 +2909 4468 +-2048 2048 +1535 -3082 +2977 -2407 +3494 835 +6376 254 +-3533 -333 +-3593 2015 +954 3436 +1448 -1872 +3162 648 +-2455 799 +2197 1869 +3241 3086 +-2791 -2420 +968 3713 +1899 -1528 +0 0 +1899 1528 +968 -3713 +-2791 2420 +3241 -3086 +2197 -1869 +-2455 -799 +3162 -648 +1448 1872 +954 -3436 +-3593 -2015 +-3533 333 +6376 -254 +3494 -835 +2977 2407 +1535 3082 +-2048 -2048 +1006 -4912 +1368 -305 +-1883 -2022 +-4328 -854 +-2105 6547 +-752 477 +2619 3983 +-1448 3920 +-7623 -1790 +-1890 493 +-1023 1759 +-1193 410 +-149 -3750 +3376 567 +2240 -1041 +-4096 0 +2240 1041 +3376 -567 +-149 3750 +-1193 -410 +-1023 -1759 +-1890 -493 +-7623 1790 +-1448 -3920 +2619 -3983 +-752 -477 +-2105 -6547 +-4328 854 +-1883 2022 +1368 305 +1006 4912 +-2048 2048 +1535 -3082 +2977 -2407 +3494 835 +6376 254 +-3533 -333 +-3593 2015 +954 3436 +1448 -1872 +3162 648 +-2455 799 +2197 1869 +3241 3086 +-2791 -2420 +968 3713 +1899 -1528 +1024 1024 +-5056 -587 +1482 -109 +1545 -1676 +1730 1142 +1617 5437 +-3765 1990 +21 2462 +-3196 -300 +1457 2946 +-285 5133 +-4982 -1510 +1500 -1919 +-58 481 +-2253 494 +-862 -3806 +0 0 +-862 3806 +-2253 -494 +-58 -481 +1500 1919 +-4982 1510 +-285 -5133 +1457 -2946 +-3196 300 +21 -2462 +-3765 -1990 +1617 -5437 +1730 -1142 +1545 1676 +1482 109 +-5056 587 +1024 -1024 +-1011 3819 +-34 2652 +-21 2658 +-1730 5251 +2805 206 +2316 -1178 +1697 -1718 +1148 -1748 +3745 327 +-1163 975 +-5441 -727 +-1500 4216 +4535 813 +3701 -9039 +10 1374 +2048 0 +10 -1374 +3701 9039 +4535 -813 +-1500 -4216 +-5441 727 +-1163 -975 +3745 -327 +1148 1748 +1697 1718 +2316 1178 +2805 -206 +-1730 -5251 +-21 -2658 +-34 -2652 +-1011 -3819 +1024 1024 +-5056 -587 +1482 -109 +1545 -1676 +1730 1142 +1617 5437 +-3765 1990 +21 2462 +-3196 -300 +1457 2946 +-285 5133 +-4982 -1510 +1500 -1919 +-58 481 +-2253 494 +-862 -3806 +-2048 1024 +-84 -936 +-3728 241 +-1746 -1273 +222 1710 +-49 -1508 +2185 -4551 +-5149 -2157 +-1148 -2172 +-1278 5056 +-5825 5160 +-331 114 +332 1636 +-444 -1984 +1386 -2779 +2917 1120 +3072 0 +2917 -1120 +1386 2779 +-444 1984 +332 -1636 +-331 -114 +-5825 -5160 +-1278 -5056 +-1148 2172 +-5149 2157 +2185 4551 +-49 1508 +222 -1710 +-1746 1273 +-3728 -241 +-84 936 +-2048 -1024 +-597 -1019 +-1180 839 +-782 -2708 +-1670 2035 +536 823 +2264 -4777 +5698 -1619 +3196 2172 +-3055 4400 +4273 -3400 +-1724 -4246 +1116 6204 +4539 2747 +626 -1437 +1549 1965 +-3072 0 +1549 -1965 +626 1437 +4539 -2747 +1116 -6204 +-1724 4246 +4273 3400 +-3055 -4400 +3196 -2172 +5698 1619 +2264 4777 +536 -823 +-1670 -2035 +-782 2708 +-1180 -839 +-597 1019 +-2048 1024 +-84 -936 +-3728 241 +-1746 -1273 +222 1710 +-49 -1508 +2185 -4551 +-5149 -2157 +-1148 -2172 +-1278 5056 +-5825 5160 +-331 114 +332 1636 +-444 -1984 +1386 -2779 +2917 1120 +-2048 2048 +4661 2442 +-217 3062 +-6057 -3127 +-314 5088 +5628 -1132 +3574 124 +809 5627 +1448 -2472 +2310 5518 +805 3870 +-751 -1807 +470 1932 +570 1063 +-2412 -740 +-3106 -1296 +-2048 0 +-3106 1296 +-2412 740 +570 -1063 +470 -1932 +-751 1807 +805 -3870 +2310 -5518 +1448 2472 +809 -5627 +3574 -124 +5628 1132 +-314 -5088 +-6057 3127 +-217 -3062 +4661 -2442 +-2048 -2048 +-6200 -1661 +-2799 1218 +-947 -2732 +2362 1304 +6202 2031 +1659 1168 +-3109 -2162 +-1448 424 +-2257 715 +-3141 -1378 +953 -502 +1578 364 +194 3854 +2531 -1972 +1099 2444 +-2048 0 +1099 -2444 +2531 1972 +194 -3854 +1578 -364 +953 502 +-3141 1378 +-2257 -715 +-1448 -424 +-3109 2162 +1659 -1168 +6202 -2031 +2362 -1304 +-947 2732 +-2799 -1218 +-6200 1661 +-2048 2048 +4661 2442 +-217 3062 +-6057 -3127 +-314 5088 +5628 -1132 +3574 124 +809 5627 +1448 -2472 +2310 5518 +805 3870 +-751 -1807 +470 1932 +570 1063 +-2412 -740 +-3106 -1296 +5120 2048 +3068 1293 +1562 -5000 +4210 -2079 +-1462 -4280 +-929 -1768 +-2770 -973 +313 -1052 +1448 -3496 +-2835 -77 +374 4890 +898 -178 +3666 2492 +-517 3189 +-514 1986 +-1052 369 +-9216 0 +-1052 -369 +-514 -1986 +-517 -3189 +3666 -2492 +898 178 +374 -4890 +-2835 77 +1448 3496 +313 1052 +-2770 973 +-929 1768 +-1462 4280 +4210 2079 +1562 5000 +3068 -1293 +5120 -2048 +-2508 3939 +-1717 1624 +-1059 1960 +1214 -2712 +3515 -1248 +1506 3909 +-1362 3388 +-1448 -600 +987 -2259 +-3205 -257 +-588 3193 +4774 -1292 +262 -3069 +-3426 4526 +-2404 -5601 +-1024 0 +-2404 5601 +-3426 -4526 +262 3069 +4774 1292 +-588 -3193 +-3205 257 +987 2259 +-1448 600 +-1362 -3388 +1506 -3909 +3515 1248 +1214 2712 +-1059 -1960 +-1717 -1624 +-2508 -3939 +5120 2048 +3068 1293 +1562 -5000 +4210 -2079 +-1462 -4280 +-929 -1768 +-2770 -973 +313 -1052 +1448 -3496 +-2835 -77 +374 4890 +898 -178 +3666 2492 +-517 3189 +-514 1986 +-1052 369 +-1024 -1024 +2687 1158 +29 -4009 +1206 -3332 +4886 -1910 +2284 -2982 +-933 2344 +-1237 -114 +1748 5244 +-323 221 +-3674 -2008 +-283 1438 +872 -1984 +1509 5296 +2893 -596 +-1976 133 +-6144 0 +-1976 -133 +2893 596 +1509 -5296 +872 1984 +-283 -1438 +-3674 2008 +-323 -221 +1748 -5244 +-1237 114 +-933 -2344 +2284 2982 +4886 1910 +1206 3332 +29 4009 +2687 -1158 +-1024 1024 +344 1523 +-629 408 +-6822 -747 +-790 -1586 +1565 -2452 +-2564 4612 +-2116 6171 +300 900 +5005 2291 +178 1971 +-2679 -633 +3224 2584 +-2573 2657 +-3493 -1805 +3408 4796 +4096 0 +3408 -4796 +-3493 1805 +-2573 -2657 +3224 -2584 +-2679 633 +178 -1971 +5005 -2291 +300 -900 +-2116 -6171 +-2564 -4612 +1565 2452 +-790 1586 +-6822 747 +-629 -408 +344 -1523 +-1024 -1024 +2687 1158 +29 -4009 +1206 -3332 +4886 -1910 +2284 -2982 +-933 2344 +-1237 -114 +1748 5244 +-323 221 +-3674 -2008 +-283 1438 +872 -1984 +1509 5296 +2893 -596 +-1976 133 +-2048 1024 +-625 1880 +-4941 160 +-2033 1298 +-1730 -1382 +833 -238 +2541 3469 +-1740 -2499 +-3620 -3196 +-2960 1380 +5610 2354 +-7 762 +-1500 1051 +-1595 4548 +192 3466 +6661 486 +3072 0 +6661 -486 +192 -3466 +-1595 -4548 +-1500 -1051 +-7 -762 +5610 -2354 +-2960 -1380 +-3620 3196 +-1740 2499 +2541 -3469 +833 238 +-1730 1382 +-2033 -1298 +-4941 -160 +-625 -1880 +-2048 -1024 +210 -1370 +-631 -485 +742 -2446 +1730 -7058 +-2549 1577 +1464 1099 +-707 -3928 +3620 1148 +5848 1641 +-3822 2214 +-1852 -1302 +1500 -1300 +-5124 617 +-412 -3791 +4899 1280 +1024 0 +4899 -1280 +-412 3791 +-5124 -617 +1500 1300 +-1852 1302 +-3822 -2214 +5848 -1641 +3620 -1148 +-707 3928 +1464 -1099 +-2549 -1577 +1730 7058 +742 2446 +-631 485 +210 1370 +-2048 1024 +-625 1880 +-4941 160 +-2033 1298 +-1730 -1382 +833 -238 +2541 3469 +-1740 -2499 +-3620 -3196 +-2960 1380 +5610 2354 +-7 762 +-1500 1051 +-1595 4548 +192 3466 +6661 486 +-4096 -2048 +-3212 191 +-811 2410 +938 5582 +-5088 398 +472 3198 +2064 -686 +-5455 2432 +2472 2048 +2501 -3047 +-3468 -1773 +2467 45 +1932 4170 +1547 -1424 +4656 185 +-88 1658 +-4096 0 +-88 -1658 +4656 -185 +1547 1424 +1932 -4170 +2467 -45 +-3468 1773 +2501 3047 +2472 -2048 +-5455 -2432 +2064 686 +472 -3198 +-5088 -398 +938 -5582 +-811 -2410 +-3212 -191 +-4096 2048 +-3540 4455 +2076 -1302 +-1550 1646 +-1304 -4494 +5265 -4437 +1876 -1990 +-444 -1624 +-424 2048 +-178 -1497 +3624 -903 +2939 -3501 +364 -74 +-495 6436 +-1824 923 +-1170 -2364 +0 0 +-1170 2364 +-1824 -923 +-495 -6436 +364 74 +2939 3501 +3624 903 +-178 1497 +-424 -2048 +-444 1624 +1876 1990 +5265 4437 +-1304 4494 +-1550 -1646 +2076 1302 +-3540 -4455 +-4096 -2048 +-3212 191 +-811 2410 +938 5582 +-5088 398 +472 3198 +2064 -686 +-5455 2432 +2472 2048 +2501 -3047 +-3468 -1773 +2467 45 +1932 4170 +1547 -1424 +4656 185 +-88 1658 +0 3072 +3099 -1718 +-488 405 +-5018 1000 +-4646 -802 +-3970 4475 +-1701 -2053 +3303 -2256 +2772 1148 +-227 545 +242 2997 +-3224 2043 +-1741 692 +213 -2123 +-1077 3183 +-449 4196 +-1024 0 +-449 -4196 +-1077 -3183 +213 2123 +-1741 -692 +-3224 -2043 +242 -2997 +-227 -545 +2772 -1148 +3303 2256 +-1701 2053 +-3970 -4475 +-4646 802 +-5018 -1000 +-488 -405 +3099 1718 +0 -3072 +2138 -4379 +-960 2546 +-2031 4197 +2598 -2694 +88 88 +3149 4233 +1167 4760 +1324 -3196 +2437 968 +1206 6176 +5778 -3200 +-307 -92 +2372 -798 +-371 -1432 +-5677 2700 +1024 0 +-5677 -2700 +-371 1432 +2372 798 +-307 92 +5778 3200 +1206 -6176 +2437 -968 +1324 3196 +1167 -4760 +3149 -4233 +88 -88 +2598 2694 +-2031 -4197 +-960 -2546 +2138 4379 +0 3072 +3099 -1718 +-488 405 +-5018 1000 +-4646 -802 +-3970 4475 +-1701 -2053 +3303 -2256 +2772 1148 +-227 545 +242 2997 +-3224 2043 +-1741 692 +213 -2123 +-1077 3183 +-449 4196 +2048 -1024 +-2206 -1216 +-1090 -815 +-2044 2145 +-1840 -3348 +-557 -1480 +-1865 -2880 +70 -3884 +2772 900 +816 603 +-2742 -4030 +-1614 -2333 +502 666 +1179 -3849 +-4171 -587 +799 -1807 +11264 0 +799 1807 +-4171 587 +1179 3849 +502 -666 +-1614 2333 +-2742 4030 +816 -603 +2772 -900 +70 3884 +-1865 2880 +-557 1480 +-1840 3348 +-2044 -2145 +-1090 815 +-2206 1216 +2048 1024 +783 686 +1718 -909 +3641 1643 +-1056 -997 +-964 576 +-1292 360 +2075 4097 +1324 5244 +3071 -629 +1802 -2586 +-7330 -3244 +2394 -5010 +1895 -2827 +-553 2959 +385 -4755 +-7168 0 +385 4755 +-553 -2959 +1895 2827 +2394 5010 +-7330 3244 +1802 2586 +3071 629 +1324 -5244 +2075 -4097 +-1292 -360 +-964 -576 +-1056 997 +3641 -1643 +1718 909 +783 -686 +2048 -1024 +-2206 -1216 +-1090 -815 +-2044 2145 +-1840 -3348 +-557 -1480 +-1865 -2880 +70 -3884 +2772 900 +816 603 +-2742 -4030 +-1614 -2333 +502 666 +1179 -3849 +-4171 -587 +799 -1807 +2048 -4096 +3602 -4868 +-957 -3563 +2219 132 +-964 5278 +-2375 61 +2555 -3167 +2244 -3477 +2472 -600 +1158 288 +1462 0 +2348 -425 +1592 -1818 +-968 2183 +-2449 -1643 +447 -306 +2048 0 +447 306 +-2449 1643 +-968 -2183 +1592 1818 +2348 425 +1462 0 +1158 -288 +2472 600 +2244 3477 +2555 3167 +-2375 -61 +-964 -5278 +2219 -132 +-957 3563 +3602 4868 +2048 4096 +114 677 +173 -4057 +-2457 -2648 +-2532 -1182 +-3490 -5215 +-663 1211 +-4775 -3934 +-424 -3496 +4269 2428 +-3354 -260 +621 1640 +-2192 -2278 +-1690 4069 +3233 3912 +-1267 -7743 +-2048 0 +-1267 7743 +3233 -3912 +-1690 -4069 +-2192 2278 +621 -1640 +-3354 260 +4269 -2428 +-424 3496 +-4775 3934 +-663 -1211 +-3490 5215 +-2532 1182 +-2457 2648 +173 4057 +114 -677 +2048 -4096 +3602 -4868 +-957 -3563 +2219 132 +-964 5278 +-2375 61 +2555 -3167 +2244 -3477 +2472 -600 +1158 288 +1462 0 +2348 -425 +1592 -1818 +-968 2183 +-2449 -1643 +447 -306 +2048 -2048 +-3272 -1980 +1852 2604 +2477 5938 +2446 -2396 +-1867 -1726 +-829 303 +6404 -4010 +-1448 -1624 +354 -1114 +2166 -1362 +1541 3714 +-2122 2497 +-2782 -5048 +-875 -5541 +-3777 35 +-2048 0 +-3777 -35 +-875 5541 +-2782 5048 +-2122 -2497 +1541 -3714 +2166 1362 +354 1114 +-1448 1624 +6404 4010 +-829 -303 +-1867 1726 +2446 2396 +2477 -5938 +1852 -2604 +-3272 1980 +2048 2048 +103 2858 +3720 -1600 +3010 3691 +-2446 6740 +499 -143 +-3176 3377 +1826 350 +1448 -4520 +-3232 1367 +-3954 -750 +-2390 -1046 +2122 1848 +-487 -563 +1095 752 +1594 1363 +-2048 0 +1594 -1363 +1095 -752 +-487 563 +2122 -1848 +-2390 1046 +-3954 750 +-3232 -1367 +1448 4520 +1826 -350 +-3176 -3377 +499 143 +-2446 -6740 +3010 -3691 +3720 1600 +103 -2858 +2048 -2048 +-3272 -1980 +1852 2604 +2477 5938 +2446 -2396 +-1867 -1726 +-829 303 +6404 -4010 +-1448 -1624 +354 -1114 +2166 -1362 +1541 3714 +-2122 2497 +-2782 -5048 +-875 -5541 +-3777 35 +1024 4096 +-391 -825 +1665 3666 +3628 931 +4534 -580 +-1323 3736 +-2541 423 +485 3420 +-848 -2896 +-700 -3641 +-33 2953 +7043 -1858 +-594 360 +-5577 728 +-1743 -2199 +-2347 548 +1024 0 +-2347 -548 +-1743 2199 +-5577 -728 +-594 -360 +7043 1858 +-33 -2953 +-700 3641 +-848 2896 +485 -3420 +-2541 -423 +-1323 -3736 +4534 580 +3628 -931 +1665 -3666 +-391 825 +1024 -4096 +1267 126 +-1481 458 +-3037 -6714 +1858 -4364 +-199 1523 +-2847 2134 +945 -169 +4944 2896 +5271 -2685 +-1571 -3293 +1398 2892 +-1702 -1208 +-1933 850 +359 3427 +-4531 762 +-3072 0 +-4531 -762 +359 -3427 +-1933 -850 +-1702 1208 +1398 -2892 +-1571 3293 +5271 2685 +4944 -2896 +945 169 +-2847 -2134 +-199 -1523 +1858 4364 +-3037 6714 +-1481 -458 +1267 -126 +1024 4096 +-391 -825 +1665 3666 +3628 931 +4534 -580 +-1323 3736 +-2541 423 +485 3420 +-848 -2896 +-700 -3641 +-33 2953 +7043 -1858 +-594 360 +-5577 728 +-1743 -2199 +-2347 548 +-3072 -1024 +-6008 493 +-2136 1102 +-1903 1382 +4756 -3348 +-305 -1963 +1982 -921 +5789 -1063 +-3196 1748 +5272 -1494 +1956 1210 +413 4067 +-262 666 +-2946 -557 +5332 -1173 +2309 1371 +-2048 0 +2309 -1371 +5332 1173 +-2946 557 +-262 -666 +413 -4067 +1956 -1210 +5272 1494 +-3196 -1748 +5789 1063 +1982 921 +-305 1963 +4756 3348 +-1903 -1382 +-2136 -1102 +-6008 -493 +-3072 1024 +-468 1459 +1248 -1166 +3407 -656 +188 -997 +-3483 -663 +-6446 -2131 +-621 -469 +1148 300 +-2192 -3454 +-3285 5627 +-1738 5651 +-586 -5010 +763 -357 +1348 2805 +1712 -436 +4096 0 +1712 436 +1348 -2805 +763 357 +-586 5010 +-1738 -5651 +-3285 -5627 +-2192 3454 +1148 -300 +-621 469 +-6446 2131 +-3483 663 +188 997 +3407 656 +1248 1166 +-468 -1459 +-3072 -1024 +-6008 493 +-2136 1102 +-1903 1382 +4756 -3348 +-305 -1963 +1982 -921 +5789 -1063 +-3196 1748 +5272 -1494 +1956 1210 +413 4067 +-262 666 +-2946 -557 +5332 -1173 +2309 1371 +3072 2048 +2446 -578 +3216 -693 +414 -1540 +-2446 -4112 +-2840 -3849 +1720 3529 +1960 2465 +1024 -2472 +-477 -1380 +-3856 300 +1384 2718 +2122 -4255 +-1349 -1243 +1594 5761 +-1810 -350 +-7168 0 +-1810 350 +1594 -5761 +-1349 1243 +2122 4255 +1384 -2718 +-3856 -300 +-477 1380 +1024 2472 +1960 -2465 +1720 -3529 +-2840 3849 +-2446 4112 +414 1540 +3216 693 +2446 578 +3072 -2048 +1785 2431 +-3336 -1172 +3520 1101 +2446 8808 +-3635 2155 +616 3907 +-1044 1076 +1024 424 +1128 -2960 +-1376 -3952 +1306 1252 +-2122 -3337 +1200 -1724 +1421 -2329 +-3988 2515 +-3072 0 +-3988 -2515 +1421 2329 +1200 1724 +-2122 3337 +1306 -1252 +-1376 3952 +1128 2960 +1024 -424 +-1044 -1076 +616 -3907 +-3635 -2155 +2446 -8808 +3520 -1101 +-3336 1172 +1785 -2431 +3072 2048 +2446 -578 +3216 -693 +414 -1540 +-2446 -4112 +-2840 -3849 +1720 3529 +1960 2465 +1024 -2472 +-477 -1380 +-3856 300 +1384 2718 +2122 -4255 +-1349 -1243 +1594 5761 +-1810 -350 +4096 1024 +-2904 192 +1558 895 +869 -3737 +-248 392 +-1397 370 +1239 2354 +1463 4621 +-3196 124 +4548 4038 +3383 3105 +1816 -143 +-2030 -946 +-3143 -2268 +-5352 1804 +-3536 552 +7168 0 +-3536 -552 +-5352 -1804 +-3143 2268 +-2030 946 +1816 143 +3383 -3105 +4548 -4038 +-3196 -124 +1463 -4621 +1239 -2354 +-1397 -370 +-248 -392 +869 3737 +1558 -895 +-2904 -192 +4096 -1024 +1604 -2739 +114 3829 +2574 -65 +-3248 -392 +-1857 1589 +1333 -3294 +1634 -3119 +1148 -4220 +2820 -1336 +-163 52 +1676 -4890 +1430 946 +-6331 5458 +-2112 -1177 +164 -4298 +-3072 0 +164 4298 +-2112 1177 +-6331 -5458 +1430 -946 +1676 4890 +-163 -52 +2820 1336 +1148 4220 +1634 3119 +1333 3294 +-1857 -1589 +-3248 392 +2574 65 +114 -3829 +1604 2739 +4096 1024 +-2904 192 +1558 895 +869 -3737 +-248 392 +-1397 370 +1239 2354 +1463 4621 +-3196 124 +4548 4038 +3383 3105 +1816 -143 +-2030 -946 +-3143 -2268 +-5352 1804 +-3536 552 +-4096 1024 +-3999 -2714 +396 -2981 +1795 4778 +692 4332 +788 -3226 +-1392 2318 +-4678 -874 +-1748 4220 +3242 2730 +1848 -4418 +-265 -2376 +2694 -2210 +3718 -315 +1279 -4755 +2677 4370 +5120 0 +2677 -4370 +1279 4755 +3718 315 +2694 2210 +-265 2376 +1848 4418 +3242 -2730 +-1748 -4220 +-4678 874 +-1392 -2318 +788 3226 +692 -4332 +1795 -4778 +396 2981 +-3999 2714 +-4096 -1024 +-761 -2032 +-1180 5249 +-2788 1900 +-92 -236 +3234 -562 +3284 -2578 +1959 1558 +-300 -124 +-3420 -1469 +-3740 2462 +-860 -3347 +802 -1886 +171 2658 +-495 -2865 +-813 5446 +-1024 0 +-813 -5446 +-495 2865 +171 -2658 +802 1886 +-860 3347 +-3740 -2462 +-3420 1469 +-300 124 +1959 -1558 +3284 2578 +3234 562 +-92 236 +-2788 -1900 +-1180 -5249 +-761 2032 +-4096 1024 +-3999 -2714 +396 -2981 +1795 4778 +692 4332 +788 -3226 +-1392 2318 +-4678 -874 +-1748 4220 +3242 2730 +1848 -4418 +-265 -2376 +2694 -2210 +3718 -315 +1279 -4755 +2677 4370 +2048 3072 +-633 -718 +77 -2137 +2457 2501 +-4796 -816 +-1722 3613 +3426 2611 +-126 -2766 +-1148 -7117 +-1895 -433 +-3627 -103 +-731 -4414 +782 -1526 +-2803 -4900 +95 1116 +928 -1638 +-3072 0 +928 1638 +95 -1116 +-2803 4900 +782 1526 +-731 4414 +-3627 103 +-1895 433 +-1148 7117 +-126 2766 +3426 -2611 +-1722 -3613 +-4796 816 +2457 -2501 +77 2137 +-633 718 +2048 -3072 +1017 34 +3263 -3407 +-3211 584 +-2445 -32 +1911 162 +-4091 37 +1351 -1216 +3196 3021 +-897 1196 +1395 -2544 +4326 -5043 +6459 -3418 +-227 2945 +-539 4428 +255 -2493 +-5120 0 +255 2493 +-539 -4428 +-227 -2945 +6459 3418 +4326 5043 +1395 2544 +-897 -1196 +3196 -3021 +1351 1216 +-4091 -37 +1911 -162 +-2445 32 +-3211 -584 +3263 3407 +1017 -34 +2048 3072 +-633 -718 +77 -2137 +2457 2501 +-4796 -816 +-1722 3613 +3426 2611 +-126 -2766 +-1148 -7117 +-1895 -433 +-3627 -103 +-731 -4414 +782 -1526 +-2803 -4900 +95 1116 +928 -1638 +-1024 2048 +-3021 -3153 +5238 2337 +1652 1228 +-2772 -4170 +2627 2602 +2310 -516 +1345 1794 +1448 2896 +2944 -1062 +82 1842 +1537 908 +-1324 4494 +-1333 1790 +3252 -4438 +-154 1546 +-1024 0 +-154 -1546 +3252 4438 +-1333 -1790 +-1324 -4494 +1537 -908 +82 -1842 +2944 1062 +1448 -2896 +1345 -1794 +2310 516 +2627 -2602 +-2772 4170 +1652 -1228 +5238 -2337 +-3021 3153 +-1024 -2048 +-882 -305 +1598 1279 +3164 3073 +-2772 74 +-7685 -898 +-2374 2253 +1381 -4019 +-1448 -2896 +2578 1292 +-1714 3991 +-1591 117 +-1324 -398 +-4162 7625 +-200 3957 +1601 3245 +3072 0 +1601 -3245 +-200 -3957 +-4162 -7625 +-1324 398 +-1591 -117 +-1714 -3991 +2578 -1292 +-1448 2896 +1381 4019 +-2374 -2253 +-7685 898 +-2772 -74 +3164 -3073 +1598 -1279 +-882 305 +-1024 2048 +-3021 -3153 +5238 2337 +1652 1228 +-2772 -4170 +2627 2602 +2310 -516 +1345 1794 +1448 2896 +2944 -1062 +82 1842 +1537 908 +-1324 4494 +-1333 1790 +3252 -4438 +-154 1546 +2048 -1024 +-2908 -117 +-2563 432 +-817 6065 +-403 462 +162 5008 +2293 3990 +-1215 2195 +-724 1148 +1319 -1149 +-1917 3107 +3679 -1734 +3152 536 +-1360 2639 +-3185 3123 +-1659 7628 +3072 0 +-1659 -7628 +-3185 -3123 +-1360 -2639 +3152 -536 +3679 1734 +-1917 -3107 +1319 1149 +-724 -1148 +-1215 -2195 +2293 -3990 +162 -5008 +-403 -462 +-817 -6065 +-2563 -432 +-2908 117 +2048 1024 +676 2377 +1115 -1577 +4157 5057 +-1645 138 +-606 -2555 +-845 2287 +550 1855 +724 -3196 +-1983 -1144 +3365 1969 +-4123 -3749 +-5200 -4032 +4701 -1852 +1736 2724 +-572 2274 +1024 0 +-572 -2274 +1736 -2724 +4701 1852 +-5200 4032 +-4123 3749 +3365 -1969 +-1983 1144 +724 3196 +550 -1855 +-845 -2287 +-606 2555 +-1645 -138 +4157 -5057 +1115 1577 +676 -2377 +2048 -1024 +-2908 -117 +-2563 432 +-817 6065 +-403 462 +162 5008 +2293 3990 +-1215 2195 +-724 1148 +1319 -1149 +-1917 3107 +3679 -1734 +3152 536 +-1360 2639 +-3185 3123 +-1659 7628 +-2048 2048 +1181 -2500 +625 -2333 +4041 3723 +3216 -5702 +-3124 849 +-1828 3261 +940 -2078 +1024 -4944 +-758 -464 +35 837 +4044 -3583 +1988 -654 +-1021 -5969 +-826 -3770 +-2566 -204 +-4096 0 +-2566 204 +-826 3770 +-1021 5969 +1988 654 +4044 3583 +35 -837 +-758 464 +1024 4944 +940 2078 +-1828 -3261 +-3124 -849 +3216 5702 +4041 -3723 +625 2333 +1181 2500 +-2048 -2048 +-351 4056 +2234 2045 +-850 5267 +-568 758 +-4327 -2179 +-4669 -2294 +460 -1869 +1024 848 +3822 63 +-530 1330 +-3273 -3986 +3556 -195 +-1282 2926 +-3234 -3511 +3064 -487 +4096 0 +3064 487 +-3234 3511 +-1282 -2926 +3556 195 +-3273 3986 +-530 -1330 +3822 -63 +1024 -848 +460 1869 +-4669 2294 +-4327 2179 +-568 -758 +-850 -5267 +2234 -2045 +-351 -4056 +-2048 2048 +1181 -2500 +625 -2333 +4041 3723 +3216 -5702 +-3124 849 +-1828 3261 +940 -2078 +1024 -4944 +-758 -464 +35 837 +4044 -3583 +1988 -654 +-1021 -5969 +-826 -3770 +-2566 -204 +2048 -5120 +1319 -4718 +-2256 -1072 +287 -2583 +-986 3334 +-5676 68 +-3225 247 +-6389 -674 +-1148 124 +4716 3037 +-2036 -835 +1625 107 +912 -2884 +-136 1702 +2194 3978 +-902 -3167 +-1024 0 +-902 3167 +2194 -3978 +-136 -1702 +912 2884 +1625 -107 +-2036 835 +4716 -3037 +-1148 -124 +-6389 674 +-3225 -247 +-5676 -68 +-986 -3334 +287 2583 +-2256 1072 +1319 4718 +2048 5120 +-2763 -3707 +-1684 -5921 +-380 -204 +-1311 3658 +2130 -4519 +393 953 +3149 471 +3196 -4220 +-1476 -106 +772 -364 +1921 3011 +5480 1684 +229 3080 +-2350 3014 +2346 -2123 +1024 0 +2346 2123 +-2350 -3014 +229 -3080 +5480 -1684 +1921 -3011 +772 364 +-1476 106 +3196 4220 +3149 -471 +393 -953 +2130 4519 +-1311 -3658 +-380 204 +-1684 5921 +-2763 3707 +2048 -5120 +1319 -4718 +-2256 -1072 +287 -2583 +-986 3334 +-5676 68 +-3225 247 +-6389 -674 +-1148 124 +4716 3037 +-2036 -835 +1625 107 +912 -2884 +-136 1702 +2194 3978 +-902 -3167 +-4096 0 +-3755 1962 +3145 857 +3578 -1257 +784 614 +764 620 +-5851 -5219 +515 1803 +848 2472 +-2742 2255 +2485 4775 +-1238 -2834 +1892 -1278 +-1175 -1945 +-193 -1169 +4964 1983 +0 0 +4964 -1983 +-193 1169 +-1175 1945 +1892 1278 +-1238 2834 +2485 -4775 +-2742 -2255 +848 -2472 +515 -1803 +-5851 5219 +764 -620 +784 -614 +3578 1257 +3145 -857 +-3755 -1962 +-4096 0 +-2204 -4548 +-638 -6494 +-1158 -2200 +-784 -2062 +-1851 429 +1439 -1838 +2792 1348 +-4944 -424 +-3462 -2001 +6023 3849 +5221 986 +-1892 -170 +1650 1384 +1782 3022 +-1902 -1673 +0 0 +-1902 1673 +1782 -3022 +1650 -1384 +-1892 170 +5221 -986 +6023 -3849 +-3462 2001 +-4944 424 +2792 -1348 +1439 1838 +-1851 -429 +-784 2062 +-1158 2200 +-638 6494 +-2204 4548 +-4096 0 +-3755 1962 +3145 857 +3578 -1257 +784 614 +764 620 +-5851 -5219 +515 1803 +848 2472 +-2742 2255 +2485 4775 +-1238 -2834 +1892 -1278 +-1175 -1945 +-193 -1169 +4964 1983 +-2048 3072 +3826 4342 +604 -947 +-5613 6610 +-202 1286 +1364 -3061 +436 -6220 +3046 -3678 +-1748 2172 +-4970 -2389 +313 2247 +3454 766 +2804 -836 +2528 -1563 +3280 -2840 +2428 6438 +1024 0 +2428 -6438 +3280 2840 +2528 1563 +2804 836 +3454 -766 +313 -2247 +-4970 2389 +-1748 -2172 +3046 3678 +436 6220 +1364 3061 +-202 -1286 +-5613 -6610 +604 947 +3826 -4342 +-2048 -3072 +-972 -64 +1508 -2578 +483 2276 +-2094 1610 +-4145 2169 +-1440 167 +-962 502 +-300 -2172 +431 230 +-5101 1589 +-1353 -17 +3588 3732 +-2511 -1895 +400 1012 +2966 1257 +-1024 0 +2966 -1257 +400 -1012 +-2511 1895 +3588 -3732 +-1353 17 +-5101 -1589 +431 -230 +-300 2172 +-962 -502 +-1440 -167 +-4145 -2169 +-2094 -1610 +483 -2276 +1508 2578 +-972 64 +-2048 3072 +3826 4342 +604 -947 +-5613 6610 +-202 1286 +1364 -3061 +436 -6220 +3046 -3678 +-1748 2172 +-4970 -2389 +313 2247 +3454 766 +2804 -836 +2528 -1563 +3280 -2840 +2428 6438 +-2048 -1024 +2265 5177 +4183 1967 +1631 -433 +-3948 188 +642 722 +-1542 2420 +-2177 -1133 +2348 724 +-3823 285 +3146 -609 +-992 1065 +-4162 586 +4980 -76 +62 -3060 +-4617 548 +-5120 0 +-4617 -548 +62 3060 +4980 76 +-4162 -586 +-992 -1065 +3146 609 +-3823 -285 +2348 -724 +-2177 1133 +-1542 -2420 +642 -722 +-3948 -188 +1631 433 +4183 -1967 +2265 -5177 +-2048 1024 +-3359 877 +-1232 -2475 +-299 -1570 +-1596 4756 +-686 3033 +-638 -3240 +3216 1648 +3796 -724 +1455 -657 +6026 6781 +148 7154 +1514 262 +369 -3256 +-1814 1351 +1247 -1174 +-3072 0 +1247 1174 +-1814 -1351 +369 3256 +1514 -262 +148 -7154 +6026 -6781 +1455 657 +3796 724 +3216 -1648 +-638 3240 +-686 -3033 +-1596 -4756 +-299 1570 +-1232 2475 +-3359 -877 +-2048 -1024 +2265 5177 +4183 1967 +1631 -433 +-3948 188 +642 722 +-1542 2420 +-2177 -1133 +2348 724 +-3823 285 +3146 -609 +-992 1065 +-4162 586 +4980 -76 +62 -3060 +-4617 548 +-1024 1024 +-473 410 +-692 -1747 +-4687 916 +-1684 756 +3822 -2626 +-3181 1125 +2035 -1396 +1148 -1748 +-1031 3667 +762 -3443 +383 -4071 +3334 4142 +-3773 3186 +-5146 3152 +3163 4602 +6144 0 +3163 -4602 +-5146 -3152 +-3773 -3186 +3334 -4142 +383 4071 +762 3443 +-1031 -3667 +1148 1748 +2035 1396 +-3181 -1125 +3822 2626 +-1684 -756 +-4687 -916 +-692 1747 +-473 -410 +-1024 -1024 +-3920 -510 +2548 1931 +2188 1965 +2884 1540 +-201 4951 +365 4263 +1849 2396 +-3196 -300 +6045 -1779 +855 1839 +20 1933 +3658 2250 +-3542 1025 +-3702 -1769 +-1875 1979 +0 0 +-1875 -1979 +-3702 1769 +-3542 -1025 +3658 -2250 +20 -1933 +855 -1839 +6045 1779 +-3196 300 +1849 -2396 +365 -4263 +-201 -4951 +2884 -1540 +2188 -1965 +2548 -1931 +-3920 510 +-1024 1024 +-473 410 +-692 -1747 +-4687 916 +-1684 756 +3822 -2626 +-3181 1125 +2035 -1396 +1148 -1748 +-1031 3667 +762 -3443 +383 -4071 +3334 4142 +-3773 3186 +-5146 3152 +3163 4602 +0 2048 +5997 -1005 +4597 -2152 +-2514 -1343 +2916 -3242 +-3249 -1478 +1009 -3223 +9067 -1790 +-2048 -1024 +-1610 839 +274 -516 +-1634 -27 +240 3686 +-870 677 +-3298 2353 +-2423 -4596 +0 0 +-2423 4596 +-3298 -2353 +-870 -677 +240 -3686 +-1634 27 +274 516 +-1610 -839 +-2048 1024 +9067 1790 +1009 3223 +-3249 1478 +2916 3242 +-2514 1343 +4597 2152 +5997 1005 +0 -2048 +-1448 2109 +-2261 3535 +1782 -2355 +-868 -4350 +142 -643 +2007 1619 +-1021 3992 +-2048 -1024 +-1972 4570 +-393 5904 +-1940 -1726 +1808 1010 +2491 -1608 +-1934 -2169 +-797 -5077 +0 0 +-797 5077 +-1934 2169 +2491 1608 +1808 -1010 +-1940 1726 +-393 -5904 +-1972 -4570 +-2048 1024 +-1021 -3992 +2007 -1619 +142 643 +-868 4350 +1782 2355 +-2261 -3535 +-1448 -2109 +0 2048 +5997 -1005 +4597 -2152 +-2514 -1343 +2916 -3242 +-3249 -1478 +1009 -3223 +9067 -1790 +-2048 -1024 +-1610 839 +274 -516 +-1634 -27 +240 3686 +-870 677 +-3298 2353 +-2423 -4596 +-2048 2048 +-6093 3094 +-2407 -2741 +-1153 -1711 +1064 758 +383 1528 +1446 1661 +1402 909 +-424 -848 +-279 -2616 +6138 -709 +5026 -3140 +504 -195 +2337 3740 +1608 -3667 +-601 -3728 +-2048 0 +-601 3728 +1608 3667 +2337 -3740 +504 195 +5026 3140 +6138 709 +-279 2616 +-424 848 +1402 -909 +1446 -1661 +383 -1528 +1064 -758 +-1153 1711 +-2407 2741 +-6093 -3094 +-2048 -2048 +404 846 +-6047 405 +4239 -1120 +3281 -5702 +-516 -264 +-3237 1355 +-3914 -1065 +2472 4944 +-1434 2772 +4342 828 +-2884 1876 +-4848 -654 +4154 -908 +-1844 -1565 +-1071 -212 +2048 0 +-1071 212 +-1844 1565 +4154 908 +-4848 654 +-2884 -1876 +4342 -828 +-1434 -2772 +2472 -4944 +-3914 1065 +-3237 -1355 +-516 264 +3281 5702 +4239 1120 +-6047 -405 +404 -846 +-2048 2048 +-6093 3094 +-2407 -2741 +-1153 -1711 +1064 758 +383 1528 +1446 1661 +1402 909 +-424 -848 +-279 -2616 +6138 -709 +5026 -3140 +504 -195 +2337 3740 +1608 -3667 +-601 -3728 +3072 -4096 +-1034 3187 +-6741 -86 +-2435 -5519 +-1468 1398 +-840 -5613 +-1489 -4630 +-363 -2974 +2472 -2472 +-3974 3417 +1255 913 +6027 -2333 +-1688 -3170 +-1085 655 +2857 656 +-23 1560 +-3072 0 +-23 -1560 +2857 -656 +-1085 -655 +-1688 3170 +6027 2333 +1255 -913 +-3974 -3417 +2472 2472 +-363 2974 +-1489 4630 +-840 5613 +-1468 -1398 +-2435 5519 +-6741 86 +-1034 -3187 +3072 4096 +4559 1748 +2957 1350 +-700 796 +2316 -2846 +2055 -3291 +-78 690 +-4928 -1823 +-424 424 +3234 1914 +312 -757 +3223 -203 +-3256 1722 +-452 3390 +928 -3488 +-3263 -483 +1024 0 +-3263 483 +928 3488 +-452 -3390 +-3256 -1722 +3223 203 +312 757 +3234 -1914 +-424 -424 +-4928 1823 +-78 -690 +2055 3291 +2316 2846 +-700 -796 +2957 -1350 +4559 -1748 +3072 -4096 +-1034 3187 +-6741 -86 +-2435 -5519 +-1468 1398 +-840 -5613 +-1489 -4630 +-363 -2974 +2472 -2472 +-3974 3417 +1255 913 +6027 -2333 +-1688 -3170 +-1085 655 +2857 656 +-23 1560 +-1024 -3072 +3785 -1807 +865 4176 +1338 4320 +-1246 2754 +404 7380 +3354 2390 +511 -1068 +724 3620 +-4753 -188 +2790 -197 +2668 3317 +-1356 -2524 +1072 -4119 +-642 2473 +-1495 4916 +-4096 0 +-1495 -4916 +-642 -2473 +1072 4119 +-1356 2524 +2668 -3317 +2790 197 +-4753 188 +724 -3620 +511 1068 +3354 -2390 +404 -7380 +-1246 -2754 +1338 -4320 +865 -4176 +3785 1807 +-1024 3072 +549 650 +-3725 -1472 +-1825 184 +646 -706 +-4500 1329 +3142 5371 +171 -3164 +-724 -3620 +287 1957 +-2294 -3131 +-140 -1528 +-2140 476 +982 1703 +4701 5526 +946 -72 +-2048 0 +946 72 +4701 -5526 +982 -1703 +-2140 -476 +-140 1528 +-2294 3131 +287 -1957 +-724 3620 +171 3164 +3142 -5371 +-4500 -1329 +646 706 +-1825 -184 +-3725 1472 +549 -650 +-1024 -3072 +3785 -1807 +865 4176 +1338 4320 +-1246 2754 +404 7380 +3354 2390 +511 -1068 +724 3620 +-4753 -188 +2790 -197 +2668 3317 +-1356 -2524 +1072 -4119 +-642 2473 +-1495 4916 +-1024 -6144 +-2118 461 +-184 -2521 +-400 1116 +1398 3340 +-3890 -3257 +2774 -1308 +4633 -839 +-848 1448 +3257 3734 +-2481 -4149 +3584 -1954 +3170 2232 +2716 -472 +2392 -113 +-4627 -2083 +-1024 0 +-4627 2083 +2392 113 +2716 472 +3170 -2232 +3584 1954 +-2481 4149 +3257 -3734 +-848 -1448 +4633 839 +2774 1308 +-3890 3257 +1398 -3340 +-400 -1116 +-184 2521 +-2118 -461 +-1024 6144 +2877 5787 +2296 -1722 +-3975 -1006 +-2846 -444 +1840 -1302 +-3778 -3584 +-1421 -4976 +4944 -1448 +1100 339 +-2308 -743 +1601 -909 +-1722 664 +-1476 -1115 +1288 -4130 +-3701 -1558 +-5120 0 +-3701 1558 +1288 4130 +-1476 1115 +-1722 -664 +1601 909 +-2308 743 +1100 -339 +4944 1448 +-1421 4976 +-3778 3584 +1840 1302 +-2846 444 +-3975 1006 +2296 1722 +2877 -5787 +-1024 -6144 +-2118 461 +-184 -2521 +-400 1116 +1398 3340 +-3890 -3257 +2774 -1308 +4633 -839 +-848 1448 +3257 3734 +-2481 -4149 +3584 -1954 +3170 2232 +2716 -472 +2392 -113 +-4627 -2083 +3072 -2048 +-3214 2931 +327 4015 +716 1687 +1542 -1612 +3280 -1035 +4156 2415 +2588 -3834 +-5968 424 +-608 3089 +-316 -2490 +-773 2540 +2087 605 +-972 -2954 +-1468 -585 +-686 -1743 +1024 0 +-686 1743 +-1468 585 +-972 2954 +2087 -605 +-773 -2540 +-316 2490 +-608 -3089 +-5968 -424 +2588 3834 +4156 -2415 +3280 1035 +1542 1612 +716 -1687 +327 -4015 +-3214 -2931 +3072 2048 +2106 3273 +154 3450 +-3392 1617 +-6486 5956 +-604 4793 +1676 806 +-1480 1039 +-176 -2472 +1717 2126 +-1421 -82 +3449 1659 +-1238 3740 +-1703 -4886 +5084 2256 +-422 4372 +-3072 0 +-422 -4372 +5084 -2256 +-1703 4886 +-1238 -3740 +3449 -1659 +-1421 82 +1717 -2126 +-176 2472 +-1480 -1039 +1676 -806 +-604 -4793 +-6486 -5956 +-3392 -1617 +154 -3450 +2106 -3273 +3072 -2048 +-3214 2931 +327 4015 +716 1687 +1542 -1612 +3280 -1035 +4156 2415 +2588 -3834 +-5968 424 +-608 3089 +-316 -2490 +-773 2540 +2087 605 +-972 -2954 +-1468 -585 +-686 -1743 +-1024 0 +-1455 82 +-2893 1969 +3332 -5877 +1278 -664 +2431 509 +6161 -2168 +-2192 466 +-600 2048 +2201 3811 +3982 4785 +-1032 -1862 +-2062 -3340 +2734 5104 +-346 4520 +-145 707 +1024 0 +-145 -707 +-346 -4520 +2734 -5104 +-2062 3340 +-1032 1862 +3982 -4785 +2201 -3811 +-600 -2048 +-2192 -466 +6161 2168 +2431 -509 +1278 664 +3332 5877 +-2893 -1969 +-1455 -82 +-1024 0 +1515 -8090 +152 2534 +-346 2144 +170 -2232 +-1955 -404 +-2000 -559 +-2373 4120 +-3496 2048 +-2749 3230 +1747 2376 +-1899 1288 +614 444 +2528 -3724 +1390 1680 +-594 -468 +-7168 0 +-594 468 +1390 -1680 +2528 3724 +614 -444 +-1899 -1288 +1747 -2376 +-2749 -3230 +-3496 -2048 +-2373 -4120 +-2000 559 +-1955 404 +170 2232 +-346 -2144 +152 -2534 +1515 8090 +-1024 0 +-1455 82 +-2893 1969 +3332 -5877 +1278 -664 +2431 509 +6161 -2168 +-2192 466 +-600 2048 +2201 3811 +3982 4785 +-1032 -1862 +-2062 -3340 +2734 5104 +-346 4520 +-145 707 +-3072 1024 +-1162 -3067 +686 1057 +1516 5176 +3732 248 +939 1259 +1743 -2491 +-535 -1381 +-1148 3196 +-2136 -1505 +293 1361 +2893 -605 +-1286 -2030 +-4736 -178 +-5041 -4205 +-2806 1895 +-2048 0 +-2806 -1895 +-5041 4205 +-4736 178 +-1286 2030 +2893 605 +293 -1361 +-2136 1505 +-1148 -3196 +-535 1381 +1743 2491 +939 -1259 +3732 -248 +1516 -5176 +686 -1057 +-1162 3067 +-3072 -1024 +2622 -1150 +1650 -81 +2241 4443 +-836 3248 +2727 -2594 +1272 -482 +-3531 263 +3196 -1148 +2418 2292 +-412 962 +-8127 -3553 +-1610 1430 +2546 -1219 +-192 -5907 +5131 3985 +4096 0 +5131 -3985 +-192 5907 +2546 1219 +-1610 -1430 +-8127 3553 +-412 -962 +2418 -2292 +3196 1148 +-3531 -263 +1272 482 +2727 2594 +-836 -3248 +2241 -4443 +1650 81 +2622 1150 +-3072 1024 +-1162 -3067 +686 1057 +1516 5176 +3732 248 +939 1259 +1743 -2491 +-535 -1381 +-1148 3196 +-2136 -1505 +293 1361 +2893 -605 +-1286 -2030 +-4736 -178 +-5041 -4205 +-2806 1895 +2048 3072 +-171 3456 +1314 2869 +2668 -627 +-618 4442 +-408 -1681 +-2198 -2585 +-3828 6152 +1748 1324 +-1758 4506 +601 429 +-658 -3473 +-2296 -208 +1064 -1738 +-253 3823 +1946 -918 +3072 0 +1946 918 +-253 -3823 +1064 1738 +-2296 208 +-658 3473 +601 -429 +-1758 -4506 +1748 -1324 +-3828 -6152 +-2198 2585 +-408 1681 +-618 -4442 +2668 627 +1314 -2869 +-171 -3456 +2048 -3072 +6396 -4500 +410 -1981 +-2371 1858 +-4078 2550 +-4472 -162 +-322 -1879 +2617 -903 +300 2772 +-4600 743 +6015 900 +2403 1630 +-5296 -992 +1774 2970 +2626 2858 +-602 -126 +-3072 0 +-602 126 +2626 -2858 +1774 -2970 +-5296 992 +2403 -1630 +6015 -900 +-4600 -743 +300 -2772 +2617 903 +-322 1879 +-4472 162 +-4078 -2550 +-2371 -1858 +410 1981 +6396 4500 +2048 3072 +-171 3456 +1314 2869 +2668 -627 +-618 4442 +-408 -1681 +-2198 -2585 +-3828 6152 +1748 1324 +-1758 4506 +601 429 +-658 -3473 +-2296 -208 +1064 -1738 +-253 3823 +1946 -918 +-3072 2048 +159 8076 +-3897 66 +-1765 -1825 +-2882 4724 +-2268 236 +3624 2291 +1106 1556 +4096 -1448 +-1594 -1007 +-2936 1021 +689 848 +678 -3156 +3220 -2838 +535 -2507 +-180 -469 +1024 0 +-180 469 +535 2507 +3220 2838 +678 3156 +689 -848 +-2936 -1021 +-1594 1007 +4096 1448 +1106 -1556 +3624 -2291 +-2268 -236 +-2882 -4724 +-1765 1825 +-3897 -66 +159 -8076 +-3072 -2048 +-1059 -3352 +2655 -66 +2738 885 +-5558 -628 +-1546 2920 +4729 -2291 +-2614 -928 +4096 1448 +885 379 +-5416 -1021 +-2227 -4004 +-430 -940 +1159 3778 +708 2507 +3297 -4255 +5120 0 +3297 4255 +708 -2507 +1159 -3778 +-430 940 +-2227 4004 +-5416 1021 +885 -379 +4096 -1448 +-2614 928 +4729 2291 +-1546 -2920 +-5558 628 +2738 -885 +2655 66 +-1059 3352 +-3072 2048 +159 8076 +-3897 66 +-1765 -1825 +-2882 4724 +-2268 236 +3624 2291 +1106 1556 +4096 -1448 +-1594 -1007 +-2936 1021 +689 848 +678 -3156 +3220 -2838 +535 -2507 +-180 -469 +-3072 0 +-1851 588 +-790 -3619 +1792 -1852 +3230 -1748 +-4153 -2649 +-44 3092 +3644 1390 +3072 -3920 +-1090 -4832 +-2003 -3910 +3133 -2045 +-230 300 +-4948 -2442 +2132 -5327 +2166 -351 +-5120 0 +2166 351 +2132 5327 +-4948 2442 +-230 -300 +3133 2045 +-2003 3910 +-1090 4832 +3072 3920 +3644 -1390 +-44 -3092 +-4153 2649 +3230 1748 +1792 1852 +-790 3619 +-1851 -588 +-3072 0 +1891 -1709 +-2226 499 +-1896 3587 +-3230 -1748 +1353 522 +5276 -1969 +1921 -2299 +3072 1872 +-250 -3958 +-333 2138 +-2341 5583 +230 300 +-4524 1649 +-2012 -689 +5154 -458 +-1024 0 +5154 458 +-2012 689 +-4524 -1649 +230 -300 +-2341 -5583 +-333 -2138 +-250 3958 +3072 -1872 +1921 2299 +5276 1969 +1353 -522 +-3230 1748 +-1896 -3587 +-2226 -499 +1891 1709 +-3072 0 +-1851 588 +-790 -3619 +1792 -1852 +3230 -1748 +-4153 -2649 +-44 3092 +3644 1390 +3072 -3920 +-1090 -4832 +-2003 -3910 +3133 -2045 +-230 300 +-4948 -2442 +2132 -5327 +2166 -351 +3072 -4096 +5700 1329 +151 1614 +2358 -1816 +-3386 640 +-2121 -4435 +-3506 -4972 +-1654 852 +7416 -1024 +-3040 578 +3374 171 +4243 -2985 +-2602 -2976 +-926 -54 +-3752 3683 +-1896 143 +-1024 0 +-1896 -143 +-3752 -3683 +-926 54 +-2602 2976 +4243 2985 +3374 -171 +-3040 -578 +7416 1024 +-1654 -852 +-3506 4972 +-2121 4435 +-3386 -640 +2358 1816 +151 -1614 +5700 -1329 +3072 4096 +-896 2203 +1077 -4067 +2005 -3333 +-710 2856 +-2939 174 +3846 -156 +3040 295 +-1272 -1024 +-2131 6232 +-817 3389 +-750 -1587 +-1494 2376 +-1869 2784 +-372 2553 +876 860 +-1024 0 +876 -860 +-372 -2553 +-1869 -2784 +-1494 -2376 +-750 1587 +-817 -3389 +-2131 -6232 +-1272 1024 +3040 -295 +3846 156 +-2939 -174 +-710 -2856 +2005 3333 +1077 4067 +-896 -2203 +3072 -4096 +5700 1329 +151 1614 +2358 -1816 +-3386 640 +-2121 -4435 +-3506 -4972 +-1654 852 +7416 -1024 +-3040 578 +3374 171 +4243 -2985 +-2602 -2976 +-926 -54 +-3752 3683 +-1896 143 +3072 6144 +2074 1815 +527 1074 +4140 1826 +5982 3581 +-1435 -196 +-4550 -5530 +1471 -1388 +848 1448 +1695 686 +-433 1717 +-1476 1460 +-2042 3100 +-4132 1977 +-40 -1974 +449 -459 +-1024 0 +449 459 +-40 1974 +-4132 -1977 +-2042 -3100 +-1476 -1460 +-433 -1717 +1695 -686 +848 -1448 +1471 1388 +-4550 5530 +-1435 196 +5982 -3581 +4140 -1826 +527 -1074 +2074 -1815 +3072 -6144 +454 -4578 +-343 2683 +193 2669 +3306 1364 +-3031 241 +-838 -2690 +583 -1807 +-4944 -1448 +-2182 -97 +-1171 1152 +2158 -2982 +-3151 -2252 +3583 950 +-1343 434 +-4545 1480 +11264 0 +-4545 -1480 +-1343 -434 +3583 -950 +-3151 2252 +2158 2982 +-1171 -1152 +-2182 97 +-4944 1448 +583 1807 +-838 2690 +-3031 -241 +3306 -1364 +193 -2669 +-343 -2683 +454 4578 +3072 6144 +2074 1815 +527 1074 +4140 1826 +5982 3581 +-1435 -196 +-4550 -5530 +1471 -1388 +848 1448 +1695 686 +-433 1717 +-1476 1460 +-2042 3100 +-4132 1977 +-40 -1974 +449 -459 +4096 3072 +-341 2755 +-5373 -2998 +1871 -796 +946 2010 +2369 689 +-551 4217 +-625 -117 +2172 -1748 +-158 3742 +6166 2641 +-2428 2586 +-392 -112 +685 946 +-3887 6430 +245 843 +-3072 0 +245 -843 +-3887 -6430 +685 -946 +-392 112 +-2428 -2586 +6166 -2641 +-158 -3742 +2172 1748 +-625 117 +-551 -4217 +2369 -689 +946 -2010 +1871 796 +-5373 2998 +-341 -2755 +4096 -3072 +-1391 -3548 +-1308 -3210 +3213 387 +-946 2335 +-3435 4362 +1880 -1125 +-1049 -5439 +-2172 -300 +-385 150 +-1703 -1949 +-1857 585 +392 4456 +-417 4958 +4775 1346 +3704 -381 +-5120 0 +3704 381 +4775 -1346 +-417 -4958 +392 -4456 +-1857 -585 +-1703 1949 +-385 -150 +-2172 300 +-1049 5439 +1880 1125 +-3435 -4362 +-946 -2335 +3213 -387 +-1308 3210 +-1391 3548 +4096 3072 +-341 2755 +-5373 -2998 +1871 -796 +946 2010 +2369 689 +-551 4217 +-625 -117 +2172 -1748 +-158 3742 +6166 2641 +-2428 2586 +-392 -112 +685 946 +-3887 6430 +245 843 +5120 2048 +-495 5905 +-2766 5697 +248 -1398 +-724 -554 +-1269 -3234 +-2734 2404 +1433 4823 +-600 -2896 +154 -5691 +-506 429 +-7895 3034 +724 -1338 +-2639 2299 +-780 2279 +4692 3096 +-3072 0 +4692 -3096 +-780 -2279 +-2639 -2299 +724 1338 +-7895 -3034 +-506 -429 +154 5691 +-600 2896 +1433 -4823 +-2734 -2404 +-1269 3234 +-724 554 +248 1398 +-2766 -5697 +-495 -5905 +5120 -2048 +1260 -1595 +874 2551 +3077 2613 +-724 554 +-4397 -258 +1950 2709 +1799 -623 +-3496 2896 +190 3579 +1290 -1108 +2417 2921 +724 1338 +-1127 172 +2672 176 +2553 3093 +1024 0 +2553 -3093 +2672 -176 +-1127 -172 +724 -1338 +2417 -2921 +1290 1108 +190 -3579 +-3496 -2896 +1799 623 +1950 -2709 +-4397 258 +-724 -554 +3077 -2613 +874 -2551 +1260 1595 +5120 2048 +-495 5905 +-2766 5697 +248 -1398 +-724 -554 +-1269 -3234 +-2734 2404 +1433 4823 +-600 -2896 +154 -5691 +-506 429 +-7895 3034 +724 -1338 +-2639 2299 +-780 2279 +4692 3096 +-1024 5120 +1909 440 +604 3658 +-1622 413 +886 -502 +388 -325 +-2073 -505 +-2471 1794 +6268 724 +1127 3913 +-3887 1772 +-2170 -4085 +-3008 -1056 +3578 3180 +2213 1904 +-2606 -2801 +-2048 0 +-2606 2801 +2213 -1904 +3578 -3180 +-3008 1056 +-2170 4085 +-3887 -1772 +1127 -3913 +6268 -724 +-2471 -1794 +-2073 505 +388 325 +886 502 +-1622 -413 +604 -3658 +1909 -440 +-1024 -5120 +2202 -1538 +179 6898 +1788 -2767 +562 -2394 +444 835 +181 -4051 +-276 -1740 +1924 -724 +1620 237 +5779 1865 +1338 500 +1560 -1840 +-3743 -1438 +-2997 460 +-1505 -2393 +-12288 0 +-1505 2393 +-2997 -460 +-3743 1438 +1560 1840 +1338 -500 +5779 -1865 +1620 -237 +1924 724 +-276 1740 +181 4051 +444 -835 +562 2394 +1788 2767 +179 -6898 +2202 1538 +-1024 5120 +1909 440 +604 3658 +-1622 413 +886 -502 +388 -325 +-2073 -505 +-2471 1794 +6268 724 +1127 3913 +-3887 1772 +-2170 -4085 +-3008 -1056 +3578 3180 +2213 1904 +-2606 -2801 +-2048 3072 +2289 6042 +3935 -7741 +2634 -336 +-1416 536 +-369 -4349 +357 -1389 +-283 1066 +4220 1748 +-11 -835 +-4403 2710 +-680 1190 +-1970 138 +-1330 97 +2123 -1292 +3719 2327 +5120 0 +3719 -2327 +2123 1292 +-1330 -97 +-1970 -138 +-680 -1190 +-4403 -2710 +-11 835 +4220 -1748 +-283 -1066 +357 1389 +-369 4349 +-1416 -536 +2634 336 +3935 7741 +2289 -6042 +-2048 -3072 +-1319 -206 +2406 -544 +-88 5856 +-632 -4032 +175 -3549 +2438 -124 +4052 1999 +-124 300 +705 -1084 +-1289 370 +-5807 -528 +-78 462 +-328 -2 +-5567 5792 +-3361 924 +-1024 0 +-3361 -924 +-5567 -5792 +-328 2 +-78 -462 +-5807 528 +-1289 -370 +705 1084 +-124 -300 +4052 -1999 +2438 124 +175 3549 +-632 4032 +-88 -5856 +2406 544 +-1319 206 +-2048 3072 +2289 6042 +3935 -7741 +2634 -336 +-1416 536 +-369 -4349 +357 -1389 +-283 1066 +4220 1748 +-11 -835 +-4403 2710 +-680 1190 +-1970 138 +-1330 97 +2123 -1292 +3719 2327 +-3072 0 +-2632 68 +1678 2024 +-5323 -3106 +724 554 +6322 7342 +530 5168 +2480 -4759 +0 -5544 +1418 -128 +1008 1979 +1127 1866 +-724 1338 +-2874 3322 +1468 1336 +372 -826 +-1024 0 +372 826 +1468 -1336 +-2874 -3322 +-724 -1338 +1127 -1866 +1008 -1979 +1418 128 +0 5544 +2480 4759 +530 -5168 +6322 -7342 +724 -554 +-5323 3106 +1678 -2024 +-2632 -68 +-3072 0 +-2159 -3757 +-3570 -456 +-3341 -4246 +724 -554 +300 -788 +-1313 -1384 +2178 6172 +0 -2648 +-1642 -155 +-224 1805 +2954 -5201 +-724 -1338 +834 -786 +424 231 +-16 -1166 +7168 0 +-16 1166 +424 -231 +834 786 +-724 1338 +2954 5201 +-224 -1805 +-1642 155 +0 2648 +2178 -6172 +-1313 1384 +300 788 +724 554 +-3341 4246 +-3570 456 +-2159 3757 +-3072 0 +-2632 68 +1678 2024 +-5323 -3106 +724 554 +6322 7342 +530 5168 +2480 -4759 +0 -5544 +1418 -128 +1008 1979 +1127 1866 +-724 1338 +-2874 3322 +1468 1336 +372 -826 +1024 3072 +6555 2423 +3863 -753 +4024 4039 +-2570 -222 +2060 -1782 +-951 2659 +-5265 1009 +5244 300 +285 -917 +3332 -3666 +-1592 -4565 +-4128 332 +4914 -95 +1614 -4148 +-1023 2416 +-2048 0 +-1023 -2416 +1614 4148 +4914 95 +-4128 -332 +-1592 4565 +3332 3666 +285 917 +5244 -300 +-5265 -1009 +-951 -2659 +2060 1782 +-2570 222 +4024 -4039 +3863 753 +6555 -2423 +1024 -3072 +-2474 1318 +-248 -919 +826 -658 +-678 1670 +-4304 3987 +-785 -88 +-293 -3082 +900 1748 +3057 1061 +2500 445 +-1516 1418 +-4912 1116 +-4412 -1875 +-1133 -3316 +-841 3541 +-4096 0 +-841 -3541 +-1133 3316 +-4412 1875 +-4912 -1116 +-1516 -1418 +2500 -445 +3057 -1061 +900 -1748 +-293 3082 +-785 88 +-4304 -3987 +-678 -1670 +826 658 +-248 919 +-2474 -1318 +1024 3072 +6555 2423 +3863 -753 +4024 4039 +-2570 -222 +2060 -1782 +-951 2659 +-5265 1009 +5244 300 +285 -917 +3332 -3666 +-1592 -4565 +-4128 332 +4914 -95 +1614 -4148 +-1023 2416 +-1024 2048 +2215 1187 +-373 1285 +507 1305 +-4932 1762 +-1042 3755 +563 -1615 +-1028 -1685 +1200 2048 +-551 -4533 +4086 -2997 +756 3988 +4764 1918 +604 1038 +-1280 -135 +1675 -3408 +-7168 0 +1675 3408 +-1280 135 +604 -1038 +4764 -1918 +756 -3988 +4086 2997 +-551 4533 +1200 -2048 +-1028 1685 +563 1615 +-1042 -3755 +-4932 -1762 +507 -1305 +-373 -1285 +2215 -1187 +-1024 -2048 +-3439 -2700 +-3448 -5501 +-4102 -2888 +2636 -914 +1796 -522 +-5730 3375 +-155 1301 +6992 2048 +166 365 +-118 -6331 +2273 813 +1629 3026 +-794 -1053 +-1892 1215 +1118 -1890 +1024 0 +1118 1890 +-1892 -1215 +-794 1053 +1629 -3026 +2273 -813 +-118 6331 +166 -365 +6992 -2048 +-155 -1301 +-5730 -3375 +1796 522 +2636 914 +-4102 2888 +-3448 5501 +-3439 2700 +-1024 2048 +2215 1187 +-373 1285 +507 1305 +-4932 1762 +-1042 3755 +563 -1615 +-1028 -1685 +1200 2048 +-551 -4533 +4086 -2997 +756 3988 +4764 1918 +604 1038 +-1280 -135 +1675 -3408 +1024 2048 +-140 2323 +-1806 -7143 +501 -643 +1759 -2232 +-920 -309 +-5414 1481 +-3062 -2477 +848 1448 +-2879 66 +-129 3633 +850 5270 +-3798 444 +2130 -2066 +2548 -1461 +-963 712 +1024 0 +-963 -712 +2548 1461 +2130 2066 +-3798 -444 +850 -5270 +-129 -3633 +-2879 -66 +848 -1448 +-3062 2477 +-5414 -1481 +-920 309 +1759 2232 +501 643 +-1806 7143 +-140 -2323 +1024 -2048 +-1470 -1677 +-3242 -2694 +1049 2664 +3785 -664 +-2749 4684 +-93 1914 +3818 -1617 +-4944 -1448 +-2989 953 +1541 1459 +363 -3351 +6446 -3340 +4568 -4160 +-1596 1512 +1894 -746 +5120 0 +1894 746 +-1596 -1512 +4568 4160 +6446 3340 +363 3351 +1541 -1459 +-2989 -953 +-4944 1448 +3818 1617 +-93 -1914 +-2749 -4684 +3785 664 +1049 -2664 +-3242 2694 +-1470 1677 +1024 2048 +-140 2323 +-1806 -7143 +501 -643 +1759 -2232 +-920 -309 +-5414 1481 +-3062 -2477 +848 1448 +-2879 66 +-129 3633 +850 5270 +-3798 444 +2130 -2066 +2548 -1461 +-963 712 +-2048 -1024 +2269 -4185 +1891 1535 +-1565 4997 +-282 3498 +-1080 1347 +352 1695 +-2707 -2447 +3372 3196 +3068 298 +-3999 -6278 +3437 228 +-2948 -4937 +-2660 -4100 +1915 -950 +-574 -3064 +3072 0 +-574 3064 +1915 950 +-2660 4100 +-2948 4937 +3437 -228 +-3999 6278 +3068 -298 +3372 -3196 +-2707 2447 +352 -1695 +-1080 -1347 +-282 -3498 +-1565 -4997 +1891 -1535 +2269 4185 +-2048 1024 +-256 -1624 +-1043 -1471 +1726 -3689 +3178 -3746 +-2776 -5165 +-5296 1357 +1314 354 +4820 -1148 +1221 505 +-946 -558 +-2477 -1149 +52 -3504 +-398 2511 +-1066 -683 +1457 -5642 +1024 0 +1457 5642 +-1066 683 +-398 -2511 +52 3504 +-2477 1149 +-946 558 +1221 -505 +4820 1148 +1314 -354 +-5296 -1357 +-2776 5165 +3178 3746 +1726 3689 +-1043 1471 +-256 1624 +-2048 -1024 +2269 -4185 +1891 1535 +-1565 4997 +-282 3498 +-1080 1347 +352 1695 +-2707 -2447 +3372 3196 +3068 298 +-3999 -6278 +3437 228 +-2948 -4937 +-2660 -4100 +1915 -950 +-574 -3064 +3072 -1024 +4761 -2420 +-94 5406 +-2517 1462 +418 -2454 +-1673 -151 +-3672 -3652 +1098 -4991 +1748 -4644 +-1928 -2973 +-1771 199 +-79 -2134 +2644 776 +4254 -941 +261 -8039 +-2564 4947 +-2048 0 +-2564 -4947 +261 8039 +4254 941 +2644 -776 +-79 2134 +-1771 -199 +-1928 2973 +1748 4644 +1098 4991 +-3672 3652 +-1673 151 +418 2454 +-2517 -1462 +-94 -5406 +4761 2420 +3072 1024 +-1377 1620 +-2841 802 +1175 309 +4527 1006 +-203 -1862 +-2840 560 +1199 -3100 +300 2596 +-2586 234 +-1606 -892 +-3396 2339 +-3492 -2224 +2439 4929 +4372 263 +1397 -395 +0 0 +1397 395 +4372 -263 +2439 -4929 +-3492 2224 +-3396 -2339 +-1606 892 +-2586 -234 +300 -2596 +1199 3100 +-2840 -560 +-203 1862 +4527 -1006 +1175 -309 +-2841 -802 +-1377 -1620 +3072 -1024 +4761 -2420 +-94 5406 +-2517 1462 +418 -2454 +-1673 -151 +-3672 -3652 +1098 -4991 +1748 -4644 +-1928 -2973 +-1771 199 +-79 -2134 +2644 776 +4254 -941 +261 -8039 +-2564 4947 +-4096 7168 +303 1348 +-2095 -695 +1055 989 +2140 -4228 +280 -2817 +5598 208 +-1761 3162 +-3196 724 +-533 -2096 +-1455 -2950 +-3451 16 +1246 2774 +4244 -2596 +-2077 -2169 +-393 -177 +3072 0 +-393 177 +-2077 2169 +4244 2596 +1246 -2774 +-3451 -16 +-1455 2950 +-533 2096 +-3196 -724 +-1761 -3162 +5598 -208 +280 2817 +2140 4228 +1055 -989 +-2095 695 +303 -1348 +-4096 -7168 +2597 1334 +1091 -1353 +-477 -4618 +1356 -5661 +-1413 -6328 +-1918 1840 +-2239 -1021 +1148 -724 +-580 820 +3568 902 +2130 3183 +-646 -4470 +3426 -2674 +-2711 4217 +-3187 1843 +1024 0 +-3187 -1843 +-2711 -4217 +3426 2674 +-646 4470 +2130 -3183 +3568 -902 +-580 -820 +1148 724 +-2239 1021 +-1918 -1840 +-1413 6328 +1356 5661 +-477 4618 +1091 1353 +2597 -1334 +-4096 7168 +303 1348 +-2095 -695 +1055 989 +2140 -4228 +280 -2817 +5598 208 +-1761 3162 +-3196 724 +-533 -2096 +-1455 -2950 +-3451 16 +1246 2774 +4244 -2596 +-2077 -2169 +-393 -177 +-2048 3072 +-2682 844 +1534 588 +1804 -2492 +268 -1416 +-5028 3176 +-1384 978 +6056 2356 +-5244 -124 +2509 -1780 +5374 -175 +386 1645 +5166 1970 +-1427 1353 +-2288 4255 +-484 -284 +-3072 0 +-484 284 +-2288 -4255 +-1427 -1353 +5166 -1970 +386 -1645 +5374 175 +2509 1780 +-5244 124 +6056 -2356 +-1384 -978 +-5028 -3176 +268 1416 +1804 2492 +1534 -588 +-2682 -844 +-2048 -3072 +-4323 726 +2265 -928 +2640 -3550 +-516 -632 +-1213 126 +-5740 -5102 +-2690 -6725 +-900 4220 +1045 3074 +-1146 -1053 +-146 1345 +3274 78 +2984 485 +1385 -1699 +570 -674 +3072 0 +570 674 +1385 1699 +2984 -485 +3274 -78 +-146 -1345 +-1146 1053 +1045 -3074 +-900 -4220 +-2690 6725 +-5740 5102 +-1213 -126 +-516 632 +2640 3550 +2265 928 +-4323 -726 +-2048 3072 +-2682 844 +1534 588 +1804 -2492 +268 -1416 +-5028 3176 +-1384 978 +6056 2356 +-5244 -124 +2509 -1780 +5374 -175 +386 1645 +5166 1970 +-1427 1353 +-2288 4255 +-484 -284 +-5120 4096 +-4703 2004 +363 -3660 +1679 -2443 +2676 -410 +-2582 3348 +2928 -1256 +989 -2015 +-6217 424 +-130 2853 +223 2418 +-663 -2040 +1108 -254 +4814 -1971 +-1412 -1995 +-3024 -3624 +5120 0 +-3024 3624 +-1412 1995 +4814 1971 +1108 254 +-663 2040 +223 -2418 +-130 -2853 +-6217 -424 +989 2015 +2928 1256 +-2582 -3348 +2676 410 +1679 2443 +363 3660 +-4703 -2004 +-5120 -4096 +1188 -2412 +1973 -2356 +1809 -1259 +-2676 -3086 +869 498 +87 -517 +139 -693 +8265 -2472 +128 -3033 +-342 -1294 +2168 -1994 +-1108 854 +3491 -1420 +-3820 -1124 +-6173 -2448 +1024 0 +-6173 2448 +-3820 1124 +3491 1420 +-1108 -854 +2168 1994 +-342 1294 +128 3033 +8265 2472 +139 693 +87 517 +869 -498 +-2676 3086 +1809 1259 +1973 2356 +1188 2412 +-5120 4096 +-4703 2004 +363 -3660 +1679 -2443 +2676 -410 +-2582 3348 +2928 -1256 +989 -2015 +-6217 424 +-130 2853 +223 2418 +-663 -2040 +1108 -254 +4814 -1971 +-1412 -1995 +-3024 -3624 +2048 -6144 +2318 -2776 +-3121 -2583 +-4025 -3641 +-2122 -3216 +-4530 2629 +-455 -672 +1199 -2229 +0 424 +3967 3227 +-373 615 +-2718 -3251 +-2446 1988 +-737 -1000 +5839 -2528 +4097 -2206 +0 0 +4097 2206 +5839 2528 +-737 1000 +-2446 -1988 +-2718 3251 +-373 -615 +3967 -3227 +0 -424 +1199 2229 +-455 672 +-4530 -2629 +-2122 3216 +-4025 3641 +-3121 2583 +2318 2776 +2048 6144 +-3133 -98 +-1771 -5989 +-174 1152 +2122 568 +2504 587 +-3789 127 +2436 -1901 +0 -2472 +-1809 4308 +4616 4632 +-1049 -764 +2446 3556 +-856 -528 +-947 -252 +2511 2804 +-4096 0 +2511 -2804 +-947 252 +-856 528 +2446 -3556 +-1049 764 +4616 -4632 +-1809 -4308 +0 2472 +2436 1901 +-3789 -127 +2504 -587 +2122 -568 +-174 -1152 +-1771 5989 +-3133 98 +2048 -6144 +2318 -2776 +-3121 -2583 +-4025 -3641 +-2122 -3216 +-4530 2629 +-455 -672 +1199 -2229 +0 424 +3967 3227 +-373 615 +-2718 -3251 +-2446 1988 +-737 -1000 +5839 -2528 +4097 -2206 +2048 -8192 +2442 -4465 +747 4100 +1565 593 +-470 -1148 +-5119 3551 +3102 169 +998 6004 +0 3920 +2774 9 +99 5202 +2974 -35 +-2362 -3196 +2071 -3944 +2437 354 +-1805 2315 +4096 0 +-1805 -2315 +2437 -354 +2071 3944 +-2362 3196 +2974 35 +99 -5202 +2774 -9 +0 -3920 +998 -6004 +3102 -169 +-5119 -3551 +-470 1148 +1565 -593 +747 -4100 +2442 4465 +2048 8192 +1063 -1797 +-3763 -1149 +-3529 3305 +-1578 -1148 +170 3729 +2130 2012 +1799 -259 +0 -1872 +-1107 -607 +-2435 3970 +-4706 -621 +314 -3196 +781 -2494 +-2318 1398 +-371 -935 +0 0 +-371 935 +-2318 -1398 +781 2494 +314 3196 +-4706 621 +-2435 -3970 +-1107 607 +0 1872 +1799 259 +2130 -2012 +170 -3729 +-1578 1148 +-3529 -3305 +-3763 1149 +1063 1797 +2048 -8192 +2442 -4465 +747 4100 +1565 593 +-470 -1148 +-5119 3551 +3102 169 +998 6004 +0 3920 +2774 9 +99 5202 +2974 -35 +-2362 -3196 +2071 -3944 +2437 354 +-1805 2315 +-1024 4096 +-603 221 +1042 -1477 +235 -4809 +2956 2446 +629 2816 +-2566 -1318 +790 -2421 +2896 -1448 +434 5324 +3704 4313 +6591 2044 +-280 2122 +-785 -622 +967 -2060 +-2244 1800 +-3072 0 +-2244 -1800 +967 2060 +-785 622 +-280 -2122 +6591 -2044 +3704 -4313 +434 -5324 +2896 1448 +790 2421 +-2566 1318 +629 -2816 +2956 -2446 +235 4809 +1042 1477 +-603 -221 +-1024 -4096 +713 -1116 +-1366 3044 +3000 -21 +1388 -2446 +-3453 -144 +-2001 5102 +-3953 -3370 +-2896 1448 +-3063 3685 +864 -529 +2025 965 +-4064 -2122 +3342 4321 +-642 3627 +-3659 3911 +5120 0 +-3659 -3911 +-642 -3627 +3342 -4321 +-4064 2122 +2025 -965 +864 529 +-3063 -3685 +-2896 -1448 +-3953 3370 +-2001 -5102 +-3453 144 +1388 2446 +3000 21 +-1366 -3044 +713 1116 +-1024 4096 +-603 221 +1042 -1477 +235 -4809 +2956 2446 +629 2816 +-2566 -1318 +790 -2421 +2896 -1448 +434 5324 +3704 4313 +6591 2044 +-280 2122 +-785 -622 +967 -2060 +-2244 1800 +0 0 +-160 -99 +-1130 1288 +-199 -1085 +340 938 +1512 1240 +-1484 753 +-1242 1105 +4096 3672 +-904 -97 +-4016 -5711 +2812 194 +1228 3290 +-2612 4769 +878 1188 +-437 -3599 +-4096 0 +-437 3599 +878 -1188 +-2612 -4769 +1228 -3290 +2812 -194 +-4016 5711 +-904 97 +4096 -3672 +-1242 -1105 +-1484 -753 +1512 -1240 +340 -938 +-199 1085 +-1130 -1288 +-160 99 +0 0 +-1525 -1944 +1758 -3900 +2683 -3439 +2557 -2387 +3350 -459 +-1672 1191 +-401 314 +4096 6568 +1868 -3597 +3076 -2234 +573 3042 +-4124 -4738 +-2328 -1044 +-5602 -5496 +-2991 2236 +4096 0 +-2991 -2236 +-5602 5496 +-2328 1044 +-4124 4738 +573 -3042 +3076 2234 +1868 3597 +4096 -6568 +-401 -314 +-1672 -1191 +3350 459 +2557 2387 +2683 3439 +1758 3900 +-1525 1944 +0 0 +-160 -99 +-1130 1288 +-199 -1085 +340 938 +1512 1240 +-1484 753 +-1242 1105 +4096 3672 +-904 -97 +-4016 -5711 +2812 194 +1228 3290 +-2612 4769 +878 1188 +-437 -3599 +-3072 -2048 +-942 1974 +-2338 3440 +-1408 -384 +2048 2746 +1691 -3871 +622 -2564 +-1304 2019 +3072 3072 +142 5405 +-5961 -2330 +1336 -2209 +2048 374 +-476 2755 +694 2945 +-1738 -3830 +-3072 0 +-1738 3830 +694 -2945 +-476 -2755 +2048 -374 +1336 2209 +-5961 2330 +142 -5405 +3072 -3072 +-1304 -2019 +622 2564 +1691 3871 +2048 -2746 +-1408 384 +-2338 -3440 +-942 -1974 +-3072 2048 +575 -2753 +3955 -4823 +4246 34 +2048 -2146 +974 -3015 +1234 4168 +-2702 -1011 +3072 3072 +4751 67 +-2887 -3058 +463 2004 +2048 -3870 +-1033 -2217 +-3511 -3129 +-4576 1722 +-3072 0 +-4576 -1722 +-3511 3129 +-1033 2217 +2048 3870 +463 -2004 +-2887 3058 +4751 -67 +3072 -3072 +-2702 1011 +1234 -4168 +974 3015 +2048 2146 +4246 -34 +3955 4823 +575 2753 +-3072 -2048 +-942 1974 +-2338 3440 +-1408 -384 +2048 2746 +1691 -3871 +622 -2564 +-1304 2019 +3072 3072 +142 5405 +-5961 -2330 +1336 -2209 +2048 374 +-476 2755 +694 2945 +-1738 -3830 +3072 -2048 +-1482 121 +547 -4735 +-2933 -2015 +-1338 744 +-1627 582 +-3023 943 +-670 962 +-3320 2472 +-825 -1518 +-440 1214 +2626 5207 +-554 -2412 +2542 -1692 +569 2473 +-4284 -1037 +3072 0 +-4284 1037 +569 -2473 +2542 1692 +-554 2412 +2626 -5207 +-440 -1214 +-825 1518 +-3320 -2472 +-670 -962 +-3023 -943 +-1627 -582 +-1338 -744 +-2933 2015 +547 4735 +-1482 -121 +3072 2048 +-882 2332 +-2035 -1762 +1691 -1919 +1338 -3040 +-858 1220 +-4937 3117 +5021 1979 +5368 -424 +-4854 -2221 +-4385 1646 +-1028 -4734 +554 -3980 +5381 2221 +5512 -1977 +2185 2602 +3072 0 +2185 -2602 +5512 1977 +5381 -2221 +554 3980 +-1028 4734 +-4385 -1646 +-4854 2221 +5368 424 +5021 -1979 +-4937 -3117 +-858 -1220 +1338 3040 +1691 1919 +-2035 1762 +-882 -2332 +3072 -2048 +-1482 121 +547 -4735 +-2933 -2015 +-1338 744 +-1627 582 +-3023 943 +-670 962 +-3320 2472 +-825 -1518 +-440 1214 +2626 5207 +-554 -2412 +2542 -1692 +569 2473 +-4284 -1037 +-5120 -2048 +-40 -3238 +-2703 -4376 +2690 2767 +2472 170 +1983 -2532 +2229 -2504 +-3294 1206 +424 1872 +-678 -469 +-2858 -475 +-1009 437 +-424 -614 +3921 -4748 +4390 -447 +-518 -2229 +-3072 0 +-518 2229 +4390 447 +3921 4748 +-424 614 +-1009 -437 +-2858 475 +-678 469 +424 -1872 +-3294 -1206 +2229 2504 +1983 2532 +2472 -170 +2690 -2767 +-2703 4376 +-40 3238 +-5120 2048 +-1171 -406 +2923 -2461 +-2278 4844 +2472 1278 +-3949 -123 +-4017 2439 +2041 1730 +-2472 -3920 +-3182 -3145 +-1147 2107 +519 1684 +-424 2062 +3915 3150 +1182 3499 +1049 -5567 +9216 0 +1049 5567 +1182 -3499 +3915 -3150 +-424 -2062 +519 -1684 +-1147 -2107 +-3182 3145 +-2472 3920 +2041 -1730 +-4017 -2439 +-3949 123 +2472 -1278 +-2278 -4844 +2923 2461 +-1171 406 +-5120 -2048 +-40 -3238 +-2703 -4376 +2690 2767 +2472 170 +1983 -2532 +2229 -2504 +-3294 1206 +424 1872 +-678 -469 +-2858 -475 +-1009 437 +-424 -614 +3921 -4748 +4390 -447 +-518 -2229 +0 2048 +-562 -795 +-3015 -810 +-2781 -1518 +-7705 254 +-992 -460 +3231 -925 +-3691 6259 +600 1024 +1572 -218 +790 652 +271 -2994 +3440 3086 +-17 4101 +-1745 476 +3657 -1453 +2048 0 +3657 1453 +-1745 -476 +-17 -4101 +3440 -3086 +271 2994 +790 -652 +1572 218 +600 -1024 +-3691 -6259 +3231 925 +-992 460 +-7705 -254 +-2781 1518 +-3015 810 +-562 795 +0 -2048 +1728 -1144 +1567 -3158 +-2333 -1248 +-136 -854 +1733 -7145 +-1783 -2895 +3679 3477 +3496 1024 +-2449 -3039 +658 2520 +-5476 3507 +305 410 +3802 -1147 +297 -5644 +1857 505 +-2048 0 +1857 -505 +297 5644 +3802 1147 +305 -410 +-5476 -3507 +658 -2520 +-2449 3039 +3496 -1024 +3679 -3477 +-1783 2895 +1733 7145 +-136 854 +-2333 1248 +1567 3158 +1728 1144 +0 2048 +-562 -795 +-3015 -810 +-2781 -1518 +-7705 254 +-992 -460 +3231 -925 +-3691 6259 +600 1024 +1572 -218 +790 652 +271 -2994 +3440 3086 +-17 4101 +-1745 476 +3657 -1453 +1024 2048 +4067 -5124 +2023 -1672 +403 -2723 +-978 384 +-492 1533 +2758 -101 +-1427 -3004 +-424 -424 +-707 4311 +-5494 -2283 +711 295 +3810 1952 +1579 -3457 +-708 -895 +-1125 3529 +1024 0 +-1125 -3529 +-708 895 +1579 3457 +3810 -1952 +711 -295 +-5494 2283 +-707 -4311 +-424 424 +-1427 3004 +2758 101 +-492 -1533 +-978 -384 +403 2723 +2023 1672 +4067 5124 +1024 -2048 +-1964 -1853 +-4530 1348 +-1941 -4964 +130 -1832 +186 1587 +1654 4669 +-1720 3861 +2472 2472 +3854 1457 +-3014 6851 +-405 1466 +1134 -3400 +-42 5996 +-881 570 +-977 5989 +1024 0 +-977 -5989 +-881 -570 +-42 -5996 +1134 3400 +-405 -1466 +-3014 -6851 +3854 -1457 +2472 -2472 +-1720 -3861 +1654 -4669 +186 -1587 +130 1832 +-1941 4964 +-4530 -1348 +-1964 1853 +1024 2048 +4067 -5124 +2023 -1672 +403 -2723 +-978 384 +-492 1533 +2758 -101 +-1427 -3004 +-424 -424 +-707 4311 +-5494 -2283 +711 295 +3810 1952 +1579 -3457 +-708 -895 +-1125 3529 +2048 3072 +-238 1435 +-1395 -4178 +354 -2952 +1500 -2056 +-2754 -1338 +1045 1640 +1346 -3642 +3620 -5244 +5246 1121 +-5667 -348 +1197 -867 +-1730 4946 +1250 5085 +5190 2076 +-1622 1260 +3072 0 +-1622 -1260 +5190 -2076 +1250 -5085 +-1730 -4946 +1197 867 +-5667 348 +5246 -1121 +3620 5244 +1346 3642 +1045 -1640 +-2754 1338 +1500 2056 +354 2952 +-1395 4178 +-238 -1435 +2048 -3072 +-1135 684 +48 1974 +1493 -1036 +-1500 -3489 +-2066 2113 +951 1673 +2201 -5193 +-3620 -900 +-3441 -508 +-2121 -4531 +1406 -238 +1730 -2298 +-880 -2761 +1950 3912 +-2358 2115 +-7168 0 +-2358 -2115 +1950 -3912 +-880 2761 +1730 2298 +1406 238 +-2121 4531 +-3441 508 +-3620 900 +2201 5193 +951 -1673 +-2066 -2113 +-1500 3489 +1493 1036 +48 -1974 +-1135 -684 +2048 3072 +-238 1435 +-1395 -4178 +354 -2952 +1500 -2056 +-2754 -1338 +1045 1640 +1346 -3642 +3620 -5244 +5246 1121 +-5667 -348 +1197 -867 +-1730 4946 +1250 5085 +5190 2076 +-1622 1260 +5120 1024 +818 821 +-1146 1295 +-430 1161 +-268 3888 +-713 -939 +-961 -2432 +3402 6534 +1324 -2772 +306 -2934 +-460 -340 +-1987 -6300 +-5166 -1546 +-577 705 +-58 2771 +-4881 2377 +-2048 0 +-4881 -2377 +-58 -2771 +-577 -705 +-5166 1546 +-1987 6300 +-460 340 +306 2934 +1324 2772 +3402 -6534 +-961 2432 +-713 939 +-268 -3888 +-430 -1161 +-1146 -1295 +818 -821 +5120 -1024 +-2594 4632 +-746 -407 +566 -2410 +516 3104 +3418 -1030 +177 -2032 +782 -6306 +2772 -1324 +3078 7258 +1243 1669 +2417 235 +-3274 346 +-2693 2142 +1950 3909 +-912 -1019 +0 0 +-912 1019 +1950 -3909 +-2693 -2142 +-3274 -346 +2417 -235 +1243 -1669 +3078 -7258 +2772 1324 +782 6306 +177 2032 +3418 1030 +516 -3104 +566 2410 +-746 407 +-2594 -4632 +5120 1024 +818 821 +-1146 1295 +-430 1161 +-268 3888 +-713 -939 +-961 -2432 +3402 6534 +1324 -2772 +306 -2934 +-460 -340 +-1987 -6300 +-5166 -1546 +-577 705 +-58 2771 +-4881 2377 +-1024 -1024 +1140 -2332 +3324 -2064 +1054 3164 +-960 2284 +-1990 -2776 +-3142 -1782 +579 -2339 +724 724 +2194 -688 +-3529 -1999 +-1916 -282 +2610 -162 +-1910 577 +-1578 -3108 +635 -1132 +2048 0 +635 1132 +-1578 3108 +-1910 -577 +2610 162 +-1916 282 +-3529 1999 +2194 688 +724 -724 +579 2339 +-3142 1782 +-1990 2776 +-960 -2284 +1054 -3164 +3324 2064 +1140 2332 +-1024 1024 +-924 -169 +4768 3224 +-1300 -1448 +3608 -2284 +2979 5612 +-3236 4198 +6785 347 +-724 -724 +-1310 3809 +17 2718 +-4187 664 +2934 162 +1476 -7109 +-4818 -5621 +-3306 -2048 +0 0 +-3306 2048 +-4818 5621 +1476 7109 +2934 -162 +-4187 -664 +17 -2718 +-1310 -3809 +-724 724 +6785 -347 +-3236 -4198 +2979 -5612 +3608 2284 +-1300 1448 +4768 -3224 +-924 169 +-1024 -1024 +1140 -2332 +3324 -2064 +1054 3164 +-960 2284 +-1990 -2776 +-3142 -1782 +579 -2339 +724 724 +2194 -688 +-3529 -1999 +-1916 -282 +2610 -162 +-1910 577 +-1578 -3108 +635 -1132 +0 -4096 +1274 1560 +-3302 -1630 +-4767 165 +-2141 1268 +1220 -4124 +4554 -890 +1337 -2985 +1448 -3920 +4509 -2510 +83 -1545 +1032 -995 +-5583 -2036 +-2465 -1700 +1166 -3439 +-1596 85 +4096 0 +-1596 -85 +1166 3439 +-2465 1700 +-5583 2036 +1032 995 +83 1545 +4509 2510 +1448 3920 +1337 2985 +4554 890 +1220 4124 +-2141 -1268 +-4767 -165 +-3302 1630 +1274 -1560 +0 4096 +-3565 -740 +-822 -2429 +731 1674 +5886 -1867 +2095 -1162 +-1998 1386 +-600 422 +-1448 1872 +3651 2532 +257 -4951 +-324 1133 +-2258 5532 +-3314 -5021 +61 579 +782 5719 +4096 0 +782 -5719 +61 -579 +-3314 5021 +-2258 -5532 +-324 -1133 +257 4951 +3651 -2532 +-1448 -1872 +-600 -422 +-1998 -1386 +2095 1162 +5886 1867 +731 -1674 +-822 2429 +-3565 740 +0 -4096 +1274 1560 +-3302 -1630 +-4767 165 +-2141 1268 +1220 -4124 +4554 -890 +1337 -2985 +1448 -3920 +4509 -2510 +83 -1545 +1032 -995 +-5583 -2036 +-2465 -1700 +1166 -3439 +-1596 85 +-2048 0 +6933 -1327 +-2239 124 +-842 -657 +1278 -84 +-2743 3872 +-3804 -701 +-5978 -5397 +1024 848 +-638 2534 +219 -2582 +-2160 -4407 +-2062 -3700 +2321 485 +434 1650 +-93 -2613 +-2048 0 +-93 2613 +434 -1650 +2321 -485 +-2062 3700 +-2160 4407 +219 2582 +-638 -2534 +1024 -848 +-5978 5397 +-3804 701 +-2743 -3872 +1278 84 +-842 657 +-2239 -124 +6933 1327 +-2048 0 +3198 -1728 +1574 -1352 +-6353 532 +170 2132 +-1149 1941 +3360 1041 +2392 -2798 +1024 -4944 +6232 -632 +3121 25 +-1308 -795 +614 1652 +650 -3434 +-2666 -5773 +-461 1463 +2048 0 +-461 -1463 +-2666 5773 +650 3434 +614 -1652 +-1308 795 +3121 -25 +6232 632 +1024 4944 +2392 2798 +3360 -1041 +-1149 -1941 +170 -2132 +-6353 -532 +1574 1352 +3198 1728 +-2048 0 +6933 -1327 +-2239 124 +-842 -657 +1278 -84 +-2743 3872 +-3804 -701 +-5978 -5397 +1024 848 +-638 2534 +219 -2582 +-2160 -4407 +-2062 -3700 +2321 485 +434 1650 +-93 -2613 +0 -2048 +-1384 2568 +142 1875 +-628 259 +364 -1154 +-1389 -1814 +1812 566 +228 -3612 +1024 -4944 +4932 641 +-109 -922 +5777 -963 +-5088 2158 +-5761 -2855 +258 -4006 +-3788 1614 +2048 0 +-3788 -1614 +258 4006 +-5761 2855 +-5088 -2158 +5777 963 +-109 922 +4932 -641 +1024 4944 +228 3612 +1812 -566 +-1389 1814 +364 1154 +-628 -259 +142 -1875 +-1384 -2568 +0 2048 +822 -327 +1751 -1027 +3200 5646 +1932 -46 +-3168 -3291 +-1028 4379 +6580 3647 +1024 848 +-1275 -1806 +-674 -4022 +-982 2850 +-1304 4834 +-2843 1767 +-2150 3158 +-323 1827 +2048 0 +-323 -1827 +-2150 -3158 +-2843 -1767 +-1304 -4834 +-982 -2850 +-674 4022 +-1275 1806 +1024 -848 +6580 -3647 +-1028 -4379 +-3168 3291 +1932 46 +3200 -5646 +1751 1027 +822 327 +0 -2048 +-1384 2568 +142 1875 +-628 259 +364 -1154 +-1389 -1814 +1812 566 +228 -3612 +1024 -4944 +4932 641 +-109 -922 +5777 -963 +-5088 2158 +-5761 -2855 +258 -4006 +-3788 1614 +1024 2048 +1946 999 +3906 -606 +-1796 1579 +5024 2074 +3398 -961 +-1794 511 +851 390 +-4944 0 +2340 -418 +706 -1968 +-808 -32 +4904 -3746 +1607 -3872 +-5070 1026 +-1800 -2825 +7168 0 +-1800 2825 +-5070 -1026 +1607 3872 +4904 3746 +-808 32 +706 1968 +2340 418 +-4944 0 +851 -390 +-1794 -511 +3398 961 +5024 -2074 +-1796 -1579 +3906 606 +1946 -999 +1024 -2048 +-292 -3927 +1630 -3518 +-431 1616 +-328 6966 +626 3724 +-5811 -3068 +1135 -345 +848 0 +-542 -1104 +-93 2308 +-1649 -989 +2688 498 +-947 3283 +-1665 -2253 +-3638 -1670 +-9216 0 +-3638 1670 +-1665 2253 +-947 -3283 +2688 -498 +-1649 989 +-93 -2308 +-542 1104 +848 0 +1135 345 +-5811 3068 +626 -3724 +-328 -6966 +-431 -1616 +1630 3518 +-292 3927 +1024 2048 +1946 999 +3906 -606 +-1796 1579 +5024 2074 +3398 -961 +-1794 511 +851 390 +-4944 0 +2340 -418 +706 -1968 +-808 -32 +4904 -3746 +1607 -3872 +-5070 1026 +-1800 -2825 +-2048 0 +630 -3311 +-1799 826 +-1757 -933 +-470 -3300 +22 -1251 +-1474 -2258 +879 -3091 +5544 3920 +-2406 3365 +-480 -105 +-137 -707 +-2362 -1711 +-2180 2926 +-5192 3239 +1238 738 +6144 0 +1238 -738 +-5192 -3239 +-2180 -2926 +-2362 1711 +-137 707 +-480 105 +-2406 -3365 +5544 -3920 +879 3091 +-1474 2258 +22 1251 +-470 3300 +-1757 933 +-1799 -826 +630 3311 +-2048 0 +-347 -5541 +-129 -3058 +-300 -1706 +-1578 2701 +-241 -1010 +-2910 -1082 +-5180 2328 +2648 -1872 +2922 5009 +3664 -339 +-1212 -905 +314 5208 +5805 -4916 +128 -2575 +2263 -454 +6144 0 +2263 454 +128 2575 +5805 4916 +314 -5208 +-1212 905 +3664 339 +2922 -5009 +2648 1872 +-5180 -2328 +-2910 1082 +-241 1010 +-1578 -2701 +-300 1706 +-129 3058 +-347 5541 +-2048 0 +630 -3311 +-1799 826 +-1757 -933 +-470 -3300 +22 -1251 +-1474 -2258 +879 -3091 +5544 3920 +-2406 3365 +-480 -105 +-137 -707 +-2362 -1711 +-2180 2926 +-5192 3239 +1238 738 +-1024 -4096 +-3693 -4869 +3186 -3210 +65 -5121 +1762 -4508 +-1744 -1218 +-1730 -995 +-3542 -504 +-4520 -1024 +9849 -320 +3735 2207 +-1156 -642 +-1918 -2292 +348 741 +-144 1789 +-3288 1371 +3072 0 +-3288 -1371 +-144 -1789 +348 -741 +-1918 2292 +-1156 642 +3735 -2207 +9849 320 +-4520 1024 +-3542 504 +-1730 995 +-1744 1218 +1762 4508 +65 5121 +3186 3210 +-3693 4869 +-1024 4096 +2381 -537 +-1138 -4254 +377 -1630 +-914 3060 +1633 -1105 +3778 -2226 +-640 1557 +-1624 -1024 +-315 932 +-1687 364 +-950 -5257 +-3026 843 +1427 517 +2192 -3460 +-752 4367 +3072 0 +-752 -4367 +2192 3460 +1427 -517 +-3026 -843 +-950 5257 +-1687 -364 +-315 -932 +-1624 1024 +-640 -1557 +3778 2226 +1633 1105 +-914 -3060 +377 1630 +-1138 4254 +2381 537 +-1024 -4096 +-3693 -4869 +3186 -3210 +65 -5121 +1762 -4508 +-1744 -1218 +-1730 -995 +-3542 -504 +-4520 -1024 +9849 -320 +3735 2207 +-1156 -642 +-1918 -2292 +348 741 +-144 1789 +-3288 1371 +1024 -2048 +7256 746 +109 4287 +1554 -737 +-3386 144 +2371 -1641 +2438 -1985 +-5198 37 +1624 -2472 +-3514 1196 +-1490 -98 +-2339 -2299 +-2602 1084 +2477 213 +292 846 +-2788 1070 +-7168 0 +-2788 -1070 +292 -846 +2477 -213 +-2602 -1084 +-2339 2299 +-1490 98 +-3514 -1196 +1624 2472 +-5198 -37 +2438 1985 +2371 1641 +-3386 -144 +1554 737 +109 -4287 +7256 -746 +1024 2048 +-3839 -1525 +3388 881 +2852 387 +-710 -3640 +-1274 -5245 +-1838 -1186 +-2592 971 +4520 424 +4624 4276 +2089 3919 +2571 2093 +-1494 -484 +-2419 324 +3204 3122 +258 -3178 +-7168 0 +258 3178 +3204 -3122 +-2419 -324 +-1494 484 +2571 -2093 +2089 -3919 +4624 -4276 +4520 -424 +-2592 -971 +-1838 1186 +-1274 5245 +-710 3640 +2852 -387 +3388 -881 +-3839 1525 +1024 -2048 +7256 746 +109 4287 +1554 -737 +-3386 144 +2371 -1641 +2438 -1985 +-5198 37 +1624 -2472 +-3514 1196 +-1490 -98 +-2339 -2299 +-2602 1084 +2477 213 +292 846 +-2788 1070 +-4096 4096 +-1854 4007 +-1288 746 +1615 -3940 +-674 1324 +-965 750 +-2727 -2955 +-4304 3856 +2048 1872 +-466 2039 +4552 -547 +-891 -2429 +-3894 -2772 +7621 -6033 +-66 1311 +-3436 -9 +2048 0 +-3436 9 +-66 -1311 +7621 6033 +-3894 2772 +-891 2429 +4552 547 +-466 -2039 +2048 -1872 +-4304 -3856 +-2727 2955 +-965 -750 +-674 -1324 +1615 3940 +-1288 -746 +-1854 -4007 +-4096 -4096 +66 2059 +2856 -1530 +3957 -5560 +3570 1324 +1185 2180 +-1057 1063 +299 -2630 +2048 -3920 +-3539 -2069 +-768 -1346 +1112 -953 +998 -2772 +-2048 -1588 +-1502 -2095 +1648 -3373 +-2048 0 +1648 3373 +-1502 2095 +-2048 1588 +998 2772 +1112 953 +-768 1346 +-3539 2069 +2048 3920 +299 2630 +-1057 -1063 +1185 -2180 +3570 -1324 +3957 5560 +2856 1530 +66 -2059 +-4096 4096 +-1854 4007 +-1288 746 +1615 -3940 +-674 1324 +-965 750 +-2727 -2955 +-4304 3856 +2048 1872 +-466 2039 +4552 -547 +-891 -2429 +-3894 -2772 +7621 -6033 +-66 1311 +-3436 -9 +0 6144 +-409 2968 +-4029 -1171 +1357 3240 +3386 724 +-163 -1027 +-3863 179 +-3681 -5066 +-2048 -2472 +-221 -1811 +-903 1034 +-4064 2898 +2602 724 +938 1610 +-2181 -6514 +2312 -545 +2048 0 +2312 545 +-2181 6514 +938 -1610 +2602 -724 +-4064 -2898 +-903 -1034 +-221 1811 +-2048 2472 +-3681 5066 +-3863 -179 +-163 1027 +3386 -724 +1357 -3240 +-4029 1171 +-409 -2968 +0 -6144 +-746 4743 +-695 439 +-1433 -5865 +710 724 +-3091 -422 +2923 3020 +4759 2224 +-2048 424 +-177 -351 +-2253 469 +-931 3901 +1494 724 +1593 -1779 +2809 -4106 +3956 3143 +6144 0 +3956 -3143 +2809 4106 +1593 1779 +1494 -724 +-931 -3901 +-2253 -469 +-177 351 +-2048 -424 +4759 -2224 +2923 -3020 +-3091 422 +710 -724 +-1433 5865 +-695 -439 +-746 -4743 +0 6144 +-409 2968 +-4029 -1171 +1357 3240 +3386 724 +-163 -1027 +-3863 179 +-3681 -5066 +-2048 -2472 +-221 -1811 +-903 1034 +-4064 2898 +2602 724 +938 1610 +-2181 -6514 +2312 -545 +3072 4096 +-1919 -2545 +-5146 -2019 +-2563 2456 +-2716 -914 +-4686 1526 +-1524 4839 +-1762 -627 +3496 -1448 +5225 999 +274 367 +-1576 2343 +-588 3026 +-3498 144 +-2690 -1408 +717 -1477 +-1024 0 +717 1477 +-2690 1408 +-3498 -144 +-588 -3026 +-1576 -2343 +274 -367 +5225 -999 +3496 1448 +-1762 627 +-1524 -4839 +-4686 -1526 +-2716 914 +-2563 -2456 +-5146 2019 +-1919 2545 +3072 -4096 +5260 -651 +-462 2359 +-2493 -934 +419 1762 +6688 2969 +1928 -715 +-1846 -395 +600 1448 +2608 1764 +3914 860 +-2435 584 +6980 1918 +-1024 -190 +-4486 -1149 +3302 2066 +-5120 0 +3302 -2066 +-4486 1149 +-1024 190 +6980 -1918 +-2435 -584 +3914 -860 +2608 -1764 +600 -1448 +-1846 395 +1928 715 +6688 -2969 +419 -1762 +-2493 934 +-462 -2359 +5260 651 +3072 4096 +-1919 -2545 +-5146 -2019 +-2563 2456 +-2716 -914 +-4686 1526 +-1524 4839 +-1762 -627 +3496 -1448 +5225 999 +274 367 +-1576 2343 +-588 3026 +-3498 144 +-2690 -1408 +717 -1477 +1024 -2048 +1497 -7032 +2769 218 +2786 3017 +74 8364 +1048 555 +-992 -939 +3658 -125 +3072 -4520 +-3611 -944 +65 2934 +-598 2905 +398 -2673 +-947 -350 +-1937 2914 +2822 2132 +3072 0 +2822 -2132 +-1937 -2914 +-947 350 +398 2673 +-598 -2905 +65 -2934 +-3611 944 +3072 4520 +3658 125 +-992 939 +1048 -555 +74 -8364 +2786 -3017 +2769 -218 +1497 7032 +1024 2048 +896 -2323 +2463 5798 +-1838 718 +-4170 -772 +279 1060 +1111 2712 +-2033 -1595 +3072 -1624 +419 -1088 +-3081 -4057 +3055 1239 +-4494 -2023 +-3786 -1578 +-399 206 +-3647 -3606 +-1024 0 +-3647 3606 +-399 -206 +-3786 1578 +-4494 2023 +3055 -1239 +-3081 4057 +419 1088 +3072 1624 +-2033 1595 +1111 -2712 +279 -1060 +-4170 772 +-1838 -718 +2463 -5798 +896 2323 +1024 -2048 +1497 -7032 +2769 218 +2786 3017 +74 8364 +1048 555 +-992 -939 +3658 -125 +3072 -4520 +-3611 -944 +65 2934 +-598 2905 +398 -2673 +-947 -350 +-1937 2914 +2822 2132 +-6144 3072 +-734 3696 +2331 712 +1739 46 +1186 3478 +-1245 -1542 +236 516 +2263 -908 +-724 300 +493 4105 +807 -422 +-2665 -3048 +-1260 -1800 +4071 3792 +-1221 -1901 +-757 -5434 +7168 0 +-757 5434 +-1221 1901 +4071 -3792 +-1260 1800 +-2665 3048 +807 422 +493 -4105 +-724 -300 +2263 908 +236 -516 +-1245 1542 +1186 -3478 +1739 -46 +2331 -712 +-734 -3696 +-6144 -3072 +-9189 -2992 +-2212 -2096 +668 -835 +862 18 +4275 -888 +-2572 1088 +-384 -2972 +724 1748 +1651 574 +4425 -4966 +-3470 3203 +3308 1200 +2419 403 +-1794 1717 +864 712 +-3072 0 +864 -712 +-1794 -1717 +2419 -403 +3308 -1200 +-3470 -3203 +4425 4966 +1651 -574 +724 -1748 +-384 2972 +-2572 -1088 +4275 888 +862 -18 +668 835 +-2212 2096 +-9189 2992 +-6144 3072 +-734 3696 +2331 712 +1739 46 +1186 3478 +-1245 -1542 +236 516 +2263 -908 +-724 300 +493 4105 +807 -422 +-2665 -3048 +-1260 -1800 +4071 3792 +-1221 -1901 +-757 -5434 +-3072 -4096 +-1254 4691 +-1226 3923 +1810 -5244 +-554 1528 +5561 1510 +4871 -2760 +2166 2527 +3072 1024 +-2492 -1997 +-666 532 +-5804 -1091 +1338 -4305 +815 -511 +-3883 4327 +2179 2548 +-1024 0 +2179 -2548 +-3883 -4327 +815 511 +1338 4305 +-5804 1091 +-666 -532 +-2492 1997 +3072 -1024 +2166 -2527 +4871 2760 +5561 -1510 +-554 -1528 +1810 5244 +-1226 -3923 +-1254 -4691 +-3072 4096 +-2935 -29 +-222 -2370 +882 -5027 +554 -3824 +-3436 3223 +-3423 -2148 +893 -2594 +3072 1024 +1000 -3551 +2114 -2543 +-106 -2497 +-1338 -2088 +278 1695 +2435 122 +443 26 +-5120 0 +443 -26 +2435 -122 +278 -1695 +-1338 2088 +-106 2497 +2114 2543 +1000 3551 +3072 -1024 +893 2594 +-3423 2148 +-3436 -3223 +554 3824 +882 5027 +-222 2370 +-2935 29 +-3072 -4096 +-1254 4691 +-1226 3923 +1810 -5244 +-554 1528 +5561 1510 +4871 -2760 +2166 2527 +3072 1024 +-2492 -1997 +-666 532 +-5804 -1091 +1338 -4305 +815 -511 +-3883 4327 +2179 2548 +2048 0 +2124 873 +-2376 973 +-748 3599 +928 4678 +-4504 -1558 +4078 2344 +4424 -189 +-3072 1448 +860 -707 +-727 -3788 +236 1458 +808 1678 +-3748 2186 +3686 -5206 +5582 -951 +0 0 +5582 951 +3686 5206 +-3748 -2186 +808 -1678 +236 -1458 +-727 3788 +860 707 +-3072 -1448 +4424 189 +4078 -2344 +-4504 1558 +928 -4678 +-748 -3599 +-2376 -973 +2124 -873 +2048 0 +2785 1773 +2073 4599 +2403 -3128 +-4424 -1782 +-6482 984 +3646 1661 +244 1603 +-3072 -1448 +-1951 -96 +-2901 2000 +-394 3320 +-1408 1219 +1651 3636 +713 4986 +-2481 1380 +0 0 +-2481 -1380 +713 -4986 +1651 -3636 +-1408 -1219 +-394 -3320 +-2901 -2000 +-1951 96 +-3072 1448 +244 -1603 +3646 -1661 +-6482 -984 +-4424 1782 +2403 3128 +2073 -4599 +2785 -1773 +2048 0 +2124 873 +-2376 973 +-748 3599 +928 4678 +-4504 -1558 +4078 2344 +4424 -189 +-3072 1448 +860 -707 +-727 -3788 +236 1458 +808 1678 +-3748 2186 +3686 -5206 +5582 -951 +0 1024 +2752 -5040 +-2161 2907 +1123 2553 +2114 522 +651 2064 +2572 351 +1125 -1650 +3196 724 +-3753 177 +-6708 -2172 +10 2712 +-452 -2080 +4895 -4611 +235 1015 +-3733 1540 +3072 0 +-3733 -1540 +235 -1015 +4895 4611 +-452 2080 +10 -2712 +-6708 2172 +-3753 -177 +3196 -724 +1125 1650 +2572 -351 +651 -2064 +2114 -522 +1123 -2553 +-2161 -2907 +2752 5040 +0 -1024 +-1171 -182 +2994 1697 +-900 -2966 +-3562 -1370 +413 -3531 +1175 -3627 +-2763 -7512 +-1148 -724 +-1290 2693 +-4032 96 +255 -633 +1900 -2864 +-654 1950 +-2267 -3402 +3040 -522 +9216 0 +3040 522 +-2267 3402 +-654 -1950 +1900 2864 +255 633 +-4032 -96 +-1290 -2693 +-1148 724 +-2763 7512 +1175 3627 +413 3531 +-3562 1370 +-900 2966 +2994 -1697 +-1171 182 +0 1024 +2752 -5040 +-2161 2907 +1123 2553 +2114 522 +651 2064 +2572 351 +1125 -1650 +3196 724 +-3753 177 +-6708 -2172 +10 2712 +-452 -2080 +4895 -4611 +235 1015 +-3733 1540 +2048 2048 +-2265 3241 +2161 3748 +2670 2647 +-3386 -2891 +-150 2302 +-531 1990 +-1593 -1467 +2896 424 +2143 -479 +-2488 -4651 +911 -1443 +-2602 6556 +223 4433 +2488 1384 +-397 1715 +4096 0 +-397 -1715 +2488 -1384 +223 -4433 +-2602 -6556 +911 1443 +-2488 4651 +2143 479 +2896 -424 +-1593 1467 +-531 -1990 +-150 -2302 +-3386 2891 +2670 -2647 +2161 -3748 +-2265 -3241 +2048 -2048 +-82 2786 +-373 -2744 +-4639 -278 +-710 244 +2019 -1464 +-5041 1690 +-4527 -2849 +-2896 -2472 +3977 260 +2268 2538 +-2779 -1814 +-1494 -1012 +1746 2032 +1516 -6172 +2744 215 +8192 0 +2744 -215 +1516 6172 +1746 -2032 +-1494 1012 +-2779 1814 +2268 -2538 +3977 -260 +-2896 2472 +-4527 2849 +-5041 -1690 +2019 1464 +-710 -244 +-4639 278 +-373 2744 +-82 -2786 +2048 2048 +-2265 3241 +2161 3748 +2670 2647 +-3386 -2891 +-150 2302 +-531 1990 +-1593 -1467 +2896 424 +2143 -479 +-2488 -4651 +911 -1443 +-2602 6556 +223 4433 +2488 1384 +-397 1715 +1024 -2048 +-870 -3067 +2789 -2383 +-1598 730 +-14 1957 +2945 2843 +-2128 942 +-2342 4148 +0 -600 +2825 1498 +945 596 +-3574 3123 +2218 7620 +5406 -4356 +990 -734 +134 -3766 +1024 0 +134 3766 +990 734 +5406 4356 +2218 -7620 +-3574 -3123 +945 -596 +2825 -1498 +0 600 +-2342 -4148 +-2128 -942 +2945 -2843 +-14 -1957 +-1598 -730 +2789 2383 +-870 3067 +1024 2048 +3032 2669 +-1157 -774 +3046 2523 +2662 -260 +-2775 -312 +-4709 3782 +-5370 -2993 +0 -3496 +-227 4770 +-3998 31 +949 -3048 +3326 2268 +1393 -639 +-925 1674 +-2976 2688 +-3072 0 +-2976 -2688 +-925 -1674 +1393 639 +3326 -2268 +949 3048 +-3998 -31 +-227 -4770 +0 3496 +-5370 2993 +-4709 -3782 +-2775 312 +2662 260 +3046 -2523 +-1157 774 +3032 -2669 +1024 -2048 +-870 -3067 +2789 -2383 +-1598 730 +-14 1957 +2945 2843 +-2128 942 +-2342 4148 +0 -600 +2825 1498 +945 596 +-3574 3123 +2218 7620 +5406 -4356 +990 -734 +134 -3766 +-2048 -2048 +2291 -142 +-3449 4268 +-1193 194 +2602 -1324 +4632 3199 +-1449 4216 +-3047 5515 +1448 -2472 +-2318 533 +221 2097 +1584 -2914 +710 2772 +-1438 -4072 +-6544 -3250 +-2544 2807 +2048 0 +-2544 -2807 +-6544 3250 +-1438 4072 +710 -2772 +1584 2914 +221 -2097 +-2318 -533 +1448 2472 +-3047 -5515 +-1449 -4216 +4632 -3199 +2602 1324 +-1193 -194 +-3449 -4268 +2291 142 +-2048 2048 +-1637 1531 +5644 4136 +1104 -432 +1494 -1324 +-3490 4475 +-5492 -367 +-1548 812 +-1448 424 +4016 -237 +2623 55 +170 122 +3386 2772 +4424 -838 +253 1765 +-1007 -1655 +2048 0 +-1007 1655 +253 -1765 +4424 838 +3386 -2772 +170 -122 +2623 -55 +4016 237 +-1448 -424 +-1548 -812 +-5492 367 +-3490 -4475 +1494 1324 +1104 432 +5644 -4136 +-1637 -1531 +-2048 -2048 +2291 -142 +-3449 4268 +-1193 194 +2602 -1324 +4632 3199 +-1449 4216 +-3047 5515 +1448 -2472 +-2318 533 +221 2097 +1584 -2914 +710 2772 +-1438 -4072 +-6544 -3250 +-2544 2807 +-3072 -1024 +2072 2576 +1781 -4461 +-2980 1107 +-548 4032 +-1271 457 +-878 3006 +139 3315 +-300 1748 +3054 1565 +-2656 2396 +-1530 -707 +-3778 -462 +1345 3142 +3179 2049 +-683 3138 +6144 0 +-683 -3138 +3179 -2049 +1345 -3142 +-3778 462 +-1530 707 +-2656 -2396 +3054 -1565 +-300 -1748 +139 -3315 +-878 -3006 +-1271 -457 +-548 -4032 +-2980 -1107 +1781 4461 +2072 -2576 +-3072 1024 +-5356 1329 +5500 -4932 +3156 1995 +-3548 -536 +2215 -3703 +3045 -1843 +2636 -4103 +-1748 300 +851 2111 +1689 3360 +-744 4141 +-318 -138 +-5985 848 +-3467 1343 +3079 -563 +4096 0 +3079 563 +-3467 -1343 +-5985 -848 +-318 138 +-744 -4141 +1689 -3360 +851 -2111 +-1748 -300 +2636 4103 +3045 1843 +2215 3703 +-3548 536 +3156 -1995 +5500 4932 +-5356 -1329 +-3072 -1024 +2072 2576 +1781 -4461 +-2980 1107 +-548 4032 +-1271 457 +-878 3006 +139 3315 +-300 1748 +3054 1565 +-2656 2396 +-1530 -707 +-3778 -462 +1345 3142 +3179 2049 +-683 3138 +3072 -1024 +-120 -415 +1644 -5558 +4915 -927 +-392 3092 +861 2874 +-1564 -3854 +-1857 -718 +1148 3796 +-4300 966 +-5322 219 +-4545 53 +-946 4262 +1455 670 +2006 -2768 +-785 -1970 +-6144 0 +-785 1970 +2006 2768 +1455 -670 +-946 -4262 +-4545 -53 +-5322 -219 +-4300 -966 +1148 -3796 +-1857 718 +-1564 3854 +861 -2874 +-392 -3092 +4915 927 +1644 5558 +-120 415 +3072 1024 +1316 1544 +913 962 +3364 372 +392 -3692 +-4691 3075 +2792 -3123 +229 -1684 +-3196 2348 +5279 -840 +1198 3892 +-761 -1985 +946 -765 +-599 -913 +-1666 -7124 +239 -2565 +4096 0 +239 2565 +-1666 7124 +-599 913 +946 765 +-761 1985 +1198 -3892 +5279 840 +-3196 -2348 +229 1684 +2792 3123 +-4691 -3075 +392 3692 +3364 -372 +913 -962 +1316 -1544 +3072 -1024 +-120 -415 +1644 -5558 +4915 -927 +-392 3092 +861 2874 +-1564 -3854 +-1857 -718 +1148 3796 +-4300 966 +-5322 219 +-4545 53 +-946 4262 +1455 670 +2006 -2768 +-785 -1970 +-2048 -3072 +-5217 1241 +-3822 2432 +-2056 -4618 +2030 502 +649 -1867 +-2938 1043 +-2131 3574 +-2348 -2172 +1545 2882 +2638 -638 +85 -1773 +3248 1056 +2926 244 +2229 -664 +3716 -4187 +3072 0 +3716 4187 +2229 664 +2926 -244 +3248 -1056 +85 1773 +2638 638 +1545 -2882 +-2348 2172 +-2131 -3574 +-2938 -1043 +649 1867 +2030 -502 +-2056 4618 +-3822 -2432 +-5217 -1241 +-2048 3072 +2130 782 +4606 -4260 +5348 -573 +-1430 2394 +-1711 -4155 +1046 2793 +-4536 -1019 +-3796 2172 +10 4448 +-746 -5415 +-1479 -97 +248 1840 +2030 1116 +-3012 -2860 +-1309 -2999 +5120 0 +-1309 2999 +-3012 2860 +2030 -1116 +248 -1840 +-1479 97 +-746 5415 +10 -4448 +-3796 -2172 +-4536 1019 +1046 -2793 +-1711 4155 +-1430 -2394 +5348 573 +4606 4260 +2130 -782 +-2048 -3072 +-5217 1241 +-3822 2432 +-2056 -4618 +2030 502 +649 -1867 +-2938 1043 +-2131 3574 +-2348 -2172 +1545 2882 +2638 -638 +85 -1773 +3248 1056 +2926 244 +2229 -664 +3716 -4187 +3072 6144 +5060 4903 +-2034 -192 +1576 5987 +-1098 2616 +-4284 -4251 +-978 1617 +238 227 +1024 1624 +-1179 1099 +2119 -791 +-2082 2292 +-1422 1508 +811 1385 +-701 -757 +2235 -594 +1024 0 +2235 594 +-701 757 +811 -1385 +-1422 -1508 +-2082 -2292 +2119 791 +-1179 -1099 +1024 -1624 +238 -227 +-978 -1617 +-4284 4251 +-1098 -2616 +1576 -5987 +-2034 192 +5060 -4903 +3072 -6144 +-2105 -1913 +446 2084 +2905 149 +3146 -1168 +-2371 -89 +-7530 -2401 +1257 423 +1024 4520 +-4749 5343 +2293 8 +-1966 -839 +3470 -60 +5412 -1042 +-1806 2649 +-757 -2209 +-3072 0 +-757 2209 +-1806 -2649 +5412 1042 +3470 60 +-1966 839 +2293 -8 +-4749 -5343 +1024 -4520 +1257 -423 +-7530 2401 +-2371 89 +3146 1168 +2905 -149 +446 -2084 +-2105 1913 +3072 6144 +5060 4903 +-2034 -192 +1576 5987 +-1098 2616 +-4284 -4251 +-978 1617 +238 227 +1024 1624 +-1179 1099 +2119 -791 +-2082 2292 +-1422 1508 +811 1385 +-701 -757 +2235 -594 +4096 2048 +-1521 955 +2111 -2341 +-4098 -1999 +-1134 -2192 +-165 -2598 +2 439 +1869 527 +-5544 -424 +4695 3000 +2351 3747 +-87 1842 +978 964 +-3914 -2120 +6018 -1254 +6200 3382 +0 0 +6200 -3382 +6018 1254 +-3914 2120 +978 -964 +-87 -1842 +2351 -3747 +4695 -3000 +-5544 424 +1869 -527 +2 -439 +-165 2598 +-1134 2192 +-4098 1999 +2111 2341 +-1521 -955 +4096 -2048 +3027 -274 +-2399 -779 +-5140 -529 +-3810 1592 +2134 2111 +-970 684 +676 22 +-2648 2472 +-3015 1333 +-184 -5519 +-3891 -3896 +-130 2532 +3575 -1975 +1262 -4762 +-345 1083 +0 0 +-345 -1083 +1262 4762 +3575 1975 +-130 -2532 +-3891 3896 +-184 5519 +-3015 -1333 +-2648 -2472 +676 -22 +-970 -684 +2134 -2111 +-3810 -1592 +-5140 529 +-2399 779 +3027 274 +4096 2048 +-1521 955 +2111 -2341 +-4098 -1999 +-1134 -2192 +-165 -2598 +2 439 +1869 527 +-5544 -424 +4695 3000 +2351 3747 +-87 1842 +978 964 +-3914 -2120 +6018 -1254 +6200 3382 +2048 -4096 +474 -3433 +4537 2799 +-4391 1026 +-3400 -1254 +2471 3580 +-773 -1069 +3578 452 +-1624 1448 +-1489 -753 +957 147 +-569 3381 +-384 4254 +-1373 -4702 +-1496 -3321 +-4587 4108 +-6144 0 +-4587 -4108 +-1496 3321 +-1373 4702 +-384 -4254 +-569 -3381 +957 -147 +-1489 753 +-1624 -1448 +3578 -452 +-773 1069 +2471 -3580 +-3400 1254 +-4391 -1026 +4537 -2799 +474 3433 +2048 4096 +2258 7006 +-478 697 +-2831 -2200 +1952 -794 +1477 -1969 +1269 469 +4676 -4592 +-4520 -1448 +2132 3294 +5539 453 +643 -441 +1832 -2206 +-1220 -936 +-1364 -175 +-1250 353 +-2048 0 +-1250 -353 +-1364 175 +-1220 936 +1832 2206 +643 441 +5539 -453 +2132 -3294 +-4520 1448 +4676 4592 +1269 -469 +1477 1969 +1952 794 +-2831 2200 +-478 -697 +2258 -7006 +2048 -4096 +474 -3433 +4537 2799 +-4391 1026 +-3400 -1254 +2471 3580 +-773 -1069 +3578 452 +-1624 1448 +-1489 -753 +957 147 +-569 3381 +-384 4254 +-1373 -4702 +-1496 -3321 +-4587 4108 +-4096 -1024 +-1047 -610 +-1462 3110 +5134 -1597 +847 -2250 +-2708 254 +-219 -2747 +168 -4029 +2772 1148 +-11 -1393 +3037 -1465 +1383 -1469 +-2488 -756 +-5447 5207 +-3015 -719 +-167 -3346 +-5120 0 +-167 3346 +-3015 719 +-5447 -5207 +-2488 756 +1383 1469 +3037 1465 +-11 1393 +2772 -1148 +168 4029 +-219 2747 +-2708 -254 +847 2250 +5134 1597 +-1462 -3110 +-1047 610 +-4096 1024 +-2722 -4794 +4258 2278 +1353 -949 +-1695 -4142 +161 3194 +-3225 1364 +-526 -1210 +1324 -3196 +-959 1138 +3304 1282 +276 -3643 +7432 -1540 +5640 -2329 +-2676 -885 +-528 527 +-3072 0 +-528 -527 +-2676 885 +5640 2329 +7432 1540 +276 3643 +3304 -1282 +-959 -1138 +1324 3196 +-526 1210 +-3225 -1364 +161 -3194 +-1695 4142 +1353 949 +4258 -2278 +-2722 4794 +-4096 -1024 +-1047 -610 +-1462 3110 +5134 -1597 +847 -2250 +-2708 254 +-219 -2747 +168 -4029 +2772 1148 +-11 -1393 +3037 -1465 +1383 -1469 +-2488 -756 +-5447 5207 +-3015 -719 +-167 -3346 +-1024 -3072 +-1720 2765 +4053 163 +-75 -445 +332 -3888 +441 1440 +1078 866 +1372 -2139 +-4220 -2772 +-2442 -1388 +-129 1200 +-1697 -2216 +-1670 1546 +2832 -1494 +2001 -3266 +3818 2757 +10240 0 +3818 -2757 +2001 3266 +2832 1494 +-1670 -1546 +-1697 2216 +-129 -1200 +-2442 1388 +-4220 2772 +1372 2139 +1078 -866 +441 -1440 +332 3888 +-75 445 +4053 -163 +-1720 -2765 +-1024 3072 +-233 3003 +-2161 -3948 +2900 -1726 +1116 -3104 +3890 3560 +-294 701 +-4545 -2482 +124 -1324 +-2394 -4489 +-655 368 +-2193 903 +222 -346 +5487 1202 +-3893 -519 +-5441 -6437 +0 0 +-5441 6437 +-3893 519 +5487 -1202 +222 346 +-2193 -903 +-655 -368 +-2394 4489 +124 1324 +-4545 2482 +-294 -701 +3890 -3560 +1116 3104 +2900 1726 +-2161 3948 +-233 -3003 +-1024 -3072 +-1720 2765 +4053 163 +-75 -445 +332 -3888 +441 1440 +1078 866 +1372 -2139 +-4220 -2772 +-2442 -1388 +-129 1200 +-1697 -2216 +-1670 1546 +2832 -1494 +2001 -3266 +3818 2757 +2048 -1024 +-23 -3227 +871 2994 +-33 3510 +-876 5024 +1334 5604 +369 1775 +-2089 4871 +-2596 -4220 +-1506 -1510 +1262 -733 +1051 -2140 +-1090 5566 +-655 -49 +-216 1973 +1257 -4504 +3072 0 +1257 4504 +-216 -1973 +-655 49 +-1090 -5566 +1051 2140 +1262 733 +-1506 1510 +-2596 4220 +-2089 -4871 +369 -1775 +1334 -5604 +-876 -5024 +-33 -3510 +871 -2994 +-23 3227 +2048 1024 +1561 -2314 +-807 -318 +933 -1154 +1476 -3328 +-625 -164 +-3422 -666 +-2290 2371 +4644 124 +533 -2393 +-8098 1841 +457 -429 +4586 4323 +-2462 5981 +1848 703 +2556 -596 +-3072 0 +2556 596 +1848 -703 +-2462 -5981 +4586 -4323 +457 429 +-8098 -1841 +533 2393 +4644 -124 +-2290 -2371 +-3422 666 +-625 164 +1476 3328 +933 1154 +-807 318 +1561 2314 +2048 -1024 +-23 -3227 +871 2994 +-33 3510 +-876 5024 +1334 5604 +369 1775 +-2089 4871 +-2596 -4220 +-1506 -1510 +1262 -733 +1051 -2140 +-1090 5566 +-655 -49 +-216 1973 +1257 -4504 +2048 -3072 +2280 -6888 +4130 2893 +-1050 4566 +-2570 646 +180 3665 +810 1467 +-2498 -1432 +-3620 -3196 +1357 1731 +-3511 3753 +-2579 1356 +-4128 2140 +-2064 3355 +4821 4046 +562 -2416 +-1024 0 +562 2416 +4821 -4046 +-2064 -3355 +-4128 -2140 +-2579 -1356 +-3511 -3753 +1357 -1731 +-3620 3196 +-2498 1432 +810 -1467 +180 -3665 +-2570 -646 +-1050 -4566 +4130 -2893 +2280 6888 +2048 3072 +-961 1119 +-413 2015 +1405 268 +-678 -1246 +-2378 2100 +-1998 2982 +136 -2031 +3620 1148 +2573 -1409 +106 -2201 +993 2842 +-4912 1356 +5493 -89 +4248 -2035 +-3448 431 +5120 0 +-3448 -431 +4248 2035 +5493 89 +-4912 -1356 +993 -2842 +106 2201 +2573 1409 +3620 -1148 +136 2031 +-1998 -2982 +-2378 -2100 +-678 1246 +1405 -268 +-413 -2015 +-961 -1119 +2048 -3072 +2280 -6888 +4130 2893 +-1050 4566 +-2570 646 +180 3665 +810 1467 +-2498 -1432 +-3620 -3196 +1357 1731 +-3511 3753 +-2579 1356 +-4128 2140 +-2064 3355 +4821 4046 +562 -2416 +2048 -4096 +-250 -4920 +821 -1165 +938 -4407 +-4014 3112 +885 3124 +1271 -1593 +2720 314 +1448 3920 +-5434 3385 +-389 71 +-2938 -1166 +-1663 -2552 +2920 2330 +-4050 2186 +1438 1023 +10240 0 +1438 -1023 +-4050 -2186 +2920 -2330 +-1663 2552 +-2938 1166 +-389 -71 +-5434 -3385 +1448 -3920 +2720 -314 +1271 1593 +885 -3124 +-4014 -3112 +938 4407 +821 1165 +-250 4920 +2048 4096 +84 2066 +-1761 1009 +706 -723 +4014 5329 +-1008 -343 +-644 2857 +1276 1771 +-1448 -1872 +-3675 1155 +-4335 -2902 +605 3267 +1663 2800 +3684 -2347 +893 1754 +-1951 4372 +2048 0 +-1951 -4372 +893 -1754 +3684 2347 +1663 -2800 +605 -3267 +-4335 2902 +-3675 -1155 +-1448 1872 +1276 -1771 +-644 -2857 +-1008 343 +4014 -5329 +706 723 +-1761 -1009 +84 -2066 +2048 -4096 +-250 -4920 +821 -1165 +938 -4407 +-4014 3112 +885 3124 +1271 -1593 +2720 314 +1448 3920 +-5434 3385 +-389 71 +-2938 -1166 +-1663 -2552 +2920 2330 +-4050 2186 +1438 1023 +0 -2048 +1687 4903 +-1819 5094 +-1012 -1746 +-1388 2362 +-2293 2161 +865 -440 +1064 -7730 +-2472 -2048 +335 1249 +1454 1459 +-267 2977 +4064 -1578 +682 4169 +-3341 1171 +1521 1314 +4096 0 +1521 -1314 +-3341 -1171 +682 -4169 +4064 1578 +-267 -2977 +1454 -1459 +335 -1249 +-2472 2048 +1064 7730 +865 440 +-2293 -2161 +-1388 -2362 +-1012 1746 +-1819 -5094 +1687 -4903 +0 2048 +-610 -3168 +1154 -3866 +-6451 -2997 +-2956 -314 +878 -1577 +-1309 100 +4752 120 +424 -2048 +1209 2156 +1886 1098 +-7895 -487 +280 -470 +4773 1185 +1109 2953 +1628 3244 +0 0 +1628 -3244 +1109 -2953 +4773 -1185 +280 470 +-7895 487 +1886 -1098 +1209 -2156 +424 2048 +4752 -120 +-1309 -100 +878 1577 +-2956 314 +-6451 2997 +1154 3866 +-610 3168 +0 -2048 +1687 4903 +-1819 5094 +-1012 -1746 +-1388 2362 +-2293 2161 +865 -440 +1064 -7730 +-2472 -2048 +335 1249 +1454 1459 +-267 2977 +4064 -1578 +682 4169 +-3341 1171 +1521 1314 +2048 0 +57 -191 +2144 3107 +4189 -2750 +-484 -2786 +-936 -3931 +1702 -3341 +-1057 1191 +-3072 1448 +-897 -2643 +-2895 -1721 +2974 311 +-144 -894 +-3351 153 +1152 -2797 +-524 -4238 +0 0 +-524 4238 +1152 2797 +-3351 -153 +-144 894 +2974 -311 +-2895 1721 +-897 2643 +-3072 -1448 +-1057 -1191 +1702 3341 +-936 3931 +-484 2786 +4189 2750 +2144 -3107 +57 191 +2048 0 +1242 -5508 +3753 1617 +-1909 -931 +1084 -110 +2218 1835 +-1139 2401 +3720 8757 +-3072 -1448 +-4662 -1970 +-3460 4878 +-1361 1928 +3640 -2002 +3967 -1899 +-1256 3425 +-3671 -2037 +0 0 +-3671 2037 +-1256 -3425 +3967 1899 +3640 2002 +-1361 -1928 +-3460 -4878 +-4662 1970 +-3072 1448 +3720 -8757 +-1139 -2401 +2218 -1835 +1084 110 +-1909 931 +3753 -1617 +1242 5508 +2048 0 +57 -191 +2144 3107 +4189 -2750 +-484 -2786 +-936 -3931 +1702 -3341 +-1057 1191 +-3072 1448 +-897 -2643 +-2895 -1721 +2974 311 +-144 -894 +-3351 153 +1152 -2797 +-524 -4238 +-6144 -3072 +-4052 -2001 +4775 -938 +-4790 -989 +-3802 -3178 +1128 -1340 +-743 519 +3344 -3994 +300 -2172 +-7125 1415 +-1325 -1702 +1774 -1952 +2768 52 +1117 3561 +1135 6121 +3643 2178 +1024 0 +3643 -2178 +1135 -6121 +1117 -3561 +2768 -52 +1774 1952 +-1325 1702 +-7125 -1415 +300 2172 +3344 3994 +-743 -519 +1128 1340 +-3802 3178 +-4790 989 +4775 938 +-4052 2001 +-6144 3072 +2052 -981 +-1943 -3379 +1907 1983 +306 282 +1557 -2914 +899 1789 +-432 2855 +1748 2172 +-1138 2798 +5266 1610 +-2242 -86 +-3368 -2948 +-451 -350 +130 3547 +3709 192 +-1024 0 +3709 -192 +130 -3547 +-451 350 +-3368 2948 +-2242 86 +5266 -1610 +-1138 -2798 +1748 -2172 +-432 -2855 +899 -1789 +1557 2914 +306 -282 +1907 -1983 +-1943 3379 +2052 981 +-6144 -3072 +-4052 -2001 +4775 -938 +-4790 -989 +-3802 -3178 +1128 -1340 +-743 519 +3344 -3994 +300 -2172 +-7125 1415 +-1325 -1702 +1774 -1952 +2768 52 +1117 3561 +1135 6121 +3643 2178 +1024 -1024 +1550 -395 +-1446 2843 +-4074 -4809 +-2948 -1540 +-540 -1460 +771 -1815 +2183 3226 +3196 -1148 +1425 -5985 +-1049 -1353 +88 753 +3178 -2250 +3275 1976 +896 2642 +-159 1490 +0 0 +-159 -1490 +896 -2642 +3275 -1976 +3178 2250 +88 -753 +-1049 1353 +1425 5985 +3196 1148 +2183 -3226 +771 1815 +-540 1460 +-2948 1540 +-4074 4809 +-1446 -2843 +1550 395 +1024 1024 +-601 -6531 +-2 -2179 +882 4378 +52 -756 +-285 -2721 +677 1371 +275 668 +-1148 3196 +-98 -6010 +2497 -1987 +2305 288 +-282 -4142 +-1651 6209 +-2344 -4874 +-4574 -4528 +-6144 0 +-4574 4528 +-2344 4874 +-1651 -6209 +-282 4142 +2305 -288 +2497 1987 +-98 6010 +-1148 -3196 +275 -668 +677 -1371 +-285 2721 +52 756 +882 -4378 +-2 2179 +-601 6531 +1024 -1024 +1550 -395 +-1446 2843 +-4074 -4809 +-2948 -1540 +-540 -1460 +771 -1815 +2183 3226 +3196 -1148 +1425 -5985 +-1049 -1353 +88 753 +3178 -2250 +3275 1976 +896 2642 +-159 1490 +-1024 -1024 +-3175 2412 +2226 7789 +-483 -2 +-756 -632 +1417 -1896 +-141 -1510 +-2536 2359 +-3620 724 +1198 -633 +568 1037 +411 -2895 +4142 78 +1998 4986 +-3741 -3005 +-5530 3655 +-4096 0 +-5530 -3655 +-3741 3005 +1998 -4986 +4142 -78 +411 2895 +568 -1037 +1198 633 +-3620 -724 +-2536 -2359 +-141 1510 +1417 1896 +-756 632 +-483 2 +2226 -7789 +-3175 -2412 +-1024 1024 +235 -878 +-215 171 +10 -1056 +-1540 -1416 +2517 -1772 +-1411 7591 +971 4239 +3620 -724 +1254 1806 +3880 450 +118 4211 +2250 1970 +-196 -3460 +-1167 -1820 +1791 6438 +-2048 0 +1791 -6438 +-1167 1820 +-196 3460 +2250 -1970 +118 -4211 +3880 -450 +1254 -1806 +3620 724 +971 -4239 +-1411 -7591 +2517 1772 +-1540 1416 +10 1056 +-215 -171 +235 878 +-1024 -1024 +-3175 2412 +2226 7789 +-483 -2 +-756 -632 +1417 -1896 +-141 -1510 +-2536 2359 +-3620 724 +1198 -633 +568 1037 +411 -2895 +4142 78 +1998 4986 +-3741 -3005 +-5530 3655 +-1024 0 +1360 1290 +-1914 -818 +-4394 7798 +2976 4882 +1613 1141 +-4463 1651 +1133 -1130 +-2896 -600 +-3727 -1785 +606 -758 +-1615 1002 +2856 145 +2599 -406 +3927 2007 +3325 459 +-3072 0 +3325 -459 +3927 -2007 +2599 406 +2856 -145 +-1615 -1002 +606 758 +-3727 1785 +-2896 600 +1133 1130 +-4463 -1651 +1613 -1141 +2976 -4882 +-4394 -7798 +-1914 818 +1360 -1290 +-1024 0 +-3061 699 +362 2894 +-2508 2410 +-2376 -6930 +5100 -2375 +-445 2954 +-1229 3886 +2896 -3496 +-640 -3578 +1405 -1629 +1583 -1245 +640 1903 +3416 -2379 +521 1269 +-2953 -4189 +-3072 0 +-2953 4189 +521 -1269 +3416 2379 +640 -1903 +1583 1245 +1405 1629 +-640 3578 +2896 3496 +-1229 -3886 +-445 -2954 +5100 2375 +-2376 6930 +-2508 -2410 +362 -2894 +-3061 -699 +-1024 0 +1360 1290 +-1914 -818 +-4394 7798 +2976 4882 +1613 1141 +-4463 1651 +1133 -1130 +-2896 -600 +-3727 -1785 +606 -758 +-1615 1002 +2856 145 +2599 -406 +3927 2007 +3325 459 +-3072 -2048 +647 -4563 +2827 -3396 +-2108 1014 +-964 1762 +-4280 873 +-4009 -1314 +-89 -1067 +-600 0 +3961 3412 +-1943 1527 +-783 1561 +1592 1918 +-755 -944 +-436 2380 +-1345 -1048 +1024 0 +-1345 1048 +-436 -2380 +-755 944 +1592 -1918 +-783 -1561 +-1943 -1527 +3961 -3412 +-600 0 +-89 1067 +-4009 1314 +-4280 -873 +-964 -1762 +-2108 -1014 +2827 3396 +647 4563 +-3072 2048 +-1173 2964 +1452 -728 +-540 1294 +-2532 -914 +-1773 -4791 +2717 -1242 +568 -3351 +-3496 0 +2479 -470 +4435 -1187 +835 4098 +-2192 3026 +9404 1243 +3149 -3607 +-5049 -4776 +5120 0 +-5049 4776 +3149 3607 +9404 -1243 +-2192 -3026 +835 -4098 +4435 1187 +2479 470 +-3496 0 +568 3351 +2717 1242 +-1773 4791 +-2532 914 +-540 -1294 +1452 728 +-1173 -2964 +-3072 -2048 +647 -4563 +2827 -3396 +-2108 1014 +-964 1762 +-4280 873 +-4009 -1314 +-89 -1067 +-600 0 +3961 3412 +-1943 1527 +-783 1561 +1592 1918 +-755 -944 +-436 2380 +-1345 -1048 +1024 2048 +1398 995 +3626 -4484 +3704 -4035 +-3156 -4350 +-2699 2017 +1371 2331 +-3073 -13 +-424 1024 +-1712 619 +-6924 1548 +-2070 -2477 +628 1010 +3082 -237 +-97 -1336 +-3679 7708 +-1024 0 +-3679 -7708 +-97 1336 +3082 237 +628 -1010 +-2070 2477 +-6924 -1548 +-1712 -619 +-424 -1024 +-3073 13 +1371 -2331 +-2699 -2017 +-3156 4350 +3704 4035 +3626 4484 +1398 -995 +1024 -2048 +82 -1456 +-589 -905 +939 -2044 +-940 -3242 +1384 2091 +5689 -948 +1670 472 +2472 1024 +1786 728 +1064 -1364 +2497 2121 +-4724 3686 +-1045 -4513 +4053 2940 +-2264 -1489 +-5120 0 +-2264 1489 +4053 -2940 +-1045 4513 +-4724 -3686 +2497 -2121 +1064 1364 +1786 -728 +2472 -1024 +1670 -472 +5689 948 +1384 -2091 +-940 3242 +939 2044 +-589 905 +82 1456 +1024 2048 +1398 995 +3626 -4484 +3704 -4035 +-3156 -4350 +-2699 2017 +1371 2331 +-3073 -13 +-424 1024 +-1712 619 +-6924 1548 +-2070 -2477 +628 1010 +3082 -237 +-97 -1336 +-3679 7708 +3072 5120 +-1722 -66 +-2978 1671 +-4389 2432 +476 -3164 +-2617 -1269 +1037 -2660 +2577 -4525 +-3796 -900 +-1235 2888 +-1420 2640 +4690 -1404 +-2754 2270 +169 1849 +6889 -2143 +-2307 4222 +-2048 0 +-2307 -4222 +6889 2143 +169 -1849 +-2754 -2270 +4690 1404 +-1420 -2640 +-1235 -2888 +-3796 900 +2577 4525 +1037 2660 +-2617 1269 +476 3164 +-4389 -2432 +-2978 -1671 +-1722 66 +3072 -5120 +4758 702 +2350 533 +44 -5982 +-2524 -2380 +-28 -2413 +2120 -652 +-33 579 +-2348 -5244 +907 -1482 +2360 2240 +3306 -61 +706 378 +-1175 -3182 +-2165 -3845 +-2945 1766 +0 0 +-2945 -1766 +-2165 3845 +-1175 3182 +706 -378 +3306 61 +2360 -2240 +907 1482 +-2348 5244 +-33 -579 +2120 652 +-28 2413 +-2524 2380 +44 5982 +2350 -533 +4758 -702 +3072 5120 +-1722 -66 +-2978 1671 +-4389 2432 +476 -3164 +-2617 -1269 +1037 -2660 +2577 -4525 +-3796 -900 +-1235 2888 +-1420 2640 +4690 -1404 +-2754 2270 +169 1849 +6889 -2143 +-2307 4222 +2048 -2048 +-4479 620 +1060 1138 +100 -3557 +614 -5148 +1348 -2472 +71 1694 +3529 181 +1024 -600 +2954 2822 +-2402 -453 +-925 -2251 +1278 684 +-661 -315 +38 -3190 +-765 -4169 +2048 0 +-765 4169 +38 3190 +-661 315 +1278 -684 +-925 2251 +-2402 453 +2954 -2822 +1024 600 +3529 -181 +71 -1694 +1348 2472 +614 5148 +100 3557 +1060 -1138 +-4479 -620 +2048 2048 +-3490 389 +-4881 141 +-518 -284 +-2062 204 +-2650 728 +-5239 3058 +1522 6000 +1024 -3496 +4027 -3322 +6370 6405 +-1319 -822 +170 -1533 +-1168 938 +-3210 -2523 +2494 4290 +6144 0 +2494 -4290 +-3210 2523 +-1168 -938 +170 1533 +-1319 822 +6370 -6405 +4027 3322 +1024 3496 +1522 -6000 +-5239 -3058 +-2650 -728 +-2062 -204 +-518 284 +-4881 -141 +-3490 -389 +2048 -2048 +-4479 620 +1060 1138 +100 -3557 +614 -5148 +1348 -2472 +71 1694 +3529 181 +1024 -600 +2954 2822 +-2402 -453 +-925 -2251 +1278 684 +-661 -315 +38 -3190 +-765 -4169 +1024 -2048 +-756 -1445 +1832 530 +-966 4811 +-3446 -2048 +2680 3867 +2206 1012 +586 -2851 +-1448 2648 +-7139 -4140 +-413 -541 +2665 1662 +-5218 2048 +11 1754 +6552 -5379 +2069 -2608 +-1024 0 +2069 2608 +6552 5379 +11 -1754 +-5218 -2048 +2665 -1662 +-413 541 +-7139 4140 +-1448 -2648 +586 2851 +2206 -1012 +2680 -3867 +-3446 2048 +-966 -4811 +1832 -530 +-756 1445 +1024 2048 +-1657 2287 +-3244 4415 +1943 4871 +798 -2048 +-526 1443 +2843 -164 +2815 2537 +1448 5544 +842 3589 +-540 -307 +-1922 -1025 +-326 2048 +1909 -2537 +-1044 435 +-2552 -2582 +-1024 0 +-2552 2582 +-1044 -435 +1909 2537 +-326 -2048 +-1922 1025 +-540 307 +842 -3589 +1448 -5544 +2815 -2537 +2843 164 +-526 -1443 +798 2048 +1943 -4871 +-3244 -4415 +-1657 -2287 +1024 -2048 +-756 -1445 +1832 530 +-966 4811 +-3446 -2048 +2680 3867 +2206 1012 +586 -2851 +-1448 2648 +-7139 -4140 +-413 -541 +2665 1662 +-5218 2048 +11 1754 +6552 -5379 +2069 -2608 +5120 -6144 +-223 2381 +-1312 3474 +621 396 +300 -1578 +4774 1220 +151 -1799 +47 -608 +-1448 4096 +-3649 5556 +-2377 2109 +-5278 -945 +1748 -314 +-2526 -4432 +-1861 1253 +3205 3310 +-3072 0 +3205 -3310 +-1861 -1253 +-2526 4432 +1748 314 +-5278 945 +-2377 -2109 +-3649 -5556 +-1448 -4096 +47 608 +151 1799 +4774 -1220 +300 1578 +621 -396 +-1312 -3474 +-223 -2381 +5120 6144 +-326 488 +-1180 -4922 +1433 3786 +300 -470 +-2246 -224 +-4431 350 +-4513 -839 +1448 4096 +4331 4791 +-335 -660 +1182 814 +1748 2362 +2039 -4098 +3154 195 +1129 -233 +1024 0 +1129 233 +3154 -195 +2039 4098 +1748 -2362 +1182 -814 +-335 660 +4331 -4791 +1448 -4096 +-4513 839 +-4431 -350 +-2246 224 +300 470 +1433 -3786 +-1180 4922 +-326 -488 +5120 -6144 +-223 2381 +-1312 3474 +621 396 +300 -1578 +4774 1220 +151 -1799 +47 -608 +-1448 4096 +-3649 5556 +-2377 2109 +-5278 -945 +1748 -314 +-2526 -4432 +-1861 1253 +3205 3310 +-1024 -4096 +3136 -5746 +-4186 535 +792 6277 +-1878 3496 +-1352 -2356 +1849 -760 +-7 789 +4944 2048 +-1579 4128 +-989 -1504 +-407 -1249 +-1434 -600 +-2650 -551 +-8 3322 +3814 -2205 +-1024 0 +3814 2205 +-8 -3322 +-2650 551 +-1434 600 +-407 1249 +-989 1504 +-1579 -4128 +4944 -2048 +-7 -789 +1849 760 +-1352 2356 +-1878 -3496 +792 -6277 +-4186 -535 +3136 5746 +-1024 4096 +588 4477 +4342 4985 +-2211 688 +-770 3496 +-691 4148 +-585 -327 +788 -938 +-848 2048 +-1657 837 +3821 -3679 +1770 586 +-4110 -600 +-1044 -733 +3948 6295 +709 257 +-5120 0 +709 -257 +3948 -6295 +-1044 733 +-4110 600 +1770 -586 +3821 3679 +-1657 -837 +-848 -2048 +788 938 +-585 327 +-691 -4148 +-770 -3496 +-2211 -688 +4342 -4985 +588 -4477 +-1024 -4096 +3136 -5746 +-4186 535 +792 6277 +-1878 3496 +-1352 -2356 +1849 -760 +-7 789 +4944 2048 +-1579 4128 +-989 -1504 +-407 -1249 +-1434 -600 +-2650 -551 +-8 3322 +3814 -2205 +-3072 -2048 +1942 -3331 +374 -2195 +-2341 -7136 +1108 -86 +-3042 1584 +-1939 2407 +5455 4431 +2472 1024 +-4393 1091 +2367 -2804 +5578 -880 +-2676 4314 +1886 4235 +3954 -713 +740 -3877 +3072 0 +740 3877 +3954 713 +1886 -4235 +-2676 -4314 +5578 880 +2367 2804 +-4393 -1091 +2472 -1024 +5455 -4431 +-1939 -2407 +-3042 -1584 +1108 86 +-2341 7136 +374 2195 +1942 3331 +-3072 2048 +13 1670 +-1602 1751 +-3395 -4385 +-1108 -3411 +-819 -1608 +1599 -175 +-353 -1555 +-424 1024 +-2276 -562 +-4923 2139 +2067 104 +2676 -3714 +66 3268 +170 -2628 +-1127 3263 +-1024 0 +-1127 -3263 +170 2628 +66 -3268 +2676 3714 +2067 -104 +-4923 -2139 +-2276 562 +-424 -1024 +-353 1555 +1599 175 +-819 1608 +-1108 3411 +-3395 4385 +-1602 -1751 +13 -1670 +-3072 -2048 +1942 -3331 +374 -2195 +-2341 -7136 +1108 -86 +-3042 1584 +-1939 2407 +5455 4431 +2472 1024 +-4393 1091 +2367 -2804 +5578 -880 +-2676 4314 +1886 4235 +3954 -713 +740 -3877 +3072 3072 +-1114 -59 +-2742 569 +-2528 446 +-4272 -3732 +-3134 1427 +-4940 -1004 +-3584 -735 +724 2772 +634 -1427 +-2052 200 +1594 3993 +406 -1286 +2731 -4327 +-720 851 +-2438 826 +6144 0 +-2438 -826 +-720 -851 +2731 4327 +406 1286 +1594 -3993 +-2052 -200 +634 1427 +724 -2772 +-3584 735 +-4940 1004 +-3134 -1427 +-4272 3732 +-2528 -446 +-2742 -569 +-1114 59 +3072 -3072 +1238 1463 +5314 -569 +-1350 -194 +-1272 836 +3372 1209 +-2524 1004 +-1224 -857 +-724 1324 +1038 -4261 +3723 -200 +5736 2738 +-3054 -1610 +-6422 483 +3941 -851 +5449 4674 +4096 0 +5449 -4674 +3941 851 +-6422 -483 +-3054 1610 +5736 -2738 +3723 200 +1038 4261 +-724 -1324 +-1224 857 +-2524 -1004 +3372 -1209 +-1272 -836 +-1350 194 +5314 569 +1238 -1463 +3072 3072 +-1114 -59 +-2742 569 +-2528 446 +-4272 -3732 +-3134 1427 +-4940 -1004 +-3584 -735 +724 2772 +634 -1427 +-2052 200 +1594 3993 +406 -1286 +2731 -4327 +-720 851 +-2438 826 +-1024 0 +-446 2221 +-4295 4 +2200 -2363 +3400 -2002 +-1360 4535 +3635 518 +517 -867 +2896 1448 +-791 2807 +-7825 1911 +4044 -3204 +384 -2786 +1031 -1578 +5221 4331 +1808 3106 +5120 0 +1808 -3106 +5221 -4331 +1031 1578 +384 2786 +4044 3204 +-7825 -1911 +-791 -2807 +2896 -1448 +517 867 +3635 -518 +-1360 -4535 +3400 2002 +2200 2363 +-4295 -4 +-446 -2221 +-1024 0 +-5996 1322 +-1840 2672 +2140 4364 +-1952 -894 +1016 1993 +474 590 +-3507 -2659 +-2896 -1448 +-2012 2196 +3716 -803 +2093 -5066 +-1832 -110 +422 -3029 +915 -1656 +-1158 774 +-3072 0 +-1158 -774 +915 1656 +422 3029 +-1832 110 +2093 5066 +3716 803 +-2012 -2196 +-2896 1448 +-3507 2659 +474 -590 +1016 -1993 +-1952 894 +2140 -4364 +-1840 -2672 +-5996 -1322 +-1024 0 +-446 2221 +-4295 4 +2200 -2363 +3400 -2002 +-1360 4535 +3635 518 +517 -867 +2896 1448 +-791 2807 +-7825 1911 +4044 -3204 +384 -2786 +1031 -1578 +5221 4331 +1808 3106 +-3072 -1024 +-3129 518 +6884 4126 +-627 -1575 +92 -596 +214 3069 +-5453 -4892 +2752 -2317 +724 724 +-504 -4450 +300 -1511 +1441 239 +-802 2479 +1928 1388 +3625 -5985 +593 -3136 +4096 0 +593 3136 +3625 5985 +1928 -1388 +-802 -2479 +1441 -239 +300 1511 +-504 4450 +724 -724 +2752 2317 +-5453 4892 +214 -3069 +92 596 +-627 1575 +6884 -4126 +-3129 -518 +-3072 1024 +-1031 -1837 +293 -2825 +-1366 1930 +-692 5540 +4987 -871 +1265 -2649 +3119 -46 +-724 -724 +-1582 519 +-705 -734 +-5074 -1826 +-2694 -1630 +-1502 -4818 +1983 -3803 +-217 250 +-6144 0 +-217 -250 +1983 3803 +-1502 4818 +-2694 1630 +-5074 1826 +-705 734 +-1582 -519 +-724 724 +3119 46 +1265 2649 +4987 871 +-692 -5540 +-1366 -1930 +293 2825 +-1031 1837 +-3072 -1024 +-3129 518 +6884 4126 +-627 -1575 +92 -596 +214 3069 +-5453 -4892 +2752 -2317 +724 724 +-504 -4450 +300 -1511 +1441 239 +-802 2479 +1928 1388 +3625 -5985 +593 -3136 +-2048 1024 +900 4643 +-5064 1221 +-32 -3355 +-7986 646 +-7006 1580 +4449 -2921 +-1975 -2259 +724 -300 +-127 2100 +1304 2850 +1794 1100 +491 2140 +2153 -2816 +1441 -5927 +-1740 1414 +-7168 0 +-1740 -1414 +1441 5927 +2153 2816 +491 -2140 +1794 -1100 +1304 -2850 +-127 -2100 +724 300 +-1975 2259 +4449 2921 +-7006 -1580 +-7986 -646 +-32 3355 +-5064 -1221 +900 -4643 +-2048 -1024 +851 2969 +91 2431 +-2586 -435 +3042 -1246 +358 -2097 +3052 1057 +1786 4490 +-724 -1748 +1883 -3653 +3980 582 +1069 -49 +357 1356 +4249 594 +-1061 -1510 +-1579 2414 +3072 0 +-1579 -2414 +-1061 1510 +4249 -594 +357 -1356 +1069 49 +3980 -582 +1883 3653 +-724 1748 +1786 -4490 +3052 -1057 +358 2097 +3042 1246 +-2586 435 +91 -2431 +851 -2969 +-2048 1024 +900 4643 +-5064 1221 +-32 -3355 +-7986 646 +-7006 1580 +4449 -2921 +-1975 -2259 +724 -300 +-127 2100 +1304 2850 +1794 1100 +491 2140 +2153 -2816 +1441 -5927 +-1740 1414 +-3072 1024 +-2111 4363 +-4547 3928 +443 4138 +4 -1716 +-2369 -869 +-634 523 +-2265 869 +-300 -1748 +173 -1744 +783 -2346 +-459 -2752 +1018 3718 +3811 -1916 +-3249 -4500 +-3286 -2133 +2048 0 +-3286 2133 +-3249 4500 +3811 1916 +1018 -3718 +-459 2752 +783 2346 +173 1744 +-300 1748 +-2265 -869 +-634 -523 +-2369 869 +4 1716 +443 -4138 +-4547 -3928 +-2111 -4363 +-3072 -1024 +2604 1748 +-177 861 +-4821 2453 +6140 -932 +2628 1035 +-306 1589 +-3289 1271 +-1748 -300 +5382 749 +-3940 -1334 +200 -4650 +5126 1826 +567 939 +3876 3496 +2793 5109 +0 0 +2793 -5109 +3876 -3496 +567 -939 +5126 -1826 +200 4650 +-3940 1334 +5382 -749 +-1748 300 +-3289 -1271 +-306 -1589 +2628 -1035 +6140 932 +-4821 -2453 +-177 -861 +2604 -1748 +-3072 1024 +-2111 4363 +-4547 3928 +443 4138 +4 -1716 +-2369 -869 +-634 523 +-2265 869 +-300 -1748 +173 -1744 +783 -2346 +-459 -2752 +1018 3718 +3811 -1916 +-3249 -4500 +-3286 -2133 +-1024 -2048 +-3813 924 +1378 682 +-521 -1267 +-1762 2292 +4081 -135 +1361 3147 +-2303 -3306 +-3920 -2472 +-691 -537 +-4380 -660 +-9065 1946 +1918 -3060 +3813 -253 +3272 -2175 +2644 821 +-3072 0 +2644 -821 +3272 2175 +3813 253 +1918 3060 +-9065 -1946 +-4380 660 +-691 537 +-3920 2472 +-2303 3306 +1361 -3147 +4081 135 +-1762 -2292 +-521 1267 +1378 -682 +-3813 -924 +-1024 2048 +-2280 -5902 +-1157 -1726 +3474 3255 +914 -843 +577 -2461 +-3149 2582 +-4098 92 +1872 424 +1978 1475 +375 -3501 +1952 4667 +3026 4508 +1481 -2535 +2300 -566 +2769 752 +1024 0 +2769 -752 +2300 566 +1481 2535 +3026 -4508 +1952 -4667 +375 3501 +1978 -1475 +1872 -424 +-4098 -92 +-3149 -2582 +577 2461 +914 843 +3474 -3255 +-1157 1726 +-2280 5902 +-1024 -2048 +-3813 924 +1378 682 +-521 -1267 +-1762 2292 +4081 -135 +1361 3147 +-2303 -3306 +-3920 -2472 +-691 -537 +-4380 -660 +-9065 1946 +1918 -3060 +3813 -253 +3272 -2175 +2644 821 +-1024 3072 +-554 1050 +1472 4335 +7071 -420 +1814 -222 +-2350 771 +-753 2454 +-784 9412 +300 -1748 +-5136 -2482 +-1321 1661 +-1434 -2583 +-2200 332 +2917 92 +2522 2432 +1647 2844 +0 0 +1647 -2844 +2522 -2432 +2917 -92 +-2200 -332 +-1434 2583 +-1321 -1661 +-5136 2482 +300 1748 +-784 -9412 +-753 -2454 +-2350 -771 +1814 222 +7071 420 +1472 -4335 +-554 -1050 +-1024 -3072 +-780 -3887 +-364 -2923 +1267 2651 +-3862 1670 +-1248 -5223 +3429 2594 +266 471 +1748 -300 +-1676 5373 +-1354 -708 +-2776 -669 +152 1116 +2345 940 +-3630 3076 +1224 1311 +6144 0 +1224 -1311 +-3630 -3076 +2345 -940 +152 -1116 +-2776 669 +-1354 708 +-1676 -5373 +1748 300 +266 -471 +3429 -2594 +-1248 5223 +-3862 -1670 +1267 -2651 +-364 2923 +-780 3887 +-1024 3072 +-554 1050 +1472 4335 +7071 -420 +1814 -222 +-2350 771 +-753 2454 +-784 9412 +300 -1748 +-5136 -2482 +-1321 1661 +-1434 -2583 +-2200 332 +2917 92 +2522 2432 +1647 2844 +3072 3072 +2705 1342 +6645 2752 +1160 975 +-1586 78 +2863 -5722 +-7118 552 +-3706 2187 +4220 -3620 +-3598 1773 +-3445 869 +-745 269 +-2584 -1416 +-2128 -3846 +23 -1548 +-219 -597 +-2048 0 +-219 597 +23 1548 +-2128 3846 +-2584 1416 +-745 -269 +-3445 -869 +-3598 -1773 +4220 3620 +-3706 -2187 +-7118 -552 +2863 5722 +-1586 -78 +1160 -975 +6645 -2752 +2705 -1342 +3072 -3072 +-252 -3337 +1159 744 +2775 4834 +-1910 1970 +-1061 -5959 +-227 -1151 +765 704 +-124 3620 +-142 1486 +2101 -269 +272 -1173 +1984 -632 +2657 6446 +861 -1948 +-1346 1369 +-4096 0 +-1346 -1369 +861 1948 +2657 -6446 +1984 632 +272 1173 +2101 269 +-142 -1486 +-124 -3620 +765 -704 +-227 1151 +-1061 5959 +-1910 -1970 +2775 -4834 +1159 -744 +-252 3337 +3072 3072 +2705 1342 +6645 2752 +1160 975 +-1586 78 +2863 -5722 +-7118 552 +-3706 2187 +4220 -3620 +-3598 1773 +-3445 869 +-745 269 +-2584 -1416 +-2128 -3846 +23 -1548 +-219 -597 +4096 2048 +-2668 -2167 +-6551 -3075 +1202 -1691 +470 -6980 +-2929 196 +-682 1744 +3131 -1287 +-1448 4520 +-662 -373 +-839 -2098 +-2053 -850 +2362 -2716 +3100 -406 +2533 -826 +1012 786 +0 0 +1012 -786 +2533 826 +3100 406 +2362 2716 +-2053 850 +-839 2098 +-662 373 +-1448 -4520 +3131 1287 +-682 -1744 +-2929 -196 +470 6980 +1202 1691 +-6551 3075 +-2668 2167 +4096 -2048 +-1204 -1428 +-3405 -1465 +-8373 2075 +1578 588 +5650 2597 +-377 4584 +1172 -1742 +1448 1624 +2360 1127 +698 -2663 +6251 2075 +-314 419 +-2848 -777 +431 1582 +-3141 -597 +0 0 +-3141 597 +431 -1582 +-2848 777 +-314 -419 +6251 -2075 +698 2663 +2360 -1127 +1448 -1624 +1172 1742 +-377 -4584 +5650 -2597 +1578 -588 +-8373 -2075 +-3405 1465 +-1204 1428 +4096 2048 +-2668 -2167 +-6551 -3075 +1202 -1691 +470 -6980 +-2929 196 +-682 1744 +3131 -1287 +-1448 4520 +-662 -373 +-839 -2098 +-2053 -850 +2362 -2716 +3100 -406 +2533 -826 +1012 786 +4096 2048 +2619 135 +1061 -3144 +1268 -3091 +-574 -2192 +-683 -612 +-2242 6063 +748 241 +-1448 -2472 +180 2896 +884 -3497 +-4190 783 +-1799 964 +829 -4656 +-3202 892 +-3587 -3756 +0 0 +-3587 3756 +-3202 -892 +829 4656 +-1799 -964 +-4190 -783 +884 3497 +180 -2896 +-1448 2472 +748 -241 +-2242 -6063 +-683 612 +-574 2192 +1268 3091 +1061 3144 +2619 -135 +4096 -2048 +-1484 -1401 +-721 -2244 +-22 -3818 +4319 1592 +-2228 529 +-1882 -4680 +6263 -2253 +1448 424 +2625 3652 +344 3681 +-1796 1719 +-6042 2532 +1029 2731 +5758 712 +-1571 -2935 +0 0 +-1571 2935 +5758 -712 +1029 -2731 +-6042 -2532 +-1796 -1719 +344 -3681 +2625 -3652 +1448 -424 +6263 2253 +-1882 4680 +-2228 -529 +4319 -1592 +-22 3818 +-721 2244 +-1484 1401 +4096 2048 +2619 135 +1061 -3144 +1268 -3091 +-574 -2192 +-683 -612 +-2242 6063 +748 241 +-1448 -2472 +180 2896 +884 -3497 +-4190 783 +-1799 964 +829 -4656 +-3202 892 +-3587 -3756 +2048 3072 +-3829 -646 +714 -195 +-4066 4296 +1200 6001 +2607 792 +-2131 -1640 +730 107 +-300 724 +4602 669 +1016 -1934 +-1686 2335 +-3478 3243 +-2447 -1536 +-4021 -517 +-3632 -2597 +5120 0 +-3632 2597 +-4021 517 +-2447 1536 +-3478 -3243 +-1686 -2335 +1016 1934 +4602 -669 +-300 -724 +730 -107 +-2131 1640 +2607 -792 +1200 -6001 +-4066 -4296 +714 195 +-3829 646 +2048 -3072 +2411 1418 +70 3351 +829 1046 +-1800 6784 +1916 532 +239 -3084 +633 4039 +-1748 -724 +-6645 -3074 +876 1306 +5411 3764 +-18 1350 +3228 -2330 +3237 -423 +-63 -782 +3072 0 +-63 782 +3237 423 +3228 2330 +-18 -1350 +5411 -3764 +876 -1306 +-6645 3074 +-1748 724 +633 -4039 +239 3084 +1916 -532 +-1800 -6784 +829 -1046 +70 -3351 +2411 -1418 +2048 3072 +-3829 -646 +714 -195 +-4066 4296 +1200 6001 +2607 792 +-2131 -1640 +730 107 +-300 724 +4602 669 +1016 -1934 +-1686 2335 +-3478 3243 +-2447 -1536 +-4021 -517 +-3632 -2597 +1024 5120 +766 -879 +6250 -2073 +-859 532 +-3393 1167 +2643 555 +1117 1267 +467 4962 +-1324 724 +-68 1346 +-39 -554 +-163 1251 +-5500 4397 +-4882 -4953 +-35 -4525 +-344 -2430 +2048 0 +-344 2430 +-35 4525 +-4882 4953 +-5500 -4397 +-163 -1251 +-39 554 +-68 -1346 +-1324 -724 +467 -4962 +1117 -1267 +2643 -555 +-3393 -1167 +-859 -532 +6250 2073 +766 879 +1024 -5120 +-825 1351 +-2310 -863 +-2127 -990 +-2151 4626 +-3118 2714 +1715 5245 +4098 -2504 +-2772 -724 +616 6225 +1303 -2822 +3093 -437 +2852 1396 +-380 -3754 +191 -108 +1083 2223 +4096 0 +1083 -2223 +191 108 +-380 3754 +2852 -1396 +3093 437 +1303 2822 +616 -6225 +-2772 724 +4098 2504 +1715 -5245 +-3118 -2714 +-2151 -4626 +-2127 990 +-2310 863 +-825 -1351 +1024 5120 +766 -879 +6250 -2073 +-859 532 +-3393 1167 +2643 555 +1117 1267 +467 4962 +-1324 724 +-68 1346 +-39 -554 +-163 1251 +-5500 4397 +-4882 -4953 +-35 -4525 +-344 -2430 +2048 0 +4217 3904 +-3511 2417 +-907 -880 +-4268 3386 +-2763 2284 +1221 -3523 +2026 -1505 +3072 1448 +-33 2311 +3245 3136 +-3088 -456 +1423 -2602 +-247 838 +-5976 2383 +1082 833 +0 0 +1082 -833 +-5976 -2383 +-247 -838 +1423 2602 +-3088 456 +3245 -3136 +-33 -2311 +3072 -1448 +2026 1505 +1221 3523 +-2763 -2284 +-4268 -3386 +-907 880 +-3511 -2417 +4217 -3904 +2048 0 +123 -452 +459 -1529 +-1895 -917 +4868 710 +3413 2400 +411 -941 +4111 -2134 +3072 -1448 +-311 -5951 +-3180 -1807 +-3355 5140 +2073 -1494 +-2743 -2635 +-860 4298 +371 2619 +-8192 0 +371 -2619 +-860 -4298 +-2743 2635 +2073 1494 +-3355 -5140 +-3180 1807 +-311 5951 +3072 1448 +4111 2134 +411 941 +3413 -2400 +4868 -710 +-1895 917 +459 1529 +123 452 +2048 0 +4217 3904 +-3511 2417 +-907 -880 +-4268 3386 +-2763 2284 +1221 -3523 +2026 -1505 +3072 1448 +-33 2311 +3245 3136 +-3088 -456 +1423 -2602 +-247 838 +-5976 2383 +1082 833 +-1024 -2048 +-4168 2866 +-3239 -2989 +1702 -40 +-1808 3186 +-838 -1118 +-1561 231 +-1441 -2062 +5968 -1024 +4195 -2832 +3625 -2739 +-4073 903 +-2916 -2950 +1157 -3033 +-3769 -419 +2351 2404 +7168 0 +2351 -2404 +-3769 419 +1157 3033 +-2916 2950 +-4073 -903 +3625 2739 +4195 2832 +5968 1024 +-1441 2062 +-1561 -231 +-838 1118 +-1808 -3186 +1702 40 +-3239 2989 +-4168 -2866 +-1024 2048 +-402 1384 +-1874 157 +202 4242 +-240 1159 +-2220 -2850 +-894 -75 +1484 1698 +176 -1024 +1794 1268 +4623 -1201 +-3334 2122 +868 7294 +1612 243 +3089 1683 +1980 3045 +-9216 0 +1980 -3045 +3089 -1683 +1612 -243 +868 -7294 +-3334 -2122 +4623 1201 +1794 -1268 +176 1024 +1484 -1698 +-894 75 +-2220 2850 +-240 -1159 +202 -4242 +-1874 -157 +-402 -1384 +-1024 -2048 +-4168 2866 +-3239 -2989 +1702 -40 +-1808 3186 +-838 -1118 +-1561 231 +-1441 -2062 +5968 -1024 +4195 -2832 +3625 -2739 +-4073 903 +-2916 -2950 +1157 -3033 +-3769 -419 +2351 2404 +-3072 2048 +-238 514 +3523 438 +-1551 563 +2362 5892 +2098 2249 +-2383 -345 +-1586 -2670 +-424 424 +4752 -1718 +2417 -4418 +900 2036 +1578 -3097 +-2969 1162 +-1807 3301 +-1053 -4798 +-3072 0 +-1053 4798 +-1807 -3301 +-2969 -1162 +1578 3097 +900 -2036 +2417 4418 +4752 1718 +-424 -424 +-1586 2670 +-2383 345 +2098 -2249 +2362 -5892 +-1551 -563 +3523 -438 +-238 -514 +-3072 -2048 +-355 -879 +941 3411 +235 -3588 +-314 -3244 +1469 1953 +-4298 1829 +-1548 -2779 +2472 -2472 +1279 -2532 +-1529 -3986 +-7363 -4826 +470 -2447 +1388 2805 +3136 -1149 +4543 3233 +-3072 0 +4543 -3233 +3136 1149 +1388 -2805 +470 2447 +-7363 4826 +-1529 3986 +1279 2532 +2472 2472 +-1548 2779 +-4298 -1829 +1469 -1953 +-314 3244 +235 3588 +941 -3411 +-355 879 +-3072 2048 +-238 514 +3523 438 +-1551 563 +2362 5892 +2098 2249 +-2383 -345 +-1586 -2670 +-424 424 +4752 -1718 +2417 -4418 +900 2036 +1578 -3097 +-2969 1162 +-1807 3301 +-1053 -4798 +0 2048 +1755 997 +81 6862 +-3090 638 +2786 -1508 +4171 427 +204 -2829 +-495 -2091 +1448 -4520 +2963 189 +5240 810 +-244 138 +-894 1168 +-4139 -704 +-2778 2178 +3236 -4594 +0 0 +3236 4594 +-2778 -2178 +-4139 704 +-894 -1168 +-244 -138 +5240 -810 +2963 -189 +1448 4520 +-495 2091 +204 2829 +4171 -427 +2786 1508 +-3090 -638 +81 -6862 +1755 -997 +0 -2048 +2013 -652 +-3198 382 +3905 -3085 +110 60 +-6329 3630 +4480 4263 +2088 -1095 +-1448 -1624 +1236 721 +1661 623 +-3391 -177 +-2002 -2616 +-2469 2352 +-5690 5066 +-1210 843 +0 0 +-1210 -843 +-5690 -5066 +-2469 -2352 +-2002 2616 +-3391 177 +1661 -623 +1236 -721 +-1448 1624 +2088 1095 +4480 -4263 +-6329 -3630 +110 -60 +3905 3085 +-3198 -382 +2013 652 +0 2048 +1755 997 +81 6862 +-3090 638 +2786 -1508 +4171 427 +204 -2829 +-495 -2091 +1448 -4520 +2963 189 +5240 810 +-244 138 +-894 1168 +-4139 -704 +-2778 2178 +3236 -4594 +2048 2048 +-2108 -744 +1513 185 +14 581 +-2956 -3810 +2884 2152 +1237 5527 +-5595 2661 +-3320 0 +-6297 -1949 +-4600 1455 +750 996 +280 130 +640 3704 +4878 -342 +1481 -4694 +-6144 0 +1481 4694 +4878 342 +640 -3704 +280 -130 +750 -996 +-4600 -1455 +-6297 1949 +-3320 0 +-5595 -2661 +1237 -5527 +2884 -2152 +-2956 3810 +14 -581 +1513 -185 +-2108 744 +2048 -2048 +2077 3945 +-849 1722 +2957 -4284 +-1388 -1134 +2345 415 +-793 2381 +-731 894 +5368 0 +-2305 5817 +1260 3557 +462 -957 +4064 -978 +1532 -1743 +-2646 -647 +1893 16 +-2048 0 +1893 -16 +-2646 647 +1532 1743 +4064 978 +462 957 +1260 -3557 +-2305 -5817 +5368 0 +-731 -894 +-793 -2381 +2345 -415 +-1388 1134 +2957 4284 +-849 -1722 +2077 -3945 +2048 2048 +-2108 -744 +1513 185 +14 581 +-2956 -3810 +2884 2152 +1237 5527 +-5595 2661 +-3320 0 +-6297 -1949 +-4600 1455 +750 996 +280 130 +640 3704 +4878 -342 +1481 -4694 +1024 -1024 +-1072 800 +-2341 4657 +-4560 -2707 +-332 -1500 +1309 -68 +-3909 -576 +1671 1596 +1924 -4220 +1434 -1832 +-2690 4120 +-4679 986 +1670 -1730 +-1005 637 +-1900 2985 +-1729 -241 +-4096 0 +-1729 241 +-1900 -2985 +-1005 -637 +1670 1730 +-4679 -986 +-2690 -4120 +1434 1832 +1924 4220 +1671 -1596 +-3909 576 +1309 68 +-332 1500 +-4560 2707 +-2341 -4657 +-1072 -800 +1024 1024 +5122 -1377 +7757 67 +-2308 -2453 +-1116 1500 +442 2254 +-1360 -364 +4220 1155 +6268 124 +3140 1687 +-1930 -963 +3168 -1696 +-222 1730 +1841 -2901 +-1820 -2357 +-6994 2560 +2048 0 +-6994 -2560 +-1820 2357 +1841 2901 +-222 -1730 +3168 1696 +-1930 963 +3140 -1687 +6268 -124 +4220 -1155 +-1360 364 +442 -2254 +-1116 -1500 +-2308 2453 +7757 -67 +5122 1377 +1024 -1024 +-1072 800 +-2341 4657 +-4560 -2707 +-332 -1500 +1309 -68 +-3909 -576 +1671 1596 +1924 -4220 +1434 -1832 +-2690 4120 +-4679 986 +1670 -1730 +-1005 637 +-1900 2985 +-1729 -241 +2048 2048 +-2668 -2785 +-2365 1604 +-2457 -2920 +-3256 -98 +1734 3130 +1611 -601 +528 -1950 +1448 -1872 +611 3078 +3549 136 +1174 -5118 +-1468 -5918 +3592 -985 +-386 4123 +-3454 2940 +0 0 +-3454 -2940 +-386 -4123 +3592 985 +-1468 5918 +1174 5118 +3549 -136 +611 -3078 +1448 1872 +528 1950 +1611 601 +1734 -3130 +-3256 98 +-2457 2920 +-2365 -1604 +-2668 2785 +2048 -2048 +130 4372 +-191 3472 +3695 -5207 +-1688 4794 +-5325 3026 +-2839 1746 +-2729 362 +-1448 3920 +262 318 +575 -5984 +1528 2714 +2316 -1674 +1851 -1717 +46 2153 +1528 1232 +4096 0 +1528 -1232 +46 -2153 +1851 1717 +2316 1674 +1528 -2714 +575 5984 +262 -318 +-1448 -3920 +-2729 -362 +-2839 -1746 +-5325 -3026 +-1688 -4794 +3695 5207 +-191 -3472 +130 -4372 +2048 2048 +-2668 -2785 +-2365 1604 +-2457 -2920 +-3256 -98 +1734 3130 +1611 -601 +528 -1950 +1448 -1872 +611 3078 +3549 136 +1174 -5118 +-1468 -5918 +3592 -985 +-386 4123 +-3454 2940 +-3072 -2048 +-6493 -673 +788 -180 +-7122 2851 +-1134 -3216 +2536 -1631 +-4112 1134 +3603 522 +2472 424 +-2640 1904 +-176 185 +1930 -1871 +978 1988 +149 2921 +1642 3610 +-2586 -3246 +-7168 0 +-2586 3246 +1642 -3610 +149 -2921 +978 -1988 +1930 1871 +-176 -185 +-2640 -1904 +2472 -424 +3603 -522 +-4112 -1134 +2536 1631 +-1134 3216 +-7122 -2851 +788 180 +-6493 673 +-3072 2048 +3949 469 +151 -2024 +-875 3672 +-3810 568 +1809 863 +3484 2178 +1650 1250 +-424 -2472 +-396 -5483 +4900 -5064 +-924 -1114 +-130 3556 +2496 1385 +1515 2378 +2914 -2309 +1024 0 +2914 2309 +1515 -2378 +2496 -1385 +-130 -3556 +-924 1114 +4900 5064 +-396 5483 +-424 2472 +1650 -1250 +3484 -2178 +1809 -863 +-3810 -568 +-875 -3672 +151 2024 +3949 -469 +-3072 -2048 +-6493 -673 +788 -180 +-7122 2851 +-1134 -3216 +2536 -1631 +-4112 1134 +3603 522 +2472 424 +-2640 1904 +-176 185 +1930 -1871 +978 1988 +149 2921 +1642 3610 +-2586 -3246 +-4096 0 +-1392 -2130 +5058 78 +-750 -186 +-254 4724 +-187 -1124 +-2171 928 +2019 2385 +-176 2896 +-1312 -608 +973 -2957 +2194 691 +3086 -3156 +258 2513 +2982 1255 +1792 -2650 +-4096 0 +1792 2650 +2982 -1255 +258 -2513 +3086 3156 +2194 -691 +973 2957 +-1312 608 +-176 -2896 +2019 -2385 +-2171 -928 +-187 1124 +-254 -4724 +-750 186 +5058 -78 +-1392 2130 +-4096 0 +2101 -4052 +1779 1489 +4564 -4466 +854 -628 +-4192 2231 +2106 2857 +-1119 2261 +-5968 -2896 +-1805 3038 +-2605 6741 +-3167 5768 +410 -940 +1280 -1812 +70 312 +-284 -5749 +0 0 +-284 5749 +70 -312 +1280 1812 +410 940 +-3167 -5768 +-2605 -6741 +-1805 -3038 +-5968 2896 +-1119 -2261 +2106 -2857 +-4192 -2231 +854 628 +4564 4466 +1779 -1489 +2101 4052 +-4096 0 +-1392 -2130 +5058 78 +-750 -186 +-254 4724 +-187 -1124 +-2171 928 +2019 2385 +-176 2896 +-1312 -608 +973 -2957 +2194 691 +3086 -3156 +258 2513 +2982 1255 +1792 -2650 +0 0 +1622 2540 +1111 -1520 +-2536 335 +-1508 -2518 +-1399 2848 +-316 4157 +2177 -2028 +-424 3496 +550 940 +5216 -3062 +3902 1269 +-1168 4410 +-4636 120 +-4356 -1741 +-3613 1177 +-4096 0 +-3613 -1177 +-4356 1741 +-4636 -120 +-1168 -4410 +3902 -1269 +5216 3062 +550 -940 +-424 -3496 +2177 2028 +-316 -4157 +-1399 -2848 +-1508 2518 +-2536 -335 +1111 1520 +1622 -2540 +0 0 +286 -1577 +-1776 1860 +732 1856 +60 -3626 +-6128 7212 +-128 -33 +5491 -1206 +2472 600 +1359 -1645 +-1876 4289 +-600 911 +2616 1734 +-921 2383 +2124 -815 +3714 -5877 +0 0 +3714 5877 +2124 815 +-921 -2383 +2616 -1734 +-600 -911 +-1876 -4289 +1359 1645 +2472 -600 +5491 1206 +-128 33 +-6128 -7212 +60 3626 +732 -1856 +-1776 -1860 +286 1577 +0 0 +1622 2540 +1111 -1520 +-2536 335 +-1508 -2518 +-1399 2848 +-316 4157 +2177 -2028 +-424 3496 +550 940 +5216 -3062 +3902 1269 +-1168 4410 +-4636 120 +-4356 -1741 +-3613 1177 +2048 1024 +244 1579 +-1889 -932 +1463 -1795 +2154 -1246 +-1949 778 +2102 -1334 +-718 2601 +-6268 2596 +1727 -1575 +2198 -4344 +3580 -2729 +-972 1356 +-2200 427 +1069 3047 +-1257 3043 +1024 0 +-1257 -3043 +1069 -3047 +-2200 -427 +-972 -1356 +3580 2729 +2198 4344 +1727 1575 +-6268 -2596 +-718 -2601 +2102 1334 +-1949 -778 +2154 1246 +1463 1795 +-1889 932 +244 -1579 +2048 -1024 +-832 4589 +-187 -1152 +-1509 2146 +-1306 646 +-978 -3447 +2502 6982 +3481 -1202 +-1924 -4644 +-705 4540 +190 4696 +915 3844 +-3972 2140 +678 3708 +2207 5956 +-1938 4693 +3072 0 +-1938 -4693 +2207 -5956 +678 -3708 +-3972 -2140 +915 -3844 +190 -4696 +-705 -4540 +-1924 4644 +3481 1202 +2502 -6982 +-978 3447 +-1306 -646 +-1509 -2146 +-187 1152 +-832 -4589 +2048 1024 +244 1579 +-1889 -932 +1463 -1795 +2154 -1246 +-1949 778 +2102 -1334 +-718 2601 +-6268 2596 +1727 -1575 +2198 -4344 +3580 -2729 +-972 1356 +-2200 427 +1069 3047 +-1257 3043 +-3072 0 +2701 -1113 +2403 -4544 +3773 -987 +-495 2232 +-856 1647 +3031 -947 +1547 -2253 +-848 -4944 +-2305 -5235 +-1545 812 +-6788 -2192 +3954 -444 +3034 4895 +-3490 361 +885 2250 +-3072 0 +885 -2250 +-3490 -361 +3034 -4895 +3954 444 +-6788 2192 +-1545 -812 +-2305 5235 +-848 4944 +1547 2253 +3031 947 +-856 -1647 +-495 -2232 +3773 987 +2403 4544 +2701 1113 +-3072 0 +416 -447 +3274 -2136 +605 1480 +-954 664 +-682 -2676 +-680 -382 +-2256 4900 +4944 848 +797 -4223 +-806 3652 +2975 4818 +-2506 3340 +-2061 2646 +-2187 -1249 +-1785 3862 +1024 0 +-1785 -3862 +-2187 1249 +-2061 -2646 +-2506 -3340 +2975 -4818 +-806 -3652 +797 4223 +4944 -848 +-2256 -4900 +-680 382 +-682 2676 +-954 -664 +605 -1480 +3274 2136 +416 447 +-3072 0 +2701 -1113 +2403 -4544 +3773 -987 +-495 2232 +-856 1647 +3031 -947 +1547 -2253 +-848 -4944 +-2305 -5235 +-1545 812 +-6788 -2192 +3954 -444 +3034 4895 +-3490 361 +885 2250 +-2048 -5120 +2707 -5832 +983 -1043 +-2993 1866 +-4866 -3478 +320 3274 +6464 -308 +-1857 -1807 +-2172 -1748 +579 675 +-1670 873 +5810 -2837 +2264 1800 +-3918 934 +2574 3862 +1571 -310 +-3072 0 +1571 310 +2574 -3862 +-3918 -934 +2264 -1800 +5810 2837 +-1670 -873 +579 -675 +-2172 1748 +-1857 1807 +6464 308 +320 -3274 +-4866 3478 +-2993 -1866 +983 1043 +2707 5832 +-2048 5120 +-2034 4607 +-1951 -3718 +-2939 1729 +-2974 -18 +-2610 -2520 +816 4848 +1515 2990 +2172 -300 +413 -1059 +1382 -1629 +5616 -193 +1480 -1200 +714 -1123 +-407 2465 +-2893 -2482 +-1024 0 +-2893 2482 +-407 -2465 +714 1123 +1480 1200 +5616 193 +1382 1629 +413 1059 +2172 300 +1515 -2990 +816 -4848 +-2610 2520 +-2974 18 +-2939 -1729 +-1951 3718 +-2034 -4607 +-2048 -5120 +2707 -5832 +983 -1043 +-2993 1866 +-4866 -3478 +320 3274 +6464 -308 +-1857 -1807 +-2172 -1748 +579 675 +-1670 873 +5810 -2837 +2264 1800 +-3918 934 +2574 3862 +1571 -310 +0 -1024 +-2469 1024 +-3066 -4144 +1959 -852 +-1297 692 +-1658 -424 +2130 3627 +1820 -227 +5668 -5244 +3712 3381 +-399 5457 +3102 -2767 +3262 -2694 +2343 176 +814 1095 +-2425 -3951 +-3072 0 +-2425 3951 +814 -1095 +2343 -176 +3262 2694 +3102 2767 +-399 -5457 +3712 -3381 +5668 5244 +1820 227 +2130 -3627 +-1658 424 +-1297 -692 +1959 852 +-3066 4144 +-2469 -1024 +0 1024 +-1122 -722 +-1058 -2573 +-3885 -2273 +-3648 -92 +-543 4126 +427 -1355 +-582 -218 +-1572 -900 +1731 1599 +739 1407 +-2229 1484 +-2414 -802 +-4881 -5885 +414 4972 +5128 -4308 +3072 0 +5128 4308 +414 -4972 +-4881 5885 +-2414 802 +-2229 -1484 +739 -1407 +1731 -1599 +-1572 900 +-582 218 +427 1355 +-543 -4126 +-3648 92 +-3885 2273 +-1058 2573 +-1122 722 +0 -1024 +-2469 1024 +-3066 -4144 +1959 -852 +-1297 692 +-1658 -424 +2130 3627 +1820 -227 +5668 -5244 +3712 3381 +-399 5457 +3102 -2767 +3262 -2694 +2343 176 +814 1095 +-2425 -3951 +-5120 -1024 +-2052 -1188 +-1151 771 +-99 5378 +1540 2184 +1929 -3336 +-865 -896 +583 2845 +4220 -124 +-3881 747 +948 -1446 +2250 -3230 +-2250 1933 +732 -392 +1567 -2497 +1544 -1170 +0 0 +1544 1170 +1567 2497 +732 392 +-2250 -1933 +2250 3230 +948 1446 +-3881 -747 +4220 124 +583 -2845 +-865 896 +1929 3336 +1540 -2184 +-99 -5378 +-1151 -771 +-2052 1188 +-5120 1024 +2491 795 +6539 677 +3873 2328 +756 -3033 +3729 1343 +2249 2344 +-2131 -1827 +-124 4220 +-4148 -1297 +-1132 -2 +-3683 -2547 +-4142 -6878 +2855 4314 +37 1049 +-3992 -790 +-6144 0 +-3992 790 +37 -1049 +2855 -4314 +-4142 6878 +-3683 2547 +-1132 2 +-4148 1297 +-124 -4220 +-2131 1827 +2249 -2344 +3729 -1343 +756 3033 +3873 -2328 +6539 -677 +2491 -795 +-5120 -1024 +-2052 -1188 +-1151 771 +-99 5378 +1540 2184 +1929 -3336 +-865 -896 +583 2845 +4220 -124 +-3881 747 +948 -1446 +2250 -3230 +-2250 1933 +732 -392 +1567 -2497 +1544 -1170 +-1024 0 +-3509 -1461 +1502 2225 +-1790 4526 +-868 614 +202 -1644 +-989 -1906 +-477 97 +-3072 2472 +1880 3895 +4969 2345 +1390 -2273 +1808 -1278 +-1166 2550 +-1879 1626 +-2009 -6879 +-5120 0 +-2009 6879 +-1879 -1626 +-1166 -2550 +1808 1278 +1390 2273 +4969 -2345 +1880 -3895 +-3072 -2472 +-477 -97 +-989 1906 +202 1644 +-868 -614 +-1790 -4526 +1502 -2225 +-3509 1461 +-1024 0 +4545 -4802 +325 555 +4202 -453 +2916 -2062 +-2181 1644 +4825 -3342 +2415 1297 +-3072 -424 +1534 -284 +1084 -1799 +-1627 -3078 +240 -170 +971 -3829 +-1645 6947 +-4378 2833 +-5120 0 +-4378 -2833 +-1645 -6947 +971 3829 +240 170 +-1627 3078 +1084 1799 +1534 284 +-3072 424 +2415 -1297 +4825 3342 +-2181 -1644 +2916 2062 +4202 453 +325 -555 +4545 4802 +-1024 0 +-3509 -1461 +1502 2225 +-1790 4526 +-868 614 +202 -1644 +-989 -1906 +-477 97 +-3072 2472 +1880 3895 +4969 2345 +1390 -2273 +1808 -1278 +-1166 2550 +-1879 1626 +-2009 -6879 +-2048 -1024 +3598 69 +6434 -2082 +-152 -2732 +1030 -138 +-3774 2976 +1872 -2883 +4522 -3708 +-2172 300 +-2837 1250 +-1371 874 +2418 4652 +-4092 4032 +-4508 -4481 +-2482 -644 +-3301 -1766 +1024 0 +-3301 1766 +-2482 644 +-4508 4481 +-4092 -4032 +2418 -4652 +-1371 -874 +-2837 -1250 +-2172 -300 +4522 3708 +1872 2883 +-3774 -2976 +1030 138 +-152 2732 +6434 2082 +3598 -69 +-2048 1024 +5097 -3358 +846 -3046 +-2595 1014 +-3078 -462 +-1812 2963 +296 -3354 +-2277 -859 +2172 1748 +4817 -2033 +403 1579 +1160 -281 +2044 -536 +-2322 1195 +2194 4205 +1966 2262 +-5120 0 +1966 -2262 +2194 -4205 +-2322 -1195 +2044 536 +1160 281 +403 -1579 +4817 2033 +2172 -1748 +-2277 859 +296 3354 +-1812 -2963 +-3078 462 +-2595 -1014 +846 3046 +5097 3358 +-2048 -1024 +3598 69 +6434 -2082 +-152 -2732 +1030 -138 +-3774 2976 +1872 -2883 +4522 -3708 +-2172 300 +-2837 1250 +-1371 874 +2418 4652 +-4092 4032 +-4508 -4481 +-2482 -644 +-3301 -1766 +-1024 0 +-241 732 +2769 -2065 +-255 21 +-940 -2458 +-115 2215 +366 -1403 +346 -4102 +2472 424 +2108 -3291 +-2207 -1670 +-2194 4134 +-4724 1794 +-1148 -1704 +-543 266 +-2677 -5243 +1024 0 +-2677 5243 +-543 -266 +-1148 1704 +-4724 -1794 +-2194 -4134 +-2207 1670 +2108 3291 +2472 -424 +346 4102 +366 1403 +-115 -2215 +-940 2458 +-255 -21 +2769 2065 +-241 -732 +-1024 0 +-1991 1312 +727 1881 +3595 886 +-3156 -5134 +-329 2510 +234 -3985 +-1010 -7727 +-424 -2472 +-2772 -1857 +2807 3274 +1750 1920 +628 2902 +4489 -1854 +4039 -1649 +445 8175 +-3072 0 +445 -8175 +4039 1649 +4489 1854 +628 -2902 +1750 -1920 +2807 -3274 +-2772 1857 +-424 2472 +-1010 7727 +234 3985 +-329 -2510 +-3156 5134 +3595 -886 +727 -1881 +-1991 -1312 +-1024 0 +-241 732 +2769 -2065 +-255 21 +-940 -2458 +-115 2215 +366 -1403 +346 -4102 +2472 424 +2108 -3291 +-2207 -1670 +-2194 4134 +-4724 1794 +-1148 -1704 +-543 266 +-2677 -5243 +-3072 3072 +-1326 -2571 +1388 148 +-1202 -326 +162 -5370 +-1012 -1381 +-1015 249 +6589 3213 +4396 -3796 +-3324 1940 +-5294 2231 +-3287 -4718 +-2284 1016 +-3799 -2502 +1135 3992 +2211 2979 +-2048 0 +2211 -2979 +1135 -3992 +-3799 2502 +-2284 -1016 +-3287 4718 +-5294 -2231 +-3324 -1940 +4396 3796 +6589 -3213 +-1015 -249 +-1012 1381 +162 5370 +-1202 326 +1388 -148 +-1326 2571 +-3072 -3072 +-1220 1766 +-880 2344 +507 125 +-162 1874 +654 -2537 +195 -4529 +-102 1389 +5844 -2348 +3518 -1803 +-878 482 +2317 -5880 +2284 -417 +30 1413 +-2843 -2700 +-553 -2455 +4096 0 +-553 2455 +-2843 2700 +30 -1413 +2284 417 +2317 5880 +-878 -482 +3518 1803 +5844 2348 +-102 -1389 +195 4529 +654 2537 +-162 -1874 +507 -125 +-880 -2344 +-1220 -1766 +-3072 3072 +-1326 -2571 +1388 148 +-1202 -326 +162 -5370 +-1012 -1381 +-1015 249 +6589 3213 +4396 -3796 +-3324 1940 +-5294 2231 +-3287 -4718 +-2284 1016 +-3799 -2502 +1135 3992 +2211 2979 +4096 1024 +2652 -2863 +2629 -2823 +-1728 593 +3308 -1356 +2999 -317 +-1525 4070 +3626 4055 +-2772 -4644 +4202 -2323 +4644 2172 +-3062 -296 +1186 -646 +-235 1661 +329 3630 +-1178 -2875 +-5120 0 +-1178 2875 +329 -3630 +-235 -1661 +1186 646 +-3062 296 +4644 -2172 +4202 2323 +-2772 4644 +3626 -4055 +-1525 -4070 +2999 317 +3308 1356 +-1728 -593 +2629 2823 +2652 2863 +4096 -1024 +-688 3765 +386 111 +61 -951 +-1260 -2140 +-822 -8897 +-3707 -1578 +-1895 5117 +-1324 2596 +748 -1499 +-2308 -880 +-443 -800 +862 1246 +-2562 3701 +-448 649 +-1674 4767 +-3072 0 +-1674 -4767 +-448 -649 +-2562 -3701 +862 -1246 +-443 800 +-2308 880 +748 1499 +-1324 -2596 +-1895 -5117 +-3707 1578 +-822 8897 +-1260 2140 +61 951 +386 -111 +-688 -3765 +4096 1024 +2652 -2863 +2629 -2823 +-1728 593 +3308 -1356 +2999 -317 +-1525 4070 +3626 4055 +-2772 -4644 +4202 -2323 +4644 2172 +-3062 -296 +1186 -646 +-235 1661 +329 3630 +-1178 -2875 +1024 -3072 +-258 1747 +-2584 -2350 +-2082 -717 +-3152 -997 +-11 -1887 +-1707 2036 +-1184 -4625 +2596 -4644 +-2552 -1544 +4071 393 +3062 166 +1645 -5010 +3392 -758 +-2710 2256 +-2558 1167 +-2048 0 +-2558 -1167 +-2710 -2256 +3392 758 +1645 5010 +3062 -166 +4071 -393 +-2552 1544 +2596 4644 +-1184 4625 +-1707 -2036 +-11 1887 +-3152 997 +-2082 717 +-2584 2350 +-258 -1747 +1024 3072 +-452 5062 +-2750 2194 +2659 -2324 +5200 -3348 +155 -87 +1040 -772 +3448 -142 +-4644 2596 +-2607 3770 +8182 -3225 +-311 -3339 +403 666 +-1073 -1083 +-3542 1684 +372 -1352 +-4096 0 +372 1352 +-3542 -1684 +-1073 1083 +403 -666 +-311 3339 +8182 3225 +-2607 -3770 +-4644 -2596 +3448 142 +1040 772 +155 87 +5200 3348 +2659 2324 +-2750 -2194 +-452 -5062 +1024 -3072 +-258 1747 +-2584 -2350 +-2082 -717 +-3152 -997 +-11 -1887 +-1707 2036 +-1184 -4625 +2596 -4644 +-2552 -1544 +4071 393 +3062 166 +1645 -5010 +3392 -758 +-2710 2256 +-2558 1167 +-1024 1024 +-3574 6225 +-2018 -789 +-1533 -657 +-3008 -3429 +422 896 +766 6176 +-547 -786 +2172 4220 +1689 2136 +-4917 1487 +1057 1159 +562 -4914 +-1721 5580 +964 7229 +844 610 +4096 0 +844 -610 +964 -7229 +-1721 -5580 +562 4914 +1057 -1159 +-4917 -1487 +1689 -2136 +2172 -4220 +-547 786 +766 -6176 +422 -896 +-3008 3429 +-1533 657 +-2018 789 +-3574 -6225 +-1024 -1024 +24 2180 +5239 -1739 +128 -2223 +1560 -3563 +5566 -292 +906 1704 +-918 890 +-2172 -124 +1993 -152 +-2547 -1799 +-1693 700 +886 6114 +-2225 987 +1608 -1566 +489 1482 +-2048 0 +489 -1482 +1608 1566 +-2225 -987 +886 -6114 +-1693 -700 +-2547 1799 +1993 152 +-2172 124 +-918 -890 +906 -1704 +5566 292 +1560 3563 +128 2223 +5239 1739 +24 -2180 +-1024 1024 +-3574 6225 +-2018 -789 +-1533 -657 +-3008 -3429 +422 896 +766 6176 +-547 -786 +2172 4220 +1689 2136 +-4917 1487 +1057 1159 +562 -4914 +-1721 5580 +964 7229 +844 610 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs0_gi1_aggr0_len14_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs0_gi1_aggr0_len14_pre100_post200_openwifi.txt new file mode 100644 index 0000000..a032e81 --- /dev/null +++ b/testing_inputs/simulated/ht_mcs0_gi1_aggr0_len14_pre100_post200_openwifi.txt @@ -0,0 +1,1452 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-4096 0 +1863 2714 +1441 -2538 +-7281 -1375 +-3922 1422 +730 997 +969 2345 +2774 -3708 +5244 -1448 +748 5218 +-2229 824 +-1995 3656 +-2465 3146 +5119 -2802 +4146 1481 +41 2289 +3072 0 +41 -2289 +4146 -1481 +5119 2802 +-2465 -3146 +-1995 -3656 +-2229 -824 +748 -5218 +5244 1448 +2774 3708 +969 -2345 +730 -997 +-3922 -1422 +-7281 1375 +1441 2538 +1863 -2714 +-4096 0 +2260 2407 +1780 609 +678 -1682 +3322 -3470 +324 551 +702 2039 +71 1037 +900 1448 +-697 671 +-5235 2361 +-1955 477 +-1032 -1098 +-1412 4729 +-1574 3583 +-1268 -2593 +1024 0 +-1268 2593 +-1574 -3583 +-1412 -4729 +-1032 1098 +-1955 -477 +-5235 -2361 +-697 -671 +900 -1448 +71 -1037 +702 -2039 +324 -551 +3322 3470 +678 1682 +1780 -609 +2260 -2407 +-4096 0 +1863 2714 +1441 -2538 +-7281 -1375 +-3922 1422 +730 997 +969 2345 +2774 -3708 +5244 -1448 +748 5218 +-2229 824 +-1995 3656 +-2465 3146 +5119 -2802 +4146 1481 +41 2289 +2048 0 +351 -129 +-1019 -1249 +-1416 452 +-1730 -704 +-753 338 +-2614 3474 +-273 878 +724 -2048 +-2329 2324 +-1410 -2037 +-3070 -2904 +-392 5753 +4466 -534 +-737 -682 +-3210 -2724 +1024 -14336 +4794 -4531 +1136 1021 +-5431 -333 +-1500 3861 +1110 -1321 +272 -1637 +2530 364 +724 -600 +2311 1472 +4317 1465 +1348 1638 +946 79 +-390 -1586 +-989 -111 +-1650 836 +-2048 -2048 +1025 -1364 +-548 3741 +413 -2374 +1730 -4488 +-3655 2148 +-1170 806 +2448 -994 +-724 -2048 +-3064 1577 +-2374 4749 +1797 1985 +392 3536 +-2333 888 +-831 -610 +-2982 433 +-1024 0 +1399 2239 +431 -2313 +3298 687 +1500 5428 +163 402 +3512 4350 +2863 3536 +-724 -3496 +-4486 -1589 +-533 2815 +3061 849 +-946 -5272 +1393 -336 +2557 2603 +274 -2329 +2048 0 +351 -129 +-1019 -1249 +-1416 452 +-1730 -704 +-753 338 +-2614 3474 +-273 878 +724 -2048 +-2329 2324 +-1410 -2037 +-3070 -2904 +-392 5753 +4466 -534 +-737 -682 +-3210 -2724 +-3072 1024 +-3237 -3589 +-4141 -6185 +-2221 -1558 +-2342 822 +-1448 590 +3700 3661 +686 1494 +-1448 300 +2155 3591 +514 -360 +-5660 1083 +-3450 5720 +1999 -1231 +-84 -2659 +-549 -364 +1024 -4096 +2132 -2171 +484 -956 +-2964 -1030 +1558 3828 +3700 2666 +-1652 39 +-1954 1631 +2896 1748 +1352 2088 +-1997 1652 +2042 1889 +1558 1606 +414 -3596 +2132 -5047 +1938 -2624 +3072 -1024 +-1995 1137 +-124 -771 +2340 -2377 +-3450 -1070 +4464 -2392 +3700 -1260 +-3022 1447 +1448 1748 +181 149 +2790 -3240 +2644 -610 +-2342 2720 +-2118 702 +-84 2623 +5781 1929 +-1024 0 +-7364 3735 +-315 920 +3084 501 +4234 4612 +-685 -2193 +-1652 -3639 +-382 2109 +-2896 300 +984 852 +-5403 748 +-5058 -3692 +4234 -1854 +-534 -338 +2132 -1908 +3294 171 +-3072 1024 +-3237 -3589 +-4141 -6185 +-2221 -1558 +-2342 822 +-1448 590 +3700 3661 +686 1494 +-1448 300 +2155 3591 +514 -360 +-5660 1083 +-3450 5720 +1999 -1231 +-84 -2659 +-549 -364 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +1024 0 +3382 542 +-3943 2212 +-6230 -1606 +1408 -1358 +-1644 -877 +-1836 -1788 +1107 -3313 +-848 -2048 +2404 1740 +3088 -5122 +1514 -3733 +4424 4150 +-4213 924 +-1218 815 +3136 553 +-1024 -2048 +1815 -1033 +-606 1155 +1998 -395 +-928 -3691 +-77 197 +-1116 -998 +-3603 -1690 +4944 2048 +4318 -2958 +4457 -560 +-1801 1486 +-808 -5102 +-1133 -4492 +-7018 -344 +-973 2739 +1024 0 +-973 -2739 +-7018 344 +-1133 4492 +-808 5102 +-1801 -1486 +4457 560 +4318 2958 +4944 -2048 +-3603 1690 +-1116 998 +-77 -197 +-928 3691 +1998 395 +-606 -1155 +1815 1033 +-1024 2048 +3136 -553 +-1218 -815 +-4213 -924 +4424 -4150 +1514 3733 +3088 5122 +2404 -1740 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-6144 0 +-4000 -1764 +5585 5146 +111 2775 +-392 4032 +2935 2133 +-4494 -2603 +-19 826 +-2348 300 +453 1226 +-50 2407 +-4351 228 +946 -138 +4963 546 +2322 102 +-1854 -1661 +3072 3072 +4072 3632 +4071 -2298 +-1190 -980 +-946 462 +1733 421 +-2246 2370 +1856 3601 +-3796 -1748 +-3619 -3479 +2198 4353 +-1205 998 +392 536 +2797 5712 +807 1546 +-2682 2641 +-4096 0 +-2682 -2641 +807 -1546 +2797 -5712 +392 -536 +-1205 -998 +2198 -4353 +-3619 3479 +-3796 1748 +1856 -3601 +-2246 -2370 +1733 -421 +-946 -462 +-1190 980 +4071 2298 +4072 -3632 +3072 -3072 +-1854 1661 +2322 -102 +4963 -546 +946 138 +-4351 -228 +-50 -2407 +453 -1226 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +6144 0 +-719 -3896 +23 -3904 +-1415 -977 +-4749 -3230 +5849 -1688 +6408 2700 +8 -2294 +-1624 -4096 +1035 -724 +4524 -1613 +1646 -1323 +-3759 230 +352 1945 +1843 1679 +-265 -915 +-2048 2048 +-1078 2058 +-799 -2417 +-3189 -229 +3160 230 +-3391 -891 +1204 2483 +5323 3726 +-4520 4096 +1203 2155 +-2247 -1396 +-970 -1256 +1252 -3230 +1118 -3151 +-2763 192 +-5506 -923 +2048 0 +-5506 923 +-2763 -192 +1118 3151 +1252 3230 +-970 1256 +-2247 1396 +1203 -2155 +-4520 -4096 +5323 -3726 +1204 -2483 +-3391 891 +3160 -230 +-3189 229 +-799 2417 +-1078 -2058 +-2048 -2048 +-265 915 +1843 -1679 +352 -1945 +-3759 -230 +1646 1323 +4524 1613 +1035 724 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +-1924 -1148 +-250 873 +-2 245 +5412 -1110 +2864 -5480 +647 -1992 +-1049 4180 +-3489 -1852 +1024 0 +-3489 1852 +-1049 -4180 +647 1992 +2864 5480 +5412 1110 +-2 -245 +-250 -873 +-1924 1148 +-1883 4601 +-2344 -821 +-2262 -2674 +-1370 1311 +824 -2014 +677 614 +1677 -3802 +4096 -5120 +499 4639 +771 -3666 +-3676 -6332 +522 -986 +3993 123 +896 -2113 +216 -2652 +-6268 3196 +-2547 -4918 +-1446 -2736 +-462 4275 +2080 -912 +1317 442 +2497 -1468 +-16 -484 +-1024 0 +-16 484 +2497 1468 +1317 -442 +2080 912 +-462 -4275 +-1446 2736 +-2547 4918 +-6268 -3196 +216 2652 +896 2113 +3993 -123 +522 986 +-3676 6332 +771 3666 +499 -4639 +4096 5120 +1677 3802 +677 -614 +824 2014 +-1370 -1311 +-2262 2674 +-2344 821 +-1883 -4601 +-1924 -1148 +-250 873 +-2 245 +5412 -1110 +2864 -5480 +647 -1992 +-1049 4180 +-3489 -1852 +-1748 -1748 +2094 -2544 +1410 2203 +-5561 -2989 +-502 3294 +-828 5064 +2332 97 +5108 434 +-2048 0 +5108 -434 +2332 -97 +-828 -5064 +-502 -3294 +-5561 2989 +1410 -2203 +2094 2544 +-1748 1748 +-3400 -683 +86 1188 +3088 -652 +1840 4188 +1469 59 +-8470 763 +-3542 -673 +3072 -5120 +-2924 -29 +3237 -1469 +5240 -6807 +1056 -3404 +-1762 1806 +-206 -2152 +3054 49 +-300 -300 +-180 -2205 +1605 -2647 +451 -2875 +-2394 1402 +-2096 -474 +4 568 +-210 -1878 +0 0 +-210 1878 +4 -568 +-2096 474 +-2394 -1402 +451 2875 +1605 2647 +-180 2205 +-300 300 +3054 -49 +-206 2152 +-1762 -1806 +1056 3404 +5240 6807 +3237 1469 +-2924 29 +3072 5120 +-3542 673 +-8470 -763 +1469 -59 +1840 -4188 +3088 652 +86 -1188 +-3400 683 +-1748 -1748 +2094 -2544 +1410 2203 +-5561 -2989 +-502 3294 +-828 5064 +2332 97 +5108 434 +4644 2772 +-1396 2649 +1864 2021 +2786 1221 +3552 1500 +90 -2469 +-5400 -2741 +-670 1093 +-1024 0 +-670 -1093 +-5400 2741 +90 2469 +3552 -1500 +2786 -1221 +1864 -2021 +-1396 -2649 +4644 -2772 +177 -841 +4590 1841 +1660 -2209 +-5695 1730 +2744 4848 +2167 267 +-86 2725 +-2048 -1024 +-64 -3029 +-15 1375 +984 296 +2198 1730 +1071 1705 +3813 -835 +1038 -2757 +-2596 1324 +-5612 3003 +-379 -4697 +275 -441 +-4151 -1500 +1974 -5218 +1551 3850 +-4973 721 +-7168 0 +-4973 -721 +1551 -3850 +1974 5218 +-4151 1500 +275 441 +-379 4697 +-5612 -3003 +-2596 -1324 +1038 2757 +3813 835 +1071 -1705 +2198 -1730 +984 -296 +-15 -1375 +-64 3029 +-2048 1024 +-86 -2725 +2167 -267 +2744 -4848 +-5695 -1730 +1660 2209 +4590 -1841 +177 841 +4644 2772 +-1396 2649 +1864 2021 +2786 1221 +3552 1500 +90 -2469 +-5400 -2741 +-670 1093 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs0_gi1_aggr0_len1537_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs0_gi1_aggr0_len1537_pre100_post200_openwifi.txt new file mode 100644 index 0000000..d189370 --- /dev/null +++ b/testing_inputs/simulated/ht_mcs0_gi1_aggr0_len1537_pre100_post200_openwifi.txt @@ -0,0 +1,35148 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 -1024 +-4332 -2252 +-5093 -2353 +-1516 -5579 +1134 -3212 +1768 4333 +3546 1657 +1173 114 +424 -300 +-1590 -509 +-866 1541 +3650 -2545 +-978 971 +-1435 3132 +710 -3800 +835 -981 +3072 0 +835 981 +710 3800 +-1435 -3132 +-978 -971 +3650 2545 +-866 -1541 +-1590 509 +424 300 +1173 -114 +3546 -1657 +1768 -4333 +1134 3212 +-1516 5579 +-5093 2353 +-4332 2252 +-1024 1024 +-1371 3041 +-5831 1061 +595 899 +3810 6708 +819 388 +2675 -4369 +-3615 40 +-2472 -1748 +3353 -1921 +437 2739 +2012 1841 +130 -1571 +-98 749 +4421 1308 +-245 -3214 +-5120 0 +-245 3214 +4421 -1308 +-98 -749 +130 1571 +2012 -1841 +437 -2739 +3353 1921 +-2472 1748 +-3615 -40 +2675 4369 +819 -388 +3810 -6708 +595 -899 +-5831 -1061 +-1371 -3041 +-1024 -1024 +-4332 -2252 +-5093 -2353 +-1516 -5579 +1134 -3212 +1768 4333 +3546 1657 +1173 114 +424 -300 +-1590 -509 +-866 1541 +3650 -2545 +-978 971 +-1435 3132 +710 -3800 +835 -981 +-1024 -1024 +802 2501 +-970 147 +-1580 1663 +-1448 2824 +1139 -2934 +2698 -1804 +1350 69 +2648 -300 +-2507 1334 +-4925 -1681 +-1525 1063 +-3340 2934 +-3117 -5371 +-1408 -2528 +-1228 141 +1024 -6144 +2811 -1665 +1807 -825 +2152 -5170 +1448 1042 +-435 2646 +3787 -1281 +2707 -626 +-1200 1148 +688 663 +-995 -3812 +-544 -1635 +664 3608 +-226 -375 +-1038 1285 +-2101 3467 +1024 -3072 +564 -15 +3408 2084 +5608 -3955 +-1448 -176 +-3382 2818 +-6262 -1537 +-338 -1991 +5544 -1748 +-5929 -979 +-4432 2125 +1162 2838 +444 2610 +831 3879 +-1948 3192 +1338 -1061 +-1024 -2048 +-2921 746 +1548 1489 +134 3678 +1448 4502 +798 1255 +5569 1725 +5728 980 +-6992 -3196 +-1700 -2585 +4559 472 +2787 1518 +2232 -960 +-3801 -1917 +-1399 947 +735 -980 +-1024 -1024 +802 2501 +-970 147 +-1580 1663 +-1448 2824 +1139 -2934 +2698 -1804 +1350 69 +2648 -300 +-2507 1334 +-4925 -1681 +-1525 1063 +-3340 2934 +-3117 -5371 +-1408 -2528 +-1228 141 +-4096 -2048 +665 -5297 +-4767 671 +-1987 4091 +-562 -784 +-1606 -282 +4865 4086 +2894 888 +3196 -1872 +4839 267 +-3276 -1245 +-5990 -2280 +-332 0 +1646 -3345 +1455 -6446 +3700 -829 +1024 2048 +-2116 -2635 +-1055 -4744 +-2611 -3145 +-1560 -1892 +4030 -697 +2138 -845 +-4638 -1693 +-1748 -424 +-855 1483 +-3162 2077 +2201 1017 +-222 0 +181 2053 +2758 1809 +-1964 -4332 +4096 -4096 +2143 3711 +-1221 2773 +4314 -2772 +-886 784 +1604 4212 +15 1606 +-8153 2541 +-1148 3920 +1566 88 +-36 -1550 +-1243 -83 +-1116 0 +1642 459 +749 106 +2580 -1369 +-1024 0 +-4163 437 +-1149 -1597 +-677 258 +3008 1892 +3203 -1666 +1174 -1950 +-1767 2048 +-300 2472 +6115 1947 +-1718 3614 +-2198 2913 +1670 0 +-2508 -734 +3230 1636 +-843 2746 +-4096 -2048 +665 -5297 +-4767 671 +-1987 4091 +-562 -784 +-1606 -282 +4865 4086 +2894 888 +3196 -1872 +4839 267 +-3276 -1245 +-5990 -2280 +-332 0 +1646 -3345 +1455 -6446 +3700 -829 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +1024 0 +3382 542 +-3943 2212 +-6230 -1606 +1408 -1358 +-1644 -877 +-1836 -1788 +1107 -3313 +-848 -2048 +2404 1740 +3088 -5122 +1514 -3733 +4424 4150 +-4213 924 +-1218 815 +3136 553 +-1024 -2048 +1815 -1033 +-606 1155 +1998 -395 +-928 -3691 +-77 197 +-1116 -998 +-3603 -1690 +4944 2048 +4318 -2958 +4457 -560 +-1801 1486 +-808 -5102 +-1133 -4492 +-7018 -344 +-973 2739 +1024 0 +-973 -2739 +-7018 344 +-1133 4492 +-808 5102 +-1801 -1486 +4457 560 +4318 2958 +4944 -2048 +-3603 1690 +-1116 998 +-77 -197 +-928 3691 +1998 395 +-606 -1155 +1815 1033 +-1024 2048 +3136 -553 +-1218 -815 +-4213 -924 +4424 -4150 +1514 3733 +3088 5122 +2404 -1740 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-6144 0 +-4000 -1764 +5585 5146 +111 2775 +-392 4032 +2935 2133 +-4494 -2603 +-19 826 +-2348 300 +453 1226 +-50 2407 +-4351 228 +946 -138 +4963 546 +2322 102 +-1854 -1661 +3072 3072 +4072 3632 +4071 -2298 +-1190 -980 +-946 462 +1733 421 +-2246 2370 +1856 3601 +-3796 -1748 +-3619 -3479 +2198 4353 +-1205 998 +392 536 +2797 5712 +807 1546 +-2682 2641 +-4096 0 +-2682 -2641 +807 -1546 +2797 -5712 +392 -536 +-1205 -998 +2198 -4353 +-3619 3479 +-3796 1748 +1856 -3601 +-2246 -2370 +1733 -421 +-946 -462 +-1190 980 +4071 2298 +4072 -3632 +3072 -3072 +-1854 1661 +2322 -102 +4963 -546 +946 138 +-4351 -228 +-50 -2407 +453 -1226 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +6144 0 +-719 -3896 +23 -3904 +-1415 -977 +-4749 -3230 +5849 -1688 +6408 2700 +8 -2294 +-1624 -4096 +1035 -724 +4524 -1613 +1646 -1323 +-3759 230 +352 1945 +1843 1679 +-265 -915 +-2048 2048 +-1078 2058 +-799 -2417 +-3189 -229 +3160 230 +-3391 -891 +1204 2483 +5323 3726 +-4520 4096 +1203 2155 +-2247 -1396 +-970 -1256 +1252 -3230 +1118 -3151 +-2763 192 +-5506 -923 +2048 0 +-5506 923 +-2763 -192 +1118 3151 +1252 3230 +-970 1256 +-2247 1396 +1203 -2155 +-4520 -4096 +5323 -3726 +1204 -2483 +-3391 891 +3160 -230 +-3189 229 +-799 2417 +-1078 -2058 +-2048 -2048 +-265 915 +1843 -1679 +352 -1945 +-3759 -230 +1646 1323 +4524 1613 +1035 724 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +-1624 -424 +-171 420 +-502 101 +2504 1155 +5304 -3510 +2856 -555 +-1946 1553 +-1622 585 +1024 0 +-1622 -585 +-1946 -1553 +2856 555 +5304 3510 +2504 -1155 +-502 -101 +-171 -420 +-1624 424 +-2224 2762 +-3648 -2169 +-6289 -1328 +-268 2726 +1592 -718 +-1640 -1731 +2266 -682 +3072 -4096 +1683 3479 +-408 381 +-1324 -6329 +3516 -1618 +2004 -1023 +1600 -1165 +1681 -1778 +-4520 2472 +-1503 -7177 +-1546 -4889 +-3571 4502 +3736 -834 +2228 296 +-102 -5233 +-110 1359 +-3072 0 +-110 -1359 +-102 5233 +2228 -296 +3736 834 +-3571 -4502 +-1546 4889 +-1503 7177 +-4520 -2472 +1681 1778 +1600 1165 +2004 1023 +3516 1618 +-1324 6329 +-408 -381 +1683 -3479 +3072 4096 +2266 682 +-1640 1731 +1592 718 +-268 -2726 +-6289 1328 +-3648 2169 +-2224 -2762 +-1624 -424 +-171 420 +-502 101 +2504 1155 +5304 -3510 +2856 -555 +-1946 1553 +-1622 585 +1024 -2472 +-2353 4021 +-6222 -357 +2492 -2764 +-1912 1722 +-1074 3128 +2132 -1785 +-1168 -1332 +1024 0 +-1168 1332 +2132 1785 +-1074 -3128 +-1912 -1722 +2492 2764 +-6222 357 +-2353 -4021 +1024 2472 +-2092 819 +2770 1318 +677 5415 +-5488 2846 +-5006 4962 +-335 -2488 +2081 -1149 +1024 0 +-1962 -1896 +2552 -1224 +2670 -4577 +-2353 1398 +4556 -271 +2582 -2622 +-923 -2848 +1024 424 +-663 2440 +870 513 +2741 3446 +5657 -3170 +-1262 -3899 +-4349 -1047 +1288 -3272 +1024 0 +1288 3272 +-4349 1047 +-1262 3899 +5657 3170 +2741 -3446 +870 -513 +-663 -2440 +1024 -424 +-923 2848 +2582 2622 +4556 271 +-2353 -1398 +2670 4577 +2552 1224 +-1962 1896 +1024 0 +2081 1149 +-335 2488 +-5006 -4962 +-5488 -2846 +677 -5415 +2770 -1318 +-2092 -819 +1024 -2472 +-2353 4021 +-6222 -357 +2492 -2764 +-1912 1722 +-1074 3128 +2132 -1785 +-1168 -1332 +-2772 1324 +-349 -1078 +-4435 2544 +3521 2530 +4816 -307 +-3810 -3208 +-347 -6071 +218 -417 +0 0 +218 417 +-347 6071 +-3810 3208 +4816 307 +3521 -2530 +-4435 -2544 +-349 1078 +-2772 -1324 +-1041 3499 +-1852 3204 +889 -5098 +-1754 2598 +-2478 5202 +4104 156 +246 2903 +1024 1024 +-1004 -924 +4809 -1920 +5274 -2021 +2354 4646 +3895 3938 +-2817 -1400 +2355 35 +-1324 2772 +-5429 2598 +415 -156 +-1624 -2054 +-1320 -1741 +126 1410 +124 2795 +-788 -825 +-2048 0 +-788 825 +124 -2795 +126 -1410 +-1320 1741 +-1624 2054 +415 156 +-5429 -2598 +-1324 -2772 +2355 -35 +-2817 1400 +3895 -3938 +2354 -4646 +5274 2021 +4809 1920 +-1004 924 +1024 -1024 +246 -2903 +4104 -156 +-2478 -5202 +-1754 -2598 +889 5098 +-1852 -3204 +-1041 -3499 +-2772 1324 +-349 -1078 +-4435 2544 +3521 2530 +4816 -307 +-3810 -3208 +-347 -6071 +218 -417 +1748 -2772 +-696 7 +1615 1261 +-3708 522 +-2224 2420 +-4957 5972 +-707 508 +3737 175 +-5120 0 +3737 -175 +-707 -508 +-4957 -5972 +-2224 -2420 +-3708 -522 +1615 -1261 +-696 -7 +1748 2772 +799 2502 +401 -2198 +5446 -1402 +-1006 -3602 +-3188 -240 +6573 1673 +5660 -3139 +2048 1024 +1401 1272 +-7237 -2451 +-1744 -3874 +2454 143 +-493 292 +-845 -4754 +-1833 -2432 +300 -1324 +604 723 +1725 -921 +-1037 859 +776 5421 +-1904 -1726 +-1525 -1735 +1914 1707 +-3072 0 +1914 -1707 +-1525 1735 +-1904 1726 +776 -5421 +-1037 -859 +1725 921 +604 -723 +300 1324 +-1833 2432 +-845 4754 +-493 -292 +2454 -143 +-1744 3874 +-7237 2451 +1401 -1272 +2048 -1024 +5660 3139 +6573 -1673 +-3188 240 +-1006 3602 +5446 1402 +401 2198 +799 -2502 +1748 -2772 +-696 7 +1615 1261 +-3708 522 +-2224 2420 +-4957 5972 +-707 508 +3737 175 +2048 -2472 +-3676 2955 +-2584 -1498 +4778 -4034 +-3940 1398 +-12 -3589 +6377 -3668 +-1538 -5335 +-2048 0 +-1538 5335 +6377 3668 +-12 3589 +-3940 -1398 +4778 4034 +-2584 1498 +-3676 -2955 +2048 2472 +-181 -275 +-2070 -294 +-317 -3140 +-2832 -1722 +1004 1567 +1425 478 +1448 670 +6144 -4096 +-1448 43 +-984 -305 +-1004 -5636 +-1264 -3170 +317 -3594 +-1505 -2186 +181 -925 +2048 424 +3676 490 +-5425 -394 +-4778 -516 +-156 -2846 +12 482 +4768 2884 +1538 611 +-2048 0 +1538 -611 +4768 -2884 +12 -482 +-156 2846 +-4778 516 +-5425 394 +3676 -490 +2048 -424 +181 925 +-1505 2186 +317 3594 +-1264 3170 +-1004 5636 +-984 305 +-1448 -43 +6144 4096 +1448 -670 +1425 -478 +1004 -1567 +-2832 1722 +-317 3140 +-2070 294 +-181 275 +2048 -2472 +-3676 2955 +-2584 -1498 +4778 -4034 +-3940 1398 +-12 -3589 +6377 -3668 +-1538 -5335 +-4520 2296 +1979 -1559 +-3377 696 +-3481 -60 +6667 -6256 +1503 -3401 +-90 -1529 +-1998 4137 +-6144 0 +-1998 -4137 +-90 1529 +1503 3401 +6667 6256 +-3481 60 +-3377 -696 +1979 1559 +-4520 -2296 +1747 1652 +3416 -1007 +2082 -4643 +1997 -1143 +3026 1024 +451 -1928 +-2286 -2842 +0 2048 +-4180 1599 +1322 -480 +-364 -1972 +-2246 -1992 +638 -1268 +-296 442 +1979 -2020 +-1624 -6392 +1214 415 +-2639 -2144 +-5240 -4445 +1774 1312 +1837 716 +1213 80 +1545 -5359 +2048 0 +1545 5359 +1213 -80 +1837 -716 +1774 -1312 +-5240 4445 +-2639 2144 +1214 -415 +-1624 6392 +1979 2020 +-296 -442 +638 1268 +-2246 1992 +-364 1972 +1322 480 +-4180 -1599 +0 -2048 +-2286 2842 +451 1928 +3026 -1024 +1997 1143 +2082 4643 +3416 1007 +1747 -1652 +-4520 2296 +1979 -1559 +-3377 696 +-3481 -60 +6667 -6256 +1503 -3401 +-90 -1529 +-1998 4137 +-2896 1448 +-494 701 +5314 -4359 +3755 -1799 +-3595 699 +-689 1401 +-1162 -5566 +-673 300 +5120 0 +-673 -300 +-1162 5566 +-689 -1401 +-3595 -699 +3755 1799 +5314 4359 +-494 -701 +-2896 -1448 +-306 -2114 +-197 -3186 +-2862 2485 +1075 -3544 +1894 486 +-596 1017 +-2904 1942 +-3072 2048 +-271 -4181 +-2745 2058 +1517 -1851 +5318 -5592 +983 -5256 +861 -3107 +-83 1830 +2896 -1448 +1770 -2182 +-3082 -154 +2588 -958 +1298 1349 +-1394 -1153 +1606 -6178 +-2833 2137 +-7168 0 +-2833 -2137 +1606 6178 +-1394 1153 +1298 -1349 +2588 958 +-3082 154 +1770 2182 +2896 1448 +-83 -1830 +861 3107 +983 5256 +5318 5592 +1517 1851 +-2745 -2058 +-271 4181 +-3072 -2048 +-2904 -1942 +-596 -1017 +1894 -486 +1075 3544 +-2862 -2485 +-197 3186 +-306 2114 +-2896 1448 +-494 701 +5314 -4359 +3755 -1799 +-3595 699 +-689 1401 +-1162 -5566 +-673 300 +-124 -1748 +253 -328 +1329 1267 +-1532 -1013 +-3444 -672 +1468 2705 +6953 1143 +3116 404 +-1024 0 +3116 -404 +6953 -1143 +1468 -2705 +-3444 672 +-1532 1013 +1329 -1267 +253 328 +-124 1748 +4534 -975 +-941 3072 +-4390 3619 +2578 3902 +-545 5747 +-455 -683 +-58 -1875 +-4096 -7168 +2244 -1579 +2724 3257 +-1774 -1926 +-881 -442 +1638 -1291 +1201 240 +626 -2365 +4220 -300 +-2516 -823 +-3286 -3 +1387 776 +-6445 -3672 +-2046 86 +667 -1299 +-2406 5924 +1024 0 +-2406 -5924 +667 1299 +-2046 -86 +-6445 3672 +1387 -776 +-3286 3 +-2516 823 +4220 300 +626 2365 +1201 -240 +1638 1291 +-881 442 +-1774 1926 +2724 -3257 +2244 1579 +-4096 7168 +-58 1875 +-455 683 +-545 -5747 +2578 -3902 +-4390 -3619 +-941 -3072 +4534 975 +-124 -1748 +253 -328 +1329 1267 +-1532 -1013 +-3444 -672 +1468 2705 +6953 1143 +3116 404 +1748 724 +4132 -3029 +2356 57 +1155 -704 +-1716 2644 +-1494 1397 +1666 -2170 +-2726 2081 +-7168 0 +-2726 -2081 +1666 2170 +-1494 -1397 +-1716 -2644 +1155 704 +2356 -57 +4132 3029 +1748 -724 +65 -649 +909 2850 +1705 1306 +-1826 418 +-6005 -1839 +3972 -1336 +7224 -1211 +0 -1024 +-758 -3978 +-4156 372 +-5094 -2932 +-3718 -4527 +1203 4952 +4480 -3322 +-2882 -1564 +300 -724 +2230 -2350 +-752 763 +2177 -2330 +-932 -3492 +562 -3378 +-282 2679 +-1493 -3519 +3072 0 +-1493 3519 +-282 -2679 +562 3378 +-932 3492 +2177 2330 +-752 -763 +2230 2350 +300 724 +-2882 1564 +4480 3322 +1203 -4952 +-3718 4527 +-5094 2932 +-4156 -372 +-758 3978 +0 1024 +7224 1211 +3972 1336 +-6005 1839 +-1826 -418 +1705 -1306 +909 -2850 +65 649 +1748 724 +4132 -3029 +2356 57 +1155 -704 +-1716 2644 +-1494 1397 +1666 -2170 +-2726 2081 +-1748 -1148 +-2640 3482 +2191 -954 +18 -2331 +1996 -1540 +86 -1377 +-2533 3948 +4739 77 +4096 0 +4739 -77 +-2533 -3948 +86 1377 +1996 1540 +18 2331 +2191 954 +-2640 -3482 +-1748 1148 +-4779 -667 +1725 -3272 +3911 669 +-1130 4142 +-2272 432 +-3687 -5441 +1684 -1210 +-1024 -5120 +-174 -4818 +190 2623 +555 -5953 +2330 -2250 +-1573 3239 +-2325 -3547 +-3645 1896 +-300 3196 +-968 -32 +-2791 1879 +1189 438 +4996 -756 +3878 -3679 +-963 -2877 +-10 2996 +2048 0 +-10 -2996 +-963 2877 +3878 3679 +4996 756 +1189 -438 +-2791 -1879 +-968 32 +-300 -3196 +-3645 -1896 +-2325 3547 +-1573 -3239 +2330 2250 +555 5953 +190 -2623 +-174 4818 +-1024 5120 +1684 1210 +-3687 5441 +-2272 -432 +-1130 -4142 +3911 -669 +1725 3272 +-4779 667 +-1748 -1148 +-2640 3482 +2191 -954 +18 -2331 +1996 -1540 +86 -1377 +-2533 3948 +4739 77 +1324 2348 +4462 -874 +2322 -1486 +1932 -309 +-2321 268 +-136 -4675 +2852 -1659 +-2762 -913 +-5120 0 +-2762 913 +2852 1659 +-136 4675 +-2321 -268 +1932 309 +2322 1486 +4462 874 +1324 -2348 +-264 -567 +4735 553 +1344 -1447 +-3438 3274 +1095 42 +850 400 +-1217 343 +2048 -3072 +-2601 -3351 +90 244 +3564 -739 +2238 -5166 +2142 2278 +-5363 1817 +3029 1476 +2772 3796 +-4772 2237 +2402 -1346 +-4739 -4215 +-4672 -516 +590 1439 +304 5599 +-1668 2332 +-7168 0 +-1668 -2332 +304 -5599 +590 -1439 +-4672 516 +-4739 4215 +2402 1346 +-4772 -2237 +2772 -3796 +3029 -1476 +-5363 -1817 +2142 -2278 +2238 5166 +3564 739 +90 -244 +-2601 3351 +2048 3072 +-1217 -343 +850 -400 +1095 -42 +-3438 -3274 +1344 1447 +4735 -553 +-264 567 +1324 2348 +4462 -874 +2322 -1486 +1932 -309 +-2321 268 +-136 -4675 +2852 -1659 +-2762 -913 +-848 2048 +119 406 +-2537 -7206 +-325 -3835 +-2930 868 +-3930 -1836 +617 44 +613 2177 +-1024 0 +613 -2177 +617 -44 +-3930 1836 +-2930 -868 +-325 3835 +-2537 7206 +119 -406 +-848 -2048 +-2511 1021 +3118 5804 +1869 -361 +1519 -240 +2116 1607 +-3097 -7158 +-1780 3118 +-1024 0 +-1815 -2988 +-1182 1711 +-2500 -1864 +1978 1808 +923 -2788 +-1825 -4872 +5541 -3853 +4944 2048 +635 3856 +45 1826 +-900 2057 +3530 -2916 +2746 -986 +-3329 -2263 +-802 854 +3072 0 +-802 -854 +-3329 2263 +2746 986 +3530 2916 +-900 -2057 +45 -1826 +635 -3856 +4944 -2048 +5541 3853 +-1825 4872 +923 2788 +1978 -1808 +-2500 1864 +-1182 -1711 +-1815 2988 +-1024 0 +-1780 -3118 +-3097 7158 +2116 -1607 +1519 240 +1869 361 +3118 -5804 +-2511 -1021 +-848 2048 +119 406 +-2537 -7206 +-325 -3835 +-2930 868 +-3930 -1836 +617 44 +613 2177 +-1448 5368 +626 1014 +378 -1696 +1945 -2082 +-3810 -928 +-2821 1125 +5154 320 +1821 3303 +0 0 +1821 -3303 +5154 -320 +-2821 -1125 +-3810 928 +1945 2082 +378 1696 +626 -1014 +-1448 -5368 +-1217 -4073 +-1444 -348 +1094 872 +978 1408 +-1042 5194 +-4966 3082 +-3944 1656 +4096 2048 +2171 -1094 +2630 370 +-2078 1822 +-130 808 +4922 -4734 +-1571 2144 +94 6330 +1448 -3320 +-1749 3963 +-259 2988 +4072 1460 +-1134 4424 +-299 -1913 +78 3960 +-3593 2506 +0 0 +-3593 -2506 +78 -3960 +-299 1913 +-1134 -4424 +4072 -1460 +-259 -2988 +-1749 -3963 +1448 3320 +94 -6330 +-1571 -2144 +4922 4734 +-130 -808 +-2078 -1822 +2630 -370 +2171 1094 +4096 -2048 +-3944 -1656 +-4966 -3082 +-1042 -5194 +978 -1408 +1094 -872 +-1444 348 +-1217 4073 +-1448 5368 +626 1014 +378 -1696 +1945 -2082 +-3810 -928 +-2821 1125 +5154 320 +1821 3303 +1872 1448 +-3623 1825 +-763 -1413 +2082 1844 +724 1762 +2509 -1046 +2494 3761 +309 -3690 +0 0 +309 3690 +2494 -3761 +2509 1046 +724 -1762 +2082 -1844 +-763 1413 +-3623 -1825 +1872 -1448 +3308 -3098 +-247 -3349 +-311 1003 +-724 -3026 +981 -2473 +3843 3803 +721 845 +-2048 0 +-1250 -2408 +437 2983 +1960 3711 +-724 1918 +-2142 5600 +-1046 -1641 +-5110 1149 +-3920 -1448 +4097 -1601 +3255 1921 +-518 -351 +724 -914 +1231 -1666 +218 2411 +-4244 -9379 +-8192 0 +-4244 9379 +218 -2411 +1231 1666 +724 914 +-518 351 +3255 -1921 +4097 1601 +-3920 1448 +-5110 -1149 +-1046 1641 +-2142 -5600 +-724 -1918 +1960 -3711 +437 -2983 +-1250 2408 +-2048 0 +721 -845 +3843 -3803 +981 2473 +-724 3026 +-311 -1003 +-247 3349 +3308 3098 +1872 1448 +-3623 1825 +-763 -1413 +2082 1844 +724 1762 +2509 -1046 +2494 3761 +309 -3690 +-4096 -1272 +2336 -911 +-632 82 +1977 -2336 +3570 1832 +346 396 +-2068 -3252 +-2671 -4328 +2048 0 +-2671 4328 +-2068 3252 +346 -396 +3570 -1832 +1977 2336 +-632 -82 +2336 911 +-4096 1272 +-1449 -1290 +2299 2310 +-21 -203 +-3894 1952 +1009 -224 +5376 -5238 +-4092 -1347 +0 -2048 +2635 -25 +-2220 1598 +1620 2676 +998 3400 +-2353 910 +2425 2374 +-3311 6380 +-4096 7416 +4878 333 +4 -1714 +1077 -1664 +-674 -384 +2138 3184 +3008 200 +-4121 3194 +-2048 0 +-4121 -3194 +3008 -200 +2138 -3184 +-674 384 +1077 1664 +4 1714 +4878 -333 +-4096 -7416 +-3311 -6380 +2425 -2374 +-2353 -910 +998 -3400 +1620 -2676 +-2220 -1598 +2635 25 +0 2048 +-4092 1347 +5376 5238 +1009 224 +-3894 -1952 +-21 203 +2299 -2310 +-1449 1290 +-4096 -1272 +2336 -911 +-632 82 +1977 -2336 +3570 1832 +346 396 +-2068 -3252 +-2671 -4328 +-2472 -3496 +44 -1798 +2817 4064 +4226 859 +484 110 +-342 -2494 +-4344 -5230 +-4710 2978 +2048 0 +-4710 -2978 +-4344 5230 +-342 2494 +484 -110 +4226 -859 +2817 -4064 +44 1798 +-2472 3496 +-1832 1313 +1961 3991 +1346 2322 +-3640 -894 +562 290 +3042 2694 +705 1578 +-2048 0 +705 -1098 +7578 -3986 +562 -818 +144 2002 +1346 1214 +-458 2662 +-1832 -1363 +424 -600 +44 4474 +-6017 399 +4226 250 +-1084 2786 +-342 3603 +3612 4342 +-4710 -303 +-2048 0 +-4710 303 +3612 -4342 +-342 -3603 +-1084 -2786 +4226 -250 +-6017 -399 +44 -4474 +424 600 +-1832 1363 +-458 -2662 +1346 -1214 +144 -2002 +562 818 +7578 3986 +705 1098 +-2048 0 +705 -1578 +3042 -2694 +562 -290 +-3640 894 +1346 -2322 +1961 -3991 +-1832 -1313 +-2472 -3496 +44 -1798 +2817 4064 +4226 859 +484 110 +-342 -2494 +-4344 -5230 +-4710 2978 +1324 -3796 +-2894 1495 +1604 2730 +2505 -1395 +-2100 2564 +-3507 468 +-1318 -1734 +1381 -4131 +-1024 0 +1381 4131 +-1318 1734 +-3507 -468 +-2100 -2564 +2505 1395 +1604 -2730 +-2894 -1495 +1324 3796 +2092 1356 +2174 2402 +-5658 -4440 +-5226 -3118 +255 1503 +-2276 1833 +1157 3013 +2048 -3072 +1523 139 +1168 -59 +1485 -986 +-1766 1226 +-2461 -1224 +-4850 3186 +-3396 -2773 +2772 -2348 +-3370 6040 +1072 -1946 +2480 -2620 +900 1780 +4902 -1996 +2426 -158 +3508 4729 +5120 0 +3508 -4729 +2426 158 +4902 1996 +900 -1780 +2480 2620 +1072 1946 +-3370 -6040 +2772 2348 +-3396 2773 +-4850 -3186 +-2461 1224 +-1766 -1226 +1485 986 +1168 59 +1523 -139 +2048 3072 +1157 -3013 +-2276 -1833 +255 -1503 +-5226 3118 +-5658 4440 +2174 -2402 +2092 -1356 +1324 -3796 +-2894 1495 +1604 2730 +2505 -1395 +-2100 2564 +-3507 468 +-1318 -1734 +1381 -4131 +1748 -3620 +-3693 -969 +-2877 -1532 +-1052 -1311 +1320 732 +476 852 +1487 -1265 +3623 -71 +1024 0 +3623 71 +1487 1265 +476 -852 +1320 -732 +-1052 1311 +-2877 1532 +-3693 969 +1748 3620 +-493 -515 +-2927 6687 +-1527 5157 +-2354 -5070 +932 6344 +1292 131 +1930 -2846 +0 1024 +491 730 +821 4617 +-3089 -2176 +1754 -2174 +3196 -142 +1923 1075 +403 1963 +300 3620 +1327 2430 +-1912 -5776 +-1296 -5629 +-4816 2165 +-3433 2973 +2193 -4138 +2204 231 +3072 0 +2204 -231 +2193 4138 +-3433 -2973 +-4816 -2165 +-1296 5629 +-1912 5776 +1327 -2430 +300 -3620 +403 -1963 +1923 -1075 +3196 142 +1754 2174 +-3089 2176 +821 -4617 +491 -730 +0 -1024 +1930 2846 +1292 -131 +932 -6344 +-2354 5070 +-1527 -5157 +-2927 -6687 +-493 515 +1748 -3620 +-3693 -969 +-2877 -1532 +-1052 -1311 +1320 732 +476 852 +1487 -1265 +3623 -71 +2048 4344 +-2012 -1173 +-2452 -3318 +8262 581 +540 -2602 +-743 1833 +820 3312 +-957 2989 +5120 0 +-957 -2989 +820 -3312 +-743 -1833 +540 2602 +8262 -581 +-2452 3318 +-2012 1173 +2048 -4344 +848 -892 +-3142 301 +-4974 -1897 +4664 -3386 +-201 -2996 +-4312 -3168 +-1666 3900 +-5120 0 +-3133 -789 +372 2664 +1155 -3944 +880 710 +2146 851 +310 -3315 +-411 -4961 +2048 -4344 +895 -440 +1188 3799 +2814 1487 +2108 -1494 +-2667 2332 +-976 -1576 +643 570 +-3072 0 +643 -570 +-976 1576 +-2667 -2332 +2108 1494 +2814 -1487 +1188 -3799 +895 440 +2048 4344 +-411 4961 +310 3315 +2146 -851 +880 -710 +1155 3944 +372 -2664 +-3133 789 +-5120 0 +-1666 -3900 +-4312 3168 +-201 2996 +4664 3386 +-4974 1897 +-3142 -301 +848 892 +2048 4344 +-2012 -1173 +-2452 -3318 +8262 581 +540 -2602 +-743 1833 +820 3312 +-957 2989 +1324 1148 +-230 -1351 +409 -3791 +-3266 -756 +-262 -2214 +2651 299 +1675 2078 +2939 3242 +1024 0 +2939 -3242 +1675 -2078 +2651 -299 +-262 2214 +-3266 756 +409 3791 +-230 1351 +1324 -1148 +1508 2257 +-4587 800 +-4094 6981 +4756 5140 +-3996 -4240 +-2608 -4076 +4798 -1048 +-2048 -1024 +2331 -2108 +-1776 1940 +-690 655 +188 1644 +-3306 5018 +-476 2573 +-3455 200 +2772 -3196 +1969 3077 +-2338 2667 +-2045 -2774 +-586 2813 +3161 3028 +1509 1042 +1727 -517 +3072 0 +1727 517 +1509 -1042 +3161 -3028 +-586 -2813 +-2045 2774 +-2338 -2667 +1969 -3077 +2772 3196 +-3455 -200 +-476 -2573 +-3306 -5018 +188 -1644 +-690 -655 +-1776 -1940 +2331 2108 +-2048 1024 +4798 1048 +-2608 4076 +-3996 4240 +4756 -5140 +-4094 -6981 +-4587 -800 +1508 -2257 +1324 1148 +-230 -1351 +409 -3791 +-3266 -756 +-262 -2214 +2651 299 +1675 2078 +2939 3242 +6992 3320 +1279 -268 +2529 -1366 +1506 -2779 +-1578 -1436 +2255 -1268 +-2213 1842 +-2223 3592 +4096 0 +-2223 -3592 +-2213 -1842 +2255 1268 +-1578 1436 +1506 2779 +2529 1366 +1279 268 +6992 -3320 +2233 -1103 +-3744 318 +-406 -1623 +-2362 2229 +-4441 -1017 +-3908 -4428 +-3273 -2924 +2048 -2048 +2613 -3584 +-1805 1588 +-1591 969 +314 -5364 +145 -3277 +-2207 2090 +-2184 2921 +1200 -5368 +2456 2725 +2223 243 +322 -2659 +-470 6132 +2210 -531 +934 1277 +-901 -5820 +0 0 +-901 5820 +934 -1277 +2210 531 +-470 -6132 +322 2659 +2223 -243 +2456 -2725 +1200 5368 +-2184 -2921 +-2207 -2090 +145 3277 +314 5364 +-1591 -969 +-1805 -1588 +2613 3584 +2048 2048 +-3273 2924 +-3908 4428 +-4441 1017 +-2362 -2229 +-406 1623 +-3744 -318 +2233 1103 +6992 3320 +1279 -268 +2529 -1366 +1506 -2779 +-1578 -1436 +2255 -1268 +-2213 1842 +-2223 3592 +2596 -1748 +2403 623 +-2487 -2432 +-3457 443 +4202 -2335 +274 -4589 +-714 -2413 +3920 -8193 +4096 0 +3920 8193 +-714 2413 +274 4589 +4202 2335 +-3457 -443 +-2487 2432 +2403 -623 +2596 1748 +-578 490 +-2175 -1918 +2997 4413 +-1924 -112 +972 -2417 +758 2437 +66 -2168 +3072 -1024 +-3645 4984 +2766 544 +2307 -5938 +1076 -4456 +-85 -1016 +-3878 -1134 +-3698 673 +-4644 -300 +1874 -2217 +-1349 3216 +545 3057 +742 -2010 +-3553 -1589 +-1114 521 +-341 1984 +2048 0 +-341 -1984 +-1114 -521 +-3553 1589 +742 2010 +545 -3057 +-1349 -3216 +1874 2217 +-4644 300 +-3698 -673 +-3878 1134 +-85 1016 +1076 4456 +2307 5938 +2766 -544 +-3645 -4984 +3072 1024 +66 2168 +758 -2437 +972 2417 +-1924 112 +2997 -4413 +-2175 1918 +-578 -490 +2596 -1748 +2403 623 +-2487 -2432 +-3457 443 +4202 -2335 +274 -4589 +-714 -2413 +3920 -8193 +3196 -2348 +-2736 909 +2140 4945 +-374 2141 +586 -2335 +-975 3430 +-3420 2307 +2778 8 +2048 0 +2778 -8 +-3420 -2307 +-975 -3430 +586 2335 +-374 -2141 +2140 -4945 +-2736 -909 +3196 2348 +-601 2907 +-4941 -1298 +343 -121 +-188 -112 +1444 2085 +2232 1499 +2095 -2550 +3072 1024 +-3143 1655 +-3560 1435 +2913 -2329 +-4756 -4456 +1415 -3900 +4053 -4350 +-6929 -1489 +-1148 -3796 +2018 -530 +4540 1892 +3729 -1768 +262 -2010 +-2703 -1292 +-1044 -674 +725 -5012 +-4096 0 +725 5012 +-1044 674 +-2703 1292 +262 2010 +3729 1768 +4540 -1892 +2018 530 +-1148 3796 +-6929 1489 +4053 4350 +1415 3900 +-4756 4456 +2913 2329 +-3560 -1435 +-3143 -1655 +3072 -1024 +2095 2550 +2232 -1499 +1444 -2085 +-188 112 +343 121 +-4941 1298 +-601 -2907 +3196 -2348 +-2736 909 +2140 4945 +-374 2141 +586 -2335 +-975 3430 +-3420 2307 +2778 8 +1748 1748 +-3652 3430 +5170 1717 +2464 -1122 +-1574 -2094 +1641 -1100 +248 3730 +24 -890 +0 0 +24 890 +248 -3730 +1641 1100 +-1574 2094 +2464 1122 +5170 -1717 +-3652 -3430 +1748 -1748 +1220 966 +-5314 1062 +287 4163 +2765 2804 +521 -941 +-2068 4499 +-801 -2445 +-1024 1024 +-540 4636 +-3645 1786 +-6614 3104 +1331 -3588 +1279 4136 +-638 3554 +-169 -663 +300 300 +355 -3730 +-418 -424 +8002 207 +5670 -202 +-1787 -1535 +-1527 550 +-2229 3507 +-2048 0 +-2229 -3507 +-1527 -550 +-1787 1535 +5670 202 +8002 -207 +-418 424 +355 3730 +300 -300 +-169 663 +-638 -3554 +1279 -4136 +1331 3588 +-6614 -3104 +-3645 -1786 +-540 -4636 +-1024 -1024 +-801 2445 +-2068 -4499 +521 941 +2765 -2804 +287 -4163 +-5314 -1062 +1220 -966 +1748 1748 +-3652 3430 +5170 1717 +2464 -1122 +-1574 -2094 +1641 -1100 +248 3730 +24 -890 +-6517 2348 +598 3376 +1658 669 +-2159 2178 +-78 -1311 +688 3132 +531 -2585 +413 2253 +-1024 0 +413 -2253 +531 2585 +688 -3132 +-78 1311 +-2159 -2178 +1658 -669 +598 -3376 +-6517 -2348 +-4837 5006 +2348 -4631 +3483 -2030 +-632 912 +-52 1237 +1234 2031 +735 1194 +4096 -1024 +2547 -3368 +-1574 -461 +-2973 -5659 +-1416 -5480 +4800 474 +1776 -351 +690 -191 +6517 3796 +-915 -455 +-2886 -3381 +556 -665 +-1970 -986 +1449 2965 +-3087 1292 +-5023 -1096 +1024 0 +-5023 1096 +-3087 -1292 +1449 -2965 +-1970 986 +556 665 +-2886 3381 +-915 455 +6517 -3796 +690 191 +1776 351 +4800 -474 +-1416 5480 +-2973 5659 +-1574 461 +2547 3368 +4096 1024 +735 -1194 +1234 -2031 +-52 -1237 +-632 -912 +3483 2030 +2348 4631 +-4837 -5006 +-6517 2348 +598 3376 +1658 669 +-2159 2178 +-78 -1311 +688 3132 +531 -2585 +413 2253 +2772 300 +-2217 1040 +-2642 1516 +-4239 873 +1756 692 +1677 -7351 +-1733 -1205 +2281 4195 +3072 0 +2281 -4195 +-1733 1205 +1677 7351 +1756 -692 +-4239 -873 +-2642 -1516 +-2217 -1040 +2772 -300 +4369 1799 +1238 2090 +-1043 -3636 +-4046 802 +-1865 -1289 +-342 578 +21 3493 +0 -3072 +1721 -1877 +-2045 -545 +-2685 -5087 +3198 -2694 +-2373 468 +838 -3926 +4131 -1341 +1324 1748 +3294 1820 +-634 -4636 +3429 -3688 +3189 -92 +-4486 -3366 +-2871 2978 +-2015 -3564 +-3072 0 +-2015 3564 +-2871 -2978 +-4486 3366 +3189 92 +3429 3688 +-634 4636 +3294 -1820 +1324 -1748 +4131 1341 +838 3926 +-2373 -468 +3198 2694 +-2685 5087 +-2045 545 +1721 1877 +0 3072 +21 -3493 +-342 -578 +-1865 1289 +-4046 -802 +-1043 3636 +1238 -2090 +4369 -1799 +2772 300 +-2217 1040 +-2642 1516 +-4239 873 +1756 692 +1677 -7351 +-1733 -1205 +2281 4195 +476 -724 +-3682 -2971 +2103 -5578 +-819 -278 +1560 2440 +3318 1996 +-3444 3944 +-1968 3292 +0 0 +-1968 -3292 +-3444 -3944 +3318 -1996 +1560 -2440 +-819 278 +2103 5578 +-3682 2971 +476 724 +5077 2128 +349 -960 +121 -1023 +562 1102 +-2117 -1353 +-645 -1108 +-1324 -1323 +1024 -3072 +-1089 2478 +-4624 5092 +3094 -958 +886 -2994 +2032 1657 +-4068 6201 +-1676 4620 +7716 724 +-2615 2567 +3313 2850 +1562 61 +-3008 1656 +-1398 1295 +-1176 560 +1485 3405 +-2048 0 +1485 -3405 +-1176 -560 +-1398 -1295 +-3008 -1656 +1562 -61 +3313 -2850 +-2615 -2567 +7716 -724 +-1676 -4620 +-4068 -6201 +2032 -1657 +886 2994 +3094 958 +-4624 -5092 +-1089 -2478 +1024 3072 +-1324 1323 +-645 1108 +-2117 1353 +562 -1102 +121 1023 +349 960 +5077 -2128 +476 -724 +-3682 -2971 +2103 -5578 +-819 -278 +1560 2440 +3318 1996 +-3444 3944 +-1968 3292 +-600 -3920 +633 -708 +1007 3458 +-2078 4151 +-2702 3640 +4357 -287 +-1163 1598 +-6773 2327 +0 0 +-6773 -2327 +-1163 -1598 +4357 287 +-2702 -3640 +-2078 -4151 +1007 -3458 +633 708 +-600 3920 +439 -917 +-1023 -566 +3307 2322 +3654 1084 +4200 356 +2035 -56 +-3086 -6293 +0 -4096 +-2399 919 +4137 -3396 +5409 -525 +-2806 484 +-2673 1496 +514 -1231 +-1179 -4768 +-3496 1872 +1233 -1575 +701 -1226 +1236 -1192 +-2243 -144 +-2172 3190 +1983 -2042 +-454 6453 +0 0 +-454 -6453 +1983 2042 +-2172 -3190 +-2243 144 +1236 1192 +701 1226 +1233 1575 +-3496 -1872 +-1179 4768 +514 1231 +-2673 -1496 +-2806 -484 +5409 525 +4137 3396 +-2399 -919 +0 4096 +-3086 6293 +2035 56 +4200 -356 +3654 -1084 +3307 -2322 +-1023 566 +439 917 +-600 -3920 +633 -708 +1007 3458 +-2078 4151 +-2702 3640 +4357 -287 +-1163 1598 +-6773 2327 +-4220 -300 +2818 2736 +173 572 +-601 -947 +5579 -1826 +2375 1795 +20 -307 +148 670 +-1024 0 +148 -670 +20 307 +2375 -1795 +5579 1826 +-601 947 +173 -572 +2818 -2736 +-4220 300 +-2962 278 +455 -1251 +77 2315 +-2985 -932 +-1172 1639 +824 5384 +-2341 5937 +2048 1024 +-2448 981 +1224 336 +4864 -5028 +-6904 1716 +869 5401 +1593 4257 +-2568 -784 +124 -1748 +-2401 -1343 +1875 839 +-2801 3354 +-3882 -3718 +2182 -3350 +2028 -646 +3962 2622 +5120 0 +3962 -2622 +2028 646 +2182 3350 +-3882 3718 +-2801 -3354 +1875 -839 +-2401 1343 +124 1748 +-2568 784 +1593 -4257 +869 -5401 +-6904 -1716 +4864 5028 +1224 -336 +-2448 -981 +2048 -1024 +-2341 -5937 +824 -5384 +-1172 -1639 +-2985 932 +77 -2315 +455 1251 +-2962 -278 +-4220 -300 +2818 2736 +173 572 +-601 -947 +5579 -1826 +2375 1795 +20 -307 +148 670 +124 1324 +3601 930 +2541 3149 +-298 -2272 +4742 -2154 +1375 -296 +1333 488 +2101 3683 +-4096 0 +2101 -3683 +1333 -488 +1375 296 +4742 2154 +-298 2272 +2541 -3149 +3601 -930 +124 -1324 +-1269 3762 +-740 242 +437 -3216 +2740 3972 +200 -3847 +-4779 371 +11 1728 +-1024 -1024 +437 2180 +3854 -1077 +490 -2184 +1956 -972 +-4767 4927 +-7325 -1206 +-3864 -2879 +-4220 2772 +-2253 -1569 +-1469 -1701 +3060 3496 +2850 1306 +-498 -4960 +-1608 960 +1235 2770 +6144 0 +1235 -2770 +-1608 -960 +-498 4960 +2850 -1306 +3060 -3496 +-1469 1701 +-2253 1569 +-4220 -2772 +-3864 2879 +-7325 1206 +-4767 -4927 +1956 972 +490 2184 +3854 1077 +437 -2180 +-1024 1024 +11 -1728 +-4779 -371 +200 3847 +2740 -3972 +437 3216 +-740 -242 +-1269 -3762 +124 1324 +3601 930 +2541 3149 +-298 -2272 +4742 -2154 +1375 -296 +1333 488 +2101 3683 +-2472 2048 +6126 -5403 +5416 -3745 +-2614 26 +-1168 758 +-2478 4392 +-3402 -2941 +-504 -4299 +0 0 +-504 4299 +-3402 2941 +-2478 -4392 +-1168 -758 +-2614 -26 +5416 3745 +6126 5403 +-2472 -2048 +1859 -2279 +49 -1121 +-8035 -1515 +-1508 654 +1421 -3480 +-1451 -2019 +536 4570 +0 -2048 +133 -169 +-321 2325 +-2519 2799 +60 -195 +-299 -4214 +-3169 3223 +-1419 -381 +424 2048 +795 -579 +600 -599 +1371 2938 +2616 -5702 +1567 -642 +2279 -1404 +4061 -128 +4096 0 +4061 128 +2279 1404 +1567 642 +2616 5702 +1371 -2938 +600 599 +795 579 +424 -2048 +-1419 381 +-3169 -3223 +-299 4214 +60 195 +-2519 -2799 +-321 -2325 +133 169 +0 2048 +536 -4570 +-1451 2019 +1421 3480 +-1508 -654 +-8035 1515 +49 1121 +1859 2279 +-2472 2048 +6126 -5403 +5416 -3745 +-2614 26 +-1168 758 +-2478 4392 +-3402 -2941 +-504 -4299 +-600 -3920 +-2493 -1939 +-373 -3465 +2604 521 +-2167 -1094 +-2324 196 +847 1711 +-140 1397 +0 0 +-140 -1397 +847 -1711 +-2324 -196 +-2167 1094 +2604 -521 +-373 3465 +-2493 1939 +-600 3920 +-416 1413 +2006 -2660 +5463 -1240 +288 -6002 +373 -1951 +745 -1544 +-2077 793 +6144 2048 +-1474 -1926 +-4269 -371 +2613 -826 +-7280 -458 +-3709 -2233 +4047 -2283 +2114 -451 +-3496 1872 +-2102 -2821 +4209 -6047 +-1461 -4411 +968 -1553 +2234 3826 +980 -2235 +794 -1219 +-4096 0 +794 1219 +980 2235 +2234 -3826 +968 1553 +-1461 4411 +4209 6047 +-2102 2821 +-3496 -1872 +2114 451 +4047 2283 +-3709 2233 +-7280 458 +2613 826 +-4269 371 +-1474 1926 +6144 -2048 +-2077 -793 +745 1544 +373 1951 +288 6002 +5463 1240 +2006 2660 +-416 -1413 +-600 -3920 +-2493 -1939 +-373 -3465 +2604 521 +-2167 -1094 +-2324 196 +847 1711 +-140 1397 +-2472 -5544 +-3566 -2555 +1207 -996 +6076 -1895 +3798 1533 +-2699 -2019 +-3211 -3266 +771 1799 +4096 0 +771 -1799 +-3211 3266 +-2699 2019 +3798 -1533 +6076 1895 +1207 996 +-3566 2555 +-2472 5544 +152 906 +-1912 1250 +-1990 2494 +-1759 204 +478 -1019 +-4008 1418 +1398 2791 +6144 -2048 +-2386 -11 +3343 191 +614 -225 +-3785 5148 +-2641 235 +1468 1590 +4620 3432 +424 -2648 +2578 -583 +2133 -1561 +123 -51 +-6446 -684 +40 -5068 +979 -858 +-3567 -2072 +4096 0 +-3567 2072 +979 858 +40 5068 +-6446 684 +123 51 +2133 1561 +2578 583 +424 2648 +4620 -3432 +1468 -1590 +-2641 -235 +-3785 -5148 +614 225 +3343 -191 +-2386 11 +6144 2048 +1398 -2791 +-4008 -1418 +478 1019 +-1759 -204 +-1990 -2494 +-1912 -1250 +152 -906 +-2472 -5544 +-3566 -2555 +1207 -996 +6076 -1895 +3798 1533 +-2699 -2019 +-3211 -3266 +771 1799 +-1748 1148 +-3321 -1850 +1394 -2736 +-6542 2565 +282 802 +-850 -272 +-5102 175 +-211 3163 +-4096 0 +-211 -3163 +-5102 -175 +-850 272 +282 -802 +-6542 -2565 +1394 2736 +-3321 1850 +-1748 -1148 +5900 825 +1913 -1054 +-647 3492 +-52 -92 +-2510 -4975 +-1827 103 +843 321 +5120 -1024 +7635 1303 +-730 3443 +1158 -1702 +2948 -692 +-1763 1060 +-3141 -390 +-1061 3062 +-300 -3196 +-1727 -3793 +2730 504 +-3759 666 +-3178 2694 +3329 1016 +4762 269 +3526 -1870 +-2048 0 +3526 1870 +4762 -269 +3329 -1016 +-3178 -2694 +-3759 -666 +2730 -504 +-1727 3793 +-300 3196 +-1061 -3062 +-3141 390 +-1763 -1060 +2948 692 +1158 1702 +-730 -3443 +7635 -1303 +5120 1024 +843 -321 +-1827 -103 +-2510 4975 +-52 92 +-647 -3492 +1913 1054 +5900 -825 +-1748 1148 +-3321 -1850 +1394 -2736 +-6542 2565 +282 802 +-850 -272 +-5102 175 +-211 3163 +1148 -300 +1974 -4417 +8145 -3491 +-559 -3866 +-946 -2538 +3599 4954 +110 4036 +-1457 -1997 +0 0 +-1457 1997 +110 -4036 +3599 -4954 +-946 2538 +-559 3866 +8145 3491 +1974 4417 +1148 300 +1402 -1463 +-5826 909 +1866 3083 +-392 572 +-1008 -1200 +572 3799 +-695 518 +1024 -3072 +-1197 1150 +4011 -2529 +224 -4440 +392 -2924 +-1082 -2307 +-3191 1665 +490 -1720 +-3196 -1748 +-82 2255 +-2025 -1049 +1343 1299 +946 3138 +-4383 2821 +-1797 724 +-435 -7468 +2048 0 +-435 7468 +-1797 -724 +-4383 -2821 +946 -3138 +1343 -1299 +-2025 1049 +-82 -2255 +-3196 1748 +490 1720 +-3191 -1665 +-1082 2307 +392 2924 +224 4440 +4011 2529 +-1197 -1150 +1024 3072 +-695 -518 +572 -3799 +-1008 1200 +-392 -572 +1866 -3083 +-5826 -909 +1402 1463 +1148 -300 +1974 -4417 +8145 -3491 +-559 -3866 +-946 -2538 +3599 4954 +110 4036 +-1457 -1997 +-1748 1148 +833 -2271 +1723 -1519 +-1804 -1054 +3215 18 +6140 -1460 +-1748 -7424 +-321 -1980 +2048 0 +-321 1980 +-1748 7424 +6140 1460 +3215 -18 +-1804 1054 +1723 1519 +833 2271 +-1748 -1148 +-6484 -228 +-997 1841 +1910 373 +652 1800 +-2178 1547 +-3785 -3706 +2269 1036 +3072 3072 +-224 342 +-2387 1683 +2707 -2693 +-2349 1200 +-3662 638 +1505 457 +-3519 4815 +-300 -3196 +273 634 +-3432 1335 +-467 -10 +6674 3478 +3145 1683 +927 5820 +1380 398 +-4096 0 +1380 -398 +927 -5820 +3145 -1683 +6674 -3478 +-467 10 +-3432 -1335 +273 -634 +-300 3196 +-3519 -4815 +1505 -457 +-3662 -638 +-2349 -1200 +2707 2693 +-2387 -1683 +-224 -342 +3072 -3072 +2269 -1036 +-3785 3706 +-2178 -1547 +652 -1800 +1910 -373 +-997 -1841 +-6484 228 +-1748 1148 +833 -2271 +1723 -1519 +-1804 -1054 +3215 18 +6140 -1460 +-1748 -7424 +-321 -1980 +-7841 2048 +190 2248 +847 -546 +-2588 2182 +-2218 1892 +1687 -2855 +365 964 +-3089 -2466 +1024 0 +-3089 2466 +365 -964 +1687 2855 +-2218 -1892 +-2588 -2182 +847 546 +190 -2248 +-7841 -2048 +-948 -4169 +2123 -3927 +2762 681 +14 784 +-4806 -3104 +2205 3037 +2462 1720 +1024 -4096 +1088 5106 +-941 2777 +-2226 -1418 +-2662 784 +-3122 1645 +1817 3693 +3323 771 +3745 2048 +5683 236 +-691 -1723 +-2165 -539 +-3326 -1892 +4666 -573 +2467 -2921 +-2917 -2396 +5120 0 +-2917 2396 +2467 2921 +4666 573 +-3326 1892 +-2165 539 +-691 1723 +5683 -236 +3745 -2048 +3323 -771 +1817 -3693 +-3122 -1645 +-2662 -784 +-2226 1418 +-941 -2777 +1088 -5106 +1024 4096 +2462 -1720 +2205 -3037 +-4806 3104 +14 -784 +2762 -681 +2123 3927 +-948 4169 +-7841 2048 +190 2248 +847 -546 +-2588 2182 +-2218 1892 +1687 -2855 +365 964 +-3089 -2466 +-2224 -2472 +-1114 993 +3268 -622 +1151 -3759 +-1846 2192 +827 -87 +348 -1371 +3042 -1664 +9216 0 +3042 1664 +348 1371 +827 87 +-1846 -2192 +1151 3759 +3268 622 +-1114 -993 +-2224 2472 +2116 3043 +-1603 -339 +-2025 -2019 +1374 2532 +219 527 +-309 2254 +-3957 198 +-1024 -2048 +2444 -1387 +429 22 +1364 2639 +5618 -964 +5259 -2057 +-733 -3679 +-1732 569 +-8016 424 +-3053 -938 +1965 178 +-5953 -4160 +3046 -1592 +-842 2511 +-3364 2035 +2255 -5584 +-3072 0 +2255 5584 +-3364 -2035 +-842 -2511 +3046 1592 +-5953 4160 +1965 -178 +-3053 938 +-8016 -424 +-1732 -569 +-733 3679 +5259 2057 +5618 964 +1364 -2639 +429 -22 +2444 1387 +-1024 2048 +-3957 -198 +-309 -2254 +219 -527 +1374 -2532 +-2025 2019 +-1603 339 +2116 -3043 +-2224 -2472 +-1114 993 +3268 -622 +1151 -3759 +-1846 2192 +827 -87 +348 -1371 +3042 -1664 +4220 -1324 +-1358 -884 +6713 697 +-935 2151 +-2354 1970 +-6684 -482 +-4787 -3334 +37 -3365 +-10240 0 +37 3365 +-4787 3334 +-6684 482 +-2354 -1970 +-935 -2151 +6713 -697 +-1358 884 +4220 1324 +3153 75 +-2056 -1646 +2041 -1334 +-4816 1416 +-3744 3242 +6098 1204 +3198 -2474 +-3072 -3072 +4028 -276 +637 1543 +-2488 356 +1320 -632 +195 1029 +1443 2478 +-946 429 +-124 -2772 +3376 -2545 +892 531 +-3309 1779 +1754 78 +3339 -837 +-748 777 +97 1779 +0 0 +97 -1779 +-748 -777 +3339 837 +1754 -78 +-3309 -1779 +892 -531 +3376 2545 +-124 2772 +-946 -429 +1443 -2478 +195 -1029 +1320 632 +-2488 -356 +637 -1543 +4028 276 +-3072 3072 +3198 2474 +6098 -1204 +-3744 -3242 +-4816 -1416 +2041 1334 +-2056 1646 +3153 -75 +4220 -1324 +-1358 -884 +6713 697 +-935 2151 +-2354 1970 +-6684 -482 +-4787 -3334 +37 -3365 +-1624 2896 +4599 1836 +-4780 -2766 +-330 1904 +4110 4364 +120 1587 +1434 2251 +-1801 2630 +-2048 0 +-1801 -2630 +1434 -2251 +120 -1587 +4110 -4364 +-330 -1904 +-4780 2766 +4599 -1836 +-1624 -2896 +-3610 2205 +63 -70 +3636 -1413 +770 360 +-900 -1794 +1462 1512 +-2141 6782 +0 4096 +-2930 1704 +770 2740 +2257 3076 +1878 1208 +1763 -1683 +-3403 -409 +-784 -9 +-4520 -2896 +3579 989 +5224 5323 +-3502 2571 +1434 580 +-3045 -164 +-770 1873 +3088 5523 +-2048 0 +3088 -5523 +-770 -1873 +-3045 164 +1434 -580 +-3502 -2571 +5224 -5323 +3579 -989 +-4520 2896 +-784 9 +-3403 409 +1763 1683 +1878 -1208 +2257 -3076 +770 -2740 +-2930 -1704 +0 -4096 +-2141 -6782 +1462 -1512 +-900 1794 +770 -360 +3636 1413 +63 70 +-3610 -2205 +-1624 2896 +4599 1836 +-4780 -2766 +-330 1904 +4110 4364 +120 1587 +1434 2251 +-1801 2630 +-2472 6568 +-574 1635 +-3334 -1265 +872 -268 +710 2856 +284 3164 +673 -3101 +-4971 1095 +-3072 0 +-4971 -1095 +673 3101 +284 -3164 +710 -2856 +872 268 +-3334 1265 +-574 -1635 +-2472 -6568 +1816 5 +-904 -2991 +2599 -4845 +2602 2976 +-4797 429 +2601 2226 +706 2030 +-3072 -2048 +-5839 2020 +-2720 2890 +3513 1912 +1494 2376 +-515 2098 +3240 -3435 +5593 -4767 +424 3672 +2302 1473 +-1898 -2075 +-3605 1547 +3386 640 +1650 847 +2343 869 +968 -1416 +-3072 0 +968 1416 +2343 -869 +1650 -847 +3386 -640 +-3605 -1547 +-1898 2075 +2302 -1473 +424 -3672 +5593 4767 +3240 3435 +-515 -2098 +1494 -2376 +3513 -1912 +-2720 -2890 +-5839 -2020 +-3072 2048 +706 -2030 +2601 -2226 +-4797 -429 +2602 -2976 +2599 4845 +-904 2991 +1816 -5 +-2472 6568 +-574 1635 +-3334 -1265 +872 -268 +710 2856 +284 3164 +673 -3101 +-4971 1095 +-5244 300 +-972 98 +-978 352 +2279 -3349 +-946 -4926 +-4148 2307 +2921 -1985 +5553 5824 +4096 0 +5553 -5824 +2921 1985 +-4148 -2307 +-946 4926 +2279 3349 +-978 -352 +-972 -98 +-5244 -300 +-1880 -2522 +4268 -6488 +-110 909 +-392 2648 +-1486 1901 +-794 -3018 +462 -3098 +-1024 -1024 +2602 -2756 +-1061 -2834 +-1919 -4752 +392 352 +-3587 -805 +-1452 -1100 +-1363 583 +-900 1748 +192 1679 +-639 -1956 +4522 2522 +946 -1466 +-1345 -2279 +5927 3369 +1198 -1019 +-6144 0 +1198 1019 +5927 -3369 +-1345 2279 +946 1466 +4522 -2522 +-639 1956 +192 -1679 +-900 -1748 +-1363 -583 +-1452 1100 +-3587 805 +392 -352 +-1919 4752 +-1061 2834 +2602 2756 +-1024 1024 +462 3098 +-794 3018 +-1486 -1901 +-392 -2648 +-110 -909 +4268 6488 +-1880 2522 +-5244 300 +-972 98 +-978 352 +2279 -3349 +-946 -4926 +-4148 2307 +2921 -1985 +5553 5824 +-1024 6817 +2834 3836 +-3413 2973 +-3000 -2507 +-2916 614 +751 2699 +1604 -4909 +-1124 2893 +5120 0 +-1124 -2893 +1604 4909 +751 -2699 +-2916 -614 +-3000 2507 +-3413 -2973 +2834 -3836 +-1024 -6817 +-778 575 +-770 4690 +966 -443 +-1808 170 +-2152 104 +4548 1595 +159 -350 +5120 -2048 +2559 -723 +-3440 -4929 +-769 -4101 +-240 -1278 +1421 -64 +3446 2097 +2988 -1563 +-1024 -4769 +3205 577 +737 4323 +-4500 -1889 +868 -2062 +1490 2305 +-2713 81 +-4049 886 +-3072 0 +-4049 -886 +-2713 -81 +1490 -2305 +868 2062 +-4500 1889 +737 -4323 +3205 -577 +-1024 4769 +2988 1563 +3446 -2097 +1421 64 +-240 1278 +-769 4101 +-3440 4929 +2559 723 +5120 2048 +159 350 +4548 -1595 +-2152 -104 +-1808 -170 +966 443 +-770 -4690 +-778 -575 +-1024 6817 +2834 3836 +-3413 2973 +-3000 -2507 +-2916 614 +751 2699 +1604 -4909 +-1124 2893 +-424 3496 +868 4334 +891 -2166 +-2644 796 +-724 2546 +3504 585 +-1655 -2911 +-2843 -1339 +0 0 +-2843 1339 +-1655 2911 +3504 -585 +-724 -2546 +-2644 -796 +891 2166 +868 -4334 +-424 -3496 +740 -2845 +4230 -1203 +-1281 2189 +724 -4918 +3720 -328 +-4847 -1445 +980 -3885 +4096 0 +29 -467 +-2108 -2933 +-3925 -4734 +724 26 +931 3285 +-1829 6757 +-2848 4945 +2472 600 +-88 -3225 +-4491 -2659 +2106 -1028 +-724 -1698 +3382 -528 +1618 -3170 +-2629 3868 +4096 0 +-2629 -3868 +1618 3170 +3382 528 +-724 1698 +2106 1028 +-4491 2659 +-88 3225 +2472 -600 +-2848 -4945 +-1829 -6757 +931 -3285 +724 -26 +-3925 4734 +-2108 2933 +29 467 +4096 0 +980 3885 +-4847 1445 +3720 328 +724 4918 +-1281 -2189 +4230 1203 +740 2845 +-424 3496 +868 4334 +891 -2166 +-2644 796 +-724 2546 +3504 585 +-1655 -2911 +-2843 -1339 +4520 0 +-2476 -717 +3559 1046 +4531 4537 +-1952 5638 +3764 5190 +-416 -2393 +-1758 2271 +2048 0 +-1758 -2271 +-416 2393 +3764 -5190 +-1952 -5638 +4531 -4537 +3559 -1046 +-2476 717 +4520 0 +-4164 1374 +-5429 -4726 +-804 1557 +384 2858 +977 -1917 +-506 2644 +1396 456 +-2048 -2048 +-1657 -2647 +-639 935 +290 -1546 +-1832 -6183 +-3381 375 +-847 1446 +1295 736 +1624 0 +-1336 -1251 +1517 -1866 +983 -4831 +3400 -2390 +-568 1862 +-5431 1884 +2908 -910 +6144 0 +2908 910 +-5431 -1884 +-568 -1862 +3400 2390 +983 4831 +1517 1866 +-1336 1251 +1624 0 +1295 -736 +-847 -1446 +-3381 -375 +-1832 6183 +290 1546 +-639 -935 +-1657 2647 +-2048 2048 +1396 -456 +-506 -2644 +977 1917 +384 -2858 +-804 -1557 +-5429 4726 +-4164 -1374 +4520 0 +-2476 -717 +3559 1046 +4531 4537 +-1952 5638 +3764 5190 +-416 -2393 +-1758 2271 +-724 -124 +-964 -237 +1604 -5085 +-4183 5032 +-3118 -162 +2609 -4066 +1307 4580 +-348 1758 +-2048 0 +-348 -1758 +1307 -4580 +2609 4066 +-3118 162 +-4183 -5032 +1604 5085 +-964 237 +-724 124 +-3160 305 +-2036 -869 +2107 1513 +1780 -2284 +5639 -43 +1562 -5844 +-2634 -1500 +1024 1024 +4448 -5353 +551 -2151 +-1999 -2814 +2564 -2284 +67 -905 +1031 1880 +1580 981 +724 4220 +327 2168 +-6392 -6151 +-3342 -1138 +-1226 162 +-897 -585 +2373 1513 +751 3837 +0 0 +751 -3837 +2373 -1513 +-897 585 +-1226 -162 +-3342 1138 +-6392 6151 +327 -2168 +724 -4220 +1580 -981 +1031 -1880 +67 905 +2564 2284 +-1999 2814 +551 2151 +4448 5353 +1024 -1024 +-2634 1500 +1562 5844 +5639 43 +1780 2284 +2107 -1513 +-2036 869 +-3160 -305 +-724 -124 +-964 -237 +1604 -5085 +-4183 5032 +-3118 -162 +2609 -4066 +1307 4580 +-348 1758 +-1024 -424 +-716 -241 +2238 -31 +3075 -4510 +-2786 -964 +1459 2743 +2434 1583 +-4121 -2570 +-1024 0 +-4121 2570 +2434 -1583 +1459 -2743 +-2786 964 +3075 4510 +2238 31 +-716 241 +-1024 424 +6180 -862 +1724 3941 +-1465 858 +2002 -2192 +-110 -2465 +2855 941 +2721 1243 +-3072 -2048 +-2750 -571 +1750 -4448 +-831 -403 +894 -1592 +2517 -4050 +1551 5325 +-393 2084 +-1024 2472 +1169 2299 +-4314 214 +-1879 4066 +-110 -2532 +3028 -2011 +-46 21 +-7882 -5925 +-5120 0 +-7882 5925 +-46 -21 +3028 2011 +-110 2532 +-1879 -4066 +-4314 -214 +1169 -2299 +-1024 -2472 +-393 -2084 +1551 -5325 +2517 4050 +894 1592 +-831 403 +1750 4448 +-2750 571 +-3072 2048 +2721 -1243 +2855 -941 +-110 2465 +2002 2192 +-1465 -858 +1724 -3941 +6180 862 +-1024 -424 +-716 -241 +2238 -31 +3075 -4510 +-2786 -964 +1459 2743 +2434 1583 +-4121 -2570 +3796 -1148 +-2535 1094 +-3104 3146 +508 3431 +-1896 1116 +-320 1688 +-1220 5968 +503 -6214 +2048 0 +503 6214 +-1220 -5968 +-320 -1688 +-1896 -1116 +508 -3431 +-3104 -3146 +-2535 -1094 +3796 1148 +-3623 -990 +368 2746 +-2245 109 +-5910 -1670 +603 -2625 +272 401 +883 6966 +-1024 -1024 +225 -1225 +1776 -1712 +2073 -5574 +-234 -222 +-431 79 +1680 1742 +2515 4056 +2348 3196 +1426 1736 +5152 1642 +-3184 1890 +-4248 332 +2996 715 +3268 -2287 +605 -4193 +-4096 0 +605 4193 +3268 2287 +2996 -715 +-4248 -332 +-3184 -1890 +5152 -1642 +1426 -1736 +2348 -3196 +2515 -4056 +1680 -1742 +-431 -79 +-234 222 +2073 5574 +1776 1712 +225 1225 +-1024 1024 +883 -6966 +272 -401 +603 2625 +-5910 1670 +-2245 -109 +368 -2746 +-3623 990 +3796 -1148 +-2535 1094 +-3104 3146 +508 3431 +-1896 1116 +-320 1688 +-1220 5968 +503 -6214 +1324 -124 +1472 1517 +-268 -732 +857 1544 +-1586 7322 +-257 -1154 +-3607 -4831 +-1970 3311 +4096 0 +-1970 -3311 +-3607 4831 +-257 1154 +-1586 -7322 +857 -1544 +-268 732 +1472 -1517 +1324 124 +-1672 -285 +-1007 3118 +-3151 3485 +1984 -801 +-594 100 +1693 709 +1062 4011 +-5120 1024 +2372 -7200 +-2357 -1367 +-3311 70 +-2584 -2849 +-524 1023 +563 -1487 +-2318 745 +2772 4220 +1630 1657 +3609 3120 +-1645 -2156 +-1910 -5274 +2832 573 +1375 1555 +5217 1607 +6144 0 +5217 -1607 +1375 -1555 +2832 -573 +-1910 5274 +-1645 2156 +3609 -3120 +1630 -1657 +2772 -4220 +-2318 -745 +563 1487 +-524 -1023 +-2584 2849 +-3311 -70 +-2357 1367 +2372 7200 +-5120 -1024 +1062 -4011 +1693 -709 +-594 -100 +1984 801 +-3151 -3485 +-1007 -3118 +-1672 285 +1324 -124 +1472 1517 +-268 -732 +857 1544 +-1586 7322 +-257 -1154 +-3607 -4831 +-1970 3311 +1148 -1324 +-4659 1761 +907 1756 +4770 538 +-3562 2754 +-3655 1127 +-222 -700 +821 -55 +5120 0 +821 55 +-222 700 +-3655 -1127 +-3562 -2754 +4770 -538 +907 -1756 +-4659 -1761 +1148 1324 +3587 -1233 +-1029 481 +1812 3795 +-452 -476 +-3615 -2982 +-366 -693 +679 3610 +0 1024 +2975 -2500 +1031 3063 +-5369 1450 +1900 -2524 +1546 -3724 +1473 -7739 +-994 -2881 +-3196 -2772 +2716 -5937 +-4248 1112 +1007 -177 +2114 -706 +3503 2994 +2454 -840 +-5124 -392 +-1024 0 +-5124 392 +2454 840 +3503 -2994 +2114 706 +1007 177 +-4248 -1112 +2716 5937 +-3196 2772 +-994 2881 +1473 7739 +1546 3724 +1900 2524 +-5369 -1450 +1031 -3063 +2975 2500 +0 -1024 +679 -3610 +-366 693 +-3615 2982 +-452 476 +1812 -3795 +-1029 -481 +3587 1233 +1148 -1324 +-4659 1761 +907 1756 +4770 538 +-3562 2754 +-3655 1127 +-222 -700 +821 -55 +3496 -1448 +-760 -2480 +-266 -4686 +-3800 -2674 +-34 3256 +3468 -3205 +273 -786 +-995 -943 +-3072 0 +-995 943 +273 786 +3468 3205 +-34 -3256 +-3800 2674 +-266 4686 +-760 2480 +3496 1448 +-1134 -1253 +-4072 92 +1715 -2762 +-1378 -2316 +-1107 -2542 +-2155 -357 +-2324 1165 +-1024 -2048 +-4668 -2306 +-796 2200 +3454 1721 +-919 -1468 +1863 268 +6252 -1136 +-2249 525 +600 1448 +-82 4907 +-8906 562 +2231 -3431 +6426 1688 +3761 -1669 +1479 446 +627 -1879 +5120 0 +627 1879 +1479 -446 +3761 1669 +6426 -1688 +2231 3431 +-8906 -562 +-82 -4907 +600 -1448 +-2249 -525 +6252 1136 +1863 -268 +-919 1468 +3454 -1721 +-796 -2200 +-4668 2306 +-1024 2048 +-2324 -1165 +-2155 357 +-1107 2542 +-1378 2316 +1715 2762 +-4072 -92 +-1134 1253 +3496 -1448 +-760 -2480 +-266 -4686 +-3800 -2674 +-34 3256 +3468 -3205 +273 -786 +-995 -943 +-300 4644 +-3422 2169 +4882 5515 +1416 1787 +2394 -2030 +2811 1146 +-6326 76 +-840 6555 +4096 0 +-840 -6555 +-6326 -76 +2811 -1146 +2394 2030 +1416 -1787 +4882 -5515 +-3422 -2169 +-300 -4644 +-1497 -3767 +-3245 -987 +-619 1496 +-1056 -248 +-221 -1926 +833 -1225 +3451 112 +-1024 1024 +-3347 -3429 +4638 -1889 +6577 2044 +-1840 3248 +56 1935 +-1308 -543 +-4399 -1603 +-1748 -2596 +-2474 -317 +2567 -2175 +-1979 1180 +502 1430 +3545 -2840 +-2042 2156 +944 -2216 +2048 0 +944 2216 +-2042 -2156 +3545 2840 +502 -1430 +-1979 -1180 +2567 2175 +-2474 317 +-1748 2596 +-4399 1603 +-1308 543 +56 -1935 +-1840 -3248 +6577 -2044 +4638 1889 +-3347 3429 +-1024 -1024 +3451 -112 +833 1225 +-221 1926 +-1056 248 +-619 -1496 +-3245 987 +-1497 3767 +-300 4644 +-3422 2169 +4882 5515 +1416 1787 +2394 -2030 +2811 1146 +-6326 76 +-840 6555 +900 1748 +-8118 1471 +-3619 1405 +-1158 310 +-392 -1984 +-761 3399 +-1873 4619 +-320 -2841 +-2048 0 +-320 2841 +-1873 -4619 +-761 -3399 +-392 1984 +-1158 -310 +-3619 -1405 +-8118 -1471 +900 -1748 +3258 294 +-1820 -295 +1598 -503 +946 1910 +-2863 2361 +685 2293 +-223 873 +3072 -1024 +5125 179 +1391 -199 +4347 -1880 +-946 -1586 +714 -238 +-2785 3985 +-314 5337 +5244 300 +-6858 -3108 +1231 -4117 +2391 -1374 +392 2584 +1524 -3176 +-1402 -5911 +1657 1259 +0 0 +1657 -1259 +-1402 5911 +1524 3176 +392 -2584 +2391 1374 +1231 4117 +-6858 3108 +5244 -300 +-314 -5337 +-2785 -3985 +714 238 +-946 1586 +4347 1880 +1391 199 +5125 -179 +3072 1024 +-223 -873 +685 -2293 +-2863 -2361 +946 -1910 +1598 503 +-1820 295 +3258 -294 +900 1748 +-8118 1471 +-3619 1405 +-1158 310 +-392 -1984 +-761 3399 +-1873 4619 +-320 -2841 +4820 -4220 +-1593 -1417 +-2539 -63 +372 -2500 +-3238 -2106 +-5699 609 +-432 -1343 +2717 1002 +2048 0 +2717 -1002 +-432 1343 +-5699 -609 +-3238 2106 +372 2500 +-2539 63 +-1593 1417 +4820 4220 +4558 675 +773 168 +2670 1828 +4116 2024 +456 2801 +-2420 -117 +-2513 4007 +-1024 1024 +1149 -2784 +-412 822 +-4180 316 +-2668 327 +566 4882 +-929 796 +-864 -6540 +3372 124 +3011 -3343 +-1401 4787 +-1153 4874 +1789 -7782 +1175 2019 +-832 -1814 +-674 455 +0 0 +-674 -455 +-832 1814 +1175 -2019 +1789 7782 +-1153 -4874 +-1401 -4787 +3011 3343 +3372 -124 +-864 6540 +-929 -796 +566 -4882 +-2668 -327 +-4180 -316 +-412 -822 +1149 2784 +-1024 -1024 +-2513 -4007 +-2420 117 +456 -2801 +4116 -2024 +2670 -1828 +773 -168 +4558 -675 +4820 -4220 +-1593 -1417 +-2539 -63 +372 -2500 +-3238 -2106 +-5699 609 +-432 -1343 +2717 1002 +6268 2772 +1971 2945 +-936 -1723 +-1319 1793 +3902 827 +5290 -1447 +-4068 3602 +-4752 1796 +-2048 0 +-4752 -1796 +-4068 -3602 +5290 1447 +3902 -827 +-1319 -1793 +-936 1723 +1971 -2945 +6268 -2772 +561 -664 +-1245 -2362 +-313 401 +-3672 2728 +-2979 3185 +761 2275 +-117 1450 +1024 1024 +-224 -1607 +-2245 227 +3057 4577 +-672 5624 +479 2414 +-907 -314 +955 3193 +1924 1324 +-3248 -1985 +4784 -325 +-3519 -2676 +442 2070 +5097 5735 +-4335 -1554 +-939 -1957 +0 0 +-939 1957 +-4335 1554 +5097 -5735 +442 -2070 +-3519 2676 +4784 325 +-3248 1985 +1924 -1324 +955 -3193 +-907 314 +479 -2414 +-672 -5624 +3057 -4577 +-2245 -227 +-224 1607 +1024 -1024 +-117 -1450 +761 -2275 +-2979 -3185 +-3672 -2728 +-313 -401 +-1245 2362 +561 664 +6268 2772 +1971 2945 +-936 -1723 +-1319 1793 +3902 827 +5290 -1447 +-4068 3602 +-4752 1796 +-3196 300 +-2475 867 +4134 -155 +-2577 -722 +842 -4298 +709 -1467 +685 -2145 +5536 1035 +2048 0 +5536 -1035 +685 2145 +709 1467 +842 4298 +-2577 722 +4134 155 +-2475 -867 +-3196 -300 +-1348 3682 +-692 860 +2801 -3885 +-5964 -508 +-1384 3976 +2688 -2811 +-2287 1851 +1024 3072 +-671 1175 +-4137 3517 +-1173 -1399 +171 1292 +-1720 1693 +2141 104 +2564 -92 +1148 1748 +2826 3239 +-2685 4695 +-2288 637 +4951 -6190 +5633 -1380 +-2133 -2616 +-4145 -2023 +0 0 +-4145 2023 +-2133 2616 +5633 1380 +4951 6190 +-2288 -637 +-2685 -4695 +2826 -3239 +1148 -1748 +2564 92 +2141 -104 +-1720 -1693 +171 -1292 +-1173 1399 +-4137 -3517 +-671 -1175 +1024 -3072 +-2287 -1851 +2688 2811 +-1384 -3976 +-5964 508 +2801 3885 +-692 -860 +-1348 -3682 +-3196 300 +-2475 867 +4134 -155 +-2577 -722 +842 -4298 +709 -1467 +685 -2145 +5536 1035 +-600 1448 +3376 -3368 +-1142 -2650 +2201 -855 +-1207 -2252 +-3711 768 +933 -2112 +-488 1074 +1024 0 +-488 -1074 +933 2112 +-3711 -768 +-1207 2252 +2201 855 +-1142 2650 +3376 3368 +-600 -1448 +-1976 3478 +-1433 731 +539 -4155 +-1001 -1364 +-5845 -224 +-1011 -4185 +416 -3937 +-1024 2048 +-2923 -7 +-4157 -1629 +2054 1974 +8594 3581 +1786 -725 +-1739 -497 +-4651 2159 +-3496 -1448 +3900 482 +-2679 -1474 +4610 500 +5903 3100 +-1634 -2354 +3035 1772 +2345 1289 +1024 0 +2345 -1289 +3035 -1772 +-1634 2354 +5903 -3100 +4610 -500 +-2679 1474 +3900 -482 +-3496 1448 +-4651 -2159 +-1739 497 +1786 725 +8594 -3581 +2054 -1974 +-4157 1629 +-2923 7 +-1024 -2048 +416 3937 +-1011 4185 +-5845 224 +-1001 1364 +539 4155 +-1433 -731 +-1976 -3478 +-600 1448 +3376 -3368 +-1142 -2650 +2201 -855 +-1207 -2252 +-3711 768 +933 -2112 +-488 1074 +4096 -424 +-3963 871 +-788 -1290 +-1084 -2403 +-5148 1638 +-1451 2509 +-2205 -2961 +-846 -274 +2048 0 +-846 274 +-2205 2961 +-1451 -2509 +-5148 -1638 +-1084 2403 +-788 1290 +-3963 -871 +4096 424 +1983 3571 +-6419 857 +-3429 3258 +1533 1194 +86 -7582 +2909 -2250 +-376 2415 +2048 0 +7088 -2357 +735 -2694 +4676 -1384 +-684 -2302 +-901 2166 +-1969 3089 +-5721 775 +4096 2472 +1701 117 +2185 625 +-1505 -257 +204 -1038 +3607 2122 +-2638 -379 +135 -714 +2048 0 +135 714 +-2638 379 +3607 -2122 +204 1038 +-1505 257 +2185 -625 +1701 -117 +4096 -2472 +-5721 -775 +-1969 -3089 +-901 -2166 +-684 2302 +4676 1384 +735 2694 +7088 2357 +2048 0 +-376 -2415 +2909 2250 +86 7582 +1533 -1194 +-3429 -3258 +-6419 -857 +1983 -3571 +4096 -424 +-3963 871 +-788 -1290 +-1084 -2403 +-5148 1638 +-1451 2509 +-2205 -2961 +-846 -274 +1448 1024 +10 978 +-71 -854 +3233 -935 +2436 -3870 +-36 945 +-842 773 +-3126 2258 +-6144 0 +-3126 -2258 +-842 -773 +-36 -945 +2436 3870 +3233 935 +-71 854 +10 -978 +1448 -1024 +-867 4222 +3950 3671 +1876 2146 +-4024 2146 +-1530 4282 +61 1442 +447 2528 +0 2048 +5280 -1025 +759 -3778 +-4308 -1959 +1976 2746 +-1899 589 +-2242 1751 +-2498 -2783 +-1448 1024 +1788 -1065 +-438 -6362 +574 5379 +-4484 374 +2090 -1185 +7014 5096 +-1033 1108 +-2048 0 +-1033 -1108 +7014 -5096 +2090 1185 +-4484 -374 +574 -5379 +-438 6362 +1788 1065 +-1448 -1024 +-2498 2783 +-2242 -1751 +-1899 -589 +1976 -2746 +-4308 1959 +759 3778 +5280 1025 +0 -2048 +447 -2528 +61 -1442 +-1530 -4282 +-4024 -2146 +1876 -2146 +3950 -3671 +-867 -4222 +1448 1024 +10 978 +-71 -854 +3233 -935 +2436 -3870 +-36 945 +-842 773 +-3126 2258 +-300 724 +-3988 -3923 +-2745 -4816 +3168 459 +3922 -162 +-1644 1802 +314 2881 +4619 2389 +7168 0 +4619 -2389 +314 -2881 +-1644 -1802 +3922 162 +3168 -459 +-2745 4816 +-3988 3923 +-300 -724 +1017 576 +-3926 2041 +3381 3291 +1032 -2284 +-820 -1135 +1432 1818 +-4029 665 +0 1024 +1792 -623 +2876 251 +-1027 -6872 +2465 -2284 +2717 5622 +-4019 -1743 +1700 1064 +-1748 -724 +-1863 -1381 +801 1031 +-1698 -3921 +-3322 162 +-4077 -1747 +-2926 -4448 +754 2117 +5120 0 +754 -2117 +-2926 4448 +-4077 1747 +-3322 -162 +-1698 3921 +801 -1031 +-1863 1381 +-1748 724 +1700 -1064 +-4019 1743 +2717 -5622 +2465 2284 +-1027 6872 +2876 -251 +1792 623 +0 -1024 +-4029 -665 +1432 -1818 +-820 1135 +1032 2284 +3381 -3291 +-3926 -2041 +1017 -576 +-300 724 +-3988 -3923 +-2745 -4816 +3168 459 +3922 -162 +-1644 1802 +314 2881 +4619 2389 +300 2172 +-1526 -2062 +-1546 -1924 +-1000 3904 +-3948 632 +1021 -3246 +2407 -794 +-272 -2315 +-1024 0 +-272 2315 +2407 794 +1021 3246 +-3948 -632 +-1000 -3904 +-1546 1924 +-1526 2062 +300 -2172 +-3426 1100 +-102 632 +1543 -4171 +1514 1970 +115 22 +2603 -6347 +3640 -1592 +0 -3072 +486 -860 +4353 933 +8105 -3560 +-4162 -78 +-4825 -951 +-2298 -1536 +-3464 -2474 +1748 -2172 +-481 5168 +5146 956 +258 646 +-1596 1416 +575 -4799 +-2370 1082 +-749 3935 +-3072 0 +-749 -3935 +-2370 -1082 +575 4799 +-1596 -1416 +258 -646 +5146 -956 +-481 -5168 +1748 2172 +-3464 2474 +-2298 1536 +-4825 951 +-4162 78 +8105 3560 +4353 -933 +486 860 +0 3072 +3640 1592 +2603 6347 +115 -22 +1514 -1970 +1543 4171 +-102 -632 +-3426 -1100 +300 2172 +-1526 -2062 +-1546 -1924 +-1000 3904 +-3948 632 +1021 -3246 +2407 -794 +-272 -2315 +1324 -6268 +1255 -3179 +672 10927 +-1210 3100 +1984 632 +753 3220 +1101 4973 +2098 1437 +-2048 0 +2098 -1437 +1101 -4973 +753 -3220 +1984 -632 +-1210 -3100 +672 -10927 +1255 3179 +1324 6268 +-501 -3601 +-1316 1076 +-2867 113 +-1910 1970 +1775 -86 +-1073 -2955 +1252 -1367 +-1024 -1024 +-2596 434 +629 100 +-3034 529 +-1586 -78 +-1611 -5288 +-916 -3099 +2333 4063 +2772 -1924 +1377 67 +-1337 -2318 +-992 -5734 +-2584 1416 +1393 -2238 +2239 2675 +575 3573 +4096 0 +575 -3573 +2239 -2675 +1393 2238 +-2584 -1416 +-992 5734 +-1337 2318 +1377 -67 +2772 1924 +2333 -4063 +-916 3099 +-1611 5288 +-1586 78 +-3034 -529 +629 -100 +-2596 -434 +-1024 1024 +1252 1367 +-1073 2955 +1775 86 +-1910 -1970 +-2867 -113 +-1316 -1076 +-501 3601 +1324 -6268 +1255 -3179 +672 10927 +-1210 3100 +1984 632 +753 3220 +1101 4973 +2098 1437 +1748 -1748 +1403 -226 +2174 -3147 +4205 -842 +-2080 1116 +-231 3444 +-1385 -95 +-4406 -5569 +4096 0 +-4406 5569 +-1385 95 +-231 -3444 +-2080 -1116 +4205 842 +2174 3147 +1403 226 +1748 1748 +6065 5918 +168 -675 +-1014 -2048 +-522 -1670 +-1776 -3259 +3111 -1181 +94 -2268 +1024 1024 +-1412 1801 +-435 -1026 +-158 -241 +1370 -222 +5946 1360 +-1276 -1939 +823 -2122 +300 -300 +-6755 -821 +-1066 5979 +4463 3050 +-2864 332 +-5642 774 +-1291 -3845 +-1606 2645 +-2048 0 +-1606 -2645 +-1291 3845 +-5642 -774 +-2864 -332 +4463 -3050 +-1066 -5979 +-6755 821 +300 300 +823 2122 +-1276 1939 +5946 -1360 +1370 222 +-158 241 +-435 1026 +-1412 -1801 +1024 -1024 +94 2268 +3111 1181 +-1776 3259 +-522 1670 +-1014 2048 +168 675 +6065 -5918 +1748 -1748 +1403 -226 +2174 -3147 +4205 -842 +-2080 1116 +-231 3444 +-1385 -95 +-4406 -5569 +3072 -2896 +1920 -5160 +-1451 -820 +-614 -2047 +-1988 914 +2604 1751 +1857 -1112 +-3269 -2687 +-6144 0 +-3269 2687 +1857 1112 +2604 -1751 +-1988 -914 +-614 2047 +-1451 820 +1920 5160 +3072 2896 +-5807 -1648 +2450 530 +2441 -2584 +-3216 1918 +-1215 812 +2777 -119 +3853 6215 +0 2048 +3543 271 +-64 -939 +1204 -1225 +568 -3026 +-2554 -2157 +42 2239 +285 -1722 +3072 2896 +-3079 3758 +158 1329 +2056 3362 +-3556 -1762 +1870 2893 +2422 7284 +-3239 1207 +-6144 0 +-3239 -1207 +2422 -7284 +1870 -2893 +-3556 1762 +2056 -3362 +158 -1329 +-3079 -3758 +3072 -2896 +285 1722 +42 -2239 +-2554 2157 +568 3026 +1204 1225 +-64 939 +3543 -271 +0 -2048 +3853 -6215 +2777 119 +-1215 -812 +-3216 -1918 +2441 2584 +2450 -530 +-5807 1648 +3072 -2896 +1920 -5160 +-1451 -820 +-614 -2047 +-1988 914 +2604 1751 +1857 -1112 +-3269 -2687 +2172 2172 +19 -1663 +-2131 2335 +813 -1232 +1936 -1840 +-518 -117 +1451 2344 +-396 4023 +-2048 0 +-396 -4023 +1451 -2344 +-518 117 +1936 1840 +813 1232 +-2131 -2335 +19 1663 +2172 -2172 +1024 -1396 +-306 7402 +7783 899 +38 2394 +-4356 1439 +-1624 -1663 +-4774 3318 +-1024 1024 +-3935 2905 +1688 165 +3095 -2421 +-287 -502 +523 368 +-2747 3567 +-3199 -1885 +-2172 -2172 +1476 3629 +-4705 3718 +-872 22 +6504 -1056 +-677 1370 +181 1181 +3992 3812 +4096 0 +3992 -3812 +181 -1181 +-677 -1370 +6504 1056 +-872 -22 +-4705 -3718 +1476 -3629 +-2172 2172 +-3199 1885 +-2747 -3567 +523 -368 +-287 502 +3095 2421 +1688 -165 +-3935 -2905 +-1024 -1024 +-4774 -3318 +-1624 1663 +-4356 -1439 +38 -2394 +7783 -899 +-306 -7402 +1024 1396 +2172 2172 +19 -1663 +-2131 2335 +813 -1232 +1936 -1840 +-518 -117 +1451 2344 +-396 4023 +-724 300 +-4715 1901 +2070 175 +-2428 -1012 +-5356 -2740 +-2746 5399 +230 390 +4034 -2564 +3072 0 +4034 2564 +230 -390 +-2746 -5399 +-5356 2740 +-2428 1012 +2070 -175 +-4715 -1901 +-724 -300 +604 2872 +-1881 -3443 +1650 -1353 +-2910 -2850 +-3878 -4425 +-885 504 +1667 359 +2048 -3072 +1393 909 +1485 2736 +-2031 3352 +-3234 4742 +1042 -1911 +5377 -103 +2018 4166 +724 1748 +525 -4391 +1426 269 +3521 2022 +-788 -1956 +4871 3945 +370 -1054 +-5526 -670 +1024 0 +-5526 670 +370 1054 +4871 -3945 +-788 1956 +3521 -2022 +1426 -269 +525 4391 +724 -1748 +2018 -4166 +5377 103 +1042 1911 +-3234 -4742 +-2031 -3352 +1485 -2736 +1393 -909 +2048 3072 +1667 -359 +-885 -504 +-3878 4425 +-2910 2850 +1650 1353 +-1881 3443 +604 -2872 +-724 300 +-4715 1901 +2070 175 +-2428 -1012 +-5356 -2740 +-2746 5399 +230 390 +4034 -2564 +2172 -3196 +4146 -1367 +-2501 3768 +-1394 -2865 +-1416 -692 +314 1059 +3147 -4786 +-2396 -3982 +-3072 0 +-2396 3982 +3147 4786 +314 -1059 +-1416 692 +-1394 2865 +-2501 -3768 +4146 1367 +2172 3196 +3267 -2249 +3654 -1010 +-1443 -1000 +-78 -802 +4828 -3399 +521 -786 +-496 907 +4096 -1024 +1631 2252 +2036 818 +-3581 2820 +-1970 2694 +-1468 903 +-2427 -826 +3743 -3534 +-2172 1148 +-1341 -286 +-1623 -2385 +-4592 -6009 +-632 92 +1544 8367 +-2807 -603 +-2762 2559 +3072 0 +-2762 -2559 +-2807 603 +1544 -8367 +-632 -92 +-4592 6009 +-1623 2385 +-1341 286 +-2172 -1148 +3743 3534 +-2427 826 +-1468 -903 +-1970 -2694 +-3581 -2820 +2036 -818 +1631 -2252 +4096 1024 +-496 -907 +521 786 +4828 3399 +-78 802 +-1443 1000 +3654 1010 +3267 2249 +2172 -3196 +4146 -1367 +-2501 3768 +-1394 -2865 +-1416 -692 +314 1059 +3147 -4786 +-2396 -3982 +724 724 +1525 476 +929 1238 +-1456 1797 +2369 3354 +-1752 1477 +-4384 2045 +-2527 889 +-6144 0 +-2527 -889 +-4384 -2045 +-1752 -1477 +2369 -3354 +-1456 -1797 +929 -1238 +1525 -476 +724 -724 +-3976 1329 +-2354 -634 +1383 2217 +-3876 3020 +2006 -6543 +4534 1733 +-1984 4882 +-1024 5120 +789 1520 +1482 -2871 +7792 1449 +4476 -6020 +-5200 -1233 +581 2642 +1176 -1267 +-724 -724 +-54 -487 +-2052 838 +4385 177 +1127 -106 +-1367 -2045 +1264 342 +-742 732 +0 0 +-742 -732 +1264 -342 +-1367 2045 +1127 106 +4385 -177 +-2052 -838 +-54 487 +-724 724 +1176 1267 +581 -2642 +-5200 1233 +4476 6020 +7792 -1449 +1482 2871 +789 -1520 +-1024 -5120 +-1984 -4882 +4534 -1733 +2006 6543 +-3876 -3020 +1383 -2217 +-2354 634 +-3976 -1329 +724 724 +1525 476 +929 1238 +-1456 1797 +2369 3354 +-1752 1477 +-4384 2045 +-2527 889 +1024 2648 +3380 1398 +3532 -710 +2119 -3014 +-2088 -738 +-3422 1557 +-2240 -4395 +1984 -1672 +4096 0 +1984 1672 +-2240 4395 +-3422 -1557 +-2088 738 +2119 3014 +3532 710 +3380 -1398 +1024 -2648 +-2631 1579 +-1201 -1992 +-505 -689 +3824 4050 +1753 -529 +952 1244 +1834 479 +2048 0 +3561 1605 +-3065 -3479 +-1736 -4339 +-1528 -2942 +2606 1949 +2205 -1053 +-1909 -6130 +1024 5544 +-1296 3237 +1256 -2446 +-4900 6669 +-4305 1938 +-1708 -1951 +-1440 3767 +870 1157 +-4096 0 +870 -1157 +-1440 -3767 +-1708 1951 +-4305 -1938 +-4900 -6669 +1256 2446 +-1296 -3237 +1024 -5544 +-1909 6130 +2205 1053 +2606 -1949 +-1528 2942 +-1736 4339 +-3065 3479 +3561 -1605 +2048 0 +1834 -479 +952 -1244 +1753 529 +3824 -4050 +-505 689 +-1201 1992 +-2631 -1579 +1024 2648 +3380 1398 +3532 -710 +2119 -3014 +-2088 -738 +-3422 1557 +-2240 -4395 +1984 -1672 +2172 300 +-245 2796 +3584 3344 +-1506 -3347 +1306 -7299 +-513 368 +-858 -1631 +438 2740 +-5120 0 +438 -2740 +-858 1631 +-513 -368 +1306 7299 +-1506 3347 +3584 -3344 +-245 -2796 +2172 -300 +7265 1379 +893 1521 +862 1871 +972 -3967 +-3213 1216 +-2227 4060 +522 -3674 +0 -5120 +2919 1047 +779 1660 +-195 -2979 +3972 -2168 +-1292 1716 +555 1485 +1889 -3301 +-2172 1748 +-2229 1084 +-2136 3611 +607 5777 +-2154 -3190 +-542 421 +-591 -1970 +-4767 -3444 +-3072 0 +-4767 3444 +-591 1970 +-542 -421 +-2154 3190 +607 -5777 +-2136 -3611 +-2229 -1084 +-2172 -1748 +1889 3301 +555 -1485 +-1292 -1716 +3972 2168 +-195 2979 +779 -1660 +2919 -1047 +0 5120 +522 3674 +-2227 -4060 +-3213 -1216 +972 3967 +862 -1871 +893 -1521 +7265 -1379 +2172 300 +-245 2796 +3584 3344 +-1506 -3347 +1306 -7299 +-513 368 +-858 -1631 +438 2740 +2048 -848 +-2581 -3386 +2194 1098 +657 793 +2930 -240 +1977 1017 +414 -382 +2807 3715 +-3072 0 +2807 -3715 +414 382 +1977 -1017 +2930 240 +657 -793 +2194 -1098 +-2581 3386 +2048 848 +-1111 -615 +192 389 +1655 -505 +-1519 -2916 +377 -2554 +-2022 -1920 +553 -5525 +1024 0 +1429 4474 +2687 1355 +2755 -221 +-1978 -868 +-282 3647 +252 -1998 +-6608 -7062 +2048 4944 +-1732 7716 +-5535 3506 +1515 592 +-3530 -1808 +-2862 949 +1818 2458 +1450 3076 +1024 0 +1450 -3076 +1818 -2458 +-2862 -949 +-3530 1808 +1515 -592 +-5535 -3506 +-1732 -7716 +2048 -4944 +-6608 7062 +252 1998 +-282 -3647 +-1978 868 +2755 221 +2687 -1355 +1429 -4474 +1024 0 +553 5525 +-2022 1920 +377 2554 +-1519 2916 +1655 505 +192 -389 +-1111 615 +2048 -848 +-2581 -3386 +2194 1098 +657 793 +2930 -240 +1977 1017 +414 -382 +2807 3715 +124 5244 +-2 2557 +-3444 -5573 +-2179 1573 +2864 3432 +-1536 -37 +-512 -4683 +-137 52 +-5120 0 +-137 -52 +-512 4683 +-1536 37 +2864 -3432 +-2179 -1573 +-3444 5573 +-2 -2557 +124 -5244 +-219 -2441 +-1869 -4608 +-340 -2373 +-1370 -3359 +-154 2234 +4229 -725 +-2045 3173 +-2048 5120 +1261 -595 +-2301 2835 +2046 138 +522 3034 +-1552 -685 +6253 -2156 +1002 1082 +-4220 900 +786 4749 +260 -663 +287 -1453 +2080 -1136 +3428 -3627 +5575 -445 +-647 -36 +-7168 0 +-647 36 +5575 445 +3428 3627 +2080 1136 +287 1453 +260 663 +786 -4749 +-4220 -900 +1002 -1082 +6253 2156 +-1552 685 +522 -3034 +2046 -138 +-2301 -2835 +1261 595 +-2048 -5120 +-2045 -3173 +4229 725 +-154 -2234 +-1370 3359 +-340 2373 +-1869 4608 +-219 2441 +124 5244 +-2 2557 +-3444 -5573 +-2179 1573 +2864 3432 +-1536 -37 +-512 -4683 +-137 52 +-724 -2772 +1096 -1251 +-669 -985 +3792 -3115 +3092 -2200 +281 1834 +-522 -15 +-438 396 +6144 0 +-438 -396 +-522 15 +281 -1834 +3092 2200 +3792 3115 +-669 985 +1096 1251 +-724 2772 +1821 3616 +-4197 -291 +-3032 2551 +765 1814 +1549 -2534 +2952 1929 +-2517 -2333 +7168 -3072 +4205 297 +-2768 -5979 +-1565 -2447 +-4262 3862 +-490 464 +-1191 -1280 +275 1797 +724 -1324 +-4760 -4353 +-936 2892 +3514 2596 +-3692 152 +-4049 -179 +-861 -4997 +318 -1549 +4096 0 +318 1549 +-861 4997 +-4049 179 +-3692 -152 +3514 -2596 +-936 -2892 +-4760 4353 +724 1324 +275 -1797 +-1191 1280 +-490 -464 +-4262 -3862 +-1565 2447 +-2768 5979 +4205 -297 +7168 3072 +-2517 2333 +2952 -1929 +1549 2534 +765 -1814 +-3032 -2551 +-4197 291 +1821 -3616 +-724 -2772 +1096 -1251 +-669 -985 +3792 -3115 +3092 -2200 +281 1834 +-522 -15 +-438 396 +1024 424 +-4316 -3503 +4878 5347 +1993 2121 +-3026 2772 +-6182 -179 +-3425 -3582 +-308 655 +-5120 0 +-308 -655 +-3425 3582 +-6182 179 +-3026 -2772 +1993 -2121 +4878 -5347 +-4316 3503 +1024 -424 +2543 -2068 +-2401 3598 +1542 -3928 +-914 1324 +758 3438 +1617 -1219 +-324 -1856 +-3072 2048 +-1043 3134 +3107 985 +-2941 741 +1762 -1324 +6689 1464 +3341 286 +2944 -1329 +1024 -2472 +1046 -1110 +-1721 -467 +-4872 1054 +-1918 2772 +3012 -4735 +2797 -2406 +-542 -1016 +-1024 0 +-542 1016 +2797 2406 +3012 4735 +-1918 -2772 +-4872 -1054 +-1721 467 +1046 1110 +1024 2472 +2944 1329 +3341 -286 +6689 -1464 +1762 1324 +-2941 -741 +3107 -985 +-1043 -3134 +-3072 -2048 +-324 1856 +1617 1219 +758 -3438 +-914 -1324 +1542 3928 +-2401 -3598 +2543 2068 +1024 424 +-4316 -3503 +4878 5347 +1993 2121 +-3026 2772 +-6182 -179 +-3425 -3582 +-308 655 +3620 -1748 +-224 -2683 +5163 2703 +5685 354 +-2394 -2114 +409 3856 +1822 -2605 +1588 10 +1024 0 +1588 -10 +1822 2605 +409 -3856 +-2394 2114 +5685 -354 +5163 -2703 +-224 2683 +3620 1748 +-3977 4539 +-501 1853 +4919 -148 +1056 -1900 +-2603 -1155 +-1866 1578 +-413 -3240 +-4096 -5120 +-339 -4116 +-3875 1734 +-3445 1014 +1840 -452 +-425 4108 +1202 588 +2265 1444 +-3620 -300 +-4095 -3309 +4025 129 +286 1005 +-502 3562 +966 1210 +2222 -1335 +-597 2011 +-9216 0 +-597 -2011 +2222 1335 +966 -1210 +-502 -3562 +286 -1005 +4025 -129 +-4095 3309 +-3620 300 +2265 -1444 +1202 -588 +-425 -4108 +1840 452 +-3445 -1014 +-3875 -1734 +-339 4116 +-4096 5120 +-413 3240 +-1866 -1578 +-2603 1155 +1056 1900 +4919 148 +-501 -1853 +-3977 -4539 +3620 -1748 +-224 -2683 +5163 2703 +5685 354 +-2394 -2114 +409 3856 +1822 -2605 +1588 10 +1448 2472 +-863 3948 +-962 564 +-1087 3966 +-1338 724 +514 79 +1746 -4152 +786 2697 +0 0 +786 -2697 +1746 4152 +514 -79 +-1338 -724 +-1087 -3966 +-962 -564 +-863 -3948 +1448 -2472 +1202 417 +-1811 -1758 +-660 7446 +554 -724 +-2168 263 +-603 857 +2926 -3550 +0 6144 +-1614 1647 +1932 752 +1726 6077 +-554 724 +771 -81 +2699 4598 +2980 2121 +-1448 -424 +-8671 -2765 +-5718 -1 +3193 3748 +1338 724 +-2289 1199 +2718 -1744 +3254 -1413 +0 0 +3254 1413 +2718 1744 +-2289 -1199 +1338 -724 +3193 -3748 +-5718 1 +-8671 2765 +-1448 424 +2980 -2121 +2699 -4598 +771 81 +-554 -724 +1726 -6077 +1932 -752 +-1614 -1647 +0 -6144 +2926 3550 +-603 -857 +-2168 -263 +554 724 +-660 -7446 +-1811 1758 +1202 -417 +1448 2472 +-863 3948 +-962 564 +-1087 3966 +-1338 724 +514 79 +1746 -4152 +786 2697 +-6692 300 +2352 4327 +327 -766 +4217 -1008 +-862 2114 +-4479 1689 +4619 247 +2451 -1753 +2048 0 +2451 1753 +4619 -247 +-4479 -1689 +-862 -2114 +4217 1008 +327 766 +2352 -4327 +-6692 -300 +829 3132 +529 87 +-4818 1862 +1260 1900 +-2048 2112 +-1805 4830 +1709 299 +7168 -1024 +-567 2657 +-6222 890 +-1503 -497 +-3308 452 +223 2062 +2797 2919 +-918 1339 +548 1748 +633 872 +-3652 -499 +-2518 9 +-1186 -3562 +5133 -3097 +3409 -91 +-697 -2881 +4096 0 +-697 2881 +3409 91 +5133 3097 +-1186 3562 +-2518 -9 +-3652 499 +633 -872 +548 -1748 +-918 -1339 +2797 -2919 +223 -2062 +-3308 -452 +-1503 497 +-6222 -890 +-567 -2657 +7168 1024 +1709 -299 +-1805 -4830 +-2048 -2112 +1260 -1900 +-4818 -1862 +529 -87 +829 -3132 +-6692 300 +2352 4327 +327 -766 +4217 -1008 +-862 2114 +-4479 1689 +4619 247 +2451 -1753 +2772 1748 +-2409 -2375 +-2739 2307 +-3681 3489 +4516 -1116 +3017 -1909 +-1023 3093 +2027 4261 +-3072 0 +2027 -4261 +-1023 -3093 +3017 1909 +4516 1116 +-3681 -3489 +-2739 -2307 +-2409 2375 +2772 -1748 +-343 -1762 +-3008 4418 +-5096 -1662 +-3503 1670 +-1233 8050 +-1502 -835 +3205 -2038 +-2048 -1024 +-71 -2938 +-1174 -1840 +-2699 -400 +606 222 +-1214 -2901 +4117 738 +3435 2864 +1324 300 +2894 688 +1631 -195 +-1153 4720 +-1620 -332 +474 -5286 +3699 1696 +2848 -3283 +-1024 0 +2848 3283 +3699 -1696 +474 5286 +-1620 332 +-1153 -4720 +1631 195 +2894 -688 +1324 -300 +3435 -2864 +4117 -738 +-1214 2901 +606 -222 +-2699 400 +-1174 1840 +-71 2938 +-2048 1024 +3205 2038 +-1502 835 +-1233 -8050 +-3503 -1670 +-5096 1662 +-3008 -4418 +-343 1762 +2772 1748 +-2409 -2375 +-2739 2307 +-3681 3489 +4516 -1116 +3017 -1909 +-1023 3093 +2027 4261 +2772 -2596 +-2693 -568 +-4583 -1184 +1216 -1288 +-3962 3238 +-1818 1180 +628 3238 +-815 -1163 +5120 0 +-815 1163 +628 -3238 +-1818 -1180 +-3962 -3238 +1216 1288 +-4583 1184 +-2693 568 +2772 2596 +-1458 -778 +-6615 2442 +-51 -3943 +4840 -4116 +2692 524 +-2372 -2445 +-976 1656 +0 -1024 +-4112 -2233 +6117 75 +3440 -2194 +-1944 -2668 +-297 817 +-1226 4815 +3462 3244 +1324 4644 +-2208 1693 +-3258 -539 +2028 3151 +1065 -1789 +-1418 -1003 +3116 3378 +3007 170 +3072 0 +3007 -170 +3116 -3378 +-1418 1003 +1065 1789 +2028 -3151 +-3258 539 +-2208 -1693 +1324 -4644 +3462 -3244 +-1226 -4815 +-297 -817 +-1944 2668 +3440 2194 +6117 -75 +-4112 2233 +0 1024 +-976 -1656 +-2372 2445 +2692 -524 +4840 4116 +-51 3943 +-6615 -2442 +-1458 778 +2772 -2596 +-2693 -568 +-4583 -1184 +1216 -1288 +-3962 3238 +-1818 1180 +628 3238 +-815 -1163 +-3496 -3920 +-441 -2986 +-527 2497 +-3644 2020 +-74 5788 +-2104 1584 +-46 -1808 +1161 -2347 +-2048 0 +1161 2347 +-46 1808 +-2104 -1584 +-74 -5788 +-3644 -2020 +-527 -2497 +-441 2986 +-3496 3920 +742 377 +-3729 2142 +1989 -1441 +4494 -3908 +-3609 -4211 +732 554 +-192 797 +2048 0 +1076 -1938 +-4109 490 +551 3390 +-398 -3660 +-1029 -1054 +793 -910 +3546 -1104 +-600 1872 +-952 4401 +5160 4340 +-212 1263 +4170 2653 +2265 3120 +-6466 3440 +852 -3284 +6144 0 +852 3284 +-6466 -3440 +2265 -3120 +4170 -2653 +-212 -1263 +5160 -4340 +-952 -4401 +-600 -1872 +3546 1104 +793 910 +-1029 1054 +-398 3660 +551 -3390 +-4109 -490 +1076 1938 +2048 0 +-192 -797 +732 -554 +-3609 4211 +4494 3908 +1989 1441 +-3729 -2142 +742 -377 +-3496 -3920 +-441 -2986 +-527 2497 +-3644 2020 +-74 5788 +-2104 1584 +-46 -1808 +1161 -2347 +1748 2596 +-1092 2538 +-1297 1145 +1157 3318 +-3972 1116 +-1933 -734 +-571 1228 +580 -854 +4096 0 +580 854 +-571 -1228 +-1933 734 +-3972 -1116 +1157 -3318 +-1297 -1145 +-1092 -2538 +1748 -2596 +1907 2034 +2141 3523 +-3484 -671 +-1306 -1670 +-1997 -646 +2901 -3099 +-248 1028 +-7168 3072 +6070 -3350 +4039 -3792 +583 -2154 +2154 -222 +6130 230 +4150 -2685 +-2480 -1780 +300 -4644 +-3686 -1000 +-898 6631 +-1586 -201 +-972 332 +1130 1443 +-2274 -4319 +-1051 1827 +-2048 0 +-1051 -1827 +-2274 4319 +1130 -1443 +-972 -332 +-1586 201 +-898 -6631 +-3686 1000 +300 4644 +-2480 1780 +4150 2685 +6130 -230 +2154 222 +583 2154 +4039 3792 +6070 3350 +-7168 -3072 +-248 -1028 +2901 3099 +-1997 646 +-1306 1670 +-3484 671 +2141 -3523 +1907 -2034 +1748 2596 +-1092 2538 +-1297 1145 +1157 3318 +-3972 1116 +-1933 -734 +-571 1228 +580 -854 +-600 -5968 +-2078 -302 +578 3977 +-367 4202 +-5812 -1674 +-973 -3714 +1116 -6139 +-1594 4048 +0 0 +-1594 -4048 +1116 6139 +-973 3714 +-5812 1674 +-367 -4202 +578 -3977 +-2078 302 +-600 5968 +3397 2720 +1381 -1912 +-2332 705 +1089 -4794 +-2358 2457 +-3662 1384 +-276 182 +-2048 2048 +230 -1804 +1586 5036 +3380 1 +2656 -98 +-863 2932 +3224 -3776 +1098 -2718 +-3496 -176 +-850 -1351 +1810 -545 +-222 -3543 +-2028 -5918 +3736 -310 +2160 -1297 +72 -2249 +4096 0 +72 2249 +2160 1297 +3736 310 +-2028 5918 +-222 3543 +1810 545 +-850 1351 +-3496 176 +1098 2718 +3224 3776 +-863 -2932 +2656 98 +3380 -1 +1586 -5036 +230 1804 +-2048 -2048 +-276 -182 +-3662 -1384 +-2358 -2457 +1089 4794 +-2332 -705 +1381 1912 +3397 -2720 +-600 -5968 +-2078 -302 +578 3977 +-367 4202 +-5812 -1674 +-973 -3714 +1116 -6139 +-1594 4048 +-3796 -3196 +-385 -3750 +1929 -3799 +-3482 491 +-52 -1800 +5268 4823 +-339 1049 +-2222 -1119 +0 0 +-2222 1119 +-339 -1049 +5268 -4823 +-52 1800 +-3482 -491 +1929 3799 +-385 3750 +-3796 3196 +-1645 2151 +889 -4036 +-1287 425 +-3178 -3478 +-1037 -2418 +-4138 -909 +-769 2717 +3072 3072 +-1050 -6400 +1582 -1665 +518 2353 +282 18 +1374 -1374 +-2117 724 +491 236 +-2348 1148 +-2245 -694 +2195 -2529 +1827 5590 +2948 1200 +-3181 -364 +-1 3491 +7825 -2334 +6144 0 +7825 2334 +-1 -3491 +-3181 364 +2948 -1200 +1827 -5590 +2195 2529 +-2245 694 +-2348 -1148 +491 -236 +-2117 -724 +1374 1374 +282 -18 +518 -2353 +1582 1665 +-1050 6400 +3072 -3072 +-769 -2717 +-4138 909 +-1037 2418 +-3178 3478 +-1287 -425 +889 4036 +-1645 -2151 +-3796 -3196 +-385 -3750 +1929 -3799 +-3482 491 +-52 -1800 +5268 4823 +-339 1049 +-2222 -1119 +-2896 2896 +1463 3239 +-769 3331 +-6273 3415 +-170 -2232 +4842 -82 +4053 -2361 +-801 1473 +-5120 0 +-801 -1473 +4053 2361 +4842 82 +-170 2232 +-6273 -3415 +-769 -3331 +1463 -3239 +-2896 -2896 +1056 -704 +1101 593 +-859 5343 +2062 3340 +231 2818 +1907 -2566 +1003 -296 +-5120 0 +2383 -1388 +3821 590 +-5964 -4274 +-614 444 +3216 -4400 +-3842 -4131 +-1922 -1184 +2896 -2896 +2299 2311 +1813 -3959 +1020 -2694 +-1278 -664 +-2006 -2338 +108 1422 +312 -2326 +-1024 0 +312 2326 +108 -1422 +-2006 2338 +-1278 664 +1020 2694 +1813 3959 +2299 -2311 +2896 2896 +-1922 1184 +-3842 4131 +3216 4400 +-614 -444 +-5964 4274 +3821 -590 +2383 1388 +-5120 0 +1003 296 +1907 2566 +231 -2818 +2062 -3340 +-859 -5343 +1101 -593 +1056 704 +-2896 2896 +1463 3239 +-769 3331 +-6273 3415 +-170 -2232 +4842 -82 +4053 -2361 +-801 1473 +-1748 -3620 +4494 -2312 +-455 6612 +-1201 2001 +306 -3178 +2798 -716 +1778 -4604 +694 2524 +7168 0 +694 -2524 +1778 4604 +2798 716 +306 3178 +-1201 -2001 +-455 -6612 +4494 2312 +-1748 3620 +-129 -300 +-621 -4291 +-2464 -555 +2768 2948 +3042 70 +3460 532 +2309 1851 +-2048 -1024 +-2334 -775 +220 1471 +-3946 -3042 +-3368 52 +-4212 -416 +-4167 -3664 +-401 4499 +-300 3620 +2068 120 +-549 -1888 +-2588 -663 +-3802 282 +2778 -3595 +334 1447 +-907 1843 +9216 0 +-907 -1843 +334 -1447 +2778 3595 +-3802 -282 +-2588 663 +-549 1888 +2068 -120 +-300 -3620 +-401 -4499 +-4167 3664 +-4212 416 +-3368 -52 +-3946 3042 +220 -1471 +-2334 775 +-2048 1024 +2309 -1851 +3460 -532 +3042 -70 +2768 -2948 +-2464 555 +-621 4291 +-129 300 +-1748 -3620 +4494 -2312 +-455 6612 +-1201 2001 +306 -3178 +2798 -716 +1778 -4604 +694 2524 +-300 -1748 +-296 4446 +-1658 2443 +2952 -3150 +273 1919 +364 193 +5358 -478 +4223 119 +4096 0 +4223 -119 +5358 478 +364 -193 +273 -1919 +2952 3150 +-1658 -2443 +-296 -4446 +-300 1748 +-1088 26 +-955 -1873 +1877 3800 +1390 1142 +-4522 -1443 +124 5972 +273 -1391 +-3072 1024 +-1809 -132 +697 -4529 +366 1188 +-4286 -5251 +725 1127 +2663 1966 +159 -2177 +-1748 -300 +-3240 32 +1150 5989 +1127 1806 +2624 -4216 +2903 -2025 +814 2762 +-4017 2027 +-10240 0 +-4017 -2027 +814 -2762 +2903 2025 +2624 4216 +1127 -1806 +1150 -5989 +-3240 -32 +-1748 300 +159 2177 +2663 -1966 +725 -1127 +-4286 5251 +366 -1188 +697 4529 +-1809 132 +-3072 -1024 +273 1391 +124 -5972 +-4522 1443 +1390 -1142 +1877 -3800 +-955 1873 +-1088 -26 +-300 -1748 +-296 4446 +-1658 2443 +2952 -3150 +273 1919 +364 193 +5358 -478 +4223 119 +-900 900 +-5469 3959 +173 2615 +1465 -117 +706 1330 +-2841 -2020 +20 4301 +3080 -2753 +0 0 +3080 2753 +20 -4301 +-2841 2020 +706 -1330 +1465 117 +173 -2615 +-5469 -3959 +-900 -900 +5315 -970 +455 1086 +-1081 -1150 +-2524 3792 +-776 2105 +824 16 +-2128 1090 +1024 1024 +1928 381 +1224 1687 +3631 5918 +476 2344 +-3880 3229 +1593 -1486 +2765 -69 +-5244 5244 +964 -842 +1875 606 +-7648 -856 +-2754 -2778 +-454 482 +2028 3163 +5129 4261 +2048 0 +5129 -4261 +2028 -3163 +-454 -482 +-2754 2778 +-7648 856 +1875 -606 +964 842 +-5244 -5244 +2765 69 +1593 1486 +-3880 -3229 +476 -2344 +3631 -5918 +1224 -1687 +1928 -381 +1024 -1024 +-2128 -1090 +824 -16 +-776 -2105 +-2524 -3792 +-1081 1150 +455 -1086 +5315 970 +-900 900 +-5469 3959 +173 2615 +1465 -117 +706 1330 +-2841 -2020 +20 4301 +3080 -2753 +2648 4944 +1173 4499 +5074 3021 +-3759 2558 +568 -2942 +-497 -3337 +-4670 2217 +158 2213 +-5120 0 +158 -2213 +-4670 -2217 +-497 3337 +568 2942 +-3759 -2558 +5074 -3021 +1173 -4499 +2648 -4944 +-972 -245 +1041 397 +4353 -1013 +-1988 738 +-468 2067 +-3908 1295 +-1458 -1881 +3072 -2048 +1425 732 +2904 -1601 +2304 -1591 +-3556 1938 +1799 -1373 +2639 -2499 +-3211 548 +5544 -848 +3010 390 +-2961 -125 +-2394 -7014 +-3216 -4050 +-1338 3775 +-119 679 +-125 -3696 +-1024 0 +-125 3696 +-119 -679 +-1338 -3775 +-3216 4050 +-2394 7014 +-2961 125 +3010 -390 +5544 848 +-3211 -548 +2639 2499 +1799 1373 +-3556 -1938 +2304 1591 +2904 1601 +1425 -732 +3072 2048 +-1458 1881 +-3908 -1295 +-468 -2067 +-1988 -738 +4353 1013 +1041 -397 +-972 245 +2648 4944 +1173 4499 +5074 3021 +-3759 2558 +568 -2942 +-497 -3337 +-4670 2217 +158 2213 +-1148 2772 +1963 951 +2002 -5220 +-581 -1749 +1200 -2440 +1947 330 +3287 -1403 +4305 -782 +3072 0 +4305 782 +3287 1403 +1947 -330 +1200 2440 +-581 1749 +2002 5220 +1963 -951 +-1148 -2772 +-989 -2070 +-787 -3913 +-229 1066 +-18 -1102 +-1232 1056 +-2967 -1419 +-1061 924 +2048 -1024 +-2251 -2223 +-2761 6370 +-1209 750 +-3478 2994 +2803 -471 +3528 -5260 +-281 32 +3196 1324 +-693 1152 +-3046 -1919 +-1993 211 +-1800 -1656 +494 -636 +-7448 3400 +-993 -2365 +13312 0 +-993 2365 +-7448 -3400 +494 636 +-1800 1656 +-1993 -211 +-3046 1919 +-693 -1152 +3196 -1324 +-281 -32 +3528 5260 +2803 471 +-3478 -2994 +-1209 -750 +-2761 -6370 +-2251 2223 +2048 1024 +-1061 -924 +-2967 1419 +-1232 -1056 +-18 1102 +-229 -1066 +-787 3913 +-989 2070 +-1148 2772 +1963 951 +2002 -5220 +-581 -1749 +1200 -2440 +1947 330 +3287 -1403 +4305 -782 +2172 -900 +-316 4401 +-2732 4071 +-536 -2061 +3464 4032 +-2610 2073 +-1341 -831 +-119 1191 +-5120 0 +-119 -1191 +-1341 831 +-2610 -2073 +3464 -4032 +-536 2061 +-2732 -4071 +-316 -4401 +2172 900 +3027 -3895 +-1969 -2418 +1723 2829 +2126 138 +-5061 330 +1023 3806 +3336 1627 +-2048 1024 +-943 -4550 +2161 -3539 +6009 -1200 +4018 -462 +-396 1675 +40 -3303 +-1403 -1982 +-2172 -5244 +-2876 1297 +-2332 3733 +2993 -660 +2680 -536 +-2123 -5172 +-3043 2175 +-707 -3583 +1024 0 +-707 3583 +-3043 -2175 +-2123 5172 +2680 536 +2993 660 +-2332 -3733 +-2876 -1297 +-2172 5244 +-1403 1982 +40 3303 +-396 -1675 +4018 462 +6009 1200 +2161 3539 +-943 4550 +-2048 -1024 +3336 -1627 +1023 -3806 +-5061 -330 +2126 -138 +1723 -2829 +-1969 2418 +3027 3895 +2172 -900 +-316 4401 +-2732 4071 +-536 -2061 +3464 4032 +-2610 2073 +-1341 -831 +-119 1191 +-2048 -2048 +-1799 184 +5 -952 +649 -315 +-2716 -1918 +629 -3416 +5639 3604 +2780 -2841 +-3072 0 +2780 2841 +5639 -3604 +629 3416 +-2716 1918 +649 315 +5 952 +-1799 -184 +-2048 2048 +-3114 -2641 +3978 135 +4892 5026 +6980 1762 +776 330 +-2576 1379 +2763 -772 +-1024 -2048 +3032 -1888 +-2331 -2117 +-4807 3608 +-588 914 +-617 -2095 +471 735 +-1505 -1758 +-2048 -2048 +-5615 -4286 +-1557 352 +-1378 1755 +419 -3026 +5650 1269 +-3627 -108 +-2335 -860 +5120 0 +-2335 860 +-3627 108 +5650 -1269 +419 3026 +-1378 -1755 +-1557 -352 +-5615 4286 +-2048 2048 +-1505 1758 +471 -735 +-617 2095 +-588 -914 +-4807 -3608 +-2331 2117 +3032 1888 +-1024 2048 +2763 772 +-2576 -1379 +776 -330 +6980 -1762 +4892 -5026 +3978 -135 +-3114 2641 +-2048 -2048 +-1799 184 +5 -952 +649 -315 +-2716 -1918 +629 -3416 +5639 3604 +2780 -2841 +2596 300 +1149 -312 +-869 -2533 +-1012 1525 +4118 737 +1543 -5264 +-1548 1991 +-688 1251 +-4096 0 +-688 -1251 +-1548 -1991 +1543 5264 +4118 -737 +-1012 -1525 +-869 2533 +1149 312 +2596 -300 +-3217 3397 +552 -574 +2091 -2582 +-3576 2960 +1818 409 +-2752 484 +151 -4264 +3072 -1024 +-5224 3259 +-744 -3796 +3931 -5079 +4776 -7528 +-926 -6650 +-1151 -1867 +1987 3874 +-4644 1748 +-1249 -99 +269 41 +-1041 -1129 +2875 1062 +-611 -1553 +-1948 721 +1297 -408 +2048 0 +1297 408 +-1948 -721 +-611 1553 +2875 -1062 +-1041 1129 +269 -41 +-1249 99 +-4644 -1748 +1987 -3874 +-1151 1867 +-926 6650 +4776 7528 +3931 5079 +-744 3796 +-5224 -3259 +3072 1024 +151 4264 +-2752 -484 +1818 -409 +-3576 -2960 +2091 2582 +552 574 +-3217 -3397 +2596 300 +1149 -312 +-869 -2533 +-1012 1525 +4118 737 +1543 -5264 +-1548 1991 +-688 1251 +-1924 -724 +-4562 -339 +1117 -500 +-127 -2194 +-1430 403 +-1621 -5290 +355 897 +-184 2325 +-4096 0 +-184 -2325 +355 -897 +-1621 5290 +-1430 -403 +-127 2194 +1117 500 +-4562 339 +-1924 724 +3231 -2231 +-823 -1304 +-746 1986 +3248 5200 +6562 -4615 +2713 2317 +746 3055 +3072 -1024 +-2499 6644 +-2269 -1179 +448 1572 +248 3152 +3160 -3636 +3054 -704 +121 1428 +-6268 724 +-5471 1231 +-453 -100 +-958 -219 +2030 1645 +-925 701 +-3695 2600 +2824 896 +6144 0 +2824 -896 +-3695 -2600 +-925 -701 +2030 -1645 +-958 219 +-453 100 +-5471 -1231 +-6268 -724 +121 -1428 +3054 704 +3160 3636 +248 -3152 +448 -1572 +-2269 1179 +-2499 -6644 +3072 1024 +746 -3055 +2713 -2317 +6562 4615 +3248 -5200 +-746 -1986 +-823 1304 +3231 2231 +-1924 -724 +-4562 -339 +1117 -500 +-127 -2194 +-1430 403 +-1621 -5290 +355 897 +-184 2325 +-2172 2172 +2857 229 +-2093 -2123 +-2601 -1170 +-2094 -78 +-4343 -767 +-524 5787 +-1620 -4582 +-4096 0 +-1620 4582 +-524 -5787 +-4343 767 +-2094 78 +-2601 1170 +-2093 2123 +2857 -229 +-2172 -2172 +1128 -2491 +417 3222 +-3298 15 +2804 -632 +741 960 +2266 -1592 +3554 1113 +1024 3072 +402 -4961 +-974 -2152 +1258 3850 +3588 1416 +2365 1240 +-3129 -2690 +-289 -343 +2172 -2172 +-1688 351 +-2187 4900 +-3826 -1388 +-202 -1970 +-1880 -127 +-1968 2342 +7240 -3060 +10240 0 +7240 3060 +-1968 -2342 +-1880 127 +-202 1970 +-3826 1388 +-2187 -4900 +-1688 -351 +2172 2172 +-289 343 +-3129 2690 +2365 -1240 +3588 -1416 +1258 -3850 +-974 2152 +402 4961 +1024 -3072 +3554 -1113 +2266 1592 +741 -960 +2804 632 +-3298 -15 +417 -3222 +1128 2491 +-2172 2172 +2857 229 +-2093 -2123 +-2601 -1170 +-2094 -78 +-4343 -767 +-524 5787 +-1620 -4582 +-3196 2172 +-947 4561 +3452 290 +-775 -3281 +5240 2745 +2086 758 +-1441 -3917 +3258 -5254 +-1024 0 +3258 5254 +-1441 3917 +2086 -758 +5240 -2745 +-775 3281 +3452 -290 +-947 -4561 +-3196 -2172 +-2392 1860 +88 5936 +-11 628 +-4227 966 +-1887 1514 +-1483 936 +-3680 2630 +2048 1024 +3563 3895 +-3186 -1768 +968 -2426 +-118 4710 +-1910 784 +-312 -1825 +100 -972 +1148 -2172 +-545 -1729 +5461 3037 +1129 3125 +-895 5096 +401 3182 +-2579 -4083 +643 3990 +1024 0 +643 -3990 +-2579 4083 +401 -3182 +-895 -5096 +1129 -3125 +5461 -3037 +-545 1729 +1148 2172 +100 972 +-312 1825 +-1910 -784 +-118 -4710 +968 2426 +-3186 1768 +3563 -3895 +2048 -1024 +-3680 -2630 +-1483 -936 +-1887 -1514 +-4227 -966 +-11 -628 +88 -5936 +-2392 -1860 +-3196 2172 +-947 4561 +3452 290 +-775 -3281 +5240 2745 +2086 758 +-1441 -3917 +3258 -5254 +-1448 -6568 +-4779 -206 +-1096 2041 +-1313 825 +470 1785 +4006 -645 +1556 -1987 +-324 -2346 +2048 0 +-324 2346 +1556 1987 +4006 645 +470 -1785 +-1313 -825 +-1096 -2041 +-4779 206 +-1448 6568 +2401 2587 +-2183 960 +146 5769 +-314 3900 +668 -953 +-669 971 +-1074 2272 +2048 -2048 +-818 -33 +69 1636 +-1452 2319 +2362 2101 +638 -2998 +-1313 516 +-508 -2303 +1448 -3672 +6671 2676 +-2400 -5382 +2097 -15 +1578 8704 +-4789 1909 +-2156 -245 +-1568 -4183 +2048 0 +-1568 4183 +-2156 245 +-4789 -1909 +1578 -8704 +2097 15 +-2400 5382 +6671 -2676 +1448 3672 +-508 2303 +-1313 -516 +638 2998 +2362 -2101 +-1452 -2319 +69 -1636 +-818 33 +2048 2048 +-1074 -2272 +-669 -971 +668 953 +-314 -3900 +146 -5769 +-2183 -960 +2401 -2587 +-1448 -6568 +-4779 -206 +-1096 2041 +-1313 825 +470 1785 +4006 -645 +1556 -1987 +-324 -2346 +1024 2472 +2523 -1365 +-1691 -2947 +1430 -4204 +2656 -3835 +2118 449 +-5823 -5116 +-3792 -438 +9216 0 +-3792 438 +-5823 5116 +2118 -449 +2656 3835 +1430 4204 +-1691 2947 +2523 1365 +1024 -2472 +-1310 1003 +348 -1742 +-2030 -797 +-5812 -1842 +659 -3257 +2810 -970 +3192 -2639 +5120 0 +-3027 -928 +-1074 1143 +-1959 -1677 +-2028 -6186 +-687 1017 +-829 -738 +4551 -1171 +1024 -424 +-413 -6160 +-1925 -1842 +-929 3801 +1089 -510 +1399 -1086 +-9 1436 +-1724 -3202 +1024 0 +-1724 3202 +-9 -1436 +1399 1086 +1089 510 +-929 -3801 +-1925 1842 +-413 6160 +1024 424 +4551 1171 +-829 738 +-687 -1017 +-2028 6186 +-1959 1677 +-1074 -1143 +-3027 928 +5120 0 +3192 2639 +2810 970 +659 3257 +-5812 1842 +-2030 797 +348 1742 +-1310 -1003 +1024 2472 +2523 -1365 +-1691 -2947 +1430 -4204 +2656 -3835 +2118 449 +-5823 -5116 +-3792 -438 +4520 3072 +-776 1625 +-565 -588 +-1049 98 +3940 -2042 +4202 2703 +-111 -1635 +1153 -3336 +-1024 0 +1153 3336 +-111 1635 +4202 -2703 +3940 2042 +-1049 -98 +-565 588 +-776 -1625 +4520 -3072 +1226 663 +-523 -5915 +3667 2775 +2832 5982 +-358 -1124 +-1054 1776 +-5066 869 +-3072 2048 +3901 -475 +-3330 -936 +-251 135 +1264 -3306 +-2438 -1703 +-4540 -3423 +-6975 -1170 +1624 3072 +-156 1007 +-1364 1881 +1149 2105 +156 -3151 +871 -556 +3295 5915 +900 662 +-5120 0 +900 -662 +3295 -5915 +871 556 +156 3151 +1149 -2105 +-1364 -1881 +-156 -1007 +1624 -3072 +-6975 1170 +-4540 3423 +-2438 1703 +1264 3306 +-251 -135 +-3330 936 +3901 475 +-3072 -2048 +-5066 -869 +-1054 -1776 +-358 1124 +2832 -5982 +3667 -2775 +-523 5915 +1226 -663 +4520 3072 +-776 1625 +-565 -588 +-1049 98 +3940 -2042 +4202 2703 +-111 -1635 +1153 -3336 +1324 -2172 +-1156 1885 +394 -3288 +2352 -1180 +-248 2044 +136 2783 +1995 1941 +267 4360 +0 0 +267 -4360 +1995 -1941 +136 -2783 +-248 -2044 +2352 1180 +394 3288 +-1156 -1885 +1324 2172 +4208 3266 +1952 380 +1201 -114 +1430 -3078 +1427 4783 +-1623 1566 +-3757 607 +1024 3072 +-4865 -1752 +-1717 -601 +2916 -1878 +-2030 -1030 +5952 2492 +-1288 92 +-6903 -4310 +2772 2172 +4739 874 +1838 -3993 +-5041 -2557 +-3248 -4092 +-3150 -1803 +-1551 -2908 +1675 1217 +-2048 0 +1675 -1217 +-1551 2908 +-3150 1803 +-3248 4092 +-5041 2557 +1838 3993 +4739 -874 +2772 -2172 +-6903 4310 +-1288 -92 +5952 -2492 +-2030 1030 +2916 1878 +-1717 601 +-4865 1752 +1024 -3072 +-3757 -607 +-1623 -1566 +1427 -4783 +1430 3078 +1201 114 +1952 -380 +4208 -3266 +1324 -2172 +-1156 1885 +394 -3288 +2352 -1180 +-248 2044 +136 2783 +1995 1941 +267 4360 +1748 900 +-727 1759 +-1272 950 +-167 1857 +-162 765 +-6116 4161 +-686 -469 +601 -35 +-8192 0 +601 35 +-686 469 +-6116 -4161 +-162 -765 +-167 -1857 +-1272 -950 +-727 -1759 +1748 -900 +1086 815 +-293 -235 +-2590 -1063 +-2284 -3692 +2578 7820 +192 6484 +-1111 -2741 +-1024 1024 +2557 1641 +5041 468 +3276 -499 +2284 -3092 +-3562 -2445 +412 -2008 +1596 -1308 +300 5244 +5405 -435 +-1743 173 +-3258 1801 +162 -4262 +-1746 752 +-1650 -2651 +2178 -329 +6144 0 +2178 329 +-1650 2651 +-1746 -752 +162 4262 +-3258 -1801 +-1743 -173 +5405 435 +300 -5244 +1596 1308 +412 2008 +-3562 2445 +2284 3092 +3276 499 +5041 -468 +2557 -1641 +-1024 -1024 +-1111 2741 +192 -6484 +2578 -7820 +-2284 3692 +-2590 1063 +-293 235 +1086 -815 +1748 900 +-727 1759 +-1272 950 +-167 1857 +-162 765 +-6116 4161 +-686 -469 +601 -35 +5668 -3796 +5706 -2086 +-1955 895 +3953 -1100 +-1286 -5610 +-6042 4003 +-1209 311 +-921 794 +-1024 0 +-921 -794 +-1209 -311 +-6042 -4003 +-1286 5610 +3953 1100 +-1955 -895 +5706 2086 +5668 3796 +-2119 5966 +1571 1612 +1067 -969 +3732 -2500 +-392 3527 +-6453 710 +42 2383 +-2048 3072 +-1324 -2412 +3777 2602 +196 48 +-836 148 +504 426 +-463 829 +-3325 827 +-1572 -2348 +1954 601 +847 -1679 +-172 -5177 +-1610 66 +886 4623 +3885 1581 +-14 1459 +-3072 0 +-14 -1459 +3885 -1581 +886 -4623 +-1610 -66 +-172 5177 +847 1679 +1954 -601 +-1572 2348 +-3325 -827 +-463 -829 +504 -426 +-836 -148 +196 -48 +3777 -2602 +-1324 2412 +-2048 -3072 +42 -2383 +-6453 -710 +-392 -3527 +3732 2500 +1067 969 +1571 -1612 +-2119 -5966 +5668 -3796 +5706 -2086 +-1955 895 +3953 -1100 +-1286 -5610 +-6042 4003 +-1209 311 +-921 794 +-2348 1748 +7482 -1568 +2223 -2239 +-1075 -143 +6408 -756 +-1940 -899 +38 -1316 +4356 2325 +0 0 +4356 -2325 +38 1316 +-1940 899 +6408 756 +-1075 143 +2223 2239 +7482 1568 +-2348 -1748 +-3618 3070 +-3831 1073 +-1596 -1532 +-2719 2250 +-3236 1867 +461 672 +4927 -911 +5120 -1024 +117 -2410 +987 1337 +-2219 5970 +-177 -4142 +1590 -6108 +2383 629 +-1127 652 +-3796 300 +1489 -1162 +-3671 -1101 +-927 -1905 +-3512 -1540 +-2182 -662 +1410 -916 +-2040 4056 +2048 0 +-2040 -4056 +1410 916 +-2182 662 +-3512 1540 +-927 1905 +-3671 1101 +1489 1162 +-3796 -300 +-1127 -652 +2383 -629 +1590 6108 +-177 4142 +-2219 -5970 +987 -1337 +117 2410 +5120 1024 +4927 911 +461 -672 +-3236 -1867 +-2719 -2250 +-1596 1532 +-3831 -1073 +-3618 -3070 +-2348 1748 +7482 -1568 +2223 -2239 +-1075 -143 +6408 -756 +-1940 -899 +38 -1316 +4356 2325 +-1448 2472 +-3364 -472 +2798 -242 +-2417 -3095 +-784 -2062 +2538 5471 +-2155 1036 +2216 1984 +4096 0 +2216 -1984 +-2155 -1036 +2538 -5471 +-784 2062 +-2417 3095 +2798 242 +-3364 472 +-1448 -2472 +-569 -419 +2500 -3692 +4103 -4376 +1892 1278 +1072 2592 +-3221 1063 +2158 4153 +6144 4096 +-81 -3015 +-615 907 +2437 4150 +-1892 -170 +1332 -3195 +1024 -2428 +-285 690 +1448 -424 +-6246 -1315 +-4625 -2590 +-3257 1380 +784 614 +-16 -1017 +-3897 2904 +379 -6256 +0 0 +379 6256 +-3897 -2904 +-16 1017 +784 -614 +-3257 -1380 +-4625 2590 +-6246 1315 +1448 424 +-285 -690 +1024 2428 +1332 3195 +-1892 170 +2437 -4150 +-615 -907 +-81 3015 +6144 -4096 +2158 -4153 +-3221 -1063 +1072 -2592 +1892 -1278 +4103 4376 +2500 3692 +-569 419 +-1448 2472 +-3364 -472 +2798 -242 +-2417 -3095 +-784 -2062 +2538 5471 +-2155 1036 +2216 1984 +-1024 2048 +-3298 1095 +-632 1296 +3405 2715 +-4932 214 +1086 -2906 +-78 2428 +-5249 213 +4096 0 +-5249 -213 +-78 -2428 +1086 2906 +-4932 -214 +3405 -2715 +-632 -1296 +-3298 -1095 +-1024 -2048 +1375 -2027 +-1416 1313 +-3116 2187 +1629 5462 +1343 1058 +-1970 -4032 +1346 -3062 +2048 -2048 +-2910 1019 +-1970 -5361 +-2393 -3792 +4764 2566 +5376 -57 +-1416 2200 +-589 3531 +-1024 2048 +-1064 2246 +-632 5385 +5479 393 +2636 -3111 +405 3408 +-78 2036 +-1197 1652 +4096 0 +-1197 -1652 +-78 -2036 +405 -3408 +2636 3111 +5479 -393 +-632 -5385 +-1064 -2246 +-1024 -2048 +-589 -3531 +-1416 -2200 +5376 57 +4764 -2566 +-2393 3792 +-1970 5361 +-2910 -1019 +2048 2048 +1346 3062 +-1970 4032 +1343 -1058 +1629 -5462 +-3116 -2187 +-1416 -1313 +1375 2027 +-1024 2048 +-3298 1095 +-632 1296 +3405 2715 +-4932 214 +1086 -2906 +-78 2428 +-5249 213 +300 724 +-2223 438 +-4492 4346 +-629 3293 +-1826 1186 +-1499 1908 +2743 3819 +3310 2124 +1024 0 +3310 -2124 +2743 -3819 +-1499 -1908 +-1826 -1186 +-629 -3293 +-4492 -4346 +-2223 -438 +300 -724 +734 -4600 +5178 -2009 +-1028 813 +-932 3308 +-412 -1806 +-3764 -6899 +435 445 +4096 1024 +893 -522 +-1144 -99 +3633 -1149 +-1716 1260 +1259 -18 +-730 1656 +-3858 5 +1748 -724 +-3789 3856 +2939 -3578 +1047 -1597 +-3718 862 +-2371 -6914 +-731 85 +4498 4790 +3072 0 +4498 -4790 +-731 -85 +-2371 6914 +-3718 -862 +1047 1597 +2939 3578 +-3789 -3856 +1748 724 +-3858 -5 +-730 -1656 +1259 18 +-1716 -1260 +3633 1149 +-1144 99 +893 522 +4096 -1024 +435 -445 +-3764 6899 +-412 1806 +-932 -3308 +-1028 -813 +5178 2009 +734 4600 +300 724 +-2223 438 +-4492 4346 +-629 3293 +-1826 1186 +-1499 1908 +2743 3819 +3310 2124 +-2896 1024 +-3339 -31 +1134 -3698 +3178 2185 +0 2726 +4279 -4320 +-978 228 +-5107 1487 +-2048 0 +-5107 -1487 +-978 -228 +4279 4320 +0 -2726 +3178 -2185 +1134 3698 +-3339 31 +-2896 -1024 +-1830 -470 +3810 3536 +189 3119 +0 -834 +944 3180 +130 1769 +-922 -901 +-2048 2048 +1052 -26 +130 -3739 +3982 1011 +0 3510 +-988 -1137 +3810 2584 +4051 2626 +2896 1024 +1356 6023 +1134 -1351 +-7052 -3545 +0 1618 +1259 -746 +-978 -1640 +-1055 -3508 +-10240 0 +-1055 3508 +-978 1640 +1259 746 +0 -1618 +-7052 3545 +1134 1351 +1356 -6023 +2896 -1024 +4051 -2626 +3810 -2584 +-988 1137 +0 -3510 +3982 -1011 +130 3739 +1052 26 +-2048 -2048 +-922 901 +130 -1769 +944 -3180 +0 834 +189 -3119 +3810 -3536 +-1830 470 +-2896 1024 +-3339 -31 +1134 -3698 +3178 2185 +0 2726 +4279 -4320 +-978 228 +-5107 1487 +-1324 -3620 +5205 -2019 +619 -121 +1109 -4057 +3802 -862 +-2226 -2423 +536 990 +2303 -1287 +-2048 0 +2303 1287 +536 -990 +-2226 2423 +3802 862 +1109 4057 +619 121 +5205 2019 +-1324 3620 +-6048 -723 +-2435 1125 +2638 801 +3368 1260 +-3634 42 +-4233 1651 +7 -4894 +-1024 -1024 +1581 2006 +2460 -5629 +-2076 1955 +-2768 3308 +-2334 5689 +-685 3292 +-545 1571 +-2772 3620 +2717 -1406 +5397 1089 +-524 -4049 +-306 -1186 +1255 5327 +-1660 -1278 +573 68 +4096 0 +573 -68 +-1660 1278 +1255 -5327 +-306 1186 +-524 4049 +5397 -1089 +2717 1406 +-2772 -3620 +-545 -1571 +-685 -3292 +-2334 -5689 +-2768 -3308 +-2076 -1955 +2460 5629 +1581 -2006 +-1024 1024 +7 4894 +-4233 -1651 +-3634 -42 +3368 -1260 +2638 -801 +-2435 -1125 +-6048 723 +-1324 -3620 +5205 -2019 +619 -121 +1109 -4057 +3802 -862 +-2226 -2423 +536 990 +2303 -1287 +-1872 1448 +-489 608 +-4148 -2484 +-472 2510 +-2218 -1024 +-365 -365 +-1490 1308 +-534 -537 +8192 0 +-534 537 +-1490 -1308 +-365 365 +-2218 1024 +-472 -2510 +-4148 2484 +-489 -608 +-1872 -1448 +31 -1176 +225 -2998 +-6066 -517 +14 -1024 +56 2465 +-48 -3644 +3231 -5024 +0 -2048 +-3050 759 +492 6052 +2308 677 +-2662 1024 +3468 5996 +2007 3563 +-4097 -2563 +3920 -1448 +6122 -1684 +4812 356 +-715 1475 +-3326 -1024 +1786 4241 +-1850 -301 +-1214 -1560 +4096 0 +-1214 1560 +-1850 301 +1786 -4241 +-3326 1024 +-715 -1475 +4812 -356 +6122 1684 +3920 1448 +-4097 2563 +2007 -3563 +3468 -5996 +-2662 -1024 +2308 -677 +492 -6052 +-3050 -759 +0 2048 +3231 5024 +-48 3644 +56 -2465 +14 1024 +-6066 517 +225 2998 +31 1176 +-1872 1448 +-489 608 +-4148 -2484 +-472 2510 +-2218 -1024 +-365 -365 +-1490 1308 +-534 -537 +3072 -2896 +-1832 -4251 +696 1602 +1316 -1584 +880 -3810 +530 582 +-1473 -5845 +-3546 647 +-8192 0 +-3546 -647 +-1473 5845 +530 -582 +880 3810 +1316 1584 +696 -1602 +-1832 4251 +3072 2896 +1365 -795 +-2261 -3256 +-5138 4482 +540 978 +3503 -1382 +-1552 5281 +-2087 -3665 +-2048 -2048 +194 978 +-2728 -4675 +105 -1455 +2108 130 +1688 -1461 +3553 -2197 +3691 -1222 +3072 2896 +-4553 2937 +-3188 -1743 +1246 104 +4664 -1134 +2543 -1984 +-1239 2881 +976 -2684 +0 0 +976 2684 +-1239 -2881 +2543 1984 +4664 1134 +1246 -104 +-3188 1743 +-4553 -2937 +3072 -2896 +3691 1222 +3553 2197 +1688 1461 +2108 -130 +105 1455 +-2728 4675 +194 -978 +-2048 2048 +-2087 3665 +-1552 -5281 +3503 1382 +540 -978 +-5138 -4482 +-2261 3256 +1365 795 +3072 -2896 +-1832 -4251 +696 1602 +1316 -1584 +880 -3810 +530 582 +-1473 -5845 +-3546 647 +-548 -1324 +1475 -1988 +-101 1145 +206 1556 +2759 4442 +-1794 3349 +-252 -4311 +3107 -1393 +-1024 0 +3107 1393 +-252 4311 +-1794 -3349 +2759 -4442 +206 -1556 +-101 -1145 +1475 1988 +-548 1324 +-86 5010 +2808 2217 +-2669 -7046 +-2360 992 +1994 5106 +1401 -12 +-1278 1762 +-2048 1024 +-2123 1797 +-2405 4933 +-2737 3219 +-6928 -208 +1692 -1142 +872 3065 +570 1569 +6692 -2772 +937 -252 +2214 -1994 +-2125 -2644 +2434 2550 +-359 1757 +-4536 -633 +3190 228 +1024 0 +3190 -228 +-4536 633 +-359 -1757 +2434 -2550 +-2125 2644 +2214 1994 +937 252 +6692 2772 +570 -1569 +872 -3065 +1692 1142 +-6928 208 +-2737 -3219 +-2405 -4933 +-2123 -1797 +-2048 -1024 +-1278 -1762 +1401 12 +1994 -5106 +-2360 -992 +-2669 7046 +2808 -2217 +-86 -5010 +-548 -1324 +1475 -1988 +-101 1145 +206 1556 +2759 4442 +-1794 3349 +-252 -4311 +3107 -1393 +1448 1024 +-1297 1425 +493 -284 +-1916 -1963 +-84 -1038 +-1432 787 +309 811 +2662 -64 +-6144 0 +2662 64 +309 -811 +-1432 -787 +-84 1038 +-1916 1963 +493 284 +-1297 -1425 +1448 -1024 +-6064 -412 +938 -987 +6166 -391 +-1652 2302 +-1011 3214 +-4275 6 +-1753 -3186 +0 -2048 +1217 1009 +-737 1298 +344 -666 +3700 -1194 +-4810 313 +-2845 1725 +3117 2018 +-1448 1024 +-1996 -1579 +-1482 -3996 +-1687 -2758 +2132 1638 +-1446 3892 +7599 1681 +9906 -725 +-2048 0 +9906 725 +7599 -1681 +-1446 -3892 +2132 -1638 +-1687 2758 +-1482 3996 +-1996 1579 +-1448 -1024 +3117 -2018 +-2845 -1725 +-4810 -313 +3700 1194 +344 666 +-737 -1298 +1217 -1009 +0 2048 +-1753 3186 +-4275 -6 +-1011 -3214 +-1652 -2302 +6166 391 +938 987 +-6064 412 +1448 1024 +-1297 1425 +493 -284 +-1916 -1963 +-84 -1038 +-1432 787 +309 811 +2662 -64 +4644 -724 +-1413 2140 +3693 -672 +-859 501 +1006 -78 +4585 -3392 +-1116 -3480 +251 -1444 +-1024 0 +251 1444 +-1116 3480 +4585 3392 +1006 78 +-859 -501 +3693 672 +-1413 -2140 +4644 724 +1551 859 +-919 -2930 +-564 2895 +-776 -632 +417 -1907 +-2369 5539 +1242 2260 +0 3072 +1050 -1179 +-972 -6245 +-771 760 +2224 1416 +1483 3742 +1583 1965 +-5452 -2501 +-2596 724 +1530 -3596 +-1462 -5521 +-1627 -2478 +-2454 -1970 +-2663 -4956 +1560 -3008 +1242 8101 +-3072 0 +1242 -8101 +1560 3008 +-2663 4956 +-2454 1970 +-1627 2478 +-1462 5521 +1530 3596 +-2596 -724 +-5452 2501 +1583 -1965 +1483 -3742 +2224 -1416 +-771 -760 +-972 6245 +1050 1179 +0 -3072 +1242 -2260 +-2369 -5539 +417 1907 +-776 632 +-564 -2895 +-919 2930 +1551 -859 +4644 -724 +-1413 2140 +3693 -672 +-859 501 +1006 -78 +4585 -3392 +-1116 -3480 +251 -1444 +-2472 -424 +5110 -1902 +-34 5686 +-1287 5794 +5148 -6002 +-4401 2600 +-4113 -700 +797 -834 +-3072 0 +797 834 +-4113 700 +-4401 -2600 +5148 6002 +-1287 -5794 +-34 -5686 +5110 1902 +-2472 424 +-2007 977 +255 -3515 +-592 -607 +-1533 -1553 +-5716 2557 +-3939 406 +-1077 -5843 +-1024 0 +1244 2307 +3718 2129 +4072 724 +684 1094 +715 557 +1533 -995 +-1989 -1505 +424 2472 +3998 4196 +4038 930 +3620 -478 +-204 458 +-2203 2120 +-1459 -1672 +-284 -225 +1024 0 +-284 225 +-1459 1672 +-2203 -2120 +-204 -458 +3620 478 +4038 -930 +3998 -4196 +424 -2472 +-1989 1505 +1533 995 +715 -557 +684 -1094 +4072 -724 +3718 -2129 +1244 -2307 +-1024 0 +-1077 5843 +-3939 -406 +-5716 -2557 +-1533 1553 +-592 607 +255 3515 +-2007 -977 +-2472 -424 +5110 -1902 +-34 5686 +-1287 5794 +5148 -6002 +-4401 2600 +-4113 -700 +797 -834 +1324 -1748 +-2252 5889 +-2805 465 +677 -2281 +-2044 1032 +1360 -174 +3552 4836 +-1202 -6182 +-1024 0 +-1202 6182 +3552 -4836 +1360 174 +-2044 -1032 +677 2281 +-2805 -465 +-2252 -5889 +1324 1748 +3506 1595 +2654 -877 +3924 -1024 +3078 -3322 +-416 -3183 +853 2705 +-6480 1195 +-6144 -3072 +-571 -3086 +-2081 1101 +-222 -1293 +-1030 -3922 +-822 2810 +-2994 -1060 +-2323 -891 +2772 -300 +1958 -2951 +248 -1849 +684 1131 +4092 2465 +607 -520 +572 552 +1573 -6658 +-3072 0 +1573 6658 +572 -552 +607 520 +4092 -2465 +684 -1131 +248 1849 +1958 2951 +2772 300 +-2323 891 +-2994 1060 +-822 -2810 +-1030 3922 +-222 1293 +-2081 -1101 +-571 3086 +-6144 3072 +-6480 -1195 +853 -2705 +-416 3183 +3078 3322 +3924 1024 +2654 877 +3506 -1595 +1324 -1748 +-2252 5889 +-2805 465 +677 -2281 +-2044 1032 +1360 -174 +3552 4836 +-1202 -6182 +-2896 3072 +-3 -1056 +2878 -594 +1939 3953 +4328 -1194 +3828 2788 +1306 -500 +1742 5315 +2048 0 +1742 -5315 +1306 500 +3828 -2788 +4328 1194 +1939 -3953 +2878 594 +-3 1056 +-2896 -3072 +115 2026 +673 211 +-1998 3949 +-3241 1038 +-292 2149 +-1217 2509 +-4288 -2027 +2048 -2048 +3288 -4134 +-2448 -1771 +-1617 453 +1193 1638 +510 -122 +-4576 -1082 +-2623 1098 +2896 3072 +1182 55 +3922 -1898 +-1339 -824 +-6376 -2302 +4761 318 +-537 3212 +-5206 6490 +2048 0 +-5206 -6490 +-537 -3212 +4761 -318 +-6376 2302 +-1339 824 +3922 1898 +1182 -55 +2896 -3072 +-2623 -1098 +-4576 1082 +510 122 +1193 -1638 +-1617 -453 +-2448 1771 +3288 4134 +2048 2048 +-4288 2027 +-1217 -2509 +-292 -2149 +-3241 -1038 +-1998 -3949 +673 -211 +115 -2026 +-2896 3072 +-3 -1056 +2878 -594 +1939 3953 +4328 -1194 +3828 2788 +1306 -500 +1742 5315 +1448 -2048 +1484 -175 +-1298 -1134 +1057 -1426 +-2642 -84 +-2665 -3231 +284 1319 +-2163 6383 +-3072 0 +-2163 -6383 +284 -1319 +-2665 3231 +-2642 84 +1057 1426 +-1298 1134 +1484 175 +1448 2048 +-821 1031 +1681 -6128 +1272 -5545 +2486 -1652 +2179 -2888 +1725 -2533 +774 -1880 +-1024 4096 +1754 1452 +987 1591 +2154 -112 +-190 -3700 +-5738 -561 +811 -3571 +2875 3776 +-1448 -2048 +-1970 -2223 +6 795 +-375 -8518 +-3750 2132 +2116 2664 +3996 -92 +-1933 3390 +-3072 0 +-1933 -3390 +3996 92 +2116 -2664 +-3750 -2132 +-375 8518 +6 -795 +-1970 2223 +-1448 2048 +2875 -3776 +811 3571 +-5738 561 +-190 3700 +2154 112 +987 -1591 +1754 -1452 +-1024 -4096 +774 1880 +1725 2533 +2179 2888 +2486 1652 +1272 5545 +1681 6128 +-821 -1031 +1448 -2048 +1484 -175 +-1298 -1134 +1057 -1426 +-2642 -84 +-2665 -3231 +284 1319 +-2163 6383 +-3196 2772 +-679 3727 +1804 4171 +3415 -777 +1910 881 +1336 7494 +2522 -1292 +501 1220 +-5120 0 +501 -1220 +2522 1292 +1336 -7494 +1910 -881 +3415 777 +1804 -4171 +-679 -3727 +-3196 -2772 +-5078 1513 +2678 -1718 +-1137 1091 +2584 3444 +3883 1574 +-6961 1802 +-1186 -2461 +0 -3072 +-1900 -1059 +-503 2742 +-591 -2496 +-1984 -6445 +75 -1235 +543 -1090 +-1590 3558 +1148 1324 +2233 -2698 +768 553 +-4808 -3190 +1586 -2578 +3620 780 +-851 -1865 +1906 -3234 +1024 0 +1906 3234 +-851 1865 +3620 -780 +1586 2578 +-4808 3190 +768 -553 +2233 2698 +1148 -1324 +-1590 -3558 +543 1090 +75 1235 +-1984 6445 +-591 2496 +-503 -2742 +-1900 1059 +0 3072 +-1186 2461 +-6961 -1802 +3883 -1574 +2584 -3444 +-1137 -1091 +2678 1718 +-5078 -1513 +-3196 2772 +-679 3727 +1804 4171 +3415 -777 +1910 881 +1336 7494 +2522 -1292 +501 1220 +-3920 7416 +-3561 2911 +-45 -6148 +1466 3523 +3230 2716 +1235 2234 +327 -1195 +835 -1165 +-1024 0 +835 1165 +327 1195 +1235 -2234 +3230 -2716 +1466 -3523 +-45 6148 +-3561 -2911 +-3920 -7416 +-2624 3171 +571 1465 +3087 -2616 +230 -6980 +1013 -235 +-2297 -3417 +-2407 -2192 +1024 2048 +-3112 -5042 +849 144 +790 -1161 +-230 -588 +5719 5936 +877 3917 +1220 -1036 +1872 -1272 +-2395 762 +1493 -89 +-695 -635 +-3230 -419 +-1030 -631 +-1775 -3934 +458 -1997 +3072 0 +458 1997 +-1775 3934 +-1030 631 +-3230 419 +-695 635 +1493 89 +-2395 -762 +1872 1272 +1220 1036 +877 -3917 +5719 -5936 +-230 588 +790 1161 +849 -144 +-3112 5042 +1024 -2048 +-2407 2192 +-2297 3417 +1013 235 +230 6980 +3087 2616 +571 -1465 +-2624 -3171 +-3920 7416 +-3561 2911 +-45 -6148 +1466 3523 +3230 2716 +1235 2234 +327 -1195 +835 -1165 +-300 300 +-3535 -799 +3157 -1976 +2149 -4392 +1390 -3478 +417 -3628 +807 -2457 +2518 -1228 +-2048 0 +2518 1228 +807 2457 +417 3628 +1390 3478 +2149 4392 +3157 1976 +-3535 799 +-300 -300 +2182 -1232 +599 2399 +1608 4814 +2624 1200 +-1681 -6783 +-2244 -5566 +51 -1436 +1024 3072 +2579 1766 +-3209 -3794 +1594 5035 +273 1800 +-3695 -2289 +1070 -721 +-362 -5173 +-1748 1748 +-2069 -3014 +3863 -4040 +-1629 3394 +-4286 -18 +1238 1774 +-4043 1334 +-1364 1167 +4096 0 +-1364 -1167 +-4043 -1334 +1238 -1774 +-4286 18 +-1629 -3394 +3863 4040 +-2069 3014 +-1748 -1748 +-362 5173 +1070 721 +-3695 2289 +273 -1800 +1594 -5035 +-3209 3794 +2579 -1766 +1024 -3072 +51 1436 +-2244 5566 +-1681 6783 +2624 -1200 +1608 -4814 +599 -2399 +2182 1232 +-300 300 +-3535 -799 +3157 -1976 +2149 -4392 +1390 -3478 +417 -3628 +807 -2457 +2518 -1228 +1148 -724 +3743 -1396 +1190 -3343 +194 -456 +4346 -2634 +-4920 -1057 +-1570 3062 +3841 -1683 +-1024 0 +3841 1683 +-1570 -3062 +-4920 1057 +4346 2634 +194 456 +1190 3343 +3743 1396 +1148 724 +-1995 1899 +-513 -126 +8835 1532 +-1441 -1860 +-1538 -4299 +3258 3580 +-425 3268 +2048 -1024 +-3079 466 +-1026 -1744 +-889 -3506 +-8 -2708 +348 -726 +-2827 4309 +-3966 4425 +-3196 724 +2866 -1742 +-746 -3310 +-241 -1490 +-2898 -2310 +-1789 -6029 +2235 -3090 +-986 1410 +1024 0 +-986 -1410 +2235 3090 +-1789 6029 +-2898 2310 +-241 1490 +-746 3310 +2866 1742 +-3196 -724 +-3966 -4425 +-2827 -4309 +348 726 +-8 2708 +-889 3506 +-1026 1744 +-3079 -466 +2048 1024 +-425 -3268 +3258 -3580 +-1538 4299 +-1441 1860 +8835 -1532 +-513 126 +-1995 -1899 +1148 -724 +3743 -1396 +1190 -3343 +194 -456 +4346 -2634 +-4920 -1057 +-1570 3062 +3841 -1683 +1148 300 +1087 -700 +-131 -305 +1937 -1058 +3308 -452 +1266 5074 +-387 -307 +324 1755 +0 0 +324 -1755 +-387 307 +1266 -5074 +3308 452 +1937 1058 +-131 305 +1087 700 +1148 -300 +-1470 -582 +2522 -3524 +2092 -1369 +862 2114 +-1409 728 +-5107 946 +3768 3555 +3072 -7168 +-3768 -4526 +-2972 1729 +1409 -1409 +1186 3562 +-2092 -2830 +5895 -1631 +1470 3554 +-3196 1748 +-1087 2179 +-6589 2197 +-1937 1374 +-1260 1900 +-1266 6150 +-1423 1090 +-324 -909 +6144 0 +-324 909 +-1423 -1090 +-1266 -6150 +-1260 -1900 +-1937 -1374 +-6589 -2197 +-1087 -2179 +-3196 -1748 +1470 -3554 +5895 1631 +-2092 2830 +1186 -3562 +1409 1409 +-2972 -1729 +-3768 4526 +3072 7168 +3768 -3555 +-5107 -946 +-1409 -728 +862 -2114 +2092 1369 +2522 3524 +-1470 582 +1148 300 +1087 -700 +-131 -305 +1937 -1058 +3308 -452 +1266 5074 +-387 -307 +324 1755 +300 -1148 +2590 4906 +716 -440 +-339 -1362 +2394 971 +-5583 4155 +-214 6829 +3820 3735 +-4096 0 +3820 -3735 +-214 -6829 +-5583 -4155 +2394 -971 +-339 1362 +716 440 +2590 -4906 +300 1148 +-463 639 +-272 -3106 +3411 1588 +-1056 3212 +-4421 2365 +-1983 535 +-563 1821 +1024 1024 +-695 3649 +3431 -441 +2662 863 +-1840 6708 +3496 729 +-1176 -134 +-4904 -3425 +1748 3196 +1209 2045 +-2164 -1883 +-2784 2408 +502 -1571 +3559 4440 +1662 3283 +-994 917 +-2048 0 +-994 -917 +1662 -3283 +3559 -4440 +502 1571 +-2784 -2408 +-2164 1883 +1209 -2045 +1748 -3196 +-4904 3425 +-1176 134 +3496 -729 +-1840 -6708 +2662 -863 +3431 441 +-695 -3649 +1024 -1024 +-563 -1821 +-1983 -535 +-4421 -2365 +-1056 -3212 +3411 -1588 +-272 3106 +-463 -639 +300 -1148 +2590 4906 +716 -440 +-339 -1362 +2394 971 +-5583 4155 +-214 6829 +3820 3735 +300 -300 +241 -2007 +4488 5686 +908 5495 +2310 2335 +-291 3368 +-4026 2487 +571 -942 +-2048 0 +571 942 +-4026 -2487 +-291 -3368 +2310 -2335 +908 -5495 +4488 -5686 +241 2007 +300 300 +-2513 -4765 +1398 -1694 +-541 -2228 +-2708 112 +-658 608 +-4258 -329 +2884 2125 +3072 -3072 +1156 793 +-687 1654 +-1117 -3339 +1860 4456 +2647 -1592 +-550 -6795 +-7021 -1082 +1748 -1748 +3941 3472 +-3640 3198 +-797 492 +2634 2010 +-151 -1962 +-918 -2903 +741 -2608 +0 0 +741 2608 +-918 2903 +-151 1962 +2634 -2010 +-797 -492 +-3640 -3198 +3941 -3472 +1748 1748 +-7021 1082 +-550 6795 +2647 1592 +1860 -4456 +-1117 3339 +-687 -1654 +1156 -793 +3072 3072 +2884 -2125 +-4258 329 +-658 -608 +-2708 -112 +-541 2228 +1398 1694 +-2513 4765 +300 -300 +241 -2007 +4488 5686 +908 5495 +2310 2335 +-291 3368 +-4026 2487 +571 -942 +724 -2772 +179 3866 +-1874 1444 +-1860 -432 +92 -2080 +79 -411 +131 5644 +1436 -1788 +2048 0 +1436 1788 +131 -5644 +79 411 +92 2080 +-1860 432 +-1874 -1444 +179 -3866 +724 2772 +863 -4606 +-1122 248 +-4280 6453 +-2694 -522 +-1997 5172 +-4843 359 +-2382 -3775 +1024 -3072 +-236 611 +-65 2215 +-638 -1605 +-802 -1370 +5196 76 +5571 3064 +-1163 -4937 +-724 -1324 +1450 2146 +322 173 +1833 1992 +-692 -2864 +-4125 3969 +1880 3203 +5645 -1468 +4096 0 +5645 1468 +1880 -3203 +-4125 -3969 +-692 2864 +1833 -1992 +322 -173 +1450 -2146 +-724 1324 +-1163 4937 +5571 -3064 +5196 -76 +-802 1370 +-638 1605 +-65 -2215 +-236 -611 +1024 3072 +-2382 3775 +-4843 -359 +-1997 -5172 +-2694 522 +-4280 -6453 +-1122 -248 +863 4606 +724 -2772 +179 3866 +-1874 1444 +-1860 -432 +92 -2080 +79 -411 +131 5644 +1436 -1788 +2596 124 +-704 2412 +-3142 -4326 +-579 -3368 +-1404 -3972 +-1046 -7263 +-865 -1038 +-1501 -1279 +1024 0 +-1501 1279 +-865 1038 +-1046 7263 +-1404 3972 +-579 3368 +-3142 4326 +-704 -2412 +2596 -124 +-1975 2484 +-2790 -497 +2026 -3367 +703 -1306 +1393 2534 +-4701 -399 +-2351 152 +4096 1024 +-1388 -2067 +642 -998 +409 584 +1945 -2154 +-1497 -2720 +2294 2999 +4427 -967 +-4644 -4220 +-861 3035 +-3354 829 +4514 -8 +6948 -972 +573 -4503 +3725 1638 +-1439 2165 +-5120 0 +-1439 -2165 +3725 -1638 +573 4503 +6948 972 +4514 8 +-3354 -829 +-861 -3035 +-4644 4220 +4427 967 +2294 -2999 +-1497 2720 +1945 2154 +409 -584 +642 998 +-1388 2067 +4096 -1024 +-2351 -152 +-4701 399 +1393 -2534 +703 1306 +2026 3367 +-2790 497 +-1975 -2484 +2596 124 +-704 2412 +-3142 -4326 +-579 -3368 +-1404 -3972 +-1046 -7263 +-865 -1038 +-1501 -1279 +4220 2596 +130 -3926 +4246 338 +-549 5496 +-282 -1670 +857 737 +-2273 42 +475 -2043 +-1024 0 +475 2043 +-2273 -42 +857 -737 +-282 1670 +-549 -5496 +4246 -338 +130 3926 +4220 -2596 +569 -549 +-2958 -2053 +239 -472 +52 332 +-181 -3106 +-313 -1423 +3232 868 +4096 1024 +-3393 -3098 +-9745 -2688 +-4108 -754 +-2948 -1116 +-1122 959 +1351 1887 +-1529 -3873 +-124 -4644 +3726 3498 +4850 -494 +-1464 -1169 +3178 222 +535 -1282 +-3350 2789 +2582 -4819 +1024 0 +2582 4819 +-3350 -2789 +535 1282 +3178 -222 +-1464 1169 +4850 494 +3726 -3498 +-124 4644 +-1529 3873 +1351 -1887 +-1122 -959 +-2948 1116 +-4108 754 +-9745 2688 +-3393 3098 +4096 -1024 +3232 -868 +-313 1423 +-181 3106 +52 -332 +239 472 +-2958 2053 +569 549 +4220 2596 +130 -3926 +4246 338 +-549 5496 +-282 -1670 +857 737 +-2273 42 +475 -2043 +-2348 300 +-1221 1183 +-2887 788 +-59 2307 +1141 3008 +-957 -1879 +1005 -6096 +230 -1474 +-2048 0 +230 1474 +1005 6096 +-957 1879 +1141 -3008 +-59 -2307 +-2887 -788 +-1221 -1183 +-2348 -300 +-1290 130 +-719 3535 +-381 847 +1150 -886 +-2209 105 +1758 -2500 +2273 2721 +-1024 -3072 +4475 -1963 +290 3709 +-6556 699 +-6094 562 +-1164 -1399 +2767 443 +-2664 -2146 +-3796 1748 +-177 1892 +4935 -1480 +3821 -2337 +-292 -1560 +7506 4690 +1043 -1680 +-1627 2142 +8192 0 +-1627 -2142 +1043 1680 +7506 -4690 +-292 1560 +3821 2337 +4935 1480 +-177 -1892 +-3796 -1748 +-2664 2146 +2767 -443 +-1164 1399 +-6094 -562 +-6556 -699 +290 -3709 +4475 1963 +-1024 3072 +2273 -2721 +1758 2500 +-2209 -105 +1150 886 +-381 -847 +-719 -3535 +-1290 -130 +-2348 300 +-1221 1183 +-2887 788 +-59 2307 +1141 3008 +-957 -1879 +1005 -6096 +230 -1474 +4220 -3021 +3879 -2097 +-1184 -5564 +2953 972 +2878 3418 +-2445 67 +666 -936 +1317 586 +-2048 0 +1317 -586 +666 936 +-2445 -67 +2878 -3418 +2953 -972 +-1184 5564 +3879 2097 +4220 3021 +-2762 2122 +-1685 -1578 +-506 -819 +-4696 -32 +-3616 6321 +-422 2524 +27 -2962 +1024 1024 +761 294 +-22 -3428 +182 2762 +-1696 816 +-2596 -2379 +-547 -298 +186 -3891 +-124 7117 +26 2428 +519 -149 +1037 5404 +-582 1526 +-801 6710 +2674 -3816 +2358 -4972 +0 0 +2358 4972 +2674 3816 +-801 -6710 +-582 -1526 +1037 -5404 +519 149 +26 -2428 +-124 -7117 +186 3891 +-547 298 +-2596 2379 +-1696 -816 +182 -2762 +-22 3428 +761 -294 +1024 -1024 +27 2962 +-422 -2524 +-3616 -6321 +-4696 32 +-506 819 +-1685 1578 +-2762 -2122 +4220 -3021 +3879 -2097 +-1184 -5564 +2953 972 +2878 3418 +-2445 67 +666 -936 +1317 586 +-2048 -3072 +2518 -1024 +505 1394 +-747 -3930 +-868 -2746 +1844 1001 +2345 -971 +878 -1128 +4096 0 +878 1128 +2345 971 +1844 -1001 +-868 2746 +-747 3930 +505 -1394 +2518 1024 +-2048 3072 +1095 -949 +5253 232 +898 -1413 +240 -3870 +230 3156 +-4870 4684 +-3949 914 +-4096 2048 +829 -778 +5639 -3380 +-1354 -694 +1808 -374 +-2671 1164 +1547 507 +4921 -5450 +-2048 -3072 +3498 1507 +-4408 -2318 +-1026 -2053 +2916 2146 +-2967 574 +-6010 -100 +-3998 2020 +4096 0 +-3998 -2020 +-6010 100 +-2967 -574 +2916 -2146 +-1026 2053 +-4408 2318 +3498 -1507 +-2048 3072 +4921 5450 +1547 -507 +-2671 -1164 +1808 374 +-1354 694 +5639 3380 +829 778 +-4096 -2048 +-3949 -914 +-4870 -4684 +230 -3156 +240 3870 +898 1413 +5253 -232 +1095 949 +-2048 -3072 +2518 -1024 +505 1394 +-747 -3930 +-868 -2746 +1844 1001 +2345 -971 +878 -1128 +-2472 -2472 +1566 -199 +3033 295 +-2250 -4587 +3940 -2146 +-2443 719 +-3337 -50 +-503 1835 +-5120 0 +-503 -1835 +-3337 50 +-2443 -719 +3940 2146 +-2250 4587 +3033 -295 +1566 199 +-2472 2472 +1249 -17 +-2895 1807 +3857 1947 +2832 -374 +1199 2627 +712 1902 +-3300 -933 +1024 0 +1315 -1700 +1144 -1698 +-3133 -1349 +1264 -3870 +2605 2909 +78 8763 +1025 1980 +424 424 +5057 3084 +-1416 -331 +-188 1084 +156 2746 +-5438 -3366 +-5511 -2351 +-617 4079 +7168 0 +-617 -4079 +-5511 2351 +-5438 3366 +156 -2746 +-188 -1084 +-1416 331 +5057 -3084 +424 -424 +1025 -1980 +78 -8763 +2605 -2909 +1264 3870 +-3133 1349 +1144 1698 +1315 1700 +1024 0 +-3300 933 +712 -1902 +1199 -2627 +2832 374 +3857 -1947 +-2895 -1807 +1249 17 +-2472 -2472 +1566 -199 +3033 295 +-2250 -4587 +3940 -2146 +-2443 719 +-3337 -50 +-503 1835 +1872 -3496 +1031 2811 +1403 3455 +2598 1551 +834 -868 +-2699 -670 +623 -2887 +4678 -472 +0 0 +4678 472 +623 2887 +-2699 670 +834 868 +2598 -1551 +1403 -3455 +1031 -2811 +1872 3496 +-7728 4572 +-2579 -2627 +1554 917 +-1618 240 +-621 -1418 +-2993 -2578 +2545 -5114 +4096 -2048 +-723 -5773 +-1456 -2238 +-535 1518 +-2726 -1808 +-1064 1724 +568 1497 +-951 -3002 +-3920 -600 +-1488 3287 +3505 -2227 +-2439 -616 +3510 2916 +3207 342 +929 331 +2637 -6291 +-4096 0 +2637 6291 +929 -331 +3207 -342 +3510 -2916 +-2439 616 +3505 2227 +-1488 -3287 +-3920 600 +-951 3002 +568 -1497 +-1064 -1724 +-2726 1808 +-535 -1518 +-1456 2238 +-723 5773 +4096 2048 +2545 5114 +-2993 2578 +-621 1418 +-1618 -240 +1554 -917 +-2579 2627 +-7728 -4572 +1872 -3496 +1031 2811 +1403 3455 +2598 1551 +834 -868 +-2699 -670 +623 -2887 +4678 -472 +4820 -4396 +895 -2876 +-3111 -2318 +5040 -5689 +3022 6394 +708 4321 +1066 -2247 +-998 -2546 +1024 0 +-998 2546 +1066 2247 +708 -4321 +3022 -6394 +5040 5689 +-3111 2318 +895 2876 +4820 4396 +1080 -544 +1385 1207 +1525 -1948 +-4213 607 +-5668 1242 +-168 -1275 +-2023 -1659 +0 1024 +1653 2360 +1276 617 +2121 1266 +-2780 -2040 +-153 -3641 +1291 424 +3740 -388 +3372 -5844 +-3498 1777 +435 1534 +-1061 -2317 +-4222 -850 +-2513 -2417 +-2174 4139 +-849 -2883 +-1024 0 +-849 2883 +-2174 -4139 +-2513 2417 +-4222 850 +-1061 2317 +435 -1534 +-3498 -1777 +3372 5844 +3740 388 +1291 -424 +-153 3641 +-2780 2040 +2121 -1266 +1276 -617 +1653 -2360 +0 -1024 +-2023 1659 +-168 1275 +-5668 -1242 +-4213 -607 +1525 1948 +1385 -1207 +1080 544 +4820 -4396 +895 -2876 +-3111 -2318 +5040 -5689 +3022 6394 +708 4321 +1066 -2247 +-998 -2546 +-3920 -1448 +-6001 4942 +14 3044 +3321 -2448 +724 -2243 +2076 -206 +5029 -3774 +-84 301 +-4096 0 +-84 -301 +5029 3774 +2076 206 +724 2243 +3321 2448 +14 -3044 +-6001 -4942 +-3920 1448 +734 -1233 +-3181 -1663 +-932 1478 +-724 -2806 +-1920 1928 +5075 400 +1319 3481 +-2048 4096 +-302 511 +2101 4355 +534 -2283 +-724 -3654 +4404 2338 +-1007 4198 +470 3143 +1872 1448 +-1883 -1895 +-418 4016 +-5464 4195 +724 -2702 +3773 2675 +579 737 +-45 1192 +-4096 0 +-45 -1192 +579 -737 +3773 -2675 +724 2702 +-5464 -4195 +-418 -4016 +-1883 1895 +1872 -1448 +470 -3143 +-1007 -4198 +4404 -2338 +-724 3654 +534 2283 +2101 -4355 +-302 -511 +-2048 -4096 +1319 -3481 +5075 -400 +-1920 -1928 +-724 2806 +-932 -1478 +-3181 1663 +734 1233 +-3920 -1448 +-6001 4942 +14 3044 +3321 -2448 +724 -2243 +2076 -206 +5029 -3774 +-84 301 +0 -8440 +1563 -2741 +-321 760 +488 -1044 +640 -314 +-2731 643 +409 -610 +554 265 +-1024 0 +554 -265 +409 610 +-2731 -643 +640 314 +488 1044 +-321 -760 +1563 2741 +0 8440 +2568 6373 +1274 -633 +-1069 -861 +-2376 1578 +-825 -952 +-1441 3718 +-2163 6557 +1024 -4096 +436 -3880 +1166 2269 +2788 -1999 +2976 -470 +-2258 1278 +-202 -2081 +-1508 252 +0 248 +1161 -37 +-7744 688 +4407 -1960 +2856 2362 +-800 4752 +-1333 2058 +-2612 4226 +7168 0 +-2612 -4226 +-1333 -2058 +-800 -4752 +2856 -2362 +4407 1960 +-7744 -688 +1161 37 +0 -248 +-1508 -252 +-202 2081 +-2258 -1278 +2976 470 +2788 1999 +1166 -2269 +436 3880 +1024 4096 +-2163 -6557 +-1441 -3718 +-825 952 +-2376 -1578 +-1069 861 +1274 633 +2568 -6373 +0 -8440 +1563 -2741 +-321 760 +488 -1044 +640 -314 +-2731 643 +409 -610 +554 265 +1024 -1872 +-1392 328 +-2191 -1566 +517 -6264 +3544 -495 +6822 -309 +-1692 3138 +-4064 -5321 +3072 0 +-4064 5321 +-1692 -3138 +6822 309 +3544 495 +517 6264 +-2191 1566 +-1392 -328 +1024 1872 +3734 -3927 +1924 -2401 +-4474 -3079 +-1349 -2506 +-2313 -3163 +-4029 796 +1780 695 +-1024 -2048 +-2826 -2293 +1353 -3144 +1426 -1478 +-699 -3954 +-209 1527 +-815 431 +-1746 -457 +1024 3920 +-357 1206 +1082 302 +-506 -3691 +-5592 -954 +4530 1418 +4367 -2982 +-921 -2002 +3072 0 +-921 2002 +4367 2982 +4530 -1418 +-5592 954 +-506 3691 +1082 -302 +-357 -1206 +1024 -3920 +-1746 457 +-815 -431 +-209 -1527 +-699 3954 +1426 1478 +1353 3144 +-2826 2293 +-1024 2048 +1780 -695 +-4029 -796 +-2313 3163 +-1349 2506 +-4474 3079 +1924 2401 +3734 3927 +1024 -1872 +-1392 328 +-2191 -1566 +517 -6264 +3544 -495 +6822 -309 +-1692 3138 +-4064 -5321 +-2896 -1448 +5029 -3864 +139 -534 +3735 466 +2846 2676 +-4166 522 +423 -4736 +-2609 2998 +-5120 0 +-2609 -2998 +423 4736 +-4166 -522 +2846 -2676 +3735 -466 +139 534 +5029 3864 +-2896 1448 +-731 1426 +-372 1139 +-333 -1480 +-3170 -1108 +-3183 627 +7145 2594 +-1354 1084 +-5120 2048 +-1304 2477 +5043 858 +6376 1588 +1722 -1108 +2079 -1641 +-1909 658 +626 2309 +2896 1448 +-252 1850 +445 4150 +551 -3524 +-1398 -2676 +735 3334 +-2723 -1096 +-5198 1291 +-1024 0 +-5198 -1291 +-2723 1096 +735 -3334 +-1398 2676 +551 3524 +445 -4150 +-252 -1850 +2896 -1448 +626 -2309 +-1909 -658 +2079 1641 +1722 1108 +6376 -1588 +5043 -858 +-1304 -2477 +-5120 -2048 +-1354 -1084 +7145 -2594 +-3183 -627 +-3170 1108 +-333 1480 +-372 -1139 +-731 -1426 +-2896 -1448 +5029 -3864 +139 -534 +3735 466 +2846 2676 +-4166 522 +423 -4736 +-2609 2998 +-2048 0 +1204 -2030 +922 -1686 +-949 1638 +1858 -2953 +-233 -3849 +-1674 -1560 +1638 -77 +3072 0 +1638 77 +-1674 1560 +-233 3849 +1858 2953 +-949 -1638 +922 1686 +1204 2030 +-2048 0 +784 -54 +-795 -272 +-4511 -4624 +-594 -5408 +1037 787 +-1434 -987 +-3684 3243 +-1024 4096 +6052 -4812 +4553 -4262 +-993 2242 +-1702 -2160 +-838 -1726 +1919 2115 +311 -4437 +-2048 0 +2166 -5562 +851 -2918 +-382 892 +4534 -6088 +1077 2537 +-4342 -516 +-2677 2798 +-1024 0 +-2677 -2798 +-4342 516 +1077 -2537 +4534 6088 +-382 -892 +851 2918 +2166 5562 +-2048 0 +311 4437 +1919 -2115 +-838 1726 +-1702 2160 +-993 -2242 +4553 4262 +6052 4812 +-1024 -4096 +-3684 -3243 +-1434 987 +1037 -787 +-594 5408 +-4511 4624 +-795 272 +784 54 +-2048 0 +1204 -2030 +922 -1686 +-949 1638 +1858 -2953 +-233 -3849 +-1674 -1560 +1638 -77 +-724 3796 +289 -3486 +6179 -150 +2121 -666 +-972 -3092 +512 -2104 +-1672 380 +-1189 6699 +1024 0 +-1189 -6699 +-1672 -380 +512 2104 +-972 3092 +2121 666 +6179 150 +289 3486 +-724 -3796 +724 -1046 +-3353 1859 +-1015 -244 +2154 -765 +2767 -486 +2421 3106 +1098 -228 +4096 3072 +1120 -4784 +-2302 -4070 +1006 5008 +-1306 -4262 +-1603 -2807 +1017 -2330 +1585 393 +724 2348 +-3927 1716 +-946 555 +-390 -2265 +-3972 3692 +2396 4831 +-1344 5229 +-5492 -479 +-1024 0 +-5492 479 +-1344 -5229 +2396 -4831 +-3972 -3692 +-390 2265 +-946 -555 +-3927 -1716 +724 -2348 +1585 -393 +1017 2330 +-1603 2807 +-1306 4262 +1006 -5008 +-2302 4070 +1120 4784 +4096 -3072 +1098 228 +2421 -3106 +2767 486 +2154 765 +-1015 244 +-3353 -1859 +724 1046 +-724 3796 +289 -3486 +6179 -150 +2121 -666 +-972 -3092 +512 -2104 +-1672 380 +-1189 6699 +724 -3796 +1064 2650 +-3485 2069 +-1746 578 +2838 -1826 +-1917 -3851 +-553 548 +1931 -514 +-1024 0 +1931 514 +-553 -548 +-1917 3851 +2838 1826 +-1746 -578 +-3485 -2069 +1064 -2650 +724 3796 +-2220 -3668 +-3113 -3533 +252 989 +1176 -932 +-202 489 +-486 -5131 +1205 -1612 +6144 -1024 +1679 -1302 +-1782 -823 +4074 -71 +-1176 1716 +-3370 -859 +2853 4412 +-475 -3413 +-724 -2348 +4528 501 +5442 -4012 +1967 471 +-2838 -3718 +-4852 394 +-7067 2063 +-1918 -2072 +5120 0 +-1918 2072 +-7067 -2063 +-4852 -394 +-2838 3718 +1967 -471 +5442 4012 +4528 -501 +-724 2348 +-475 3413 +2853 -4412 +-3370 859 +-1176 -1716 +4074 71 +-1782 823 +1679 1302 +6144 1024 +1205 1612 +-486 5131 +-202 -489 +1176 932 +252 -989 +-3113 3533 +-2220 3668 +724 -3796 +1064 2650 +-3485 2069 +-1746 578 +2838 -1826 +-1917 -3851 +-553 548 +1931 -514 +1324 5244 +4161 6127 +4183 -3636 +-1695 -6349 +3732 -2500 +974 -103 +-2523 4408 +1862 -5388 +-1024 0 +1862 5388 +-2523 -4408 +974 103 +3732 2500 +-1695 6349 +4183 3636 +4161 -6127 +1324 -5244 +1000 -382 +-232 3015 +-1808 -1653 +-1610 66 +-3847 -2013 +1408 -5479 +2728 614 +-2048 -3072 +4946 -1193 +1268 197 +-1695 -553 +-1286 5610 +-285 3236 +-876 664 +-3926 573 +2772 900 +-794 1140 +-3075 1285 +213 1790 +-836 -148 +-3441 307 +-153 1269 +1607 1701 +-3072 0 +1607 -1701 +-153 -1269 +-3441 -307 +-836 148 +213 -1790 +-3075 -1285 +-794 -1140 +2772 -900 +-3926 -573 +-876 -664 +-285 -3236 +-1286 -5610 +-1695 553 +1268 -197 +4946 1193 +-2048 3072 +2728 -614 +1408 5479 +-3847 2013 +-1610 -66 +-1808 1653 +-232 -3015 +1000 382 +1324 5244 +4161 6127 +4183 -3636 +-1695 -6349 +3732 -2500 +974 -103 +-2523 4408 +1862 -5388 +1448 8440 +467 -988 +-5520 681 +-2560 265 +529 -424 +668 445 +1426 -2211 +56 2050 +-1024 0 +56 -2050 +1426 2211 +668 -445 +529 424 +-2560 -265 +-5520 -681 +467 988 +1448 -8440 +-598 -2951 +-1174 2116 +-7310 129 +-1482 2472 +2543 -190 +-6565 -1268 +-611 -3378 +5120 2048 +-202 -492 +1398 271 +4034 3239 +4978 -2472 +2342 1715 +-1998 -752 +-1429 979 +-1448 -248 +911 3350 +1699 7539 +-1609 -2463 +70 -424 +1892 -800 +2542 -1545 +1406 -731 +-1024 0 +1406 731 +2542 1545 +1892 800 +70 424 +-1609 2463 +1699 -7539 +911 -3350 +-1448 248 +-1429 -979 +-1998 752 +2342 -1715 +4978 2472 +4034 -3239 +1398 -271 +-202 492 +5120 -2048 +-611 3378 +-6565 1268 +2543 190 +-1482 -2472 +-7310 -129 +-1174 -2116 +-598 2951 +1448 8440 +467 -988 +-5520 681 +-2560 265 +529 -424 +668 445 +1426 -2211 +56 2050 +-1024 -1024 +-3272 -2757 +529 -3192 +945 943 +1338 -1748 +1197 -2183 +-1223 5890 +-1857 1077 +-5120 0 +-1857 -1077 +-1223 -5890 +1197 2183 +1338 1748 +945 -943 +529 3192 +-3272 2757 +-1024 1024 +-505 -1394 +1880 -2040 +-1039 994 +-554 -300 +3339 -3285 +4048 -3055 +2579 -8511 +-3072 -4096 +-526 1177 +336 -2871 +1734 5007 +554 300 +1139 -4486 +3183 3349 +-427 995 +-1024 -1024 +-2477 -1093 +1400 1588 +284 -4176 +-1338 -1748 +-1806 6929 +-1961 -4506 +692 -3420 +-1024 0 +692 3420 +-1961 4506 +-1806 -6929 +-1338 1748 +284 4176 +1400 -1588 +-2477 1093 +-1024 1024 +-427 -995 +3183 -3349 +1139 4486 +554 -300 +1734 -5007 +336 2871 +-526 -1177 +-3072 4096 +2579 8511 +4048 3055 +3339 3285 +-554 300 +-1039 -994 +1880 2040 +-505 1394 +-1024 -1024 +-3272 -2757 +529 -3192 +945 943 +1338 -1748 +1197 -2183 +-1223 5890 +-1857 1077 +300 2772 +523 -266 +4498 204 +164 848 +912 3548 +2428 4039 +-4737 1654 +-550 823 +7168 0 +-550 -823 +-4737 -1654 +2428 -4039 +912 -3548 +164 -848 +4498 -204 +523 266 +300 -2772 +2831 -493 +2004 -3327 +1059 -3749 +-986 3778 +-1201 1481 +-923 -51 +2489 697 +0 3072 +-4592 -262 +546 -1159 +-1308 -1177 +-1311 -318 +641 1559 +-1481 -651 +4388 8791 +1748 1324 +-2391 -1594 +-3325 2472 +-4080 -3549 +5480 548 +2298 -3122 +-4776 -2763 +-2698 -1340 +-3072 0 +-2698 1340 +-4776 2763 +2298 3122 +5480 -548 +-4080 3549 +-3325 -2472 +-2391 1594 +1748 -1324 +4388 -8791 +-1481 651 +641 -1559 +-1311 318 +-1308 1177 +546 1159 +-4592 262 +0 -3072 +2489 -697 +-923 51 +-1201 -1481 +-986 -3778 +1059 3749 +2004 3327 +2831 493 +300 2772 +523 -266 +4498 204 +164 848 +912 3548 +2428 4039 +-4737 1654 +-550 823 +-3372 1148 +1165 7331 +5050 1142 +-142 -3074 +1526 -2369 +3130 -399 +536 -910 +816 -2346 +1024 0 +816 2346 +536 910 +3130 399 +1526 2369 +-142 3074 +5050 -1142 +1165 -7331 +-3372 -1148 +1496 1290 +3539 -642 +1137 1755 +-816 3876 +-3314 1852 +-3786 -3042 +4050 -438 +4096 -1024 +-2884 831 +-1446 -2546 +-1800 -546 +-32 4476 +-396 -3083 +-3658 2218 +-1508 250 +-4820 -3196 +-2041 190 +-2034 2917 +-5063 820 +3418 -1127 +655 2985 +1800 -5586 +4699 -1810 +-1024 0 +4699 1810 +1800 5586 +655 -2985 +3418 1127 +-5063 -820 +-2034 -2917 +-2041 -190 +-4820 3196 +-1508 -250 +-3658 -2218 +-396 3083 +-32 -4476 +-1800 546 +-1446 2546 +-2884 -831 +4096 1024 +4050 438 +-3786 3042 +-3314 -1852 +-816 -3876 +1137 -1755 +3539 642 +1496 -1290 +-3372 1148 +1165 7331 +5050 1142 +-142 -3074 +1526 -2369 +3130 -399 +536 -910 +816 -2346 +1624 -5368 +1576 -1949 +-3476 -1296 +1588 -3277 +1938 808 +178 -495 +2657 -2222 +-2772 -4406 +-11264 0 +-2772 4406 +2657 2222 +178 495 +1938 -808 +1588 3277 +-3476 1296 +1576 1949 +1624 5368 +-3124 321 +2770 -113 +-1892 1145 +2942 928 +127 -3530 +-5082 -2977 +5361 -248 +1024 -2048 +1690 3121 +239 2412 +512 1819 +4050 4424 +-1210 1988 +-1374 -1496 +1941 -2046 +4520 3320 +-1282 910 +-4912 1112 +-2949 3233 +-738 -1408 +-2146 -837 +987 618 +2401 3312 +-3072 0 +2401 -3312 +987 -618 +-2146 837 +-738 1408 +-2949 -3233 +-4912 -1112 +-1282 -910 +4520 -3320 +1941 2046 +-1374 1496 +-1210 -1988 +4050 -4424 +512 -1819 +239 -2412 +1690 -3121 +1024 2048 +5361 248 +-5082 2977 +127 3530 +2942 -928 +-1892 -1145 +2770 113 +-3124 -321 +1624 -5368 +1576 -1949 +-3476 -1296 +1588 -3277 +1938 808 +178 -495 +2657 -2222 +-2772 -4406 +-2596 300 +1265 1532 +-3210 -3057 +-1018 1487 +4267 222 +-3315 -4872 +-4066 314 +282 2939 +4096 0 +282 -2939 +-4066 -314 +-3315 4872 +4267 -222 +-1018 -1487 +-3210 3057 +1265 -1532 +-2596 -300 +4583 2870 +3060 -934 +826 2690 +-4977 1116 +-4684 -4497 +288 2323 +-7620 2111 +1024 -5120 +1572 -3411 +820 -1617 +365 -1442 +-5760 -332 +165 362 +-384 1898 +1388 1389 +4644 1748 +3229 3355 +534 1793 +266 43 +2374 -1670 +1603 -2201 +2958 -158 +1093 307 +-2048 0 +1093 -307 +2958 158 +1603 2201 +2374 1670 +266 -43 +534 -1793 +3229 -3355 +4644 -1748 +1388 -1389 +-384 -1898 +165 -362 +-5760 332 +365 1442 +820 1617 +1572 3411 +1024 5120 +-7620 -2111 +288 -2323 +-4684 4497 +-4977 -1116 +826 -2690 +3060 934 +4583 -2870 +-2596 300 +1265 1532 +-3210 -3057 +-1018 1487 +4267 222 +-3315 -4872 +-4066 314 +282 2939 +4820 724 +2904 -2915 +-628 -2623 +-4366 -861 +-2454 -162 +1475 686 +-1428 1329 +-3649 -1060 +-4096 0 +-3649 1060 +-1428 -1329 +1475 -686 +-2454 162 +-4366 861 +-628 2623 +2904 2915 +4820 -724 +3943 -392 +745 3686 +-1314 16 +2224 -2284 +-208 -3515 +3371 -402 +1322 7188 +-3072 1024 +3583 1122 +-1479 7166 +-985 1939 +-776 -2284 +-445 -4307 +39 551 +-647 2455 +3372 -724 +-407 1666 +-156 -512 +332 633 +1006 162 +-6074 672 +-464 6239 +4536 -909 +-6144 0 +4536 909 +-464 -6239 +-6074 -672 +1006 -162 +332 -633 +-156 512 +-407 -1666 +3372 724 +-647 -2455 +39 -551 +-445 4307 +-776 2284 +-985 -1939 +-1479 -7166 +3583 -1122 +-3072 -1024 +1322 -7188 +3371 402 +-208 3515 +2224 2284 +-1314 -16 +745 -3686 +3943 392 +4820 724 +2904 -2915 +-628 -2623 +-4366 -861 +-2454 -162 +1475 686 +-1428 1329 +-3649 -1060 +1324 -3796 +-77 -413 +-1690 1178 +-3175 998 +1944 776 +3835 -1097 +-4018 -4229 +1335 -5168 +11264 0 +1335 5168 +-4018 4229 +3835 1097 +1944 -776 +-3175 -998 +-1690 -1178 +-77 413 +1324 3796 +2382 782 +-291 3522 +-1755 3417 +3962 2454 +5733 665 +-321 -4272 +-1261 2220 +-2048 -3072 +-3857 -1445 +949 -332 +1037 -3115 +-1065 1006 +-276 -5056 +-2865 690 +884 -2139 +2772 -2348 +-2950 3523 +751 87 +533 994 +-4840 -2224 +-140 1990 +-706 4073 +-2248 1741 +1024 0 +-2248 -1741 +-706 -4073 +-140 -1990 +-4840 2224 +533 -994 +751 -87 +-2950 -3523 +2772 2348 +884 2139 +-2865 -690 +-276 5056 +-1065 -1006 +1037 3115 +949 332 +-3857 1445 +-2048 3072 +-1261 -2220 +-321 4272 +5733 -665 +3962 -2454 +-1755 -3417 +-291 -3522 +2382 -782 +1324 -3796 +-77 -413 +-1690 1178 +-3175 998 +1944 776 +3835 -1097 +-4018 -4229 +1335 -5168 +2596 300 +-689 2732 +-2300 -1763 +4504 1429 +-262 3458 +273 -2170 +2997 2127 +-1087 -1524 +2048 0 +-1087 1524 +2997 -2127 +273 2170 +-262 -3458 +4504 -1429 +-2300 1763 +-689 -2732 +2596 -300 +1808 537 +-1113 3077 +4041 -676 +4756 -5904 +-2124 940 +-3181 3971 +-5816 -1861 +-3072 -1024 +3259 -641 +4509 -5541 +896 370 +188 1336 +83 518 +2001 1904 +-2148 -3626 +-4644 1748 +349 5449 +-4380 2287 +-380 782 +-586 3783 +-1501 5016 +1467 -1750 +-1470 1103 +0 0 +-1470 -1103 +1467 1750 +-1501 -5016 +-586 -3783 +-380 -782 +-4380 -2287 +349 -5449 +-4644 -1748 +-2148 3626 +2001 -1904 +83 -518 +188 -1336 +896 -370 +4509 5541 +3259 641 +-3072 1024 +-5816 1861 +-3181 -3971 +-2124 -940 +4756 5904 +4041 676 +-1113 -3077 +1808 -537 +2596 300 +-689 2732 +-2300 -1763 +4504 1429 +-262 3458 +273 -2170 +2997 2127 +-1087 -1524 +-1148 300 +-1617 2208 +-3009 -3282 +-983 334 +862 2454 +4266 -2131 +469 -2055 +-1583 -3113 +2048 0 +-1583 3113 +469 2055 +4266 2131 +862 -2454 +-983 -334 +-3009 3282 +-1617 -2208 +-1148 -300 +-22 -36 +4798 1190 +-1271 -1967 +-1260 -2224 +3759 3505 +-4587 579 +-3697 2455 +1024 -5120 +-1876 -2517 +-357 1124 +246 -5125 +3308 -776 +3058 -3127 +-3950 -1590 +-199 193 +3196 1748 +1396 -4195 +3857 -5291 +2771 3857 +1186 -1006 +-261 -1147 +-5413 -3193 +-3989 -4076 +0 0 +-3989 4076 +-5413 3193 +-261 1147 +1186 1006 +2771 -3857 +3857 5291 +1396 4195 +3196 -1748 +-199 -193 +-3950 1590 +3058 3127 +3308 776 +246 5125 +-357 -1124 +-1876 2517 +1024 5120 +-3697 -2455 +-4587 -579 +3759 -3505 +-1260 2224 +-1271 1967 +4798 -1190 +-22 36 +-1148 300 +-1617 2208 +-3009 -3282 +-983 334 +862 2454 +4266 -2131 +469 -2055 +-1583 -3113 +-3796 724 +3660 -6149 +395 -5283 +-1909 -4896 +-1450 -2634 +3373 -132 +352 -1857 +-5941 -1150 +-1024 0 +-5941 1150 +352 1857 +3373 132 +-1450 2634 +-1909 4896 +395 5283 +3660 6149 +-3796 -724 +-3895 -4286 +-1215 394 +1177 -1255 +-1456 -1860 +502 1821 +1940 392 +-3621 -2629 +2048 -3072 +-58 1794 +2340 4997 +-104 -41 +-2889 -2708 +4061 -3194 +-77 -2882 +-1382 859 +-2348 -724 +2504 -738 +2097 3207 +1135 -588 +5794 -2310 +-2442 517 +2361 -531 +2940 -666 +-7168 0 +2940 666 +2361 531 +-2442 -517 +5794 2310 +1135 588 +2097 -3207 +2504 738 +-2348 724 +-1382 -859 +-77 2882 +4061 3194 +-2889 2708 +-104 41 +2340 -4997 +-58 -1794 +2048 3072 +-3621 2629 +1940 -392 +502 -1821 +-1456 1860 +1177 1255 +-1215 -394 +-3895 4286 +-3796 724 +3660 -6149 +395 -5283 +-1909 -4896 +-1450 -2634 +3373 -132 +352 -1857 +-5941 -1150 +3796 1148 +-4904 -531 +-5954 1335 +-439 -3888 +-632 -332 +1796 6771 +2236 -1853 +2348 1301 +2048 0 +2348 -1301 +2236 1853 +1796 -6771 +-632 332 +-439 3888 +-5954 -1335 +-4904 531 +3796 -1148 +1544 -1552 +3052 -1578 +-1206 -223 +-1970 -222 +3232 -2340 +4357 -2703 +-2409 -1040 +-5120 1024 +5233 957 +-3028 129 +-3678 -3334 +-78 -1670 +-4650 -1882 +-2164 -1734 +-2270 524 +2348 -3196 +959 3806 +-727 2605 +264 -4763 +-1416 -1116 +-1112 -773 +2228 588 +5293 -504 +4096 0 +5293 504 +2228 -588 +-1112 773 +-1416 1116 +264 4763 +-727 -2605 +959 -3806 +2348 3196 +-2270 -524 +-2164 1734 +-4650 1882 +-78 1670 +-3678 3334 +-3028 -129 +5233 -957 +-5120 -1024 +-2409 1040 +4357 2703 +3232 2340 +-1970 222 +-1206 223 +3052 1578 +1544 1552 +3796 1148 +-4904 -531 +-5954 1335 +-439 -3888 +-632 -332 +1796 6771 +2236 -1853 +2348 1301 +-2048 3496 +2089 -1602 +2316 969 +-1113 2355 +-60 4170 +520 -342 +2299 97 +2793 -4676 +1024 0 +2793 4676 +2299 -97 +520 342 +-60 -4170 +-1113 -2355 +2316 -969 +2089 1602 +-2048 -3496 +380 -5490 +691 518 +-339 -2909 +1168 -398 +-2106 9 +-2638 990 +970 1679 +3072 4096 +5536 439 +3421 -119 +-790 5513 +-2616 -4494 +-3836 2271 +-2583 3194 +-3747 -2736 +-2048 600 +4854 -5092 +-424 1707 +-5856 4704 +1508 -74 +1935 -467 +-3083 -1206 +-1290 2180 +1024 0 +-1290 -2180 +-3083 1206 +1935 467 +1508 74 +-5856 -4704 +-424 -1707 +4854 5092 +-2048 -600 +-3747 2736 +-2583 -3194 +-3836 -2271 +-2616 4494 +-790 -5513 +3421 119 +5536 -439 +3072 -4096 +970 -1679 +-2638 -990 +-2106 -9 +1168 398 +-339 2909 +691 -518 +380 5490 +-2048 3496 +2089 -1602 +2316 969 +-1113 2355 +-60 4170 +520 -342 +2299 97 +2793 -4676 +-2172 3796 +-1080 3421 +3706 1680 +3658 -1339 +-392 1716 +342 776 +1028 -3519 +1504 5344 +3072 0 +1504 -5344 +1028 3519 +342 -776 +-392 -1716 +3658 1339 +3706 -1680 +-1080 -3421 +-2172 -3796 +-432 6108 +1392 -965 +1301 -5903 +946 1826 +91 -1009 +-2842 1351 +-2076 -3773 +0 -1024 +912 4424 +1514 2134 +-2279 -241 +-946 -3718 +2662 1190 +-2280 927 +-791 3596 +2172 2348 +-1273 380 +2975 212 +3524 -1016 +392 932 +2287 -1264 +-5492 4303 +-8349 974 +-3072 0 +-8349 -974 +-5492 -4303 +2287 1264 +392 -932 +3524 1016 +2975 -212 +-1273 -380 +2172 -2348 +-791 -3596 +-2280 -927 +2662 -1190 +-946 3718 +-2279 241 +1514 -2134 +912 -4424 +0 1024 +-2076 3773 +-2842 -1351 +91 1009 +946 -1826 +1301 5903 +1392 965 +-432 -6108 +-2172 3796 +-1080 3421 +3706 1680 +3658 -1339 +-392 1716 +342 776 +1028 -3519 +1504 5344 +124 -300 +-5219 230 +404 -1190 +-189 -322 +586 -1690 +3241 104 +-3694 4318 +-2437 2740 +5120 0 +-2437 -2740 +-3694 -4318 +3241 -104 +586 1690 +-189 322 +404 1190 +-5219 -230 +124 300 +3029 3144 +-1098 -881 +1739 -5248 +-188 -4372 +3327 -848 +-511 4616 +103 4378 +2048 1024 +-2900 2920 +7311 1903 +-1595 -813 +-4756 2021 +225 3143 +-2567 1611 +-853 -180 +-4220 -1748 +1714 1430 +365 2482 +-2663 153 +262 3986 +1708 5356 +-209 -38 +770 -1614 +7168 0 +770 1614 +-209 38 +1708 -5356 +262 -3986 +-2663 -153 +365 -2482 +1714 -1430 +-4220 1748 +-853 180 +-2567 -1611 +225 -3143 +-4756 -2021 +-1595 813 +7311 -1903 +-2900 -2920 +2048 -1024 +103 -4378 +-511 -4616 +3327 848 +-188 4372 +1739 5248 +-1098 881 +3029 -3144 +124 -300 +-5219 230 +404 -1190 +-189 -322 +586 -1690 +3241 104 +-3694 4318 +-2437 2740 +-2896 2048 +-1707 1051 +3745 -3275 +-3839 1842 +-4194 -3072 +-308 -622 +61 6589 +-895 2286 +-7168 0 +-895 -2286 +61 -6589 +-308 622 +-4194 3072 +-3839 -1842 +3745 3275 +-1707 -1051 +-2896 -2048 +-2571 1337 +2375 -3445 +3432 -651 +-2422 -3072 +-581 2150 +1460 6612 +-450 -1951 +1024 0 +5323 -296 +-77 -1608 +-1688 331 +1822 3072 +1432 1980 +-771 -1905 +3613 3424 +2896 2048 +-3119 -532 +1643 -481 +-2593 -2996 +698 -3072 +4145 -4982 +-245 -3721 +-194 -2199 +-3072 0 +-194 2199 +-245 3721 +4145 4982 +698 3072 +-2593 2996 +1643 481 +-3119 532 +2896 -2048 +3613 -3424 +-771 1905 +1432 -1980 +1822 -3072 +-1688 -331 +-77 1608 +5323 296 +1024 0 +-450 1951 +1460 -6612 +-581 -2150 +-2422 3072 +3432 651 +2375 3445 +-2571 -1337 +-2896 2048 +-1707 1051 +3745 -3275 +-3839 1842 +-4194 -3072 +-308 -622 +61 6589 +-895 2286 +1624 -3496 +-3787 -323 +-4276 2476 +-1518 4213 +2302 2832 +2331 2082 +-31 -155 +4155 -6576 +6144 0 +4155 6576 +-31 155 +2331 -2082 +2302 -2832 +-1518 -4213 +-4276 -2476 +-3787 323 +1624 3496 +-151 -3 +-1441 -3041 +-15 -1100 +1638 156 +1074 1621 +-1707 -1454 +-5582 -925 +-4096 2048 +686 -3528 +442 -2563 +-1061 249 +-1038 -3940 +5596 -2905 +-2499 -365 +166 367 +4520 -600 +-7373 -1524 +4120 200 +3946 -667 +1194 1264 +1232 1897 +-2800 -954 +301 1756 +-2048 0 +301 -1756 +-2800 954 +1232 -1897 +1194 -1264 +3946 667 +4120 -200 +-7373 1524 +4520 600 +166 -367 +-2499 365 +5596 2905 +-1038 3940 +-1061 -249 +442 2563 +686 3528 +-4096 -2048 +-5582 925 +-1707 1454 +1074 -1621 +1638 -156 +-15 1100 +-1441 3041 +-151 3 +1624 -3496 +-3787 -323 +-4276 2476 +-1518 4213 +2302 2832 +2331 2082 +-31 -155 +4155 -6576 +-2648 -2896 +-1004 2050 +-644 1520 +1039 -3456 +1388 5278 +-1079 2564 +1384 -48 +2435 -4799 +1024 0 +2435 4799 +1384 48 +-1079 -2564 +1388 -5278 +1039 3456 +-644 -1520 +-1004 -2050 +-2648 2896 +2647 -1842 +4230 -3352 +696 -2361 +-280 2278 +-1290 -3826 +3811 609 +2355 4029 +1024 -2048 +4776 -136 +-1438 129 +-928 243 +-4064 -1818 +-6756 2328 +2386 2481 +-4141 906 +-5544 2896 +44 19 +-1876 216 +4342 -103 +2956 -1182 +-1816 -951 +340 3663 +-1317 5746 +-3072 0 +-1317 -5746 +340 -3663 +-1816 951 +2956 1182 +4342 103 +-1876 -216 +44 -19 +-5544 -2896 +-4141 -906 +2386 -2481 +-6756 -2328 +-4064 1818 +-928 -243 +-1438 -129 +4776 136 +1024 2048 +2355 -4029 +3811 -609 +-1290 3826 +-280 -2278 +696 2361 +4230 3352 +2647 1842 +-2648 -2896 +-1004 2050 +-644 1520 +1039 -3456 +1388 5278 +-1079 2564 +1384 -48 +2435 -4799 +-5968 0 +-2735 -534 +-727 -364 +-2065 -679 +-2192 3726 +-4003 -1391 +1133 -5691 +1837 -956 +-4096 0 +1837 956 +1133 5691 +-4003 1391 +-2192 -3726 +-2065 679 +-727 364 +-2735 534 +-5968 0 +-3746 915 +3174 4770 +3829 3184 +-2532 -2630 +1658 -4067 +2052 -3131 +-94 -2079 +6144 2048 +2676 -4058 +-788 2073 +474 2320 +-964 -3830 +-1277 5122 +766 -2001 +4616 -1497 +-176 0 +-3487 339 +882 1785 +1730 -2735 +1592 3267 +-345 2427 +1698 2704 +933 1193 +-4096 0 +933 -1193 +1698 -2704 +-345 -2427 +1592 -3267 +1730 2735 +882 -1785 +-3487 -339 +-176 0 +4616 1497 +766 2001 +-1277 -5122 +-964 3830 +474 -2320 +-788 -2073 +2676 4058 +6144 -2048 +-94 2079 +2052 3131 +1658 4067 +-2532 2630 +3829 -3184 +3174 -4770 +-3746 -915 +-5968 0 +-2735 -534 +-727 -364 +-2065 -679 +-2192 3726 +-4003 -1391 +1133 -5691 +1837 -956 +3072 -848 +685 -1074 +2859 1128 +1876 821 +-1278 3856 +1097 -2510 +1590 -2659 +145 -1363 +0 0 +145 1363 +1590 2659 +1097 2510 +-1278 -3856 +1876 -821 +2859 -1128 +685 1074 +3072 848 +-3541 1839 +-6304 -205 +3920 -6687 +-614 1180 +-4107 -400 +2043 -1253 +-1611 -3173 +0 0 +1040 3314 +-4076 -3329 +423 570 +2062 -4964 +-2023 -7381 +-4436 -4810 +-2237 180 +3072 4944 +629 -1473 +889 1260 +2908 2948 +-170 2288 +1700 2152 +-757 -617 +-903 1170 +4096 0 +-903 -1170 +-757 617 +1700 -2152 +-170 -2288 +2908 -2948 +889 -1260 +629 1473 +3072 -4944 +-2237 -180 +-4436 4810 +-2023 7381 +2062 4964 +423 -570 +-4076 3329 +1040 -3314 +0 0 +-1611 3173 +2043 1253 +-4107 400 +-614 -1180 +3920 6687 +-6304 205 +-3541 -1839 +3072 -848 +685 -1074 +2859 1128 +1876 821 +-1278 3856 +1097 -2510 +1590 -2659 +145 -1363 +724 -4045 +-2974 1592 +-2739 4969 +-3433 1800 +-4996 2564 +1383 630 +234 3734 +443 1524 +3072 0 +443 -1524 +234 -3734 +1383 -630 +-4996 -2564 +-3433 -1800 +-2739 -4969 +-2974 -1592 +724 4045 +-330 -2978 +2844 -136 +-3980 -709 +-2330 -3118 +3672 2958 +1226 609 +-231 -1626 +-4096 3072 +-537 1037 +1931 -3331 +6274 1345 +1130 1226 +-2026 1571 +1880 2696 +-3029 1217 +-724 6093 +-997 -293 +2111 -6234 +1632 -2416 +-1996 1780 +2270 652 +705 -3578 +1863 4035 +5120 0 +1863 -4035 +705 3578 +2270 -652 +-1996 -1780 +1632 2416 +2111 6234 +-997 293 +-724 -6093 +-3029 -1217 +1880 -2696 +-2026 -1571 +1130 -1226 +6274 -1345 +1931 3331 +-537 -1037 +-4096 -3072 +-231 1626 +1226 -609 +3672 -2958 +-2330 3118 +-3980 709 +2844 136 +-330 2978 +724 -4045 +-2974 1592 +-2739 4969 +-3433 1800 +-4996 2564 +1383 630 +234 3734 +443 1524 +424 3496 +1968 3428 +0 -4917 +-3948 2642 +-5428 4494 +20 -4824 +3002 1389 +43 4175 +2048 0 +43 -4175 +3002 -1389 +20 4824 +-5428 -4494 +-3948 -2642 +0 4917 +1968 -3428 +424 -3496 +3377 2052 +1254 251 +4319 3687 +4488 4170 +2241 -3096 +3480 -335 +-755 -406 +-2048 0 +-1216 2961 +2248 -3010 +-168 -1003 +704 74 +-578 1219 +-3995 -857 +-1172 -5622 +-2472 600 +1179 42 +1044 3809 +-2010 2900 +-3861 -398 +124 3342 +1159 -4065 +-3424 -1249 +-2048 0 +-3424 1249 +1159 4065 +124 -3342 +-3861 398 +-2010 -2900 +1044 -3809 +1179 -42 +-2472 -600 +-1172 5622 +-3995 857 +-578 -1219 +704 -74 +-168 1003 +2248 3010 +-1216 -2961 +-2048 0 +-755 406 +3480 335 +2241 3096 +4488 -4170 +4319 -3687 +1254 -251 +3377 -2052 +424 3496 +1968 3428 +0 -4917 +-3948 2642 +-5428 4494 +20 -4824 +3002 1389 +43 4175 +7292 2772 +-724 -2701 +-3137 2145 +-3241 2117 +66 -926 +4746 3726 +-410 -1886 +-3642 3310 +-5120 0 +-3642 -3310 +-410 1886 +4746 -3726 +66 926 +-3241 -2117 +-3137 -2145 +-724 2701 +7292 -2772 +976 1111 +-1269 -198 +-125 648 +-148 4312 +-1383 1529 +-2492 2652 +2271 5004 +2048 5120 +3167 -1539 +3156 95 +723 2988 +-2500 -3528 +2581 -2421 +1712 1030 +-2774 1637 +2948 1324 +-1262 698 +-203 1979 +-782 2694 +-5610 -2818 +-2519 -2579 +2642 2225 +1988 -4190 +-3072 0 +1988 4190 +2642 -2225 +-2519 2579 +-5610 2818 +-782 -2694 +-203 -1979 +-1262 -698 +2948 -1324 +-2774 -1637 +1712 -1030 +2581 2421 +-2500 3528 +723 -2988 +3156 -95 +3167 1539 +2048 -5120 +2271 -5004 +-2492 -2652 +-1383 -1529 +-148 -4312 +-125 -648 +-1269 198 +976 -1111 +7292 2772 +-724 -2701 +-3137 2145 +-3241 2117 +66 -926 +4746 3726 +-410 -1886 +-3642 3310 +-1448 -2472 +2918 2242 +-2412 -357 +-260 3621 +6016 4138 +-2004 3574 +-1036 7468 +3211 1020 +0 0 +3211 -1020 +-1036 -7468 +-2004 -3574 +6016 -4138 +-260 -3621 +-2412 357 +2918 -2242 +-1448 2472 +-2245 1853 +1979 2087 +1183 -1781 +-1773 -5883 +-1640 2051 +-1938 705 +-2469 157 +2048 0 +860 2647 +-3414 -3287 +-1201 -5484 +-1124 2558 +-618 -2379 +238 -172 +-163 -2162 +1448 424 +-510 974 +195 -4302 +-305 -747 +-3120 -3890 +4844 555 +6387 2525 +-1602 508 +-4096 0 +-1602 -508 +6387 -2525 +4844 -555 +-3120 3890 +-305 747 +195 4302 +-510 -974 +1448 -424 +-163 2162 +238 172 +-618 2379 +-1124 -2558 +-1201 5484 +-3414 3287 +860 -2647 +2048 0 +-2469 -157 +-1938 -705 +-1640 -2051 +-1773 5883 +1183 1781 +1979 -2087 +-2245 -1853 +-1448 -2472 +2918 2242 +-2412 -357 +-260 3621 +6016 4138 +-2004 3574 +-1036 7468 +3211 1020 +2048 -3072 +-5939 1601 +-3366 1207 +35 -4551 +-3120 -614 +2835 2455 +796 4524 +-1281 -3386 +0 0 +-1281 3386 +796 -4524 +2835 -2455 +-3120 614 +35 4551 +-3366 -1207 +-5939 -1601 +2048 3072 +-5138 -3256 +-126 1684 +3957 2314 +-1124 -170 +-2162 650 +-2750 408 +2210 4730 +4096 2048 +4534 -570 +702 -2424 +-1014 -139 +-1773 1278 +-1902 -2624 +-1922 1840 +-1097 -176 +2048 -3072 +-1426 -1418 +1318 -5147 +5239 -3283 +6016 2062 +-1196 -349 +-2844 -3260 +2344 983 +0 0 +2344 -983 +-2844 3260 +-1196 349 +6016 -2062 +5239 3283 +1318 5147 +-1426 1418 +2048 3072 +-1097 176 +-1922 -1840 +-1902 2624 +-1773 -1278 +-1014 139 +702 2424 +4534 570 +4096 -2048 +2210 -4730 +-2750 -408 +-2162 -650 +-1124 170 +3957 -2314 +-126 -1684 +-5138 3256 +2048 -3072 +-5939 1601 +-3366 1207 +35 -4551 +-3120 -614 +2835 2455 +796 4524 +-1281 -3386 +1024 -1024 +-1518 -3700 +5752 -502 +-168 469 +1448 -854 +-3017 -1041 +-6791 1377 +686 -3303 +-1024 0 +686 3303 +-6791 -1377 +-3017 1041 +1448 854 +-168 -469 +5752 502 +-1518 3700 +1024 1024 +1817 -61 +-6665 6732 +-3736 180 +-1448 -3086 +-1728 2180 +3312 2917 +258 -450 +-1024 -2048 +5269 721 +-517 -4887 +-1256 -5001 +-1448 410 +-1248 1779 +3221 -613 +2899 -6439 +1024 -1024 +-1190 2986 +589 1846 +-2209 819 +1448 254 +1776 1741 +1100 -492 +3364 1945 +-1024 0 +3364 -1945 +1100 492 +1776 -1741 +1448 -254 +-2209 -819 +589 -1846 +-1190 -2986 +1024 1024 +2899 6439 +3221 613 +-1248 -1779 +-1448 -410 +-1256 5001 +-517 4887 +5269 -721 +-1024 2048 +258 450 +3312 -2917 +-1728 -2180 +-1448 3086 +-3736 -180 +-6665 -6732 +1817 61 +1024 -1024 +-1518 -3700 +5752 -502 +-168 469 +1448 -854 +-3017 -1041 +-6791 1377 +686 -3303 +124 -2172 +-1296 -1901 +-2257 339 +2213 4436 +2030 -3094 +-40 -5261 +-1526 3771 +66 -6668 +0 0 +66 6668 +-1526 -3771 +-40 5261 +2030 3094 +2213 -4436 +-2257 -339 +-1296 1901 +124 2172 +-5233 -3398 +-124 -2975 +-475 3823 +248 4600 +-258 1172 +-561 3553 +1572 2884 +-1024 -3072 +4860 -2376 +2114 -1051 +3823 2780 +3248 3752 +-326 2024 +5031 300 +487 -1080 +-4220 2172 +-5990 -764 +245 1737 +2133 2323 +-1430 -1851 +-1278 1444 +-2923 -1384 +-258 -1176 +2048 0 +-258 1176 +-2923 1384 +-1278 -1444 +-1430 1851 +2133 -2323 +245 -1737 +-5990 764 +-4220 -2172 +487 1080 +5031 -300 +-326 -2024 +3248 -3752 +3823 -2780 +2114 1051 +4860 2376 +-1024 3072 +1572 -2884 +-561 -3553 +-258 -1172 +248 -4600 +-475 -3823 +-124 2975 +-5233 3398 +124 -2172 +-1296 -1901 +-2257 339 +2213 4436 +2030 -3094 +-40 -5261 +-1526 3771 +66 -6668 +-724 -1572 +-4109 938 +-573 -7855 +2887 1014 +3682 4286 +-316 962 +1365 2124 +2772 -1556 +-2048 0 +2772 1556 +1365 -2124 +-316 -962 +3682 -4286 +2887 -1014 +-573 7855 +-4109 -938 +-724 1572 +145 1776 +1766 -734 +2317 2809 +-1885 -273 +-1612 4406 +-2130 -1984 +-3322 -2325 +-7168 3072 +-1808 796 +2286 1081 +-2928 1569 +3333 2624 +3078 -3723 +-502 -1142 +1957 4277 +724 5668 +1328 2243 +3405 -2441 +-33 702 +-5130 -1390 +2400 -1343 +2575 -756 +-2755 -436 +0 0 +-2755 436 +2575 756 +2400 1343 +-5130 1390 +-33 -702 +3405 2441 +1328 -2243 +724 -5668 +1957 -4277 +-502 1142 +3078 3723 +3333 -2624 +-2928 -1569 +2286 -1081 +-1808 -796 +-7168 -3072 +-3322 2325 +-2130 1984 +-1612 -4406 +-1885 273 +2317 -2809 +1766 734 +145 -1776 +-724 -1572 +-4109 938 +-573 -7855 +2887 1014 +3682 4286 +-316 962 +1365 2124 +2772 -1556 +4344 1448 +1578 2641 +48 1735 +-5368 -551 +-1168 -1846 +-1321 -1119 +-4671 1047 +1414 -2209 +5120 0 +1414 2209 +-4671 -1047 +-1321 1119 +-1168 1846 +-5368 551 +48 -1735 +1578 -2641 +4344 -1448 +3065 61 +-4192 -1463 +-119 192 +-1508 1374 +-3178 2015 +3001 2771 +243 -247 +-3072 4096 +-658 -1112 +-650 -1234 +-2160 -2177 +60 -5618 +-203 4545 +-1484 -1683 +-1439 -4468 +-4344 -1448 +2589 4494 +5628 3837 +-102 -2327 +2616 3046 +866 -2112 +2320 741 +4794 4816 +1024 0 +4794 -4816 +2320 -741 +866 2112 +2616 -3046 +-102 2327 +5628 -3837 +2589 -4494 +-4344 1448 +-1439 4468 +-1484 1683 +-203 -4545 +60 5618 +-2160 2177 +-650 1234 +-658 1112 +-3072 -4096 +243 247 +3001 -2771 +-3178 -2015 +-1508 -1374 +-119 -192 +-4192 1463 +3065 -61 +4344 1448 +1578 2641 +48 1735 +-5368 -551 +-1168 -1846 +-1321 -1119 +-4671 1047 +1414 -2209 +2348 -2348 +-2065 1101 +492 -2301 +-1443 -2327 +-3104 1631 +-1786 -157 +544 544 +3105 -2781 +-2048 0 +3105 2781 +544 -544 +-1786 157 +-3104 -1631 +-1443 2327 +492 2301 +-2065 -1101 +2348 2348 +-5471 -1441 +-2350 -402 +15 7 +-1546 174 +2215 7911 +-3247 1959 +871 -1310 +1024 -1024 +-2558 -3591 +-877 5299 +1848 2527 +346 -7418 +2113 -3078 +4907 262 +-698 -4179 +3796 -3796 +4450 1824 +-152 69 +-2253 -1069 +-3888 3064 +-709 2654 +684 -100 +2366 -2030 +4096 0 +2366 2030 +684 100 +-709 -2654 +-3888 -3064 +-2253 1069 +-152 -69 +4450 -1824 +3796 3796 +-698 4179 +4907 -262 +2113 3078 +346 7418 +1848 -2527 +-877 -5299 +-2558 3591 +1024 1024 +871 1310 +-3247 -1959 +2215 -7911 +-1546 -174 +15 -7 +-2350 402 +-5471 1441 +2348 -2348 +-2065 1101 +492 -2301 +-1443 -2327 +-3104 1631 +-1786 -157 +544 544 +3105 -2781 +600 -2896 +-38 -2422 +-2200 2409 +-1214 2551 +300 874 +875 3586 +1423 -2321 +2033 6408 +3072 0 +2033 -6408 +1423 2321 +875 -3586 +300 -874 +-1214 -2551 +-2200 -2409 +-38 2422 +600 2896 +-519 1070 +-5157 3334 +-3889 3313 +1748 -7490 +-1120 -1041 +1345 704 +2545 -339 +-1024 2048 +1050 415 +168 3572 +1504 213 +1748 3247 +1097 3524 +657 -422 +-2510 592 +3496 2896 +-717 3512 +-6085 -870 +2439 1443 +300 5767 +309 1453 +1657 -5899 +-1844 -4087 +-1024 0 +-1844 4087 +1657 5899 +309 -1453 +300 -5767 +2439 -1443 +-6085 870 +-717 -3512 +3496 -2896 +-2510 -592 +657 422 +1097 -3524 +1748 -3247 +1504 -213 +168 -3572 +1050 -415 +-1024 -2048 +2545 339 +1345 -704 +-1120 1041 +1748 7490 +-3889 -3313 +-5157 -3334 +-519 -1070 +600 -2896 +-38 -2422 +-2200 2409 +-1214 2551 +300 874 +875 3586 +1423 -2321 +2033 6408 +424 -848 +1619 1091 +1000 4975 +284 2613 +144 4170 +-3018 539 +-1209 1141 +923 245 +-2048 0 +923 -245 +-1209 -1141 +-3018 -539 +144 -4170 +284 -2613 +1000 -4975 +1619 -1091 +424 848 +-2739 -3798 +1354 -19 +5351 2980 +484 -398 +-2508 -2489 +1154 -775 +2554 -5704 +-2048 2048 +-52 3644 +110 -4780 +-167 -1232 +-1084 -4494 +-3954 -4198 +2586 -240 +-2417 3077 +-2472 4944 +3536 4008 +-844 597 +-1681 -863 +-3640 -74 +5694 -1940 +4040 647 +-3425 -4258 +2048 0 +-3425 4258 +4040 -647 +5694 1940 +-3640 74 +-1681 863 +-844 -597 +3536 -4008 +-2472 -4944 +-2417 -3077 +2586 240 +-3954 4198 +-1084 4494 +-167 1232 +110 4780 +-52 -3644 +-2048 -2048 +2554 5704 +1154 775 +-2508 2489 +484 398 +5351 -2980 +1354 19 +-2739 3798 +424 -848 +1619 1091 +1000 4975 +284 2613 +144 4170 +-3018 539 +-1209 1141 +923 245 +-1024 -6392 +1960 804 +-3482 3556 +-1073 302 +-834 6412 +1540 -2365 +-301 -3216 +-2044 4445 +4096 0 +-2044 -4445 +-301 3216 +1540 2365 +-834 -6412 +-1073 -302 +-3482 -3556 +1960 -804 +-1024 6392 +-945 2583 +132 1988 +-3211 296 +1618 2408 +292 5651 +2381 568 +3196 -5315 +0 2048 +-1971 2642 +-3505 -568 +3303 -587 +2726 -840 +2457 3836 +5885 -1988 +2129 1628 +-1024 2296 +-1576 -1447 +362 3556 +-1957 709 +-3510 2628 +-1351 1791 +-1472 -3216 +-748 -4368 +0 0 +-748 4368 +-1472 3216 +-1351 -1791 +-3510 -2628 +-1957 -709 +362 -3556 +-1576 1447 +-1024 -2296 +2129 -1628 +5885 1988 +2457 -3836 +2726 840 +3303 587 +-3505 568 +-1971 -2642 +0 -2048 +3196 5315 +2381 -568 +292 -5651 +1618 -2408 +-3211 -296 +132 -1988 +-945 -2583 +-1024 -6392 +1960 804 +-3482 3556 +-1073 302 +-834 6412 +1540 -2365 +-301 -3216 +-2044 4445 +3196 -2772 +2864 3177 +-3508 -112 +-1293 -1007 +66 2154 +1458 -1351 +5327 -1215 +1147 1195 +1024 0 +1147 -1195 +5327 1215 +1458 1351 +66 -2154 +-1293 1007 +-3508 112 +2864 -3177 +3196 2772 +4518 1517 +411 -781 +990 696 +-148 -3972 +-1072 -201 +-2259 4389 +-5609 -286 +4096 -1024 +978 -131 +927 -843 +-1724 -1466 +-2500 972 +3781 3528 +-7106 -662 +-3426 -2423 +-1148 -1324 +-5524 763 +1515 3128 +305 -1825 +-5610 -1306 +-2446 2615 +4694 -1121 +5051 -1350 +3072 0 +5051 1350 +4694 1121 +-2446 -2615 +-5610 1306 +305 1825 +1515 -3128 +-5524 -763 +-1148 1324 +-3426 2423 +-7106 662 +3781 -3528 +-2500 -972 +-1724 1466 +927 843 +978 131 +4096 1024 +-5609 286 +-2259 -4389 +-1072 201 +-148 3972 +990 -696 +411 781 +4518 -1517 +3196 -2772 +2864 3177 +-3508 -112 +-1293 -1007 +66 2154 +1458 -1351 +5327 -1215 +1147 1195 +1448 -1024 +2985 201 +1378 -51 +-3570 62 +784 -1278 +168 -813 +-1468 2287 +1217 -1477 +2048 0 +1217 1477 +-1468 -2287 +168 813 +784 1278 +-3570 -62 +1378 51 +2985 -201 +1448 1024 +-3505 -4038 +-7931 -1421 +475 5283 +-1892 -614 +628 -242 +363 887 +-4200 -1614 +0 2048 +-1193 -1886 +-1012 -2425 +-5036 1941 +1892 -2062 +1658 530 +-1205 4567 +4881 2193 +-1448 -1024 +3208 -5634 +7757 -2153 +4573 2416 +-784 -170 +1105 2035 +2117 2593 +-3392 -2268 +-2048 0 +-3392 2268 +2117 -2593 +1105 -2035 +-784 170 +4573 -2416 +7757 2153 +3208 5634 +-1448 1024 +4881 -2193 +-1205 -4567 +1658 -530 +1892 2062 +-5036 -1941 +-1012 2425 +-1193 1886 +0 -2048 +-4200 1614 +363 -887 +628 242 +-1892 614 +475 -5283 +-7931 1421 +-3505 4038 +1448 -1024 +2985 201 +1378 -51 +-3570 62 +784 -1278 +168 -813 +-1468 2287 +1217 -1477 +1448 -2896 +2594 -3251 +-6055 -478 +-1884 -2519 +568 -2002 +-1484 1828 +2893 3395 +1146 1673 +-3072 0 +1146 -1673 +2893 -3395 +-1484 -1828 +568 2002 +-1884 2519 +-6055 478 +2594 3251 +1448 2896 +-3157 3233 +3597 2414 +3121 2022 +-1988 110 +235 -1643 +4892 3774 +1542 1578 +-3072 2048 +-1452 325 +1486 -62 +2113 -1153 +-3556 -2786 +-964 2434 +2798 -1110 +-2669 2702 +-1448 2896 +-5015 2040 +-2037 -1349 +4840 -5317 +-3216 -894 +-185 -3794 +618 2657 +1219 2187 +9216 0 +1219 -2187 +618 -2657 +-185 3794 +-3216 894 +4840 5317 +-2037 1349 +-5015 -2040 +-1448 -2896 +-2669 -2702 +2798 1110 +-964 -2434 +-3556 2786 +2113 1153 +1486 62 +-1452 -325 +-3072 -2048 +1542 -1578 +4892 -3774 +235 1643 +-1988 -110 +3121 -2022 +3597 -2414 +-3157 -3233 +1448 -2896 +2594 -3251 +-6055 -478 +-1884 -2519 +568 -2002 +-1484 1828 +2893 3395 +1146 1673 +6692 -300 +1278 246 +-242 -2476 +1573 -1260 +-1316 -5296 +-1501 -3983 +1077 -700 +2197 -3193 +2048 0 +2197 3193 +1077 700 +-1501 3983 +-1316 5296 +1573 1260 +-242 2476 +1278 -246 +6692 300 +2678 3820 +1701 2581 +-1991 -4227 +-7118 -4078 +1706 2763 +488 2631 +-1096 1335 +3072 -5120 +-1760 -1749 +960 -489 +1086 -2047 +126 618 +2087 -1489 +-3149 3704 +-9 -1564 +-548 -1748 +-5514 2251 +-1206 703 +2116 -1666 +117 -2296 +-5075 1702 +371 -5316 +2226 -203 +-4096 0 +2226 203 +371 5316 +-5075 -1702 +117 2296 +2116 1666 +-1206 -703 +-5514 -2251 +-548 1748 +-9 1564 +-3149 -3704 +2087 1489 +126 -618 +1086 2047 +960 489 +-1760 1749 +3072 5120 +-1096 -1335 +488 -2631 +1706 -2763 +-7118 4078 +-1991 4227 +1701 -2581 +2678 -3820 +6692 -300 +1278 246 +-242 -2476 +1573 -1260 +-1316 -5296 +-1501 -3983 +1077 -700 +2197 -3193 +-2472 4096 +2953 3306 +-944 -3676 +-3287 4654 +-190 4568 +-4185 -2594 +2330 -1844 +6244 5707 +2048 0 +6244 -5707 +2330 1844 +-4185 2594 +-190 -4568 +-3287 -4654 +-944 3676 +2953 -3306 +-2472 -4096 +65 -745 +-848 -3277 +-2448 1438 +-2642 -325 +-2487 -693 +859 -707 +-15 -1968 +4096 -4096 +899 -2270 +1033 142 +3476 -479 +-3750 -325 +2015 -2734 +1632 1668 +151 1629 +424 4096 +-5624 3219 +161 -1268 +3041 383 +2486 -4568 +-1918 -1968 +-4222 996 +1121 542 +2048 0 +1121 -542 +-4222 -996 +-1918 1968 +2486 4568 +3041 -383 +161 1268 +-5624 -3219 +424 -4096 +151 -1629 +1632 -1668 +2015 2734 +-3750 325 +3476 479 +1033 -142 +899 2270 +4096 4096 +-15 1968 +859 707 +-2487 693 +-2642 325 +-2448 -1438 +-848 3277 +65 745 +-2472 4096 +2953 3306 +-944 -3676 +-3287 4654 +-190 4568 +-4185 -2594 +2330 -1844 +6244 5707 +0 600 +-3123 -1451 +2880 -1703 +-2930 -1624 +1508 894 +-238 -517 +-3186 627 +1943 856 +-3072 0 +1943 -856 +-3186 -627 +-238 517 +1508 -894 +-2930 1624 +2880 1703 +-3123 1451 +0 -600 +1648 -7647 +-3028 -2319 +-2695 189 +-2616 -2786 +-5307 -18 +456 -1997 +4667 639 +-5120 4096 +1334 2000 +3484 -1149 +-129 -4940 +1168 110 +1451 4787 +5860 2625 +2539 1492 +0 3496 +-3519 2342 +-1616 -3241 +3494 1109 +-60 2002 +561 -3726 +3341 -1475 +305 -1951 +-3072 0 +305 1951 +3341 1475 +561 3726 +-60 -2002 +3494 -1109 +-1616 3241 +-3519 -2342 +0 -3496 +2539 -1492 +5860 -2625 +1451 -4787 +1168 -110 +-129 4940 +3484 1149 +1334 -2000 +-5120 -4096 +4667 -639 +456 1997 +-5307 18 +-2616 2786 +-2695 -189 +-3028 2319 +1648 7647 +0 600 +-3123 -1451 +2880 -1703 +-2930 -1624 +1508 894 +-238 -517 +-3186 627 +1943 856 +848 3072 +306 714 +3013 -464 +2564 2265 +444 -1553 +1871 -4597 +98 -4628 +-1277 -2425 +2048 0 +-1277 2425 +98 4628 +1871 4597 +444 1553 +2564 -2265 +3013 464 +306 -714 +848 -3072 +1893 -1243 +-1239 -2632 +-228 3290 +2232 458 +1115 -6570 +-5686 700 +754 -1124 +2048 -2048 +-4967 -1290 +4578 -4976 +3140 4290 +664 6002 +-2983 -422 +-1437 -281 +1089 346 +-4944 3072 +2064 4789 +-337 2815 +-1570 -1440 +-3340 -1094 +-3910 217 +1010 -1049 +139 2779 +2048 0 +139 -2779 +1010 1049 +-3910 -217 +-3340 1094 +-1570 1440 +-337 -2815 +2064 -4789 +-4944 -3072 +1089 -346 +-1437 281 +-2983 422 +664 -6002 +3140 -4290 +4578 4976 +-4967 1290 +2048 2048 +754 1124 +-5686 -700 +1115 6570 +2232 -458 +-228 -3290 +-1239 2632 +1893 1243 +848 3072 +306 714 +3013 -464 +2564 2265 +444 -1553 +1871 -4597 +98 -4628 +-1277 -2425 +3796 5244 +1973 928 +3160 4112 +-5085 597 +-1186 -5166 +-414 2112 +-4928 4582 +-25 2092 +-2048 0 +-25 -2092 +-4928 -4582 +-414 -2112 +-1186 5166 +-5085 -597 +3160 -4112 +1973 -928 +3796 -5244 +-229 -4004 +-74 -51 +-170 1122 +-3308 -268 +-1510 -1270 +-2013 2348 +-93 2681 +-3072 3072 +-617 -721 +1792 -2921 +2087 2570 +1260 -516 +315 -921 +1862 3669 +2492 3038 +2348 900 +-7133 -878 +845 1304 +7837 -1441 +-862 -3274 +2733 529 +-644 38 +-2161 1498 +4096 0 +-2161 -1498 +-644 -38 +2733 -529 +-862 3274 +7837 1441 +845 -1304 +-7133 878 +2348 -900 +2492 -3038 +1862 -3669 +315 921 +1260 516 +2087 -2570 +1792 2921 +-617 721 +-3072 -3072 +-93 -2681 +-2013 -2348 +-1510 1270 +-3308 268 +-170 -1122 +-74 51 +-229 4004 +3796 5244 +1973 928 +3160 4112 +-5085 597 +-1186 -5166 +-414 2112 +-4928 4582 +-25 2092 +1324 -1148 +-1747 -1847 +-4645 297 +-1401 -1714 +1860 -4696 +1301 -1965 +1053 1199 +37 -4237 +-5120 0 +37 4237 +1053 -1199 +1301 1965 +1860 4696 +-1401 1714 +-4645 -297 +-1747 1847 +1324 1148 +-4793 -927 +344 1834 +1881 1018 +2310 -582 +2691 -534 +-232 -84 +6178 -141 +2048 3072 +-6506 2583 +-1935 -748 +6638 2793 +2634 -2878 +2005 -2513 +-56 2277 +-3278 799 +2772 3196 +-1976 -210 +-2636 3044 +-3612 -249 +-2708 -1696 +2081 6860 +-85 1033 +499 3177 +1024 0 +499 -3177 +-85 -1033 +2081 -6860 +-2708 1696 +-3612 249 +-2636 -3044 +-1976 210 +2772 -3196 +-3278 -799 +-56 -2277 +2005 2513 +2634 2878 +6638 -2793 +-1935 748 +-6506 -2583 +2048 -3072 +6178 141 +-232 84 +2691 534 +2310 582 +1881 -1018 +344 -1834 +-4793 927 +1324 -1148 +-1747 -1847 +-4645 297 +-1401 -1714 +1860 -4696 +1301 -1965 +1053 1199 +37 -4237 +-900 -2348 +305 -722 +-2042 1219 +-1516 752 +2624 756 +800 -1220 +1763 503 +-444 2892 +-4096 0 +-444 -2892 +1763 -503 +800 1220 +2624 -756 +-1516 -752 +-2042 -1219 +305 722 +-900 2348 +761 -487 +1838 -1758 +1025 -2987 +-4286 -2250 +1810 -4551 +3154 -4254 +-4051 1179 +-1024 -5120 +2070 -6582 +1451 -1394 +-896 -98 +1390 4142 +-3792 4494 +1438 4739 +2885 -769 +-5244 -3796 +2729 3545 +-34 -1715 +2954 -3086 +273 1540 +-6179 1650 +625 3556 +1537 -1756 +2048 0 +1537 1756 +625 -3556 +-6179 -1650 +273 -1540 +2954 3086 +-34 1715 +2729 -3545 +-5244 3796 +2885 769 +1438 -4739 +-3792 -4494 +1390 -4142 +-896 98 +1451 1394 +2070 6582 +-1024 5120 +-4051 -1179 +3154 4254 +1810 4551 +-4286 2250 +1025 2987 +1838 1758 +761 487 +-900 -2348 +305 -722 +-2042 1219 +-1516 752 +2624 756 +800 -1220 +1763 503 +-444 2892 +-4520 -1872 +-4240 1711 +4373 1724 +972 2565 +-1892 2523 +1172 -657 +-316 -707 +-757 959 +1024 0 +-757 -959 +-316 707 +1172 657 +-1892 -2523 +972 -2565 +4373 -1724 +-4240 -1711 +-4520 1872 +4390 2487 +2270 6749 +7 4030 +-784 -150 +-3932 -2473 +1221 -5554 +2326 2375 +-1024 -2048 +2181 763 +-622 942 +-3426 -2888 +784 5043 +1236 1284 +1226 2690 +-319 -412 +-1624 3920 +1498 5205 +-876 -4583 +-1327 3586 +1892 6766 +-495 3347 +916 211 +713 1452 +-3072 0 +713 -1452 +916 -211 +-495 -3347 +1892 -6766 +-1327 -3586 +-876 4583 +1498 -5205 +-1624 -3920 +-319 412 +1226 -2690 +1236 -1284 +784 -5043 +-3426 2888 +-622 -942 +2181 -763 +-1024 2048 +2326 -2375 +1221 5554 +-3932 2473 +-784 150 +7 -4030 +2270 -6749 +4390 -2487 +-4520 -1872 +-4240 1711 +4373 1724 +972 2565 +-1892 2523 +1172 -657 +-316 -707 +-757 959 +-1024 0 +-1751 82 +-83 -1836 +-4799 -1265 +1278 -1208 +-2073 -3153 +2097 -268 +5472 -2913 +-6144 0 +5472 2913 +2097 268 +-2073 3153 +1278 1208 +-4799 1265 +-83 1836 +-1751 -82 +-1024 0 +3450 4072 +1049 4993 +-539 -1496 +614 4364 +-1187 5270 +821 -599 +2677 1929 +-2048 0 +-1039 -2116 +562 -2675 +922 -958 +-2062 -580 +315 921 +555 388 +-2756 -5324 +-1024 0 +170 2553 +5471 4223 +559 7228 +170 360 +1009 -5322 +-2281 -3007 +-429 5107 +-2048 0 +-429 -5107 +-2281 3007 +1009 5322 +170 -360 +559 -7228 +5471 -4223 +170 -2553 +-1024 0 +-2756 5324 +555 -388 +315 -921 +-2062 580 +922 958 +562 2675 +-1039 2116 +-2048 0 +2677 -1929 +821 599 +-1187 -5270 +614 -4364 +-539 1496 +1049 -4993 +3450 -4072 +-1024 0 +-1751 82 +-83 -1836 +-4799 -1265 +1278 -1208 +-2073 -3153 +2097 -268 +5472 -2913 +2348 1748 +-1565 -706 +2542 -1752 +-1146 3792 +-3972 332 +667 2266 +-2671 5544 +-2929 -3087 +-2048 0 +-2929 3087 +-2671 -5544 +667 -2266 +-3972 -332 +-1146 -3792 +2542 1752 +-1565 706 +2348 -1748 +308 -3676 +106 -2532 +-1700 2702 +-1306 222 +2926 2297 +2295 -699 +343 -1102 +-3072 3072 +-2046 -2525 +-2295 -1075 +1865 -251 +2154 1670 +-525 -1279 +-106 -3056 +3994 5778 +3796 300 +-7850 951 +-2542 2925 +916 -1221 +-972 1116 +2790 -661 +2671 3968 +3954 6440 +4096 0 +3954 -6440 +2671 -3968 +2790 661 +-972 -1116 +916 1221 +-2542 -2925 +-7850 -951 +3796 -300 +3994 -5778 +-106 3056 +-525 1279 +2154 -1670 +1865 251 +-2295 1075 +-2046 2525 +-3072 -3072 +343 1102 +2295 699 +2926 -2297 +-1306 -222 +-1700 -2702 +106 2532 +308 3676 +2348 1748 +-1565 -706 +2542 -1752 +-1146 3792 +-3972 332 +667 2266 +-2671 5544 +-2929 -3087 +1748 -1748 +593 -1548 +-62 1871 +2392 -1628 +751 1441 +-2096 572 +1365 -3194 +516 645 +0 0 +516 -645 +1365 3194 +-2096 -572 +751 -1441 +2392 1628 +-62 -1871 +593 1548 +1748 1748 +1872 -471 +-4732 2508 +-137 1344 +-366 2898 +600 1277 +3141 -98 +-6141 -1991 +1024 -3072 +2995 1987 +-4289 2106 +-294 5694 +5310 4346 +-1401 134 +-7352 -805 +-3974 -2739 +300 -300 +1509 -720 +-3536 3009 +-855 419 +-1600 8 +1790 3845 +7272 -2794 +2631 3333 +2048 0 +2631 -3333 +7272 2794 +1790 -3845 +-1600 -8 +-855 -419 +-3536 -3009 +1509 720 +300 300 +-3974 2739 +-7352 805 +-1401 -134 +5310 -4346 +-294 -5694 +-4289 -2106 +2995 -1987 +1024 3072 +-6141 1991 +3141 98 +600 -1277 +-366 -2898 +-137 -1344 +-4732 -2508 +1872 471 +1748 -1748 +593 -1548 +-62 1871 +2392 -1628 +751 1441 +-2096 572 +1365 -3194 +516 645 +300 1748 +-3476 539 +713 -3532 +-3881 804 +1766 3019 +5222 -3037 +-1283 -2219 +510 -2153 +0 0 +510 2153 +-1283 2219 +5222 3037 +1766 -3019 +-3881 -804 +713 3532 +-3476 -539 +300 -1748 +-365 1682 +-4492 -674 +-1831 -1455 +2100 5260 +2669 -1138 +1488 -1842 +2453 1131 +1024 -3072 +2174 1087 +-521 -1658 +-4054 2231 +-900 4660 +4025 -416 +-2789 4714 +-4696 350 +1748 300 +-361 4809 +-425 1928 +-2335 1564 +5226 477 +5978 1943 +-884 3603 +-2032 2997 +-6144 0 +-2032 -2997 +-884 -3603 +5978 -1943 +5226 -477 +-2335 -1564 +-425 -1928 +-361 -4809 +1748 -300 +-4696 -350 +-2789 -4714 +4025 416 +-900 -4660 +-4054 -2231 +-521 1658 +2174 -1087 +1024 3072 +2453 -1131 +1488 1842 +2669 1138 +2100 -5260 +-1831 1455 +-4492 674 +-365 -1682 +300 1748 +-3476 539 +713 -3532 +-3881 804 +1766 3019 +5222 -3037 +-1283 -2219 +510 -2153 +3372 -3620 +-201 3173 +179 2739 +4548 3026 +176 3732 +-338 2768 +792 1616 +2508 1743 +4096 0 +2508 -1743 +792 -1616 +-338 -2768 +176 -3732 +4548 -3026 +179 -2739 +-201 -3173 +3372 3620 +-2682 5564 +-2254 -1663 +-1028 524 +-1042 -1610 +-810 -3285 +3202 3622 +1719 -720 +-3072 -3072 +-181 -1066 +1366 -382 +1710 3209 +-4502 1286 +1737 -2139 +1929 -1883 +-1697 4676 +4820 3620 +-772 -2804 +146 2833 +-3040 624 +-2824 -836 +-2779 1847 +-5360 172 +1305 7359 +2048 0 +1305 -7359 +-5360 -172 +-2779 -1847 +-2824 836 +-3040 -624 +146 -2833 +-772 2804 +4820 -3620 +-1697 -4676 +1929 1883 +1737 2139 +-4502 -1286 +1710 -3209 +1366 382 +-181 1066 +-3072 3072 +1719 720 +3202 -3622 +-810 3285 +-1042 1610 +-1028 -524 +-2254 1663 +-2682 -5564 +3372 -3620 +-201 3173 +179 2739 +4548 3026 +176 3732 +-338 2768 +792 1616 +2508 1743 +-1872 -1872 +-6931 903 +-1862 3181 +-1289 -3802 +-3784 -1075 +-2900 228 +1124 -1463 +5731 -1817 +3072 0 +5731 1817 +1124 1463 +-2900 -228 +-3784 1075 +-1289 3802 +-1862 -3181 +-6931 -903 +-1872 1872 +-2702 -409 +979 6291 +1308 3016 +-1567 -1298 +598 -3963 +-1285 -1368 +-276 5761 +-1024 -2048 +1438 -2596 +2733 4280 +-124 1137 +1567 -3595 +3034 -2227 +-2427 -2712 +409 -1463 +3920 3920 +88 -2269 +414 -1096 +-2403 1088 +3784 -5318 +1776 -792 +325 1816 +2243 1340 +-5120 0 +2243 -1340 +325 -1816 +1776 792 +3784 5318 +-2403 -1088 +414 1096 +88 2269 +3920 -3920 +409 1463 +-2427 2712 +3034 2227 +1567 3595 +-124 -1137 +2733 -4280 +1438 2596 +-1024 2048 +-276 -5761 +-1285 1368 +598 3963 +-1567 1298 +1308 -3016 +979 -6291 +-2702 409 +-1872 -1872 +-6931 903 +-1862 3181 +-1289 -3802 +-3784 -1075 +-2900 228 +1124 -1463 +5731 -1817 +1872 -1024 +6488 2584 +2604 1778 +2743 -2681 +1808 -954 +-2571 -2505 +994 980 +202 34 +-1024 0 +202 -34 +994 -980 +-2571 2505 +1808 954 +2743 2681 +2604 -1778 +6488 -2584 +1872 1024 +2664 -3309 +942 -1601 +1106 691 +-868 3954 +-2925 552 +450 1284 +-1189 3599 +-1024 -6144 +-2756 -463 +-4234 20 +-2931 -2892 +2916 2506 +-422 -199 +-2510 2339 +160 -1612 +-3920 -1024 +1153 7881 +-1036 -1934 +-3189 -8337 +240 -495 +2396 2918 +2790 1851 +-929 2272 +-1024 0 +-929 -2272 +2790 -1851 +2396 -2918 +240 495 +-3189 8337 +-1036 1934 +1153 -7881 +-3920 1024 +160 1612 +-2510 -2339 +-422 199 +2916 -2506 +-2931 2892 +-4234 -20 +-2756 463 +-1024 6144 +-1189 -3599 +450 -1284 +-2925 -552 +-868 -3954 +1106 -691 +942 1601 +2664 3309 +1872 -1024 +6488 2584 +2604 1778 +2743 -2681 +1808 -954 +-2571 -2505 +994 980 +202 34 +1748 -2596 +459 -1868 +994 3683 +-3243 -165 +1610 -3478 +608 129 +-846 1961 +4586 2359 +0 0 +4586 -2359 +-846 -1961 +608 -129 +1610 3478 +-3243 165 +994 -3683 +459 1868 +1748 2596 +-3550 1419 +809 4706 +1023 2119 +836 1200 +3019 3642 +-7394 258 +-367 -2652 +-1024 -1024 +-4293 1436 +3270 -2195 +-1130 -6201 +-3732 1800 +673 5438 +1748 -422 +1832 1822 +300 4644 +-2524 2142 +-654 -122 +-21 -2620 +1286 -18 +-929 3701 +2074 4276 +3859 4993 +-2048 0 +3859 -4993 +2074 -4276 +-929 -3701 +1286 18 +-21 2620 +-654 122 +-2524 -2142 +300 -4644 +1832 -1822 +1748 422 +673 -5438 +-3732 -1800 +-1130 6201 +3270 2195 +-4293 -1436 +-1024 1024 +-367 2652 +-7394 -258 +3019 -3642 +836 -1200 +1023 -2119 +809 -4706 +-3550 -1419 +1748 -2596 +459 -1868 +994 3683 +-3243 -165 +1610 -3478 +608 129 +-846 1961 +4586 2359 +600 600 +-375 1106 +919 606 +-500 5371 +-2636 -1578 +-3728 -6513 +-2215 2308 +595 1808 +-1024 0 +595 -1808 +-2215 -2308 +-3728 6513 +-2636 1578 +-500 -5371 +919 -606 +-375 -1106 +600 -600 +-136 704 +3282 1026 +1907 1254 +-4764 -2362 +-2865 456 +1850 -511 +-2595 -5098 +-1024 0 +1676 -815 +-2034 -3068 +1373 -141 +-1629 -314 +1994 2720 +2106 2253 +490 -1172 +3496 3496 +-1547 6595 +685 3518 +384 320 +4932 -470 +1436 -1443 +3599 -1968 +1891 467 +-13312 0 +1891 -467 +3599 1968 +1436 1443 +4932 470 +384 -320 +685 -3518 +-1547 -6595 +3496 -3496 +490 1172 +2106 -2253 +1994 -2720 +-1629 314 +1373 141 +-2034 3068 +1676 815 +-1024 0 +-2595 5098 +1850 511 +-2865 -456 +-4764 2362 +1907 -1254 +3282 -1026 +-136 -704 +600 600 +-375 1106 +919 606 +-500 5371 +-2636 -1578 +-3728 -6513 +-2215 2308 +595 1808 +-4644 1572 +-1788 805 +-453 -2627 +-826 -2411 +2224 1076 +73 1295 +-360 -948 +1880 366 +-1024 0 +1880 -366 +-360 948 +73 -1295 +2224 -1076 +-826 2411 +-453 2627 +-1788 -805 +-4644 -1572 +-2173 -187 +3544 -4048 +2015 -2700 +1006 4202 +1683 2865 +3518 -2153 +1140 -4984 +-4096 -1024 +-3702 2263 +-1026 144 +210 4164 +-2454 -742 +4369 -6838 +736 2345 +-900 -984 +2596 -5668 +-1819 -273 +3165 -3765 +-4230 -2615 +-776 -1924 +2497 -6676 +-933 -1348 +1570 2417 +-3072 0 +1570 -2417 +-933 1348 +2497 6676 +-776 1924 +-4230 2615 +3165 3765 +-1819 273 +2596 5668 +-900 984 +736 -2345 +4369 6838 +-2454 742 +210 -4164 +-1026 -144 +-3702 -2263 +-4096 1024 +1140 4984 +3518 2153 +1683 -2865 +1006 -4202 +2015 2700 +3544 4048 +-2173 187 +-4644 1572 +-1788 805 +-453 -2627 +-826 -2411 +2224 1076 +73 1295 +-360 -948 +1880 366 +-1324 1748 +4277 8916 +-663 -847 +-25 -4899 +946 -2454 +283 319 +3735 8058 +1565 -6012 +1024 0 +1565 6012 +3735 -8058 +283 -319 +946 2454 +-25 4899 +-663 847 +4277 -8916 +-1324 -1748 +-1977 -2939 +962 -2877 +2240 1969 +392 2224 +926 1262 +-2021 431 +-3858 -34 +4096 -3072 +-664 -168 +-3551 -205 +-1623 -1563 +-392 776 +631 1774 +3043 4219 +2050 1965 +-2772 300 +3219 84 +2451 -249 +290 608 +-946 1006 +-2722 952 +-3955 -503 +-4610 1782 +-1024 0 +-4610 -1782 +-3955 503 +-2722 -952 +-946 -1006 +290 -608 +2451 249 +3219 -84 +-2772 -300 +2050 -1965 +3043 -4219 +631 -1774 +-392 -776 +-1623 1563 +-3551 205 +-664 168 +4096 3072 +-3858 34 +-2021 -431 +926 -1262 +392 -2224 +2240 -1969 +962 2877 +-1977 2939 +-1324 1748 +4277 8916 +-663 -847 +-25 -4899 +946 -2454 +283 319 +3735 8058 +1565 -6012 +1748 900 +5687 -4298 +4644 -2992 +314 5179 +1730 802 +1036 -3617 +590 -3638 +1936 -2550 +-2048 0 +1936 2550 +590 3638 +1036 3617 +1730 -802 +314 -5179 +4644 2992 +5687 4298 +1748 -900 +-967 80 +2824 -532 +-3174 526 +-1500 -92 +3239 -1769 +-3331 -1804 +-5438 586 +-3072 1024 +1508 -652 +-2122 -31 +-1041 -2025 +1500 -692 +-256 -3992 +-1155 -3651 +-352 3391 +300 5244 +-2801 5522 +2376 -3025 +-669 1758 +-1730 2694 +550 -4960 +-3826 2515 +427 -4169 +4096 0 +427 4169 +-3826 -2515 +550 4960 +-1730 -2694 +-669 -1758 +2376 3025 +-2801 -5522 +300 -5244 +-352 -3391 +-1155 3651 +-256 3992 +1500 692 +-1041 2025 +-2122 31 +1508 652 +-3072 -1024 +-5438 -586 +-3331 1804 +3239 1769 +-1500 92 +-3174 -526 +2824 532 +-967 -80 +1748 900 +5687 -4298 +4644 -2992 +314 5179 +1730 802 +1036 -3617 +590 -3638 +1936 -2550 +3196 1748 +-1922 -1362 +-2313 -4456 +-1505 37 +2100 -2612 +-2214 -5699 +-903 5422 +3723 -2273 +0 0 +3723 2273 +-903 -5422 +-2214 5699 +2100 2612 +-1505 -37 +-2313 4456 +-1922 1362 +3196 -1748 +-1045 2026 +-5228 3706 +4316 577 +5226 5067 +-204 4418 +-1823 1852 +-55 -744 +-1024 -1024 +-1347 227 +114 -1320 +631 -2175 +1766 -2525 +328 -1010 +-944 -262 +-4898 2329 +-1148 300 +3402 778 +1492 -712 +3542 2840 +-900 7308 +899 -2223 +1411 -1601 +-3650 -3437 +-2048 0 +-3650 3437 +1411 1601 +899 2223 +-900 -7308 +3542 -2840 +1492 712 +3402 -778 +-1148 -300 +-4898 -2329 +-944 262 +328 1010 +1766 2525 +631 2175 +114 1320 +-1347 -227 +-1024 1024 +-55 744 +-1823 -1852 +-204 -4418 +5226 -5067 +4316 -577 +-5228 -3706 +-1045 -2026 +3196 1748 +-1922 -1362 +-2313 -4456 +-1505 37 +2100 -2612 +-2214 -5699 +-903 5422 +3723 -2273 +0 -1872 +-2550 -2793 +5785 -5463 +134 -1635 +-3340 6786 +-2667 2167 +-403 -3173 +2352 -345 +-2048 0 +2352 345 +-403 3173 +-2667 -2167 +-3340 -6786 +134 1635 +5785 5463 +-2550 2793 +0 1872 +4620 3343 +298 1135 +148 -2056 +664 -339 +-3332 -2951 +1564 -300 +-426 -1664 +-6144 -6144 +1874 -1236 +-845 -1803 +1884 -1520 +2232 -2986 +-1596 -1511 +863 403 +-3171 -623 +0 3920 +3998 -4070 +2944 -5157 +-1582 -716 +444 -1242 +1219 2161 +-2012 -27 +-904 3670 +-2048 0 +-904 -3670 +-2012 27 +1219 -2161 +444 1242 +-1582 716 +2944 5157 +3998 4070 +0 -3920 +-3171 623 +863 -403 +-1596 1511 +2232 2986 +1884 1520 +-845 1803 +1874 1236 +-6144 6144 +-426 1664 +1564 300 +-3332 2951 +664 339 +148 2056 +298 -1135 +4620 -3343 +0 -1872 +-2550 -2793 +5785 -5463 +134 -1635 +-3340 6786 +-2667 2167 +-403 -3173 +2352 -345 +-1324 2772 +-938 -454 +331 643 +1946 -50 +-5140 -4912 +-1893 898 +4085 329 +-1803 -3345 +-6144 0 +-1803 3345 +4085 -329 +-1893 -898 +-5140 4912 +1946 50 +331 -643 +-938 454 +-1324 -2772 +-2450 -890 +3664 3346 +49 2566 +-2813 -678 +1569 -1273 +-2803 425 +-1772 2412 +1024 -1024 +-1305 1331 +-56 306 +-1036 117 +2214 2570 +5445 1349 +2832 1010 +3619 -3448 +-2772 1324 +-7591 -5888 +165 -5876 +2137 401 +1644 -4128 +3369 2060 +-26 -3344 +655 -1521 +4096 0 +655 1521 +-26 3344 +3369 -2060 +1644 4128 +2137 -401 +165 5876 +-7591 5888 +-2772 -1324 +3619 3448 +2832 -1010 +5445 -1349 +2214 -2570 +-1036 -117 +-56 -306 +-1305 -1331 +1024 1024 +-1772 -2412 +-2803 -425 +1569 1273 +-2813 678 +49 -2566 +3664 -3346 +-2450 890 +-1324 2772 +-938 -454 +331 643 +1946 -50 +-5140 -4912 +-1893 898 +4085 329 +-1803 -3345 +-1324 724 +2384 1517 +-22 -2682 +102 -462 +4880 596 +2542 1928 +-1184 1555 +1825 -2268 +6144 0 +1825 2268 +-1184 -1555 +2542 -1928 +4880 -596 +102 462 +-22 2682 +2384 -1517 +-1324 -724 +-3081 1671 +2674 -3372 +5074 -1378 +-4807 -1630 +-1496 -644 +-547 2258 +-2459 -2536 +5120 3072 +2109 4890 +-1685 550 +-3976 954 +-4482 -2479 +-7182 2651 +666 2800 +2875 -3144 +-2772 -724 +4502 -907 +-422 1862 +678 -493 +312 -5540 +-1533 -2914 +519 -2063 +-2363 1135 +0 0 +-2363 -1135 +519 2063 +-1533 2914 +312 5540 +678 493 +-422 -1862 +4502 907 +-2772 724 +2875 3144 +666 -2800 +-7182 -2651 +-4482 2479 +-3976 -954 +-1685 -550 +2109 -4890 +5120 -3072 +-2459 2536 +-547 -2258 +-1496 644 +-4807 1630 +5074 1378 +2674 3372 +-3081 -1671 +-1324 724 +2384 1517 +-22 -2682 +102 -462 +4880 596 +2542 1928 +-1184 1555 +1825 -2268 +-3620 900 +337 -3510 +980 -2917 +-4873 -3061 +3308 2584 +-343 1951 +-3023 -3912 +2029 -5056 +-1024 0 +2029 5056 +-3023 3912 +-343 -1951 +3308 -2584 +-4873 3061 +980 2917 +337 3510 +-3620 -900 +-406 -161 +-2135 2333 +-1908 2253 +862 1586 +2173 -3285 +4149 2360 +4777 -1814 +2048 -1024 +5261 3586 +603 128 +-6128 1151 +1186 -1910 +-540 -169 +-3578 -1007 +366 4241 +3620 5244 +1711 -1223 +-2260 2473 +-39 -10 +-1260 -1984 +73 1835 +-2929 4577 +-2489 1320 +5120 0 +-2489 -1320 +-2929 -4577 +73 -1835 +-1260 1984 +-39 10 +-2260 -2473 +1711 1223 +3620 -5244 +366 -4241 +-3578 1007 +-540 169 +1186 1910 +-6128 -1151 +603 -128 +5261 -3586 +2048 1024 +4777 1814 +4149 -2360 +2173 3285 +862 -1586 +-1908 -2253 +-2135 -2333 +-406 161 +-3620 900 +337 -3510 +980 -2917 +-4873 -3061 +3308 2584 +-343 1951 +-3023 -3912 +2029 -5056 +-7841 600 +83 -1298 +637 -28 +2810 4824 +3870 -1872 +664 -1596 +1573 4290 +-2352 -4240 +-3072 0 +-2352 4240 +1573 -4290 +664 1596 +3870 1872 +2810 -4824 +637 28 +83 1298 +-7841 -600 +-1173 -2497 +-598 -2274 +-1495 2307 +-2146 3920 +-2954 4656 +-1212 -394 +-3420 -3493 +1024 2048 +-4031 -222 +-341 -1215 +3453 981 +2746 -3920 +2895 -3911 +-4310 -566 +4364 2407 +3745 3496 +-2386 -999 +1375 537 +254 2870 +-374 -1872 +166 -2152 +2876 1882 +3123 2710 +1024 0 +3123 -2710 +2876 -1882 +166 2152 +-374 1872 +254 -2870 +1375 -537 +-2386 999 +3745 -3496 +4364 -2407 +-4310 566 +2895 3911 +2746 3920 +3453 -981 +-341 1215 +-4031 222 +1024 -2048 +-3420 3493 +-1212 394 +-2954 -4656 +-2146 -3920 +-1495 -2307 +-598 2274 +-1173 2497 +-7841 600 +83 -1298 +637 -28 +2810 4824 +3870 -1872 +664 -1596 +1573 4290 +-2352 -4240 +2172 300 +3542 -1568 +4118 3129 +-2362 1322 +-586 -1910 +2247 2114 +-586 -1468 +475 4501 +1024 0 +475 -4501 +-586 1468 +2247 -2114 +-586 1910 +-2362 -1322 +4118 -3129 +3542 1568 +2172 -300 +2274 -7713 +760 -6220 +-3200 2578 +188 -2584 +3063 -1190 +-1361 4626 +3436 4616 +-2048 3072 +-6185 430 +-1196 -83 +-640 758 +4756 -1984 +55 -1357 +-1987 3412 +-1077 -1454 +-2172 1748 +5077 2402 +6 -489 +-3390 -274 +-262 -1586 +-1566 2418 +246 -2041 +-1749 -127 +-5120 0 +-1749 127 +246 2041 +-1566 -2418 +-262 1586 +-3390 274 +6 489 +5077 -2402 +-2172 -1748 +-1077 1454 +-1987 -3412 +55 1357 +4756 1984 +-640 -758 +-1196 83 +-6185 -430 +-2048 -3072 +3436 -4616 +-1361 -4626 +3063 1190 +188 2584 +-3200 -2578 +760 6220 +2274 7713 +2172 300 +3542 -1568 +4118 3129 +-2362 1322 +-586 -1910 +2247 2114 +-586 -1468 +475 4501 +2772 300 +2665 -3538 +-4419 2872 +-3143 -195 +2504 1272 +-221 3588 +5227 -2303 +3042 -518 +-7168 0 +3042 518 +5227 2303 +-221 -3588 +2504 -1272 +-3143 195 +-4419 -2872 +2665 3538 +2772 -300 +-2587 -708 +-1236 -368 +1604 -3679 +-1950 -406 +-736 -2422 +282 -1420 +2868 -1111 +6144 1024 +-353 2126 +-3218 -156 +-4662 -4464 +-3842 -3054 +-1379 15 +-5276 -4308 +-1049 3017 +1324 1748 +-1484 -985 +1042 -2716 +2239 -1224 +3288 4272 +506 -4951 +-595 -529 +2691 1299 +3072 0 +2691 -1299 +-595 529 +506 4951 +3288 -4272 +2239 1224 +1042 2716 +-1484 985 +1324 -1748 +-1049 -3017 +-5276 4308 +-1379 -15 +-3842 3054 +-4662 4464 +-3218 156 +-353 -2126 +6144 -1024 +2868 1111 +282 1420 +-736 2422 +-1950 406 +1604 3679 +-1236 368 +-2587 708 +2772 300 +2665 -3538 +-4419 2872 +-3143 -195 +2504 1272 +-221 3588 +5227 -2303 +3042 -518 +-3796 -724 +1430 3568 +-2793 1359 +1439 -5593 +4826 -5022 +-781 -1835 +2654 -133 +-2620 -3308 +-9216 0 +-2620 3308 +2654 133 +-781 1835 +4826 5022 +1439 5593 +-2793 -1359 +1430 -3568 +-3796 724 +308 -1724 +1628 -2983 +2065 262 +4392 216 +84 -3331 +-2010 -1048 +1180 1046 +2048 3072 +-2673 1470 +-1 -6281 +-2006 -2922 +-1744 568 +421 1362 +-75 -2864 +-425 -4597 +-2348 724 +2471 -3913 +-1655 1657 +-2358 -1188 +718 -6914 +1136 2556 +2254 -2203 +328 -1932 +1024 0 +328 1932 +2254 2203 +1136 -2556 +718 6914 +-2358 1188 +-1655 -1657 +2471 3913 +-2348 -724 +-425 4597 +-75 2864 +421 -1362 +-1744 -568 +-2006 2922 +-1 6281 +-2673 -1470 +2048 -3072 +1180 -1046 +-2010 1048 +84 3331 +4392 -216 +2065 -262 +1628 2983 +308 1724 +-3796 -724 +1430 3568 +-2793 1359 +1439 -5593 +4826 -5022 +-781 -1835 +2654 -133 +-2620 -3308 +7292 300 +421 3645 +1242 1855 +-805 -672 +346 3322 +3145 762 +962 -3330 +538 2518 +-4096 0 +538 -2518 +962 3330 +3145 -762 +346 -3322 +-805 672 +1242 -1855 +421 -3645 +7292 -300 +-703 -2878 +1792 -4019 +4257 1290 +-3104 -2465 +-3530 -1964 +-3953 3931 +2012 2503 +1024 3072 +1612 -2214 +2505 -518 +-770 7819 +-3888 1032 +-3974 -2156 +-344 -2007 +485 -480 +2948 1748 +-1770 -1558 +206 3053 +4306 -246 +-1546 -3922 +-2629 3357 +-2410 1778 +-2595 645 +-2048 0 +-2595 -645 +-2410 -1778 +-2629 -3357 +-1546 3922 +4306 246 +206 -3053 +-1770 1558 +2948 -1748 +485 480 +-344 2007 +-3974 2156 +-3888 -1032 +-770 -7819 +2505 518 +1612 2214 +1024 -3072 +2012 -2503 +-3953 -3931 +-3530 1964 +-3104 2465 +4257 -1290 +1792 4019 +-703 2878 +7292 300 +421 3645 +1242 1855 +-805 -672 +346 3322 +3145 762 +962 -3330 +538 2518 +-3496 -3072 +-2763 3918 +2196 1249 +887 1170 +1494 -1324 +586 -381 +-890 797 +2977 -4618 +2048 0 +2977 4618 +-890 -797 +586 381 +1494 1324 +887 -1170 +2196 -1249 +-2763 -3918 +-3496 3072 +-1906 6322 +2021 54 +454 -2447 +710 -2772 +1792 3123 +-347 -59 +-2765 190 +-2048 4096 +1465 -267 +-6334 4509 +318 1249 +3386 2772 +-5971 7432 +-693 379 +2407 491 +-600 -3072 +46 -3437 +2268 -925 +-723 -739 +2602 -1324 +2655 -2749 +1778 3771 +539 2281 +-6144 0 +539 -2281 +1778 -3771 +2655 2749 +2602 1324 +-723 739 +2268 925 +46 3437 +-600 3072 +2407 -491 +-693 -379 +-5971 -7432 +3386 -2772 +318 -1249 +-6334 -4509 +1465 267 +-2048 -4096 +-2765 -190 +-347 59 +1792 -3123 +710 2772 +454 2447 +2021 -54 +-1906 -6322 +-3496 -3072 +-2763 3918 +2196 1249 +887 1170 +1494 -1324 +586 -381 +-890 797 +2977 -4618 +3796 -900 +-76 361 +-2092 3320 +-6219 850 +-2154 -2270 +1852 -169 +-4253 823 +-1483 1263 +2048 0 +-1483 -1263 +-4253 -823 +1852 169 +-2154 2270 +-6219 -850 +-2092 -3320 +-76 -361 +3796 900 +2355 -3156 +-506 -414 +-3238 2080 +3972 -3164 +2661 853 +-2710 -940 +491 -3431 +-3072 -1024 +2949 -3197 +662 1411 +-4491 691 +972 2380 +74 6500 +-1542 5263 +2274 2094 +2348 -5244 +-976 -463 +44 2356 +-1762 1354 +1306 -378 +-464 -2210 +2205 1528 +6052 -6380 +0 0 +6052 6380 +2205 -1528 +-464 2210 +1306 378 +-1762 -1354 +44 -2356 +-976 463 +2348 5244 +2274 -2094 +-1542 -5263 +74 -6500 +972 -2380 +-4491 -691 +662 -1411 +2949 3197 +-3072 1024 +491 3431 +-2710 940 +2661 -853 +3972 3164 +-3238 -2080 +-506 414 +2355 3156 +3796 -900 +-76 361 +-2092 3320 +-6219 850 +-2154 -2270 +1852 -169 +-4253 823 +-1483 1263 +1272 1024 +4704 2999 +1975 -1879 +-1354 1410 +2472 834 +-540 2705 +-533 -652 +1438 -567 +-1024 0 +1438 567 +-533 652 +-540 -2705 +2472 -834 +-1354 -1410 +1975 1879 +4704 -2999 +1272 -1024 +596 2187 +-837 -3014 +812 -2451 +-424 -1618 +-1708 2693 +2542 -4430 +-277 1378 +1024 6144 +4228 -3445 +134 -1690 +1632 -1079 +-424 2726 +-2257 102 +-272 1146 +-8376 3022 +-7416 1024 +621 4387 +-866 -3849 +2120 -3633 +2472 3510 +-4496 2731 +-2142 1696 +2859 -103 +3072 0 +2859 103 +-2142 -1696 +-4496 -2731 +2472 -3510 +2120 3633 +-866 3849 +621 -4387 +-7416 -1024 +-8376 -3022 +-272 -1146 +-2257 -102 +-424 -2726 +1632 1079 +134 1690 +4228 3445 +1024 -6144 +-277 -1378 +2542 4430 +-1708 -2693 +-424 1618 +812 2451 +-837 3014 +596 -2187 +1272 1024 +4704 2999 +1975 -1879 +-1354 1410 +2472 834 +-540 2705 +-533 -652 +1438 -567 +5968 -5544 +1207 -590 +-5172 -844 +-3933 1505 +-2506 1872 +2167 -5192 +-2514 -2562 +-1982 -473 +4096 0 +-1982 473 +-2514 2562 +2167 5192 +-2506 -1872 +-3933 -1505 +-5172 844 +1207 590 +5968 5544 +-1773 -1292 +-799 -5532 +-406 -1934 +-954 -3920 +-3131 -2581 +-1072 -2253 +1438 -3354 +0 2048 +2302 5071 +-532 -1285 +1329 -1373 +-495 3920 +-123 -217 +983 1749 +-680 3437 +176 -2648 +358 -921 +3788 1132 +-2 2459 +3954 1872 +-1694 2854 +-2874 4729 +4922 -3134 +0 0 +4922 3134 +-2874 -4729 +-1694 -2854 +3954 -1872 +-2 -2459 +3788 -1132 +358 921 +176 2648 +-680 -3437 +983 -1749 +-123 217 +-495 -3920 +1329 1373 +-532 1285 +2302 -5071 +0 -2048 +1438 3354 +-1072 2253 +-3131 2581 +-954 3920 +-406 1934 +-799 5532 +-1773 1292 +5968 -5544 +1207 -590 +-5172 -844 +-3933 1505 +-2506 1872 +2167 -5192 +-2514 -2562 +-1982 -473 +-3920 -5544 +-416 -5068 +1936 5325 +-2418 1317 +-529 -784 +99 1983 +-2099 277 +568 1597 +2048 0 +568 -1597 +-2099 -277 +99 -1983 +-529 784 +-2418 -1317 +1936 -5325 +-416 5068 +-3920 5544 +-3225 -577 +-849 -3738 +1316 -716 +1482 1892 +3822 -1193 +-126 3797 +933 3739 +6144 6144 +2304 2918 +3586 -3364 +-1780 515 +-4978 1892 +2378 -208 +-2152 765 +-499 5595 +1872 -2648 +-4107 -1104 +1065 875 +3836 -1826 +-70 784 +-1462 -3691 +-1361 2451 +-1349 -777 +-2048 0 +-1349 777 +-1361 -2451 +-1462 3691 +-70 -784 +3836 1826 +1065 -875 +-4107 1104 +1872 2648 +-499 -5595 +-2152 -765 +2378 208 +-4978 -1892 +-1780 -515 +3586 3364 +2304 -2918 +6144 -6144 +933 -3739 +-126 -3797 +3822 1193 +1482 -1892 +1316 716 +-849 3738 +-3225 577 +-3920 -5544 +-416 -5068 +1936 5325 +-2418 1317 +-529 -784 +99 1983 +-2099 277 +568 1597 +-424 -848 +2193 1630 +-470 951 +-1216 4728 +-2412 4170 +-2662 -1283 +-356 2939 +1461 -559 +2048 0 +1461 559 +-356 -2939 +-2662 1283 +-2412 -4170 +-1216 -4728 +-470 -951 +2193 -1630 +-424 848 +-5068 2350 +-1828 -679 +-84 -2858 +-744 -398 +-1461 3138 +-4894 879 +960 -444 +6144 2048 +-2098 -4023 +-3051 658 +3470 -141 +3040 -4494 +-316 54 +-784 -2467 +3365 6626 +2472 4944 +-490 2647 +4778 3054 +1616 -1815 +-3980 -74 +654 -1996 +-1588 2633 +-324 -3020 +6144 0 +-324 3020 +-1588 -2633 +654 1996 +-3980 74 +1616 1815 +4778 -3054 +-490 -2647 +2472 -4944 +3365 -6626 +-784 2467 +-316 -54 +3040 4494 +3470 141 +-3051 -658 +-2098 4023 +6144 -2048 +960 444 +-4894 -879 +-1461 -3138 +-744 398 +-84 2858 +-1828 679 +-5068 -2350 +-424 -848 +2193 1630 +-470 951 +-1216 4728 +-2412 4170 +-2662 -1283 +-356 2939 +1461 -559 +-724 2172 +-383 -1059 +-2616 -463 +-979 1110 +-3008 1684 +1422 2819 +-228 -4427 +-3341 3611 +2048 0 +-3341 -3611 +-228 4427 +1422 -2819 +-3008 -1684 +-979 -1110 +-2616 463 +-383 1059 +-724 -2172 +-984 59 +1239 -3022 +1854 -8342 +886 -3658 +-4763 4705 +-1969 -1376 +1232 89 +-1024 5120 +-95 446 +2753 412 +2755 2678 +562 3334 +-1454 4956 +-3131 2550 +2687 -6211 +724 -2172 +-1320 661 +4509 242 +580 -835 +1560 -2884 +587 1907 +-556 422 +2204 6011 +0 0 +2204 -6011 +-556 -422 +587 -1907 +1560 2884 +580 835 +4509 -242 +-1320 -661 +724 2172 +2687 6211 +-3131 -2550 +-1454 -4956 +562 -3334 +2755 -2678 +2753 -412 +-95 -446 +-1024 -5120 +1232 -89 +-1969 1376 +-4763 -4705 +886 3658 +1854 8342 +1239 3022 +-984 -59 +-724 2172 +-383 -1059 +-2616 -463 +-979 1110 +-3008 1684 +1422 2819 +-228 -4427 +-3341 3611 +0 2472 +-3220 733 +-1522 3269 +876 2855 +1808 -254 +-87 2487 +2090 4694 +-2057 1462 +-8192 0 +-2057 -1462 +2090 -4694 +-87 -2487 +1808 254 +876 -2855 +-1522 -3269 +-3220 -733 +0 -2472 +787 -2656 +-813 1070 +3356 1371 +-868 410 +1145 1882 +552 1686 +1110 217 +4096 0 +-1248 -1105 +1117 -3702 +-1275 -5515 +2916 -3086 +-874 2576 +-2423 2454 +4091 -2337 +0 -424 +5023 2354 +-3931 -3085 +-4687 -4859 +240 854 +-4248 892 +4930 -3090 +1307 -2416 +-8192 0 +1307 2416 +4930 3090 +-4248 -892 +240 -854 +-4687 4859 +-3931 3085 +5023 -2354 +0 424 +4091 2337 +-2423 -2454 +-874 -2576 +2916 3086 +-1275 5515 +1117 3702 +-1248 1105 +4096 0 +1110 -217 +552 -1686 +1145 -1882 +-868 -410 +3356 -1371 +-813 -1070 +787 2656 +0 2472 +-3220 733 +-1522 3269 +876 2855 +1808 -254 +-87 2487 +2090 4694 +-2057 1462 +-1448 -424 +-1384 -3242 +-3640 1486 +-2185 1411 +4364 410 +4539 4123 +484 2151 +2779 -1444 +6144 0 +2779 1444 +484 -2151 +4539 -4123 +4364 -410 +-2185 -1411 +-3640 -1486 +-1384 3242 +-1448 424 +-42 -963 +144 -3509 +-1959 585 +360 854 +1079 -5397 +-1084 68 +3350 -709 +4096 0 +-3026 -1267 +-1084 3500 +3489 5056 +-1208 254 +-2609 2703 +144 -7161 +-283 -777 +1448 2472 +1059 1861 +-3640 5950 +-2383 -3077 +580 3086 +29 2545 +484 -4015 +-2454 -682 +-6144 0 +-2454 682 +484 4015 +29 -2545 +580 -3086 +-2383 3077 +-3640 -5950 +1059 -1861 +1448 -2472 +-283 777 +144 7161 +-2609 -2703 +-1208 -254 +3489 -5056 +-1084 -3500 +-3026 1267 +4096 0 +3350 709 +-1084 -68 +1079 5397 +360 -854 +-1959 -585 +144 3509 +-42 963 +-1448 -424 +-1384 -3242 +-3640 1486 +-2185 1411 +4364 410 +4539 4123 +484 2151 +2779 -1444 +-1024 1624 +-2428 -2660 +-746 -4526 +-2575 694 +-3470 1168 +-1260 -2308 +1418 -5446 +1237 -1280 +1024 0 +1237 1280 +1418 5446 +-1260 2308 +-3470 -1168 +-2575 -694 +-746 4526 +-2428 2660 +-1024 -1624 +-2721 4670 +-3803 1253 +3533 -2405 +-3146 1508 +682 -1379 +5313 -746 +-393 3323 +3072 2048 +-1055 -4866 +-2416 -4834 +766 1747 +1098 60 +-2084 -2020 +906 -4904 +1272 -2799 +-1024 4520 +980 1561 +-2151 2465 +4023 3549 +1422 -2616 +2708 -4692 +1479 -2738 +-2685 1859 +5120 0 +-2685 -1859 +1479 2738 +2708 4692 +1422 2616 +4023 -3549 +-2151 -2465 +980 -1561 +-1024 -4520 +1272 2799 +906 4904 +-2084 2020 +1098 -60 +766 -1747 +-2416 4834 +-1055 4866 +3072 -2048 +-393 -3323 +5313 746 +682 1379 +-3146 -1508 +3533 2405 +-3803 -1253 +-2721 -4670 +-1024 1624 +-2428 -2660 +-746 -4526 +-2575 694 +-3470 1168 +-1260 -2308 +1418 -5446 +1237 -1280 +-1448 1448 +1537 -2258 +-4451 -1947 +-3815 5071 +1064 -2002 +-1803 1236 +-230 338 +-1126 237 +-5120 0 +-1126 -237 +-230 -338 +-1803 -1236 +1064 2002 +-3815 -5071 +-4451 1947 +1537 2258 +-1448 -1448 +2635 3264 +6410 1386 +-486 3041 +-4848 110 +-3316 2904 +5747 2797 +2395 1387 +-5120 0 +756 -3166 +631 -1927 +1468 -5475 +504 -2786 +-2971 -1398 +-15 2326 +-2325 2839 +1448 -1448 +1049 2072 +-3641 -1209 +4376 -4837 +3281 -894 +754 850 +3741 -966 +872 1241 +-1024 0 +872 -1241 +3741 966 +754 -850 +3281 894 +4376 4837 +-3641 1209 +1049 -2072 +1448 1448 +-2325 -2839 +-15 -2326 +-2971 1398 +504 2786 +1468 5475 +631 1927 +756 3166 +-5120 0 +2395 -1387 +5747 -2797 +-3316 -2904 +-4848 -110 +-486 -3041 +6410 -1386 +2635 -3264 +-1448 1448 +1537 -2258 +-4451 -1947 +-3815 5071 +1064 -2002 +-1803 1236 +-230 338 +-1126 237 +-2772 -2348 +1452 -684 +-580 -2479 +440 -2044 +5984 -2140 +-3652 1809 +-3688 -3876 +2337 -6068 +-1024 0 +2337 6068 +-3688 3876 +-3652 -1809 +5984 2140 +440 2044 +-580 2479 +1452 684 +-2772 2348 +694 1892 +3139 -3412 +-2813 -1745 +-247 646 +2015 643 +-1283 -5762 +-860 -2164 +-2048 -1024 +1555 -4671 +-624 -1262 +-2209 992 +2295 1246 +1154 -1193 +4770 -332 +277 -6243 +-1324 -3796 +-1093 3325 +-4432 966 +2107 -171 +-3936 -1356 +-2835 -1828 +2699 -4408 +1432 353 +5120 0 +1432 -353 +2699 4408 +-2835 1828 +-3936 1356 +2107 171 +-4432 -966 +-1093 -3325 +-1324 3796 +277 6243 +4770 332 +1154 1193 +2295 -1246 +-2209 -992 +-624 1262 +1555 4671 +-2048 1024 +-860 2164 +-1283 5762 +2015 -643 +-247 -646 +-2813 1745 +3139 3412 +694 -1892 +-2772 -2348 +1452 -684 +-580 -2479 +440 -2044 +5984 -2140 +-3652 1809 +-3688 -3876 +2337 -6068 +-600 0 +282 171 +1274 927 +3478 -483 +588 4070 +-3642 -5714 +-896 -4635 +-866 41 +-3072 0 +-866 -41 +-896 4635 +-3642 5714 +588 -4070 +3478 483 +1274 -927 +282 -171 +-600 0 +2596 766 +1085 5798 +-1306 565 +2716 6642 +591 4258 +-512 971 +-2893 2166 +-3072 -2048 +4581 -1264 +1896 943 +-607 1895 +-419 -2398 +-2216 -754 +520 -855 +-500 -1431 +-3496 0 +-3945 1415 +4114 3509 +3829 -2403 +-6980 -822 +-127 1783 +713 -689 +746 314 +9216 0 +746 -314 +713 689 +-127 -1783 +-6980 822 +3829 2403 +4114 -3509 +-3945 -1415 +-3496 0 +-500 1431 +520 855 +-2216 754 +-419 2398 +-607 -1895 +1896 -943 +4581 1264 +-3072 2048 +-2893 -2166 +-512 -971 +591 -4258 +2716 -6642 +-1306 -565 +1085 -5798 +2596 -766 +-600 0 +282 171 +1274 927 +3478 -483 +588 4070 +-3642 -5714 +-896 -4635 +-866 41 +548 -300 +-2937 -2840 +1277 -2786 +7663 1632 +2624 -536 +1563 -2771 +1140 3510 +-5 -799 +2048 0 +-5 799 +1140 -3510 +1563 2771 +2624 536 +7663 -1632 +1277 2786 +-2937 2840 +548 300 +-454 -118 +1814 -2058 +563 -2012 +-4286 462 +-1047 1337 +2017 1080 +1073 -433 +-1024 3072 +-2842 -1636 +-2526 -3420 +777 -807 +1390 -138 +-3775 -626 +-994 -5138 +702 -247 +-6692 -1748 +-1775 1823 +4895 4299 +2230 4218 +273 4032 +-2180 -701 +568 4775 +445 -7085 +-4096 0 +445 7085 +568 -4775 +-2180 701 +273 -4032 +2230 -4218 +4895 -4299 +-1775 -1823 +-6692 1748 +702 247 +-994 5138 +-3775 626 +1390 138 +777 807 +-2526 3420 +-2842 1636 +-1024 -3072 +1073 433 +2017 -1080 +-1047 -1337 +-4286 -462 +563 2012 +1814 2058 +-454 118 +548 -300 +-2937 -2840 +1277 -2786 +7663 1632 +2624 -536 +1563 -2771 +1140 3510 +-5 -799 +-1748 -1748 +-1415 -1583 +2435 -4607 +1492 6559 +-2394 1910 +-3735 -3408 +-4204 3554 +-1260 3630 +0 0 +-1260 -3630 +-4204 -3554 +-3735 3408 +-2394 -1910 +1492 -6559 +2435 4607 +-1415 1583 +-1748 1748 +-5008 1777 +-799 -487 +1679 6175 +1056 2584 +3538 -3016 +-1289 -4014 +1606 -457 +1024 -5120 +49 -753 +2516 3110 +-1719 -4877 +1840 1984 +951 2514 +1138 -1390 +2921 -4468 +-300 -300 +1935 3954 +121 807 +-338 5670 +-502 1586 +-1869 -2341 +80 674 +1173 1296 +2048 0 +1173 -1296 +80 -674 +-1869 2341 +-502 -1586 +-338 -5670 +121 -807 +1935 -3954 +-300 300 +2921 4468 +1138 1390 +951 -2514 +1840 -1984 +-1719 4877 +2516 -3110 +49 753 +1024 5120 +1606 457 +-1289 4014 +3538 3016 +1056 -2584 +1679 -6175 +-799 487 +-5008 -1777 +-1748 -1748 +-1415 -1583 +2435 -4607 +1492 6559 +-2394 1910 +-3735 -3408 +-4204 3554 +-1260 3630 +1748 124 +86 2439 +-3427 -1240 +3286 -1704 +4937 -136 +-2380 -848 +-1881 -107 +-2668 894 +-3072 0 +-2668 -894 +-1881 107 +-2380 848 +4937 136 +3286 1704 +-3427 1240 +86 -2439 +1748 -124 +2789 -836 +-2577 2428 +1725 2564 +3498 -4830 +-2005 4190 +2302 -482 +3873 -7168 +0 1024 +-2984 -1653 +-1010 1447 +-4173 405 +-3746 -3981 +-3025 -2641 +-136 -1956 +4233 41 +300 -4220 +1789 -2451 +-853 -1945 +2037 962 +3504 5081 +-1258 -4266 +-611 -4956 +-1325 -2060 +-1024 0 +-1325 2060 +-611 4956 +-1258 4266 +3504 -5081 +2037 -962 +-853 1945 +1789 2451 +300 4220 +4233 -41 +-136 1956 +-3025 2641 +-3746 3981 +-4173 -405 +-1010 -1447 +-2984 1653 +0 -1024 +3873 7168 +2302 482 +-2005 -4190 +3498 4830 +1725 -2564 +-2577 -2428 +2789 836 +1748 124 +86 2439 +-3427 -1240 +3286 -1704 +4937 -136 +-2380 -848 +-1881 -107 +-2668 894 +-124 -2772 +-3298 -2445 +437 787 +-597 -4931 +-1586 -2754 +2270 899 +2424 2067 +-1395 -401 +-2048 0 +-1395 401 +2424 -2067 +2270 -899 +-1586 2754 +-597 4931 +437 -787 +-3298 2445 +-124 2772 +5731 682 +-1602 556 +-527 -1950 +1984 476 +-4488 -987 +-1780 841 +2207 471 +3072 7168 +-970 8165 +228 -1547 +1314 2284 +-2584 2524 +-6 347 +-3305 -1520 +1045 -3066 +4220 -1324 +987 -1230 +1575 -4062 +-5551 27 +-1910 706 +1792 -2429 +2025 2538 +1487 -5817 +-4096 0 +1487 5817 +2025 -2538 +1792 2429 +-1910 -706 +-5551 -27 +1575 4062 +987 1230 +4220 1324 +1045 3066 +-3305 1520 +-6 -347 +-2584 -2524 +1314 -2284 +228 1547 +-970 -8165 +3072 -7168 +2207 -471 +-1780 -841 +-4488 987 +1984 -476 +-527 1950 +-1602 -556 +5731 -682 +-124 -2772 +-3298 -2445 +437 787 +-597 -4931 +-1586 -2754 +2270 899 +2424 2067 +-1395 -401 +124 -724 +3370 -3117 +2629 -846 +98 -792 +-4383 -1390 +-3367 1586 +3388 550 +1663 5101 +0 0 +1663 -5101 +3388 -550 +-3367 -1586 +-4383 1390 +98 792 +2629 846 +3370 3117 +124 724 +-609 562 +-3195 952 +-1835 1709 +-2160 -2624 +426 -5082 +751 -2009 +720 3053 +3072 3072 +-974 -642 +2760 2715 +-1474 -1817 +2408 273 +2395 6827 +-4897 12 +3195 -1469 +-4220 724 +-3060 5759 +3767 4003 +-3555 -121 +-4058 4286 +1519 1441 +2989 78 +1488 1669 +2048 0 +1488 -1669 +2989 -78 +1519 -1441 +-4058 -4286 +-3555 121 +3767 -4003 +-3060 -5759 +-4220 -724 +3195 1469 +-4897 -12 +2395 -6827 +2408 -273 +-1474 1817 +2760 -2715 +-974 642 +3072 -3072 +720 -3053 +751 2009 +426 5082 +-2160 2624 +-1835 -1709 +-3195 -952 +-609 -562 +124 -724 +3370 -3117 +2629 -846 +98 -792 +-4383 -1390 +-3367 1586 +3388 550 +1663 5101 +2772 -2348 +2993 -835 +-5791 65 +-3545 -1058 +2440 -148 +684 4297 +560 -561 +1525 -2105 +1024 0 +1525 2105 +560 561 +684 -4297 +2440 148 +-3545 1058 +-5791 -65 +2993 835 +2772 2348 +-800 2521 +357 1716 +-825 -1704 +1102 -5610 +-1133 -2331 +-3103 1970 +1109 3083 +-2048 -1024 +-769 -3182 +1055 -4646 +-2991 1398 +2994 -66 +2052 -4544 +-2405 3439 +3356 -3322 +1324 -3796 +-437 -2553 +3743 -2437 +4773 -2461 +1656 -2500 +-4808 4545 +-2608 -1958 +-1185 4087 +-5120 0 +-1185 -4087 +-2608 1958 +-4808 -4545 +1656 2500 +4773 2461 +3743 2437 +-437 2553 +1324 3796 +3356 3322 +-2405 -3439 +2052 4544 +2994 66 +-2991 -1398 +1055 4646 +-769 3182 +-2048 1024 +1109 -3083 +-3103 -1970 +-1133 2331 +1102 5610 +-825 1704 +357 -1716 +-800 -2521 +2772 -2348 +2993 -835 +-5791 65 +-3545 -1058 +2440 -148 +684 4297 +560 -561 +1525 -2105 +2472 -2472 +4230 1917 +-1319 464 +1086 11 +-3182 724 +-3325 344 +-1647 -1061 +-2313 -728 +5120 0 +-2313 728 +-1647 1061 +-3325 -344 +-3182 -724 +1086 -11 +-1319 -464 +4230 -1917 +2472 2472 +2452 1977 +2812 -4010 +3203 1469 +-2178 -724 +-3471 -4891 +2476 2504 +-1409 1728 +1024 2048 +-1546 4097 +-1536 2180 +-1009 2366 +-1070 724 +3452 824 +-3440 558 +-3947 2117 +-424 424 +1698 -1777 +6043 4104 +2963 -655 +-5858 724 +-2898 6914 +4804 736 +835 3097 +-3072 0 +835 -3097 +4804 -736 +-2898 -6914 +-5858 -724 +2963 655 +6043 -4104 +1698 1777 +-424 -424 +-3947 -2117 +-3440 -558 +3452 -824 +-1070 -724 +-1009 -2366 +-1536 -2180 +-1546 -4097 +1024 -2048 +-1409 -1728 +2476 -2504 +-3471 4891 +-2178 724 +3203 -1469 +2812 4010 +2452 -1977 +2472 -2472 +4230 1917 +-1319 464 +1086 11 +-3182 724 +-3325 344 +-1647 -1061 +-2313 -728 +-300 -1748 +950 1377 +1477 3248 +4113 1890 +-182 1670 +-1191 -2351 +1691 -3308 +-1054 -1775 +-2048 0 +-1054 1775 +1691 3308 +-1191 2351 +-182 -1670 +4113 -1890 +1477 -3248 +950 -1377 +-300 1748 +-3279 -33 +3512 1431 +4602 -1404 +-6988 -332 +-937 950 +2189 -7419 +-856 -4942 +1024 -1024 +-558 613 +79 1465 +-3841 -3356 +-853 1116 +-5073 1581 +-3252 -552 +2850 3546 +-1748 -300 +1695 -3149 +-3433 -2832 +1709 -1123 +3927 -222 +618 -4172 +5929 -1792 +252 1979 +-4096 0 +252 -1979 +5929 1792 +618 4172 +3927 222 +1709 1123 +-3433 2832 +1695 3149 +-1748 300 +2850 -3546 +-3252 552 +-5073 -1581 +-853 -1116 +-3841 3356 +79 -1465 +-558 -613 +1024 1024 +-856 4942 +2189 7419 +-937 -950 +-6988 332 +4602 1404 +3512 -1431 +-3279 33 +-300 -1748 +950 1377 +1477 3248 +4113 1890 +-182 1670 +-1191 -2351 +1691 -3308 +-1054 -1775 +2596 2172 +2455 -1610 +4977 -3849 +-2135 814 +-2584 -1176 +3985 -3930 +-2723 -4008 +-4601 -602 +-1024 0 +-4601 602 +-2723 4008 +3985 3930 +-2584 1176 +-2135 -814 +4977 3849 +2455 1610 +2596 -2172 +78 -1736 +772 3323 +-239 3289 +-1586 2838 +3470 4923 +454 -3883 +609 2455 +-2048 1024 +-2320 -1686 +2157 5326 +-1890 404 +-1910 2838 +-1575 -619 +1172 -3229 +-2251 -1672 +-4644 -2172 +5071 -542 +2968 -303 +1732 631 +1984 1176 +-3348 4762 +-1585 -768 +960 -5756 +1024 0 +960 5756 +-1585 768 +-3348 -4762 +1984 -1176 +1732 -631 +2968 303 +5071 542 +-4644 2172 +-2251 1672 +1172 3229 +-1575 619 +-1910 -2838 +-1890 -404 +2157 -5326 +-2320 1686 +-2048 -1024 +609 -2455 +454 3883 +3470 -4923 +-1586 -2838 +-239 -3289 +772 -3323 +78 1736 +2596 2172 +2455 -1610 +4977 -3849 +-2135 814 +-2584 -1176 +3985 -3930 +-2723 -4008 +-4601 -602 +2772 -5244 +-2464 -266 +1023 -2092 +-1640 381 +-4358 -2694 +1987 -3153 +1913 1906 +1561 -569 +1024 0 +1561 569 +1913 -1906 +1987 3153 +-4358 2694 +-1640 -381 +1023 2092 +-2464 266 +2772 5244 +-1372 5173 +-3114 1598 +-1977 4945 +660 -692 +4224 -416 +2953 131 +-540 -1476 +-4096 1024 +2237 888 +3219 575 +-1502 -6049 +-3908 -92 +2229 3196 +2606 -634 +-2500 6360 +1324 -900 +335 -2331 +685 2757 +-5531 -562 +-4682 -802 +2211 -913 +-1093 1434 +2743 -852 +7168 0 +2743 852 +-1093 -1434 +2211 913 +-4682 802 +-5531 562 +685 -2757 +335 2331 +1324 900 +-2500 -6360 +2606 634 +2229 -3196 +-3908 92 +-1502 6049 +3219 -575 +2237 -888 +-4096 -1024 +-540 1476 +2953 -131 +4224 416 +660 692 +-1977 -4945 +-3114 -1598 +-1372 -5173 +2772 -5244 +-2464 -266 +1023 -2092 +-1640 381 +-4358 -2694 +1987 -3153 +1913 1906 +1561 -569 +3496 -2048 +1729 3225 +-6182 4248 +-2388 497 +3750 -1024 +4371 1013 +-1275 315 +-1678 1439 +1024 0 +-1678 -1439 +-1275 -315 +4371 -1013 +3750 1024 +-2388 -497 +-6182 -4248 +1729 -3225 +3496 2048 +708 2349 +4887 -2877 +-1436 902 +190 -1024 +-82 -3460 +-4085 1856 +-1551 -3783 +-1024 0 +2972 4316 +-195 -3597 +-3984 258 +-2486 1024 +1616 -3958 +-3595 -4546 +-3305 -3998 +600 -2048 +-2915 -2212 +3690 2772 +640 4220 +2642 -1024 +1262 697 +-1437 2921 +4042 2436 +1024 0 +4042 -2436 +-1437 -2921 +1262 -697 +2642 1024 +640 -4220 +3690 -2772 +-2915 2212 +600 2048 +-3305 3998 +-3595 4546 +1616 3958 +-2486 -1024 +-3984 -258 +-195 3597 +2972 -4316 +-1024 0 +-1551 3783 +-4085 -1856 +-82 3460 +190 1024 +-1436 -902 +4887 2877 +708 -2349 +3496 -2048 +1729 3225 +-6182 4248 +-2388 497 +3750 -1024 +4371 1013 +-1275 315 +-1678 1439 +-848 2048 +101 -6928 +-1649 1534 +-3527 6171 +364 -2362 +2571 7224 +-494 -13 +1446 211 +5120 0 +1446 -211 +-494 13 +2571 -7224 +364 2362 +-3527 -6171 +-1649 -1534 +101 6928 +-848 -2048 +-816 1561 +-198 1041 +-34 1507 +-1304 -470 +-2515 -3869 +804 -65 +3125 4692 +-1024 2048 +-1322 2493 +3645 3211 +950 -3081 +-5088 1578 +-2907 850 +2210 -1347 +4751 2847 +4944 2048 +428 572 +-3258 3071 +-213 3508 +1932 314 +-118 1500 +-1059 2089 +-1919 3933 +-3072 0 +-1919 -3933 +-1059 -2089 +-118 -1500 +1932 -314 +-213 -3508 +-3258 -3071 +428 -572 +4944 -2048 +4751 -2847 +2210 1347 +-2907 -850 +-5088 -1578 +950 3081 +3645 -3211 +-1322 -2493 +-1024 -2048 +3125 -4692 +804 65 +-2515 3869 +-1304 470 +-34 -1507 +-198 -1041 +-816 -1561 +-848 2048 +101 -6928 +-1649 1534 +-3527 6171 +364 -2362 +2571 7224 +-494 -13 +1446 211 +1324 -300 +-4496 -171 +-455 1638 +-3164 -2019 +-5854 -3238 +2416 2804 +-1224 207 +-2867 2160 +3072 0 +-2867 -2160 +-1224 -207 +2416 -2804 +-5854 3238 +-3164 2019 +-455 -1638 +-4496 171 +1324 300 +2042 722 +-1875 665 +2299 2827 +4057 4116 +2479 -1210 +-20 1817 +-2214 7025 +0 -3072 +201 -4757 +-2028 -4798 +-2640 -998 +-1160 2668 +1557 488 +-173 2388 +-649 -7231 +2772 -1748 +207 24 +-1593 -4011 +2204 1218 +2957 1789 +641 4738 +-824 -2727 +1984 -342 +5120 0 +1984 342 +-824 2727 +641 -4738 +2957 -1789 +2204 -1218 +-1593 4011 +207 -24 +2772 1748 +-649 7231 +-173 -2388 +1557 -488 +-1160 -2668 +-2640 998 +-2028 4798 +201 4757 +0 3072 +-2214 -7025 +-20 -1817 +2479 1210 +4057 -4116 +2299 -2827 +-1875 -665 +2042 -722 +1324 -300 +-4496 -171 +-455 1638 +-3164 -2019 +-5854 -3238 +2416 2804 +-1224 207 +-2867 2160 +-4944 1024 +3371 129 +879 -3037 +-562 2710 +1374 5892 +-3089 699 +-3054 -1279 +-3314 2755 +-8192 0 +-3314 -2755 +-3054 1279 +-3089 -699 +1374 -5892 +-562 -2710 +879 3037 +3371 -129 +-4944 -1024 +-3364 2248 +2939 290 +-2449 1880 +3046 2447 +2454 2126 +679 447 +4473 -5267 +2048 0 +347 3044 +-2467 -1445 +1525 -3994 +-1846 -3097 +-1098 3927 +2633 1074 +1517 -1194 +848 1024 +-3741 -1691 +-658 3821 +-1243 593 +5618 -3244 +4461 2628 +-951 -613 +710 -756 +-4096 0 +710 756 +-951 613 +4461 -2628 +5618 3244 +-1243 -593 +-658 -3821 +-3741 1691 +848 -1024 +1517 1194 +2633 -1074 +-1098 -3927 +-1846 3097 +1525 3994 +-2467 1445 +347 -3044 +2048 0 +4473 5267 +679 -447 +2454 -2126 +3046 -2447 +-2449 -1880 +2939 -290 +-3364 -2248 +-4944 1024 +3371 129 +879 -3037 +-562 2710 +1374 5892 +-3089 699 +-3054 -1279 +-3314 2755 +1748 300 +1993 -4872 +2256 -3812 +-3927 3373 +-152 6320 +-2725 -3775 +-2392 610 +2357 112 +0 0 +2357 -112 +-2392 -610 +-2725 3775 +-152 -6320 +-3927 -3373 +2256 3812 +1993 4872 +1748 -300 +2026 2240 +-4629 6902 +2271 -957 +3862 5102 +493 3164 +2142 -378 +-1840 2342 +1024 1024 +1331 -1463 +-4190 -2729 +-2590 1228 +-1814 -1642 +-1150 1357 +2581 1226 +3145 -2044 +300 1748 +-1373 588 +-4304 -1864 +-2987 -1058 +2200 3320 +-971 1838 +344 -2961 +3945 -3917 +2048 0 +3945 3917 +344 2961 +-971 -1838 +2200 -3320 +-2987 1058 +-4304 1864 +-1373 -588 +300 -1748 +3145 2044 +2581 -1226 +-1150 -1357 +-1814 1642 +-2590 -1228 +-4190 2729 +1331 1463 +1024 -1024 +-1840 -2342 +2142 378 +493 -3164 +3862 -5102 +2271 957 +-4629 -6902 +2026 -2240 +1748 300 +1993 -4872 +2256 -3812 +-3927 3373 +-152 6320 +-2725 -3775 +-2392 610 +2357 112 +-848 -3496 +-58 -2952 +4573 -1722 +2988 -674 +808 26 +-4176 -161 +-2364 246 +1124 1004 +-3072 0 +1124 -1004 +-2364 -246 +-4176 161 +808 -26 +2988 674 +4573 1722 +-58 2952 +-848 3496 +2702 1643 +1381 3 +-2165 -114 +928 -2546 +1052 -4436 +-4821 -718 +-4814 876 +3072 -4096 +909 -4590 +-1415 1211 +2050 2018 +-4424 -1698 +5411 -3259 +2180 -4381 +-3490 -4099 +4944 -600 +-3618 -206 +555 -1463 +446 2953 +-1408 4918 +186 -1944 +-88 -5309 +1452 -1485 +-3072 0 +1452 1485 +-88 5309 +186 1944 +-1408 -4918 +446 -2953 +555 1463 +-3618 206 +4944 600 +-3490 4099 +2180 4381 +5411 3259 +-4424 1698 +2050 -2018 +-1415 -1211 +909 4590 +3072 4096 +-4814 -876 +-4821 718 +1052 4436 +928 2546 +-2165 114 +1381 -3 +2702 -1643 +-848 -3496 +-58 -2952 +4573 -1722 +2988 -674 +808 26 +-4176 -161 +-2364 246 +1124 1004 +-1024 -3920 +4198 -4248 +-2924 -943 +-1424 345 +5232 -2302 +739 -1377 +-1132 -1603 +-92 -4465 +3072 0 +-92 4465 +-1132 1603 +739 1377 +5232 2302 +-1424 -345 +-2924 943 +4198 4248 +-1024 3920 +-485 2494 +-822 -517 +3999 -3090 +119 -1638 +-3860 -47 +-2670 637 +-6656 127 +3072 -2048 +-1699 962 +-827 4917 +2483 791 +-3016 -1038 +2815 2509 +222 776 +796 -846 +-1024 1872 +-3622 2237 +2325 3435 +-926 4367 +-2336 -1194 +1967 -4465 +-2364 -1110 +1767 836 +11264 0 +1767 -836 +-2364 1110 +1967 4465 +-2336 1194 +-926 -4367 +2325 -3435 +-3622 -2237 +-1024 -1872 +796 846 +222 -776 +2815 -2509 +-3016 1038 +2483 -791 +-827 -4917 +-1699 -962 +3072 2048 +-6656 -127 +-2670 -637 +-3860 47 +119 1638 +3999 3090 +-822 517 +-485 -2494 +-1024 -3920 +4198 -4248 +-2924 -943 +-1424 345 +5232 -2302 +739 -1377 +-1132 -1603 +-92 -4465 +-2472 -4096 +1481 1834 +4045 -290 +5447 -6591 +3510 2316 +-429 -3040 +1139 -371 +792 -3037 +-2048 0 +792 3037 +1139 371 +-429 3040 +3510 -2316 +5447 6591 +4045 290 +1481 -1834 +-2472 4096 +-1554 -2961 +60 3393 +-2421 1331 +-2726 -1688 +-1923 -247 +170 -1007 +2985 -1261 +0 0 +-498 2710 +2950 -1035 +-369 -2441 +-1618 3256 +2304 4277 +1063 -3260 +-368 -1855 +424 -4096 +-1127 -3552 +-2273 4725 +-1546 -560 +834 -1468 +-1063 -1059 +-7155 -4953 +-1711 -4008 +6144 0 +-1711 4008 +-7155 4953 +-1063 1059 +834 1468 +-1546 560 +-2273 -4725 +-1127 3552 +424 4096 +-368 1855 +1063 3260 +2304 -4277 +-1618 -3256 +-369 2441 +2950 1035 +-498 -2710 +0 0 +2985 1261 +170 1007 +-1923 247 +-2726 1688 +-2421 -1331 +60 -3393 +-1554 2961 +-2472 -4096 +1481 1834 +4045 -290 +5447 -6591 +3510 2316 +-429 -3040 +1139 -371 +792 -3037 +-7716 -1748 +942 755 +1814 357 +2340 -1576 +2284 8 +4178 -4322 +3021 3590 +-1048 -1585 +-1024 0 +-1048 1585 +3021 -3590 +4178 4322 +2284 -8 +2340 1576 +1814 -357 +942 -755 +-7716 1748 +-6360 -2473 +3935 -679 +1312 -4246 +-162 -4346 +-1908 -1203 +-5289 -644 +-1161 -3511 +2048 1024 +-593 643 +-3586 -800 +1254 6038 +162 -2898 +-3010 -1510 +4334 585 +3375 -4057 +-476 -300 +-853 -2577 +-195 -3189 +2255 -361 +-2284 1441 +-627 1099 +4159 350 +-95 -293 +-3072 0 +-95 293 +4159 -350 +-627 -1099 +-2284 -1441 +2255 361 +-195 3189 +-853 2577 +-476 300 +3375 4057 +4334 -585 +-3010 1510 +162 2898 +1254 -6038 +-3586 800 +-593 -643 +2048 -1024 +-1161 3511 +-5289 644 +-1908 1203 +-162 4346 +1312 4246 +3935 679 +-6360 2473 +-7716 -1748 +942 755 +1814 357 +2340 -1576 +2284 8 +4178 -4322 +3021 3590 +-1048 -1585 +-124 -476 +2475 -660 +2356 -7330 +-3422 1664 +202 3574 +-163 245 +-1670 2744 +1330 1554 +-2048 0 +1330 -1554 +-1670 -2744 +-163 -245 +202 -3574 +-3422 -1664 +2356 7330 +2475 660 +-124 476 +-1422 4119 +419 -2558 +-1757 -83 +-3588 1232 +-4048 -252 +-1814 -1989 +986 -2017 +-1024 1024 +-393 1001 +-417 87 +2551 -4846 +-2804 -2016 +-310 1771 +2921 2046 +-3133 -1698 +4220 -7716 +1192 3402 +-2800 4942 +1025 2775 +2094 5466 +330 144 +1005 531 +4757 -3961 +4096 0 +4757 3961 +1005 -531 +330 -144 +2094 -5466 +1025 -2775 +-2800 -4942 +1192 -3402 +4220 7716 +-3133 1698 +2921 -2046 +-310 -1771 +-2804 2016 +2551 4846 +-417 -87 +-393 -1001 +-1024 -1024 +986 2017 +-1814 1989 +-4048 252 +-3588 -1232 +-1757 83 +419 2558 +-1422 -4119 +-124 -476 +2475 -660 +2356 -7330 +-3422 1664 +202 3574 +-163 245 +-1670 2744 +1330 1554 +2648 424 +1983 1538 +986 -4808 +280 -4542 +-1734 4424 +1644 -4907 +2999 1740 +-1632 1076 +-4096 0 +-1632 -1076 +2999 -1740 +1644 4907 +-1734 -4424 +280 4542 +986 4808 +1983 -1538 +2648 -424 +-435 -868 +302 9323 +-437 3359 +-3626 -808 +-2350 2204 +-2866 -1358 +-1984 -1876 +0 2048 +-647 2220 +3686 1303 +2437 1655 +-2518 -1408 +2524 2677 +1407 1740 +-1384 -1354 +5544 -2472 +3621 -3592 +-1494 121 +-799 76 +-4410 -928 +-3299 574 +3173 3817 +479 1452 +-4096 0 +479 -1452 +3173 -3817 +-3299 -574 +-4410 928 +-799 -76 +-1494 -121 +3621 3592 +5544 2472 +-1384 1354 +1407 -1740 +2524 -2677 +-2518 1408 +2437 -1655 +3686 -1303 +-647 -2220 +0 -2048 +-1984 1876 +-2866 1358 +-2350 -2204 +-3626 808 +-437 -3359 +302 -9323 +-435 868 +2648 424 +1983 1538 +986 -4808 +280 -4542 +-1734 4424 +1644 -4907 +2999 1740 +-1632 1076 +-724 -3796 +3488 741 +-4305 572 +-238 3698 +162 2454 +-1728 3498 +2651 3303 +224 2235 +-1024 0 +224 -2235 +2651 -3303 +-1728 -3498 +162 -2454 +-238 -3698 +-4305 -572 +3488 -741 +-724 3796 +451 2431 +2602 28 +-1166 2428 +2284 -2224 +-4247 1732 +-520 -879 +1920 235 +-4096 3072 +2369 -4357 +3897 -3711 +391 1176 +-2284 -776 +-1891 -5495 +334 184 +1562 537 +724 -2348 +-2605 -2396 +-327 -4512 +399 -6950 +-162 -1006 +2688 6411 +3861 -2039 +-1617 -795 +-7168 0 +-1617 795 +3861 2039 +2688 -6411 +-162 1006 +399 6950 +-327 4512 +-2605 2396 +724 2348 +1562 -537 +334 -184 +-1891 5495 +-2284 776 +391 -1176 +3897 3711 +2369 4357 +-4096 -3072 +1920 -235 +-520 879 +-4247 -1732 +2284 2224 +-1166 -2428 +2602 -28 +451 -2431 +-724 -3796 +3488 741 +-4305 572 +-238 3698 +162 2454 +-1728 3498 +2651 3303 +224 2235 +-2596 1324 +1664 347 +2340 647 +1428 4337 +692 5300 +2261 -295 +-2828 -4218 +-1952 -2198 +5120 0 +-1952 2198 +-2828 4218 +2261 295 +692 -5300 +1428 -4337 +2340 -647 +1664 -347 +-2596 -1324 +-3332 -3971 +-1159 2373 +-1375 -1495 +802 -5395 +-913 2053 +1313 -5116 +3399 -1819 +-2048 1024 +-2269 -2320 +-2798 1876 +85 2218 +2694 -2498 +-2048 -3606 +-993 1173 +1724 -455 +4644 2772 +5297 953 +1508 553 +-222 -1096 +-92 -2403 +784 5812 +-5575 -2774 +-4529 -520 +3072 0 +-4529 520 +-5575 2774 +784 -5812 +-92 2403 +-222 1096 +1508 -553 +5297 -953 +4644 -2772 +1724 455 +-993 -1173 +-2048 3606 +2694 2498 +85 -2218 +-2798 -1876 +-2269 2320 +-2048 -1024 +3399 1819 +1313 5116 +-913 -2053 +802 5395 +-1375 1495 +-1159 -2373 +-3332 3971 +-2596 1324 +1664 347 +2340 647 +1428 4337 +692 5300 +2261 -295 +-2828 -4218 +-1952 -2198 +-300 124 +-958 -2775 +3190 3313 +-308 -726 +-1441 -6324 +414 -1280 +-4255 -3377 +-363 6712 +3072 0 +-363 -6712 +-4255 3377 +414 1280 +-1441 6324 +-308 726 +3190 -3313 +-958 2775 +-300 -124 +-4085 3555 +-1753 190 +80 -339 +-2898 4371 +-2667 -1192 +-207 -4980 +-1429 -2975 +-2048 1024 +3721 -354 +-678 564 +2313 3925 +4346 3522 +839 -261 +3097 -2458 +185 573 +-1748 -4220 +1074 -5871 +4155 -665 +-2179 1116 +-8 1379 +1507 -1484 +-3549 -2167 +1855 -1563 +5120 0 +1855 1563 +-3549 2167 +1507 1484 +-8 -1379 +-2179 -1116 +4155 665 +1074 5871 +-1748 4220 +185 -573 +3097 2458 +839 261 +4346 -3522 +2313 -3925 +-678 -564 +3721 354 +-2048 -1024 +-1429 2975 +-207 4980 +-2667 1192 +-2898 -4371 +80 339 +-1753 -190 +-4085 -3555 +-300 124 +-958 -2775 +3190 3313 +-308 -726 +-1441 -6324 +414 -1280 +-4255 -3377 +-363 6712 +1748 724 +1048 -1799 +-1655 -5906 +-438 -4040 +1006 1645 +-2429 -550 +2254 587 +1599 -5018 +-5120 0 +1599 5018 +2254 -587 +-2429 550 +1006 -1645 +-438 4040 +-1655 5906 +1048 1799 +1748 -724 +1450 2752 +-75 827 +-554 -3773 +-776 -3152 +897 -8767 +-1 3215 +-4047 2697 +0 -3072 +23 1447 +-2010 -4785 +4541 -4073 +2224 -5200 +-1432 2410 +1628 4155 +777 -4070 +300 -724 +-1707 -279 +-2793 -1855 +-1360 -3008 +-2454 403 +775 204 +2654 -3290 +857 322 +1024 0 +857 -322 +2654 3290 +775 -204 +-2454 -403 +-1360 3008 +-2793 1855 +-1707 279 +300 724 +777 4070 +1628 -4155 +-1432 -2410 +2224 5200 +4541 4073 +-2010 4785 +23 -1447 +0 3072 +-4047 -2697 +-1 -3215 +897 8767 +-776 3152 +-554 3773 +-75 -827 +1450 -2752 +1748 724 +1048 -1799 +-1655 -5906 +-438 -4040 +1006 1645 +-2429 -550 +2254 587 +1599 -5018 +-2596 3196 +224 -930 +-2582 -7945 +2415 -2182 +1370 1670 +-2017 3996 +-760 -275 +1221 -759 +6144 0 +1221 759 +-760 275 +-2017 -3996 +1370 -1670 +2415 2182 +-2582 7945 +224 930 +-2596 -3196 +-6191 1788 +-3437 -4190 +687 -313 +-2080 -332 +1716 -1094 +2053 1858 +-3749 -4795 +-1024 -1024 +-3491 2765 +843 1922 +509 -1168 +-2864 1116 +-203 6228 +541 -1138 +4055 59 +4644 -1148 +1233 -4951 +-314 1109 +5348 -486 +-522 -222 +-2663 706 +3657 -1357 +906 1767 +0 0 +906 -1767 +3657 1357 +-2663 -706 +-522 222 +5348 486 +-314 -1109 +1233 4951 +4644 1148 +4055 -59 +541 1138 +-203 -6228 +-2864 -1116 +509 1168 +843 -1922 +-3491 -2765 +-1024 1024 +-3749 4795 +2053 -1858 +1716 1094 +-2080 332 +687 313 +-3437 4190 +-6191 -1788 +-2596 3196 +224 -930 +-2582 -7945 +2415 -2182 +1370 1670 +-2017 3996 +-760 -275 +1221 -759 +300 724 +2131 -9727 +3407 -3221 +603 1657 +-92 -4397 +3445 1763 +268 -3068 +311 -5521 +1024 0 +311 5521 +268 3068 +3445 -1763 +-92 4397 +603 -1657 +3407 3221 +2131 9727 +300 -724 +-5456 -111 +-522 -1401 +-250 -468 +2694 1167 +3716 -2592 +-1384 -726 +3938 743 +2048 -1024 +-1865 -2451 +-3885 2170 +-569 -2205 +802 -4626 +-3132 3104 +-3197 1495 +-502 1012 +1748 -724 +1610 -295 +2010 325 +-2574 -6094 +692 -1396 +-1241 2199 +-4887 172 +-167 2768 +-1024 0 +-167 -2768 +-4887 -172 +-1241 -2199 +692 1396 +-2574 6094 +2010 -325 +1610 295 +1748 724 +-502 -1012 +-3197 -1495 +-3132 -3104 +802 4626 +-569 2205 +-3885 -2170 +-1865 2451 +2048 1024 +3938 -743 +-1384 726 +3716 2592 +2694 -1167 +-250 468 +-522 1401 +-5456 111 +300 724 +2131 -9727 +3407 -3221 +603 1657 +-92 -4397 +3445 1763 +268 -3068 +311 -5521 +-424 848 +1067 -20 +1460 2686 +6137 -2239 +-2172 2842 +-960 -1071 +3286 -3939 +806 3711 +4096 0 +806 -3711 +3286 3939 +-960 1071 +-2172 -2842 +6137 2239 +1460 -2686 +1067 20 +-424 -848 +-222 -3143 +3228 -2780 +3151 -3128 +2172 6302 +-2786 1969 +-1188 -1005 +-4492 1710 +-4096 2048 +-946 1261 +-4828 -665 +3446 1709 +2172 158 +-5608 -1258 +-1456 1344 +2020 3323 +2472 -4944 +919 -4970 +-337 -1458 +-2113 -1372 +-2172 3302 +-1267 -1276 +-166 1382 +848 4161 +0 0 +848 -4161 +-166 -1382 +-1267 1276 +-2172 -3302 +-2113 1372 +-337 1458 +919 4970 +2472 4944 +2020 -3323 +-1456 -1344 +-5608 1258 +2172 -158 +3446 -1709 +-4828 665 +-946 -1261 +-4096 -2048 +-4492 -1710 +-1188 1005 +-2786 -1969 +2172 -6302 +3151 3128 +3228 2780 +-222 3143 +-424 848 +1067 -20 +1460 2686 +6137 -2239 +-2172 2842 +-960 -1071 +3286 -3939 +806 3711 +5244 -1148 +-158 -4314 +-128 2443 +786 530 +-273 -1540 +999 3092 +-347 3604 +861 2696 +4096 0 +861 -2696 +-347 -3604 +999 -3092 +-273 1540 +786 -530 +-128 -2443 +-158 4314 +5244 1148 +3086 -1706 +-1026 -1675 +1239 1745 +-1390 4142 +-2336 3863 +1473 2971 +-1047 -4209 +-1024 3072 +1147 2693 +-2073 -109 +1171 -504 +4286 -2250 +-2171 1373 +-2470 -9960 +1986 -1738 +900 3196 +-451 -2399 +-3368 2058 +-6535 -2230 +-2624 -756 +1055 -2995 +-253 -2091 +368 3319 +2048 0 +368 -3319 +-253 2091 +1055 2995 +-2624 756 +-6535 2230 +-3368 -2058 +-451 2399 +900 -3196 +1986 1738 +-2470 9960 +-2171 -1373 +4286 2250 +1171 504 +-2073 109 +1147 -2693 +-1024 -3072 +-1047 4209 +1473 -2971 +-2336 -3863 +-1390 -4142 +1239 -1745 +-1026 1675 +3086 1706 +5244 -1148 +-158 -4314 +-128 2443 +786 530 +-273 -1540 +999 3092 +-347 3604 +861 2696 +-724 -1324 +-2955 -1168 +-1984 3312 +3621 -6168 +92 -2996 +2976 4774 +3391 -1684 +-2206 -3000 +-2048 0 +-2206 3000 +3391 1684 +2976 -4774 +92 2996 +3621 6168 +-1984 -3312 +-2955 1168 +-724 1324 +-1910 262 +1945 2868 +843 2640 +-2694 1667 +-3079 1053 +-1221 4370 +-523 1371 +-1024 3072 +2954 549 +3934 246 +1276 2937 +-802 -4477 +327 -4130 +547 311 +-2343 2082 +724 -2772 +-152 -796 +691 -2973 +4785 964 +-692 9140 +836 -1323 +889 457 +-4450 -1606 +-4096 0 +-4450 1606 +889 -457 +836 1323 +-692 -9140 +4785 -964 +691 2973 +-152 796 +724 2772 +-2343 -2082 +547 -311 +327 4130 +-802 4477 +1276 -2937 +3934 -246 +2954 -549 +-1024 -3072 +-523 -1371 +-1221 -4370 +-3079 -1053 +-2694 -1667 +843 -2640 +1945 -2868 +-1910 -262 +-724 -1324 +-2955 -1168 +-1984 3312 +3621 -6168 +92 -2996 +2976 4774 +3391 -1684 +-2206 -3000 +-600 -1872 +3702 -678 +-1048 -2282 +-181 -744 +738 -999 +-2558 -4052 +-1636 4113 +382 1661 +4096 0 +382 -1661 +-1636 -4113 +-2558 4052 +738 999 +-181 744 +-1048 2282 +3702 678 +-600 1872 +394 -361 +1742 -904 +-393 -1812 +-4050 1796 +-1511 1299 +189 -56 +-1177 -4019 +4096 -8192 +2082 5086 +1859 2464 +-1004 -21 +-2942 7340 +-787 -581 +306 1469 +-678 4917 +-3496 3920 +1695 3500 +3096 559 +3817 2654 +-1938 -1649 +-3175 -2381 +3684 2503 +-607 -803 +-4096 0 +-607 803 +3684 -2503 +-3175 2381 +-1938 1649 +3817 -2654 +3096 -559 +1695 -3500 +-3496 -3920 +-678 -4917 +306 -1469 +-787 581 +-2942 -7340 +-1004 21 +1859 -2464 +2082 -5086 +4096 8192 +-1177 4019 +189 56 +-1511 -1299 +-4050 -1796 +-393 1812 +1742 904 +394 361 +-600 -1872 +3702 -678 +-1048 -2282 +-181 -744 +738 -999 +-2558 -4052 +-1636 4113 +382 1661 +-1148 -4820 +-134 -2917 +2691 2696 +871 -7438 +-2030 -1574 +-1977 -95 +502 -2701 +1985 4188 +3072 0 +1985 -4188 +502 2701 +-1977 95 +-2030 1574 +871 7438 +2691 -2696 +-134 2917 +-1148 4820 +3667 -1790 +1625 -1452 +1716 -2225 +-248 2765 +-5912 -1088 +-2194 -767 +862 3911 +0 3072 +-5937 -694 +-2594 -936 +885 2187 +-3248 -1331 +2391 -149 +487 1852 +765 598 +3196 -3372 +814 529 +989 4704 +-2523 5362 +1430 5670 +-1243 -3181 +-1506 -1563 +3769 416 +1024 0 +3769 -416 +-1506 1563 +-1243 3181 +1430 -5670 +-2523 -5362 +989 -4704 +814 -529 +3196 3372 +765 -598 +487 -1852 +2391 149 +-3248 1331 +885 -2187 +-2594 936 +-5937 694 +0 -3072 +862 -3911 +-2194 767 +-5912 1088 +-248 -2765 +1716 2225 +1625 1452 +3667 1790 +-1148 -4820 +-134 -2917 +2691 2696 +871 -7438 +-2030 -1574 +-1977 -95 +502 -2701 +1985 4188 +-1148 3796 +3120 2002 +-1574 -778 +-6597 -176 +-78 2778 +-1035 3771 +-1036 -1214 +1630 2518 +0 0 +1630 -2518 +-1036 1214 +-1035 -3771 +-78 -2778 +-6597 176 +-1574 778 +3120 -2002 +-1148 -3796 +2237 2349 +1691 5733 +-2937 922 +-632 2344 +1295 4436 +2979 -951 +6616 308 +3072 1024 +-5865 3319 +-1870 1422 +4753 1572 +-1416 3792 +-1558 -576 +985 -883 +-524 -2086 +3196 2348 +1199 -2103 +-1102 -1742 +626 -745 +-1970 -1330 +-340 7926 +-72 -509 +-2620 2429 +-2048 0 +-2620 -2429 +-72 509 +-340 -7926 +-1970 1330 +626 745 +-1102 1742 +1199 2103 +3196 -2348 +-524 2086 +985 883 +-1558 576 +-1416 -3792 +4753 -1572 +-1870 -1422 +-5865 -3319 +3072 -1024 +6616 -308 +2979 951 +1295 -4436 +-632 -2344 +-2937 -922 +1691 -5733 +2237 -2349 +-1148 3796 +3120 2002 +-1574 -778 +-6597 -176 +-78 2778 +-1035 3771 +-1036 -1214 +1630 2518 +3920 -5544 +2538 -242 +1219 1690 +-2051 -108 +1352 -1134 +1701 2056 +-3661 -662 +-4557 1325 +-6144 0 +-4557 -1325 +-3661 662 +1701 -2056 +1352 1134 +-2051 108 +1219 -1690 +2538 242 +3920 5544 +-3054 865 +-2589 -2073 +-2452 3768 +-3880 -130 +6657 1455 +1038 2671 +-454 1042 +0 0 +-2916 1222 +4810 -1933 +-1308 -5299 +-1664 -978 +1609 -3063 +-2487 1202 +687 1767 +-1872 -2648 +-1499 -2402 +5057 386 +2006 -484 +-4000 -3810 +-369 3222 +4806 3050 +3463 1102 +2048 0 +3463 -1102 +4806 -3050 +-369 -3222 +-4000 3810 +2006 484 +5057 -386 +-1499 2402 +-1872 2648 +687 -1767 +-2487 -1202 +1609 3063 +-1664 978 +-1308 5299 +4810 1933 +-2916 -1222 +0 0 +-454 -1042 +1038 -2671 +6657 -1455 +-3880 130 +-2452 -3768 +-2589 2073 +-3054 -865 +3920 -5544 +2538 -242 +1219 1690 +-2051 -108 +1352 -1134 +1701 2056 +-3661 -662 +-4557 1325 +-724 2772 +2483 -629 +-999 1395 +-945 2788 +1644 632 +-1806 3888 +-1324 -2463 +264 -646 +0 0 +264 646 +-1324 2463 +-1806 -3888 +1644 -632 +-945 -2788 +-999 -1395 +2483 629 +-724 -2772 +-249 -272 +-383 -2836 +1019 -6258 +2214 1970 +-252 2484 +481 -6743 +399 1065 +1024 -1024 +-1602 -4439 +1751 2326 +2395 1239 +-2813 -78 +367 -3218 +-2957 568 +377 14 +724 1324 +-3500 3682 +1443 -2583 +-4905 -2956 +-5140 1416 +-1666 5644 +1988 -1254 +7620 -4491 +6144 0 +7620 4491 +1988 1254 +-1666 -5644 +-5140 -1416 +-4905 2956 +1443 2583 +-3500 -3682 +724 -1324 +377 -14 +-2957 -568 +367 3218 +-2813 78 +2395 -1239 +1751 -2326 +-1602 4439 +1024 1024 +399 -1065 +481 6743 +-252 -2484 +2214 -1970 +1019 6258 +-383 2836 +-249 272 +-724 2772 +2483 -629 +-999 1395 +-945 2788 +1644 632 +-1806 3888 +-1324 -2463 +264 -646 +-1924 -300 +-1633 -1046 +4459 4628 +558 -776 +3094 -3597 +-64 2997 +937 609 +3466 -2968 +-7168 0 +3466 2968 +937 -609 +-64 -2997 +3094 3597 +558 776 +4459 -4628 +-1633 1046 +-1924 300 +-5922 -3318 +-1645 -838 +1566 2993 +-4600 3536 +-901 -1548 +-670 -887 +-77 2157 +2048 1024 +3907 737 +162 -2659 +1447 109 +3752 7033 +62 4783 +2466 2282 +-2357 -155 +-6268 -1748 +776 425 +1713 1388 +-1537 4134 +1851 2997 +-1131 228 +771 515 +1840 -2236 +-5120 0 +1840 2236 +771 -515 +-1131 -228 +1851 -2997 +-1537 -4134 +1713 -1388 +776 -425 +-6268 1748 +-2357 155 +2466 -2282 +62 -4783 +3752 -7033 +1447 -109 +162 2659 +3907 -737 +2048 -1024 +-77 -2157 +-670 887 +-901 1548 +-4600 -3536 +1566 -2993 +-1645 838 +-5922 3318 +-1924 -300 +-1633 -1046 +4459 4628 +558 -776 +3094 -3597 +-64 2997 +937 609 +3466 -2968 +-5069 1148 +-1544 1048 +-3495 -1259 +-4433 3972 +-3418 -802 +-239 -4166 +2756 556 +-825 -6728 +1024 0 +-825 6728 +2756 -556 +-239 4166 +-3418 802 +-4433 -3972 +-3495 1259 +-1544 -1048 +-5069 -1148 +3750 485 +-1132 -851 +-2494 -653 +32 92 +-3081 -119 +2393 555 +588 2309 +4096 -1024 +1920 -1248 +384 1627 +2481 1888 +816 692 +4403 -4462 +571 74 +-286 3942 +5069 -3196 +2544 -2575 +-4633 984 +-4156 779 +-1526 -2694 +1727 748 +3156 7508 +-354 587 +-1024 0 +-354 -587 +3156 -7508 +1727 -748 +-1526 2694 +-4156 -779 +-4633 -984 +2544 2575 +5069 3196 +-286 -3942 +571 -74 +4403 4462 +816 -692 +2481 -1888 +384 -1627 +1920 1248 +4096 1024 +588 -2309 +2393 -555 +-3081 119 +32 -92 +-2494 653 +-1132 851 +3750 -485 +-5069 1148 +-1544 1048 +-3495 -1259 +-4433 3972 +-3418 -802 +-239 -4166 +2756 556 +-825 -6728 +-1748 2172 +268 2517 +748 416 +-354 -1479 +-3348 3308 +51 7205 +-297 -4068 +-873 6135 +3072 0 +-873 -6135 +-297 4068 +51 -7205 +-3348 -3308 +-354 1479 +748 -416 +268 -2517 +-1748 -2172 +-1501 304 +1033 -4860 +2165 -4391 +5010 862 +2828 5587 +2277 -852 +22 -1878 +-4096 -3072 +1161 -3777 +-1834 2163 +-5858 -2923 +-666 -1186 +1429 394 +1199 372 +-1292 -698 +-300 -2172 +957 1703 +-84 1920 +543 2376 +-997 -1260 +-805 175 +-3044 4187 +1257 581 +9216 0 +1257 -581 +-3044 -4187 +-805 -175 +-997 1260 +543 -2376 +-84 -1920 +957 -1703 +-300 2172 +-1292 698 +1199 -372 +1429 -394 +-666 1186 +-5858 2923 +-1834 -2163 +1161 3777 +-4096 3072 +22 1878 +2277 852 +2828 -5587 +5010 -862 +2165 4391 +1033 4860 +-1501 -304 +-1748 2172 +268 2517 +748 416 +-354 -1479 +-3348 3308 +51 7205 +-297 -4068 +-873 6135 +3796 -724 +-3114 1037 +-1152 5526 +-432 -3686 +-1670 -1526 +946 2079 +-1008 4208 +-97 -2740 +3072 0 +-97 2740 +-1008 -4208 +946 -2079 +-1670 1526 +-432 3686 +-1152 -5526 +-3114 -1037 +3796 724 +-678 -1951 +-5467 4729 +1713 -76 +332 816 +-1689 -4150 +-1195 -4266 +-1434 3163 +0 3072 +-211 1084 +-2405 -990 +-5701 1072 +1116 -32 +3713 2793 +-1489 2341 +2913 710 +2348 724 +2208 -623 +1116 -921 +-4106 -5476 +222 -3418 +-236 -3097 +3409 -2132 +6206 4207 +1024 0 +6206 -4207 +3409 2132 +-236 3097 +222 3418 +-4106 5476 +1116 921 +2208 623 +2348 -724 +2913 -710 +-1489 -2341 +3713 -2793 +1116 32 +-5701 -1072 +-2405 990 +-211 -1084 +0 -3072 +-1434 -3163 +-1195 4266 +-1689 4150 +332 -816 +1713 76 +-5467 -4729 +-678 1951 +3796 -724 +-3114 1037 +-1152 5526 +-432 -3686 +-1670 -1526 +946 2079 +-1008 4208 +-97 -2740 +-4820 5244 +4122 8702 +-284 -612 +1512 1736 +-3732 -8 +-4213 -1090 +4551 128 +-478 55 +-5120 0 +-478 -55 +4551 -128 +-4213 1090 +-3732 8 +1512 -1736 +-284 612 +4122 -8702 +-4820 -5244 +-5323 507 +1128 -3359 +-770 2189 +1610 4346 +-2939 2770 +-2221 -3469 +-488 -869 +2048 1024 +5177 -1132 +-1083 2259 +1991 2638 +1286 2898 +-1978 -1073 +3137 -619 +1255 1232 +-3372 900 +-2509 271 +115 1656 +557 115 +836 -1441 +47 279 +2848 -4289 +4037 2137 +1024 0 +4037 -2137 +2848 4289 +47 -279 +836 1441 +557 -115 +115 -1656 +-2509 -271 +-3372 -900 +1255 -1232 +3137 619 +-1978 1073 +1286 -2898 +1991 -2638 +-1083 -2259 +5177 1132 +2048 -1024 +-488 869 +-2221 3469 +-2939 -2770 +1610 -4346 +-770 -2189 +1128 3359 +-5323 -507 +-4820 5244 +4122 8702 +-284 -612 +1512 1736 +-3732 -8 +-4213 -1090 +4551 128 +-478 55 +-724 300 +-4779 -1434 +1401 -3293 +2037 -2542 +-162 562 +792 6929 +-2170 1430 +-1076 1212 +-1024 0 +-1076 -1212 +-2170 -1430 +792 -6929 +-162 -562 +2037 2542 +1401 3293 +-4779 1434 +-724 -300 +-2650 5814 +-325 2144 +2345 -2894 +-2284 -3008 +-5435 4448 +-3068 3592 +-1794 -2766 +0 3072 +6745 -630 +172 -1655 +310 -293 +2284 -1560 +-438 -1530 +3221 -6428 +3379 2356 +724 1748 +-1303 -5937 +1495 513 +-1728 -630 +162 886 +2117 3570 +-726 -885 +1476 404 +1024 0 +1476 -404 +-726 885 +2117 -3570 +162 -886 +-1728 630 +1495 -513 +-1303 5937 +724 -1748 +3379 -2356 +3221 6428 +-438 1530 +2284 1560 +310 293 +172 1655 +6745 630 +0 -3072 +-1794 2766 +-3068 -3592 +-5435 -4448 +-2284 3008 +2345 2894 +-325 -2144 +-2650 -5814 +-724 300 +-4779 -1434 +1401 -3293 +2037 -2542 +-162 562 +792 6929 +-2170 1430 +-1076 1212 +1148 -1148 +-2477 1346 +-1117 870 +697 5215 +3602 -886 +84 724 +-4397 799 +-1035 -1414 +0 0 +-1035 1414 +-4397 -799 +84 -724 +3602 886 +697 -5215 +-1117 -870 +-2477 -1346 +1148 1148 +205 3131 +3103 -2656 +2790 1372 +-5421 -1560 +-2327 -458 +-802 -173 +-746 -4358 +1024 -3072 +3349 -3000 +4846 831 +955 3339 +-2420 -3008 +-985 -2233 +6084 1024 +166 -4144 +-3196 3196 +4324 2523 +1818 -2982 +2850 -811 +143 -562 +-4063 -504 +-1344 -5588 +-3785 2331 +-6144 0 +-3785 -2331 +-1344 5588 +-4063 504 +143 562 +2850 811 +1818 2982 +4324 -2523 +-3196 -3196 +166 4144 +6084 -1024 +-985 2233 +-2420 3008 +955 -3339 +4846 -831 +3349 3000 +1024 3072 +-746 4358 +-802 173 +-2327 458 +-5421 1560 +2790 -1372 +3103 2656 +205 -3131 +1148 -1148 +-2477 1346 +-1117 870 +697 5215 +3602 -886 +84 724 +-4397 799 +-1035 -1414 +-4096 0 +2140 -168 +985 7460 +1288 2874 +-2062 -848 +2584 -831 +4437 859 +1225 590 +5120 0 +1225 -590 +4437 -859 +2584 831 +-2062 848 +1288 -2874 +985 -7460 +2140 168 +-4096 0 +-487 297 +4669 397 +-157 -1870 +1278 4944 +-1009 -1027 +579 3568 +-73 5798 +-3072 -4096 +-2819 1509 +-3320 4287 +2363 -4884 +170 -4944 +-1940 1187 +-508 -763 +-4201 2310 +-4096 0 +-348 -715 +4743 1269 +3706 -2713 +614 -848 +-1043 -129 +-3393 1557 +-1230 -1982 +1024 0 +-1230 1982 +-3393 -1557 +-1043 129 +614 848 +3706 2713 +4743 -1269 +-348 715 +-4096 0 +-4201 -2310 +-508 763 +-1940 -1187 +170 4944 +2363 4884 +-3320 -4287 +-2819 -1509 +-3072 4096 +-73 -5798 +579 -3568 +-1009 1027 +1278 -4944 +-157 1870 +4669 -397 +-487 -297 +-4096 0 +2140 -168 +985 7460 +1288 2874 +-2062 -848 +2584 -831 +4437 859 +1225 590 +2472 -3496 +2862 -206 +-1458 931 +97 919 +-2976 -46 +493 -1425 +4285 -1990 +669 -3103 +4096 0 +669 3103 +4285 1990 +493 1425 +-2976 46 +97 -919 +-1458 -931 +2862 206 +2472 3496 +261 97 +-2216 -3235 +1435 147 +-640 -2158 +2091 -3889 +5563 -2298 +-3139 -3656 +0 0 +-1328 -348 +793 -2778 +1115 5965 +-2856 4834 +-4085 -3285 +-3681 2598 +5307 5216 +-424 -600 +-182 -1227 +1562 805 +-2559 425 +2376 -1154 +-4378 2069 +-4848 5606 +1343 2258 +0 0 +1343 -2258 +-4848 -5606 +-4378 -2069 +2376 1154 +-2559 -425 +1562 -805 +-182 1227 +-424 600 +5307 -5216 +-3681 -2598 +-4085 3285 +-2856 -4834 +1115 -5965 +793 2778 +-1328 348 +0 0 +-3139 3656 +5563 2298 +2091 3889 +-640 2158 +1435 -147 +-2216 3235 +261 -97 +2472 -3496 +2862 -206 +-1458 931 +97 919 +-2976 -46 +493 -1425 +4285 -1990 +669 -3103 +-2048 -2472 +-2203 3065 +-3028 3436 +1847 -809 +-470 300 +-4280 2409 +1337 272 +400 -2796 +-2048 0 +400 2796 +1337 -272 +-4280 -2409 +-470 -300 +1847 809 +-3028 -3436 +-2203 -3065 +-2048 2472 +2431 1548 +4315 406 +980 926 +314 1748 +-112 2638 +-1203 -2520 +3800 -4719 +0 -6144 +-2515 -7512 +5349 911 +-1615 2449 +-2362 -1748 +3023 -2104 +5420 -3246 +301 1164 +-2048 424 +119 -1881 +-5508 4001 +3286 1564 +-1578 300 +-3129 1186 +1510 -2136 +-2334 1571 +2048 0 +-2334 -1571 +1510 2136 +-3129 -1186 +-1578 -300 +3286 -1564 +-5508 -4001 +119 1881 +-2048 -424 +301 -1164 +5420 3246 +3023 2104 +-2362 1748 +-1615 -2449 +5349 -911 +-2515 7512 +0 6144 +3800 4719 +-1203 2520 +-112 -2638 +314 -1748 +980 -926 +4315 -406 +2431 -1548 +-2048 -2472 +-2203 3065 +-3028 3436 +1847 -809 +-470 300 +-4280 2409 +1337 272 +400 -2796 +-3072 -1448 +4784 -2313 +-3843 -1685 +-4663 3909 +2950 1808 +4067 2053 +2634 -163 +-27 -2547 +2048 0 +-27 2547 +2634 163 +4067 -2053 +2950 -1808 +-4663 -3909 +-3843 1685 +4784 2313 +-3072 1448 +-2065 2190 +-1100 -2556 +-179 1879 +3186 -868 +217 -2850 +1604 3549 +2549 1304 +2048 -2048 +-525 -2258 +168 901 +1102 -2385 +1159 -2916 +2831 3065 +4220 2988 +-769 3855 +-3072 1448 +-383 -3902 +-2173 -3859 +-1773 2940 +-7294 240 +-1602 -4116 +-1510 2811 +-3564 -703 +6144 0 +-3564 703 +-1510 -2811 +-1602 4116 +-7294 -240 +-1773 -2940 +-2173 3859 +-383 3902 +-3072 -1448 +-769 -3855 +4220 -2988 +2831 -3065 +1159 2916 +1102 2385 +168 -901 +-525 2258 +2048 2048 +2549 -1304 +1604 -3549 +217 2850 +3186 868 +-179 -1879 +-1100 2556 +-2065 -2190 +-3072 -1448 +4784 -2313 +-3843 -1685 +-4663 3909 +2950 1808 +4067 2053 +2634 -163 +-27 -2547 +-1024 6392 +1682 5232 +-1462 221 +-159 -1981 +3170 1808 +3281 367 +2307 -14 +1229 -3894 +-2048 0 +1229 3894 +2307 14 +3281 -367 +3170 -1808 +-159 1981 +-1462 -221 +1682 -5232 +-1024 -6392 +-3022 -4836 +3127 2560 +-3629 -1371 +1398 -868 +3863 3176 +-2269 -948 +2913 1455 +2048 2048 +1790 640 +-1531 3488 +-803 2879 +-2846 -2916 +-1839 -1079 +3998 2588 +-1117 -482 +-1024 -2296 +-3545 -566 +-2766 5103 +-1292 -256 +-1722 240 +578 5000 +-1404 -6638 +69 -4802 +2048 0 +69 4802 +-1404 6638 +578 -5000 +-1722 -240 +-1292 256 +-2766 -5103 +-3545 566 +-1024 2296 +-1117 482 +3998 -2588 +-1839 1079 +-2846 2916 +-803 -2879 +-1531 -3488 +1790 -640 +2048 -2048 +2913 -1455 +-2269 948 +3863 -3176 +1398 868 +-3629 1371 +3127 -2560 +-3022 4836 +-1024 6392 +1682 5232 +-1462 221 +-159 -1981 +3170 1808 +3281 367 +2307 -14 +1229 -3894 +-1448 -1448 +2357 1029 +2322 1717 +2186 -2293 +1832 2002 +-1922 4571 +-1955 6212 +-438 -2140 +1024 0 +-438 2140 +-1955 -6212 +-1922 -4571 +1832 -2002 +2186 2293 +2322 -1717 +2357 -1029 +-1448 1448 +5078 1098 +4120 1819 +-307 -4248 +1952 -110 +520 1523 +502 -2379 +-2334 -4505 +1024 -4096 +-711 2321 +-4182 5281 +1088 2121 +-3400 2786 +-822 -1397 +668 -5632 +-1655 4872 +1448 1448 +-3563 1490 +-1318 4817 +4295 -1169 +-384 894 +-5038 -2094 +-158 -952 +1267 -482 +-3072 0 +1267 482 +-158 952 +-5038 2094 +-384 -894 +4295 1169 +-1318 -4817 +-3563 -1490 +1448 -1448 +-1655 -4872 +668 5632 +-822 1397 +-3400 -2786 +1088 -2121 +-4182 -5281 +-711 -2321 +1024 4096 +-2334 4505 +502 2379 +520 -1523 +1952 110 +-307 4248 +4120 -1819 +5078 -1098 +-1448 -1448 +2357 1029 +2322 1717 +2186 -2293 +1832 2002 +-1922 4571 +-1955 6212 +-438 -2140 +0 0 +982 8406 +-3059 2491 +-4588 -2358 +300 -1578 +4922 -4347 +-1363 2187 +-5124 -4877 +1024 0 +-5124 4877 +-1363 -2187 +4922 4347 +300 1578 +-4588 2358 +-3059 -2491 +982 -8406 +0 0 +-304 -3233 +-3278 1331 +1840 4313 +1748 -2362 +-2105 500 +-1646 1541 +-1564 -1674 +3072 -2048 +72 -40 +-846 -1236 +183 1127 +1748 -314 +647 -4726 +-1002 771 +188 1177 +0 0 +2919 3364 +347 5638 +3669 2895 +300 -470 +-4568 2965 +2655 3725 +2833 2285 +1024 0 +2833 -2285 +2655 -3725 +-4568 -2965 +300 470 +3669 -2895 +347 -5638 +2919 -3364 +0 0 +188 -1177 +-1002 -771 +647 4726 +1748 314 +183 -1127 +-846 1236 +72 40 +3072 2048 +-1564 1674 +-1646 -1541 +-2105 -500 +1748 2362 +1840 -4313 +-3278 -1331 +-304 3233 +0 0 +982 8406 +-3059 2491 +-4588 -2358 +300 -1578 +4922 -4347 +-1363 2187 +-5124 -4877 +4944 1024 +602 -1722 +3981 611 +3111 2919 +-3700 854 +-2296 -5105 +-4264 -4382 +-815 3005 +2048 0 +-815 -3005 +-4264 4382 +-2296 5105 +-3700 -854 +3111 -2919 +3981 -611 +602 1722 +4944 -1024 +-3171 -3414 +1408 -2942 +2035 -576 +84 3086 +629 -330 +-1312 -295 +4323 6665 +-2048 2048 +11 -859 +-1924 2876 +-5506 -2319 +-2132 -410 +-1897 6067 +5613 1027 +688 -773 +-848 1024 +3209 1154 +-2312 4557 +-2362 3355 +1652 -254 +493 349 +-1189 561 +945 248 +2048 0 +945 -248 +-1189 -561 +493 -349 +1652 254 +-2362 -3355 +-2312 -4557 +3209 -1154 +-848 -1024 +688 773 +5613 -1027 +-1897 -6067 +-2132 410 +-5506 2319 +-1924 -2876 +11 859 +-2048 -2048 +4323 -6665 +-1312 295 +629 330 +84 -3086 +2035 576 +1408 2942 +-3171 3414 +4944 1024 +602 -1722 +3981 611 +3111 2919 +-3700 854 +-2296 -5105 +-4264 -4382 +-815 3005 +1624 -1024 +-4536 1993 +-950 1031 +2117 -2965 +600 410 +-589 15 +-1666 -1069 +1473 -372 +3072 0 +1473 372 +-1666 1069 +-589 -15 +600 -410 +2117 2965 +-950 -1031 +-4536 -1993 +1624 1024 +1473 -3319 +-1659 -1353 +4716 996 +3496 854 +-7295 -258 +-128 -1905 +-1048 4039 +-7168 2048 +-1719 1736 +-693 5051 +8388 -1658 +3496 254 +-1070 1826 +-50 1047 +-558 4132 +4520 -1024 +-842 -1222 +1458 2569 +918 2466 +600 3086 +-1392 3049 +-4506 1033 +-35 -2819 +-1024 0 +-35 2819 +-4506 -1033 +-1392 -3049 +600 -3086 +918 -2466 +1458 -2569 +-842 1222 +4520 1024 +-558 -4132 +-50 -1047 +-1070 -1826 +3496 -254 +8388 1658 +-693 -5051 +-1719 -1736 +-7168 -2048 +-1048 -4039 +-128 1905 +-7295 258 +3496 -854 +4716 -996 +-1659 1353 +1473 3319 +1624 -1024 +-4536 1993 +-950 1031 +2117 -2965 +600 410 +-589 15 +-1666 -1069 +1473 -372 +2048 -424 +3740 446 +556 -1194 +-1248 -5731 +-894 -724 +552 -575 +1113 3970 +-2343 -4104 +-4096 0 +-2343 4104 +1113 -3970 +552 575 +-894 724 +-1248 5731 +556 1194 +3740 -446 +2048 424 +4720 -5917 +2484 -941 +-1500 2180 +2786 724 +-3333 -4916 +264 -597 +7070 4048 +-2048 2048 +1813 -1588 +3741 -2489 +-2277 2313 +110 -724 +-1468 1241 +-2704 -158 +844 200 +2048 2472 +-3511 -1560 +-6128 1978 +-1577 1319 +-2002 -724 +-734 -3860 +675 -5862 +-748 -570 +0 0 +-748 570 +675 5862 +-734 3860 +-2002 724 +-1577 -1319 +-6128 -1978 +-3511 1560 +2048 -2472 +844 -200 +-2704 158 +-1468 -1241 +110 724 +-2277 -2313 +3741 2489 +1813 1588 +-2048 -2048 +7070 -4048 +264 597 +-3333 4916 +2786 -724 +-1500 -2180 +2484 941 +4720 5917 +2048 -424 +3740 446 +556 -1194 +-1248 -5731 +-894 -724 +552 -575 +1113 3970 +-2343 -4104 +-2348 -3796 +-1063 -3659 +238 -2099 +808 178 +-3548 1984 +-1422 -1406 +-1917 2172 +-3726 699 +2048 0 +-3726 -699 +-1917 -2172 +-1422 1406 +-3548 -1984 +808 -178 +238 2099 +-1063 3659 +-2348 3796 +-1765 2282 +-536 1710 +823 -6842 +-3778 -1910 +2314 -835 +3593 -3859 +-5131 4525 +3072 1024 +5307 -213 +3687 -2787 +1591 -4001 +-318 1586 +1574 545 +2704 2635 +-508 -837 +-3796 -2348 +2008 1428 +-1206 1824 +-4093 2745 +-548 -2584 +4197 -432 +1629 5892 +-914 1244 +4096 0 +-914 -1244 +1629 -5892 +4197 432 +-548 2584 +-4093 -2745 +-1206 -1824 +2008 -1428 +-3796 2348 +-508 837 +2704 -2635 +1574 -545 +-318 -1586 +1591 4001 +3687 2787 +5307 213 +3072 -1024 +-5131 -4525 +3593 3859 +2314 835 +-3778 1910 +823 6842 +-536 -1710 +-1765 -2282 +-2348 -3796 +-1063 -3659 +238 -2099 +808 178 +-3548 1984 +-1422 -1406 +-1917 2172 +-3726 699 +2772 1148 +-2508 -7049 +-3264 -2903 +-2057 -2813 +3178 -2114 +-1773 1819 +-3249 -5259 +4661 307 +3072 0 +4661 -307 +-3249 5259 +-1773 -1819 +3178 2114 +-2057 2813 +-3264 2903 +-2508 7049 +2772 -1148 +493 1050 +760 5544 +-265 -1889 +-2948 -1900 +-279 4782 +-474 -711 +1888 -3241 +2048 3072 +-1034 1842 +1102 1661 +5953 935 +52 -452 +-3244 -2174 +-3916 -1072 +-3088 2172 +1324 -3196 +431 -2294 +2324 383 +-465 2767 +-282 3562 +-3663 364 +-1475 3536 +4949 104 +1024 0 +4949 -104 +-1475 -3536 +-3663 -364 +-282 -3562 +-465 -2767 +2324 -383 +431 2294 +1324 3196 +-3088 -2172 +-3916 1072 +-3244 2174 +52 452 +5953 -935 +1102 -1661 +-1034 -1842 +2048 -3072 +1888 3241 +-474 711 +-279 -4782 +-2948 1900 +-265 1889 +760 -5544 +493 -1050 +2772 1148 +-2508 -7049 +-3264 -2903 +-2057 -2813 +3178 -2114 +-1773 1819 +-3249 -5259 +4661 307 +0 3496 +-2041 2706 +-4991 -686 +2839 -954 +-834 -784 +-4005 958 +1585 4587 +6367 -1402 +13312 0 +6367 1402 +1585 -4587 +-4005 -958 +-834 784 +2839 954 +-4991 686 +-2041 -2706 +0 -3496 +1257 -250 +-475 -491 +679 -1068 +1618 1892 +-2482 -4008 +-121 1173 +2175 2785 +1024 -6144 +-1096 2929 +185 1801 +1534 -1822 +2726 1892 +-2149 1196 +-2577 2665 +938 -827 +0 600 +-393 -387 +-1845 -254 +3302 6113 +-3510 784 +-5511 -1668 +47 137 +-1414 -1350 +1024 0 +-1414 1350 +47 -137 +-5511 1668 +-3510 -784 +3302 -6113 +-1845 254 +-393 387 +0 -600 +938 827 +-2577 -2665 +-2149 -1196 +2726 -1892 +1534 1822 +185 -1801 +-1096 -2929 +1024 6144 +2175 -2785 +-121 -1173 +-2482 4008 +1618 -1892 +679 1068 +-475 491 +1257 250 +0 3496 +-2041 2706 +-4991 -686 +2839 -954 +-834 -784 +-4005 958 +1585 4587 +6367 -1402 +3372 -3196 +-260 -8383 +-444 -2719 +5458 -1286 +-5336 -1116 +-2686 -1770 +879 -2012 +-3187 4444 +5120 0 +-3187 -4444 +879 2012 +-2686 1770 +-5336 1116 +5458 1286 +-444 2719 +-260 8383 +3372 3196 +6441 -2179 +-1648 993 +-2809 1629 +1794 1670 +-3084 1141 +597 1114 +-394 1265 +-4096 -1024 +-4189 -1183 +2300 330 +3792 2051 +-98 222 +971 -2122 +-1248 -899 +1361 -2140 +4820 1148 +27 4326 +-2452 827 +-485 1631 +-4552 -332 +-1157 -1416 +2017 1228 +202 -2796 +3072 0 +202 2796 +2017 -1228 +-1157 1416 +-4552 332 +-485 -1631 +-2452 -827 +27 -4326 +4820 -1148 +1361 2140 +-1248 899 +971 2122 +-98 -222 +3792 -2051 +2300 -330 +-4189 1183 +-4096 1024 +-394 -1265 +597 -1114 +-3084 -1141 +1794 -1670 +-2809 -1629 +-1648 -993 +6441 2179 +3372 -3196 +-260 -8383 +-444 -2719 +5458 -1286 +-5336 -1116 +-2686 -1770 +879 -2012 +-3187 4444 +-2472 1448 +3808 -2386 +1084 492 +107 1362 +-568 -914 +-2993 1446 +-2611 -3992 +-2933 47 +0 0 +-2933 -47 +-2611 3992 +-2993 -1446 +-568 914 +107 -1362 +1084 -492 +3808 2386 +-2472 -1448 +2177 64 +1539 177 +-2746 2307 +1988 -1918 +1684 1999 +143 9942 +5886 1397 +4096 0 +-3018 -1706 +41 -2911 +-1475 2370 +3556 3026 +-493 1125 +-6928 -1660 +2434 -575 +424 -1448 +-1738 418 +-2688 2263 +1802 -1656 +3216 1762 +-1680 5606 +1227 3924 +-823 1315 +-4096 0 +-823 -1315 +1227 -3924 +-1680 -5606 +3216 -1762 +1802 1656 +-2688 -2263 +-1738 -418 +424 1448 +2434 575 +-6928 1660 +-493 -1125 +3556 -3026 +-1475 -2370 +41 2911 +-3018 1706 +4096 0 +5886 -1397 +143 -9942 +1684 -1999 +1988 1918 +-2746 -2307 +1539 -177 +2177 -64 +-2472 1448 +3808 -2386 +1084 492 +107 1362 +-568 -914 +-2993 1446 +-2611 -3992 +-2933 47 +-300 5668 +-1117 809 +-291 -213 +-1029 -1399 +-1486 -4202 +-2284 4318 +-4235 3729 +398 -369 +7168 0 +398 369 +-4235 -3729 +-2284 -4318 +-1486 4202 +-1029 1399 +-291 213 +-1117 -809 +-300 -5668 +-261 5302 +-1706 2527 +-1534 -4693 +-5056 1924 +509 -1737 +767 -2265 +1423 -480 +4096 -3072 +-2041 -2498 +4140 -2146 +4130 1449 +-488 1076 +-4469 1112 +-2742 4863 +213 929 +-1748 -1572 +2732 2699 +1844 5446 +3248 1540 +-1162 -742 +1429 1396 +2223 -713 +-1347 -1961 +5120 0 +-1347 1961 +2223 713 +1429 -1396 +-1162 742 +3248 -1540 +1844 -5446 +2732 -2699 +-1748 1572 +213 -929 +-2742 -4863 +-4469 -1112 +-488 -1076 +4130 -1449 +4140 2146 +-2041 2498 +4096 3072 +1423 480 +767 2265 +509 1737 +-5056 -1924 +-1534 4693 +-1706 -2527 +-261 -5302 +-300 5668 +-1117 809 +-291 -213 +-1029 -1399 +-1486 -4202 +-2284 4318 +-4235 3729 +398 -369 +3196 -1148 +-469 -2993 +-4271 -1745 +-806 -5944 +1886 -646 +-3189 -2623 +-1176 -4290 +307 417 +-4096 0 +307 -417 +-1176 4290 +-3189 2623 +1886 646 +-806 5944 +-4271 1745 +-469 2993 +3196 1148 +3117 -2243 +-480 -114 +-5497 558 +-236 1356 +490 -2597 +-3374 2199 +-306 -1703 +-3072 -3072 +3841 4185 +3883 -1860 +-3092 -5095 +4332 -2140 +2230 25 +-340 381 +-1582 4235 +-1148 3196 +262 -344 +-1901 -4751 +4961 -3487 +2210 1246 +-890 -2979 +-532 1430 +621 1449 +6144 0 +621 -1449 +-532 -1430 +-890 2979 +2210 -1246 +4961 3487 +-1901 4751 +262 344 +-1148 -3196 +-1582 -4235 +-340 -381 +2230 -25 +4332 2140 +-3092 5095 +3883 1860 +3841 -4185 +-3072 3072 +-306 1703 +-3374 -2199 +490 2597 +-236 -1356 +-5497 -558 +-480 114 +3117 2243 +3196 -1148 +-469 -2993 +-4271 -1745 +-806 -5944 +1886 -646 +-3189 -2623 +-1176 -4290 +307 417 +1148 1748 +124 3960 +-3459 4717 +-1497 3132 +-1056 -2584 +1178 -2008 +1537 -1252 +8 -1513 +4096 0 +8 1513 +1537 1252 +1178 2008 +-1056 2584 +-1497 -3132 +-3459 -4717 +124 -3960 +1148 -1748 +-2651 626 +-3393 359 +6528 2007 +502 -1586 +-4251 -586 +3828 4110 +-167 2105 +-1024 -1024 +1184 2026 +516 7230 +-1526 4671 +2394 1910 +1178 -2859 +-952 -764 +691 2735 +-3196 300 +269 3629 +-885 -2944 +-2425 323 +-1840 1984 +815 -4192 +2807 7268 +542 3521 +2048 0 +542 -3521 +2807 -7268 +815 4192 +-1840 -1984 +-2425 -323 +-885 2944 +269 -3629 +-3196 -300 +691 -2735 +-952 764 +1178 2859 +2394 -1910 +-1526 -4671 +516 -7230 +1184 -2026 +-1024 1024 +-167 -2105 +3828 -4110 +-4251 586 +502 1586 +6528 -2007 +-3393 -359 +-2651 -626 +1148 1748 +124 3960 +-3459 4717 +-1497 3132 +-1056 -2584 +1178 -2008 +1537 -1252 +8 -1513 +-2472 -2472 +755 865 +2545 -1246 +-3926 -1702 +-2122 144 +-1042 -74 +-3004 1356 +-862 -1928 +-3072 0 +-862 1928 +-3004 -1356 +-1042 74 +-2122 -144 +-3926 1702 +2545 1246 +755 -865 +-2472 2472 +-740 3565 +3632 646 +2319 -951 +2446 484 +-1395 1733 +-779 2140 +3098 -3522 +-3072 -2048 +-67 2204 +-1517 -2140 +-4221 3180 +-2446 1084 +1530 -5208 +2761 -646 +-2613 3766 +424 424 +3927 -7344 +3848 -1246 +964 -3884 +2122 -3640 +-22 5985 +708 1356 +2295 6765 +-3072 0 +2295 -6765 +708 -1356 +-22 -5985 +2122 3640 +964 3884 +3848 1246 +3927 7344 +424 -424 +-2613 -3766 +2761 646 +1530 5208 +-2446 -1084 +-4221 -3180 +-1517 2140 +-67 -2204 +-3072 2048 +3098 3522 +-779 -2140 +-1395 -1733 +2446 -484 +2319 951 +3632 -646 +-740 -3565 +-2472 -2472 +755 865 +2545 -1246 +-3926 -1702 +-2122 144 +-1042 -74 +-3004 1356 +-862 -1928 +600 -3072 +2468 -4272 +2978 -2996 +-3734 -2725 +-8064 -1278 +-1972 433 +2100 558 +-470 -4234 +-4096 0 +-470 4234 +2100 -558 +-1972 -433 +-8064 1278 +-3734 2725 +2978 2996 +2468 4272 +600 3072 +-646 -3196 +608 -1732 +-461 -1401 +-275 -614 +649 762 +3094 -2893 +-1333 3216 +-2048 2048 +254 -3451 +-2155 3631 +4346 2155 +-924 -2062 +536 1891 +-1235 861 +-5623 -5603 +3496 -3072 +-1313 1185 +1746 -4299 +1203 -2231 +1072 -170 +5225 -1495 +1056 4270 +869 2680 +0 0 +869 -2680 +1056 -4270 +5225 1495 +1072 170 +1203 2231 +1746 4299 +-1313 -1185 +3496 3072 +-5623 5603 +-1235 -861 +536 -1891 +-924 2062 +4346 -2155 +-2155 -3631 +254 3451 +-2048 -2048 +-1333 -3216 +3094 2893 +649 -762 +-275 614 +-461 1401 +608 1732 +-646 3196 +600 -3072 +2468 -4272 +2978 -2996 +-3734 -2725 +-8064 -1278 +-1972 433 +2100 558 +-470 -4234 +4220 -1148 +610 4117 +-2527 419 +3199 194 +3602 -1402 +-3814 -3212 +68 -964 +5115 -427 +3072 0 +5115 427 +68 964 +-3814 3212 +3602 1402 +3199 -194 +-2527 -419 +610 -4117 +4220 1148 +1012 -352 +-83 -3981 +-3188 -1209 +-5421 -3404 +-93 -4133 +669 -727 +2417 3884 +0 1024 +-2460 1792 +6130 -543 +770 1285 +-2420 4188 +2833 -2218 +-3582 1407 +-3754 364 +-124 3196 +3460 -816 +3295 -2023 +-1956 4222 +143 -3294 +-3544 70 +-3971 2348 +-607 3319 +-3072 0 +-607 -3319 +-3971 -2348 +-3544 -70 +143 3294 +-1956 -4222 +3295 2023 +3460 816 +-124 -3196 +-3754 -364 +-3582 -1407 +2833 2218 +-2420 -4188 +770 -1285 +6130 543 +-2460 -1792 +0 -1024 +2417 -3884 +669 727 +-93 4133 +-5421 3404 +-3188 1209 +-83 3981 +1012 352 +4220 -1148 +610 4117 +-2527 419 +3199 194 +3602 -1402 +-3814 -3212 +68 -964 +5115 -427 +4220 900 +5775 996 +981 -2734 +-2001 -2950 +2754 138 +-699 -1982 +-4404 -1366 +-2069 -898 +-5120 0 +-2069 898 +-4404 1366 +-699 1982 +2754 -138 +-2001 2950 +981 2734 +5775 -996 +4220 -900 +-1965 2208 +-953 4247 +-2416 328 +-476 -536 +2669 -220 +1752 312 +-2546 1875 +-4096 1024 +6184 -1991 +2152 -1072 +-51 380 +2524 -4032 +-492 5878 +185 5851 +-252 -2725 +-124 5244 +907 -946 +2684 -2654 +-1772 -59 +-706 462 +-1032 8007 +-2396 1182 +-240 -383 +-3072 0 +-240 383 +-2396 -1182 +-1032 -8007 +-706 -462 +-1772 59 +2684 2654 +907 946 +-124 -5244 +-252 2725 +185 -5851 +-492 -5878 +2524 4032 +-51 -380 +2152 1072 +6184 1991 +-4096 -1024 +-2546 -1875 +1752 -312 +2669 220 +-476 536 +-2416 -328 +-953 -4247 +-1965 -2208 +4220 900 +5775 996 +981 -2734 +-2001 -2950 +2754 138 +-699 -1982 +-4404 -1366 +-2069 -898 +-724 1324 +1319 1178 +2558 3957 +-217 -4227 +-3902 -4406 +-4300 896 +-1472 -4853 +-932 2328 +-2048 0 +-932 -2328 +-1472 4853 +-4300 -896 +-3902 4406 +-217 4227 +2558 -3957 +1319 -1178 +-724 -1324 +1716 -1294 +3768 2897 +2791 2029 +3672 2609 +2002 -61 +-1923 -1424 +481 4706 +1024 -1024 +-3958 -889 +-1757 2893 +2580 4591 +672 2609 +530 -1866 +1021 589 +-467 -3225 +724 2772 +-351 -1853 +-1553 -3866 +2248 6645 +-442 4406 +-5633 1876 +-640 -4815 +2193 1525 +0 0 +2193 -1525 +-640 4815 +-5633 -1876 +-442 -4406 +2248 -6645 +-1553 3866 +-351 1853 +724 -2772 +-467 3225 +1021 -589 +530 1866 +672 -2609 +2580 -4591 +-1757 -2893 +-3958 889 +1024 1024 +481 -4706 +-1923 1424 +2002 61 +3672 -2609 +2791 -2029 +3768 -2897 +1716 1294 +-724 1324 +1319 1178 +2558 3957 +-217 -4227 +-3902 -4406 +-4300 896 +-1472 -4853 +-932 2328 +-724 124 +-840 969 +-3284 -1348 +-3597 278 +-6708 2901 +-951 -463 +3556 5190 +-457 80 +0 0 +-457 -80 +3556 -5190 +-951 463 +-6708 -2901 +-3597 -278 +-3284 1348 +-840 -969 +-724 -124 +1551 2364 +-773 2081 +-3076 -2448 +971 2230 +-2155 957 +2020 -1573 +3051 693 +-3072 1024 +1808 -894 +6045 -1912 +1983 -362 +-1571 1879 +-1878 1482 +1048 -2043 +6240 -1065 +724 -4220 +409 -614 +4208 121 +-1026 2084 +3212 9036 +-885 -2423 +-4628 -2633 +-178 2118 +-2048 0 +-178 -2118 +-4628 2633 +-885 2423 +3212 -9036 +-1026 -2084 +4208 -121 +409 614 +724 4220 +6240 1065 +1048 2043 +-1878 -1482 +-1571 -1879 +1983 362 +6045 1912 +1808 894 +-3072 -1024 +3051 -693 +2020 1573 +-2155 -957 +971 -2230 +-3076 2448 +-773 -2081 +1551 -2364 +-724 124 +-840 969 +-3284 -1348 +-3597 278 +-6708 2901 +-951 -463 +3556 5190 +-457 80 +424 600 +-2054 -1296 +-824 -537 +1633 3294 +1867 -2002 +5159 1737 +-5425 2022 +-3447 -725 +8192 0 +-3447 725 +-5425 -2022 +5159 -1737 +1867 2002 +1633 -3294 +-824 537 +-2054 1296 +424 -600 +-3437 2968 +5218 3561 +4549 1636 +-2036 110 +2879 1258 +1924 -4081 +3588 -932 +0 0 +-4476 -2500 +-2760 -297 +1720 -2526 +5532 -2786 +-515 -2148 +1766 1994 +940 1401 +-2472 3496 +-1242 2864 +-4463 -1030 +126 490 +-1268 -894 +-3966 2047 +-3628 1762 +-1458 2293 +4096 0 +-1458 -2293 +-3628 -1762 +-3966 -2047 +-1268 894 +126 -490 +-4463 1030 +-1242 -2864 +-2472 -3496 +940 -1401 +1766 -1994 +-515 2148 +5532 2786 +1720 2526 +-2760 297 +-4476 2500 +0 0 +3588 932 +1924 4081 +2879 -1258 +-2036 -110 +4549 -1636 +5218 -3561 +-3437 -2968 +424 600 +-2054 -1296 +-824 -537 +1633 3294 +1867 -2002 +5159 1737 +-5425 2022 +-3447 -725 +2472 -424 +2058 458 +1867 2443 +222 -167 +-894 -2532 +-5838 -6068 +-669 -840 +729 -1909 +-9216 0 +729 1909 +-669 840 +-5838 6068 +-894 2532 +222 167 +1867 -2443 +2058 -458 +2472 424 +74 -2981 +542 2356 +281 -2189 +2786 1592 +5197 6134 +3494 979 +-2587 3499 +-5120 -2048 +2794 198 +-218 3471 +-1930 -2353 +110 2192 +3798 3528 +1846 -1924 +-3610 -1957 +-424 2472 +-2987 1455 +2738 269 +2380 1420 +-2002 -964 +1683 -1091 +-1407 2133 +-2265 5288 +-1024 0 +-2265 -5288 +-1407 -2133 +1683 1091 +-2002 964 +2380 -1420 +2738 -269 +-2987 -1455 +-424 -2472 +-3610 1957 +1846 1924 +3798 -3528 +110 -2192 +-1930 2353 +-218 -3471 +2794 -198 +-5120 2048 +-2587 -3499 +3494 -979 +5197 -6134 +2786 -1592 +281 2189 +542 -2356 +74 2981 +2472 -424 +2058 458 +1867 2443 +222 -167 +-894 -2532 +-5838 -6068 +-669 -840 +729 -1909 +-2596 2348 +-1979 3860 +2587 5572 +-516 6 +52 -3248 +3407 714 +6500 -2616 +1153 -724 +-6144 0 +1153 724 +6500 2616 +3407 -714 +52 3248 +-516 -6 +2587 -5572 +-1979 -3860 +-2596 -2348 +4064 -451 +-350 2168 +-749 -571 +3178 -2030 +-693 59 +-313 922 +-221 2060 +-3072 -3072 +-844 227 +25 1418 +-2660 -2143 +-282 -1430 +3780 687 +-617 5028 +-215 2222 +4644 3796 +-982 -2516 +-419 -1512 +1949 2141 +-2948 -248 +1275 3764 +780 -3881 +-6769 3356 +-8192 0 +-6769 -3356 +780 3881 +1275 -3764 +-2948 248 +1949 -2141 +-419 1512 +-982 2516 +4644 -3796 +-215 -2222 +-617 -5028 +3780 -687 +-282 1430 +-2660 2143 +25 -1418 +-844 -227 +-3072 3072 +-221 -2060 +-313 -922 +-693 -59 +3178 2030 +-749 571 +-350 -2168 +4064 451 +-2596 2348 +-1979 3860 +2587 5572 +-516 6 +52 -3248 +3407 714 +6500 -2616 +1153 -724 +-424 -2048 +641 1091 +-2156 512 +-2910 6176 +-2282 2916 +-2724 1697 +818 1113 +988 -4184 +0 0 +988 4184 +818 -1113 +-2724 -1697 +-2282 -2916 +-2910 -6176 +-2156 -512 +641 -1091 +-424 2048 +4113 2017 +585 2151 +-259 5289 +3066 1808 +-1119 3116 +2383 -2395 +-722 337 +-2048 4096 +1412 2381 +-151 1729 +2467 -219 +4175 -240 +3595 -3485 +-3925 4707 +-1351 2797 +2472 -2048 +-4970 736 +2600 -852 +3358 4074 +-4958 -868 +-2408 -5784 +-154 115 +-111 3882 +0 0 +-111 -3882 +-154 -115 +-2408 5784 +-4958 868 +3358 -4074 +2600 852 +-4970 -736 +2472 2048 +-1351 -2797 +-3925 -4707 +3595 3485 +4175 240 +2467 219 +-151 -1729 +1412 -2381 +-2048 -4096 +-722 -337 +2383 2395 +-1119 -3116 +3066 -1808 +-259 -5289 +585 -2151 +4113 -2017 +-424 -2048 +641 1091 +-2156 512 +-2910 6176 +-2282 2916 +-2724 1697 +818 1113 +988 -4184 +-3496 -424 +-1874 -1195 +618 -1497 +-1954 -4715 +360 -410 +-490 -332 +-4951 -2941 +2223 -2035 +6144 0 +2223 2035 +-4951 2941 +-490 332 +360 410 +-1954 4715 +618 1497 +-1874 1195 +-3496 424 +-2557 -2910 +4597 38 +2878 -655 +580 -854 +-407 -806 +-3105 1975 +-456 5616 +0 0 +1206 -3382 +-131 3579 +4741 6301 +4364 -254 +933 2778 +2423 222 +754 -1810 +-600 2472 +-3003 -6264 +1051 2881 +-528 5564 +-1208 -3086 +620 2455 +-502 -2447 +-2085 -1538 +-6144 0 +-2085 1538 +-502 2447 +620 -2455 +-1208 3086 +-528 -5564 +1051 -2881 +-3003 6264 +-600 -2472 +754 1810 +2423 -222 +933 -2778 +4364 254 +4741 -6301 +-131 -3579 +1206 3382 +0 0 +-456 -5616 +-3105 -1975 +-407 806 +580 854 +2878 655 +4597 -38 +-2557 2910 +-3496 -424 +-1874 -1195 +618 -1497 +-1954 -4715 +360 -410 +-490 -332 +-4951 -2941 +2223 -2035 +2472 -600 +-3805 1612 +-1103 -465 +-5518 -1184 +3759 110 +4566 -515 +-1043 1907 +4485 30 +2048 0 +4485 -30 +-1043 -1907 +4566 515 +3759 -110 +-5518 1184 +-1103 465 +-3805 -1612 +2472 600 +3430 -792 +-711 -57 +-614 -726 +-1252 -894 +-242 1316 +2879 -2523 +4138 -3726 +2048 -4096 +2851 -1317 +-6819 2829 +-182 751 +4749 2002 +-8538 2114 +-2121 2160 +2664 817 +-424 -3496 +-930 -3 +-161 2682 +-1827 4025 +-3160 2786 +769 -50 +887 3445 +-1248 2378 +-2048 0 +-1248 -2378 +887 -3445 +769 50 +-3160 -2786 +-1827 -4025 +-161 -2682 +-930 3 +-424 3496 +2664 -817 +-2121 -2160 +-8538 -2114 +4749 -2002 +-182 -751 +-6819 -2829 +2851 1317 +2048 4096 +4138 3726 +2879 2523 +-242 -1316 +-1252 894 +-614 726 +-711 57 +3430 792 +2472 -600 +-3805 1612 +-1103 -465 +-5518 -1184 +3759 110 +4566 -515 +-1043 1907 +4485 30 +4520 3496 +-1569 3325 +1971 2364 +-516 -1794 +2506 580 +658 -2192 +-4717 -822 +-1013 -3324 +0 0 +-1013 3324 +-4717 822 +658 2192 +2506 -580 +-516 1794 +1971 -2364 +-1569 -3325 +4520 -3496 +4874 -2911 +-3280 2670 +282 -1681 +954 -1208 +-2516 -3767 +-4644 -2924 +-1751 4156 +2048 -2048 +908 -7583 +-745 -2325 +1422 -3731 +495 -360 +-2649 7010 +1897 -827 +475 -925 +1624 600 +2901 1765 +-1787 1132 +1554 669 +-3954 4364 +-4027 -1795 +3113 222 +968 482 +0 0 +968 -482 +3113 -222 +-4027 1795 +-3954 -4364 +1554 -669 +-1787 -1132 +2901 -1765 +1624 -600 +475 925 +1897 827 +-2649 -7010 +495 360 +1422 3731 +-745 2325 +908 7583 +2048 2048 +-1751 -4156 +-4644 2924 +-2516 3767 +954 1208 +282 1681 +-3280 -2670 +4874 2911 +4520 3496 +-1569 3325 +1971 2364 +-516 -1794 +2506 580 +658 -2192 +-4717 -822 +-1013 -3324 +1748 -1572 +-587 2340 +-3528 2739 +-3514 83 +2030 3622 +1122 3970 +-368 234 +-28 -4145 +-5120 0 +-28 4145 +-368 -234 +1122 -3970 +2030 -3622 +-3514 -83 +-3528 -2739 +-587 -2340 +1748 1572 +-2208 -1137 +-2491 -2844 +-1925 -2314 +248 -717 +-412 -498 +1661 1226 +2115 2703 +-4096 3072 +2214 1434 +452 -1931 +-1345 -1647 +3248 -717 +4324 7302 +1487 1880 +-3008 -3707 +300 5668 +1131 -3710 +-1260 -2111 +-4916 2540 +-1430 -3622 +873 1874 +4048 705 +6164 1677 +1024 0 +6164 -1677 +4048 -705 +873 -1874 +-1430 3622 +-4916 -2540 +-1260 2111 +1131 3710 +300 -5668 +-3008 3707 +1487 -1880 +4324 -7302 +3248 717 +-1345 1647 +452 1931 +2214 -1434 +-4096 -3072 +2115 -2703 +1661 -1226 +-412 498 +248 717 +-1925 2314 +-2491 2844 +-2208 1137 +1748 -1572 +-587 2340 +-3528 2739 +-3514 83 +2030 3622 +1122 3970 +-368 234 +-28 -4145 +2048 -3920 +-1362 -3941 +-481 4393 +7145 3360 +1918 1084 +1661 2618 +4631 -1076 +-100 -2576 +0 0 +-100 2576 +4631 1076 +1661 -2618 +1918 -1084 +7145 -3360 +-481 -4393 +-1362 3941 +2048 3920 +481 1563 +-358 3104 +-876 -1770 +-1762 -144 +-1535 1465 +-2474 -5173 +1281 -1825 +-2048 0 +-3849 -2507 +306 4939 +-4898 3993 +914 -3640 +-114 -1283 +646 781 +4693 1014 +2048 1872 +2188 -392 +-6799 -1421 +764 -1306 +3026 -484 +-2147 1478 +-3663 100 +-3333 -1889 +4096 0 +-3333 1889 +-3663 -100 +-2147 -1478 +3026 484 +764 1306 +-6799 1421 +2188 392 +2048 -1872 +4693 -1014 +646 -781 +-114 1283 +914 3640 +-4898 -3993 +306 -4939 +-3849 2507 +-2048 0 +1281 1825 +-2474 5173 +-1535 -1465 +-1762 144 +-876 1770 +-358 -3104 +481 -1563 +2048 -3920 +-1362 -3941 +-481 4393 +7145 3360 +1918 1084 +1661 2618 +4631 -1076 +-100 -2576 +-900 1324 +-4222 4794 +-2247 3227 +1810 -2147 +2694 -1102 +1140 4266 +424 -4468 +121 2535 +-1024 0 +121 -2535 +424 4468 +1140 -4266 +2694 1102 +1810 2147 +-2247 -3227 +-4222 -4794 +-900 -1324 +-1334 1474 +-617 1501 +1062 1772 +692 -1656 +3113 6439 +-1534 961 +-2106 -4063 +2048 -1024 +63 -4271 +2318 -1234 +1411 -513 +-92 2440 +-281 -1960 +-1275 -5439 +-85 169 +-5244 2772 +528 451 +4139 -6072 +-5047 -6361 +802 -2994 +2584 -2009 +-1207 -1823 +1242 1409 +1024 0 +1242 -1409 +-1207 1823 +2584 2009 +802 2994 +-5047 6361 +4139 6072 +528 -451 +-5244 -2772 +-85 -169 +-1275 5439 +-281 1960 +-92 -2440 +1411 513 +2318 1234 +63 4271 +2048 1024 +-2106 4063 +-1534 -961 +3113 -6439 +692 1656 +1062 -1772 +-617 -1501 +-1334 -1474 +-900 1324 +-4222 4794 +-2247 3227 +1810 -2147 +2694 -1102 +1140 4266 +424 -4468 +121 2535 +-124 -6268 +1490 88 +2261 3276 +-2051 3947 +-332 -1840 +3639 -2612 +-2009 -3045 +-712 -7053 +2048 0 +-712 7053 +-2009 3045 +3639 2612 +-332 1840 +-2051 -3947 +2261 -3276 +1490 -88 +-124 6268 +-2257 4726 +2005 -553 +-1182 2955 +-222 2394 +-1821 5306 +-3916 2579 +3674 -3884 +-3072 -1024 +-2536 466 +1804 1471 +-188 -967 +1670 -502 +1582 46 +-1001 2123 +3960 3825 +4220 -1924 +-3192 1332 +2528 -601 +1651 -2024 +-1116 -1056 +-1630 -2224 +-1671 1937 +-426 -6054 +-4096 0 +-426 6054 +-1671 -1937 +-1630 2224 +-1116 1056 +1651 2024 +2528 601 +-3192 -1332 +4220 1924 +3960 -3825 +-1001 -2123 +1582 -46 +1670 502 +-188 967 +1804 -1471 +-2536 -466 +-3072 1024 +3674 3884 +-3916 -2579 +-1821 -5306 +-222 -2394 +-1182 -2955 +2005 553 +-2257 -4726 +-124 -6268 +1490 88 +2261 3276 +-2051 3947 +-332 -1840 +3639 -2612 +-2009 -3045 +-712 -7053 +-3796 1748 +2563 1343 +895 511 +-192 -1418 +-2394 2694 +513 -1796 +5308 -3333 +2727 24 +4096 0 +2727 -24 +5308 3333 +513 1796 +-2394 -2694 +-192 1418 +895 -511 +2563 -1343 +-3796 -1748 +-3727 -4181 +-4461 767 +-1079 196 +1056 692 +-887 -3092 +1127 -5240 +-633 1023 +1024 1024 +-4036 2876 +-5031 -480 +3955 -3531 +1840 92 +-1047 -1498 +5230 -3773 +-1303 -640 +-2348 300 +4035 -5683 +-4560 244 +-1466 5388 +-502 802 +202 -1171 +1492 -2995 +374 2140 +6144 0 +374 -2140 +1492 2995 +202 1171 +-502 -802 +-1466 -5388 +-4560 -244 +4035 5683 +-2348 -300 +-1303 640 +5230 3773 +-1047 1498 +1840 -92 +3955 3531 +-5031 480 +-4036 -2876 +1024 -1024 +-633 -1023 +1127 5240 +-887 3092 +1056 -692 +-1079 -196 +-4461 -767 +-3727 4181 +-3796 1748 +2563 1343 +895 511 +-192 -1418 +-2394 2694 +513 -1796 +5308 -3333 +2727 24 +300 -4644 +3147 1033 +1074 5077 +-2930 1590 +632 3452 +-3352 2497 +-749 1013 +6706 -3860 +2048 0 +6706 3860 +-749 -1013 +-3352 -2497 +632 -3452 +-2930 -1590 +1074 -5077 +3147 -1033 +300 4644 +-4751 -1035 +1143 4206 +4361 6281 +1970 1345 +-1846 -907 +-7224 295 +-1030 3384 +1024 1024 +-3584 2484 +-1504 2279 +2714 -1880 +78 -103 +531 2467 +-1863 -894 +-1463 473 +1748 2596 +-2285 -1742 +1341 3807 +256 -52 +1416 -4900 +268 1882 +-411 -1429 +3260 743 +0 0 +3260 -743 +-411 1429 +268 -1882 +1416 4900 +256 52 +1341 -3807 +-2285 1742 +1748 -2596 +-1463 -473 +-1863 894 +531 -2467 +78 103 +2714 1880 +-1504 -2279 +-3584 -2484 +1024 -1024 +-1030 -3384 +-7224 -295 +-1846 907 +1970 -1345 +4361 -6281 +1143 -4206 +-4751 1035 +300 -4644 +3147 1033 +1074 5077 +-2930 1590 +632 3452 +-3352 2497 +-749 1013 +6706 -3860 +-724 -4820 +72 7478 +-3154 6640 +-3084 223 +-332 -52 +1114 2555 +2381 -1180 +2623 2918 +2048 0 +2623 -2918 +2381 1180 +1114 -2555 +-332 52 +-3084 -223 +-3154 -6640 +72 -7478 +-724 4820 +-2577 681 +341 -4646 +1226 482 +-222 -3178 +1827 2882 +742 -3642 +-3529 447 +-1024 5120 +1826 -6492 +-1526 -3183 +-1427 -5150 +1670 -282 +783 4513 +1551 1814 +3715 -111 +724 -3372 +-1210 737 +1262 -180 +1075 -1647 +-1116 2948 +-1514 3735 +-1597 1639 +-920 2544 +0 0 +-920 -2544 +-1597 -1639 +-1514 -3735 +-1116 -2948 +1075 1647 +1262 180 +-1210 -737 +724 3372 +3715 111 +1551 -1814 +783 -4513 +1670 282 +-1427 5150 +-1526 3183 +1826 6492 +-1024 -5120 +-3529 -447 +742 3642 +1827 -2882 +-222 3178 +1226 -482 +341 4646 +-2577 -681 +-724 -4820 +72 7478 +-3154 6640 +-3084 223 +-332 -52 +1114 2555 +2381 -1180 +2623 2918 +0 1624 +-1801 -2660 +3220 -2426 +2121 3167 +182 1148 +-1047 3110 +-536 3029 +1828 2446 +-2048 0 +1828 -2446 +-536 -3029 +-1047 -3110 +182 -1148 +2121 -3167 +3220 2426 +-1801 2660 +0 -1624 +-2854 4128 +430 2736 +2417 -2195 +-1282 -3196 +-1438 898 +-2361 428 +-1344 -1453 +0 2048 +1563 273 +-4031 1980 +-2063 2861 +4529 3196 +1883 1132 +1866 -2171 +4910 5188 +0 4520 +-1824 -64 +-924 415 +-2638 1727 +8859 1148 +764 421 +-5857 1420 +-479 -4503 +-6144 0 +-479 4503 +-5857 -1420 +764 -421 +8859 -1148 +-2638 -1727 +-924 -415 +-1824 64 +0 -4520 +4910 -5188 +1866 2171 +1883 -1132 +4529 -3196 +-2063 -2861 +-4031 -1980 +1563 -273 +0 -2048 +-1344 1453 +-2361 -428 +-1438 -898 +-1282 3196 +2417 2195 +430 -2736 +-2854 -4128 +0 1624 +-1801 -2660 +3220 -2426 +2121 3167 +182 1148 +-1047 3110 +-536 3029 +1828 2446 +300 -2348 +-4457 1393 +9 -1012 +2786 391 +-7782 462 +-5432 -1682 +1316 5365 +3678 2313 +4096 0 +3678 -2313 +1316 -5365 +-5432 1682 +-7782 -462 +2786 -391 +9 1012 +-4457 -1393 +300 2348 +-53 3346 +2232 1183 +-4483 1524 +-327 4032 +913 1678 +-4667 1387 +-478 -579 +-3072 -3072 +2280 -387 +2591 -4001 +1569 360 +2024 536 +149 -2823 +2372 2567 +-85 -3940 +1748 -3796 +3708 3353 +2379 1195 +-2915 -1192 +-2106 138 +1622 -1883 +1960 -3761 +1200 4119 +-2048 0 +1200 -4119 +1960 3761 +1622 1883 +-2106 -138 +-2915 1192 +2379 -1195 +3708 -3353 +1748 3796 +-85 3940 +2372 -2567 +149 2823 +2024 -536 +1569 -360 +2591 4001 +2280 387 +-3072 3072 +-478 579 +-4667 -1387 +913 -1678 +-327 -4032 +-4483 -1524 +2232 -1183 +-53 -3346 +300 -2348 +-4457 1393 +9 -1012 +2786 391 +-7782 462 +-5432 -1682 +1316 5365 +3678 2313 +-1148 2596 +-2224 3239 +247 3542 +2969 1352 +-1480 -2224 +-3615 2606 +-1254 -3066 +1678 1625 +4096 0 +1678 -1625 +-1254 3066 +-3615 -2606 +-1480 2224 +2969 -1352 +247 -3542 +-2224 -3239 +-1148 -2596 +-2143 255 +-4230 671 +33 2535 +2974 -1006 +733 1557 +3845 -2972 +1398 -714 +1024 3072 +3143 -3725 +-5893 -1877 +-2343 -2134 +4866 -2454 +4184 -4796 +2182 34 +-3874 1928 +3196 -4644 +5106 1569 +-2295 4013 +383 -1114 +-2264 776 +-2343 1272 +-794 -4031 +-3084 -1501 +-2048 0 +-3084 1501 +-794 4031 +-2343 -1272 +-2264 -776 +383 1114 +-2295 -4013 +5106 -1569 +3196 4644 +-3874 -1928 +2182 -34 +4184 4796 +4866 2454 +-2343 2134 +-5893 1877 +3143 3725 +1024 -3072 +1398 714 +3845 2972 +733 -1557 +2974 1006 +33 -2535 +-4230 -671 +-2143 -255 +-1148 2596 +-2224 3239 +247 3542 +2969 1352 +-1480 -2224 +-3615 2606 +-1254 -3066 +1678 1625 +-4944 1024 +-1492 -1291 +221 1627 +4667 -263 +868 -1038 +268 -3281 +-15 1545 +-1941 2769 +2048 0 +-1941 -2769 +-15 -1545 +268 3281 +868 1038 +4667 263 +221 -1627 +-1492 1291 +-4944 -1024 +575 -1440 +5212 3333 +-78 1043 +-240 2302 +2574 853 +4899 175 +-1319 -1072 +2048 -2048 +3392 -4667 +-3191 -2277 +3385 1237 +-1808 -1194 +-4804 3836 +960 -1795 +1157 -4470 +848 1024 +-4465 2045 +599 1933 +2223 1488 +-2916 1638 +3350 2097 +-494 4692 +-7493 -2580 +-6144 0 +-7493 2580 +-494 -4692 +3350 -2097 +-2916 -1638 +2223 -1488 +599 -1933 +-4465 -2045 +848 -1024 +1157 4470 +960 1795 +-4804 -3836 +-1808 1194 +3385 -1237 +-3191 2277 +3392 4667 +2048 2048 +-1319 1072 +4899 -175 +2574 -853 +-240 -2302 +-78 -1043 +5212 -3333 +575 1440 +-4944 1024 +-1492 -1291 +221 1627 +4667 -263 +868 -1038 +268 -3281 +-15 1545 +-1941 2769 +1748 -300 +-861 -1739 +1073 -248 +-1502 2043 +-1186 2490 +692 -66 +1558 -2969 +580 -10 +-6144 0 +580 10 +1558 2969 +692 66 +-1186 -2490 +-1502 -2043 +1073 248 +-861 1739 +1748 300 +-119 2449 +1164 2284 +-1475 879 +-3308 1376 +-8384 -1471 +-3554 -859 +9106 -2660 +-3072 -3072 +-2302 3298 +251 -1643 +-870 -3441 +1260 1624 +-1357 -2268 +3101 392 +-1504 2593 +300 -1748 +2925 -334 +-1242 -1645 +758 162 +-862 5950 +552 3448 +5842 2186 +3761 3751 +0 0 +3761 -3751 +5842 -2186 +552 -3448 +-862 -5950 +758 -162 +-1242 1645 +2925 334 +300 1748 +-1504 -2593 +3101 -392 +-1357 2268 +1260 -1624 +-870 3441 +251 1643 +-2302 -3298 +-3072 3072 +9106 2660 +-3554 859 +-8384 1471 +-3308 -1376 +-1475 -879 +1164 -2284 +-119 -2449 +1748 -300 +-861 -1739 +1073 -248 +-1502 2043 +-1186 2490 +692 -66 +1558 -2969 +580 -10 +-1624 1024 +3057 -3871 +1162 -157 +-2270 1344 +-5932 -1398 +-536 904 +4835 2269 +-438 -2750 +1024 0 +-438 2750 +4835 -2269 +-536 -904 +-5932 1398 +-2270 -1344 +1162 157 +3057 3871 +-1624 -1024 +4997 -3548 +-779 679 +-3051 -716 +3425 1722 +4001 1722 +5358 -1653 +-1291 1999 +3072 -2048 +4794 -2851 +1153 1347 +1336 1017 +-2576 3170 +-6602 -244 +-3854 -2781 +-965 -2584 +-4520 1024 +1839 4836 +1774 -3303 +-1437 -873 +987 2846 +-3026 -1609 +-1458 732 +-407 -2099 +-3072 0 +-407 2099 +-1458 -732 +-3026 1609 +987 -2846 +-1437 873 +1774 3303 +1839 -4836 +-4520 -1024 +-965 2584 +-3854 2781 +-6602 244 +-2576 -3170 +1336 -1017 +1153 -1347 +4794 2851 +3072 2048 +-1291 -1999 +5358 1653 +4001 -1722 +3425 -1722 +-3051 716 +-779 -679 +4997 3548 +-1624 1024 +3057 -3871 +1162 -157 +-2270 1344 +-5932 -1398 +-536 904 +4835 2269 +-438 -2750 +5069 2596 +384 -536 +-1708 -766 +-3116 -86 +-751 -4032 +-2085 -2375 +-317 902 +4954 -1359 +5120 0 +4954 1359 +-317 -902 +-2085 2375 +-751 4032 +-3116 86 +-1708 766 +384 536 +5069 -2596 +4179 4563 +-945 937 +809 -1898 +366 -138 +1716 -3399 +2047 5730 +-512 2270 +0 -1024 +447 2744 +3185 -1446 +-1581 -4044 +-5310 462 +977 2908 +1064 -3252 +-2347 -2434 +-5069 -4644 +-3104 -1035 +-1308 1171 +-3133 -91 +1600 536 +621 -854 +-2019 4707 +1792 -6726 +3072 0 +1792 6726 +-2019 -4707 +621 854 +1600 -536 +-3133 91 +-1308 -1171 +-3104 1035 +-5069 4644 +-2347 2434 +1064 3252 +977 -2908 +-5310 -462 +-1581 4044 +3185 1446 +447 -2744 +0 1024 +-512 -2270 +2047 -5730 +1716 3399 +366 138 +809 1898 +-945 -937 +4179 -4563 +5069 2596 +384 -536 +-1708 -766 +-3116 -86 +-751 -4032 +-2085 -2375 +-317 902 +4954 -1359 +300 -2772 +370 -3301 +5114 -331 +-181 1316 +-5850 2838 +4606 4760 +6232 -4463 +3413 -2228 +5120 0 +3413 2228 +6232 4463 +4606 -4760 +-5850 -2838 +-181 -1316 +5114 331 +370 3301 +300 2772 +-3164 -1625 +-658 -3370 +-946 1308 +-5416 1176 +-1100 653 +1093 147 +1594 1514 +0 -1024 +1176 -524 +-717 -1850 +-3248 -2329 +720 1176 +611 -2905 +134 3770 +-3057 1586 +1748 -1324 +500 4295 +-6287 1678 +2733 -177 +-1742 -2838 +-2475 -2390 +3281 -3326 +-831 -2026 +-1024 0 +-831 2026 +3281 3326 +-2475 2390 +-1742 2838 +2733 177 +-6287 -1678 +500 -4295 +1748 1324 +-3057 -1586 +134 -3770 +611 2905 +720 -1176 +-3248 2329 +-717 1850 +1176 524 +0 1024 +1594 -1514 +1093 -147 +-1100 -653 +-5416 -1176 +-946 -1308 +-658 3370 +-3164 1625 +300 -2772 +370 -3301 +5114 -331 +-181 1316 +-5850 2838 +4606 4760 +6232 -4463 +3413 -2228 +-724 -3196 +1307 2728 +205 -456 +3090 22 +-2394 -378 +2705 -32 +-397 -3421 +-7317 -1860 +-1024 0 +-7317 1860 +-397 3421 +2705 32 +-2394 378 +3090 -22 +205 456 +1307 -2728 +-724 3196 +-544 6987 +2525 2546 +95 864 +1056 -2380 +-3270 2129 +2778 103 +5350 -688 +-4096 1024 +-556 -3619 +1946 -3837 +-1338 2069 +1840 3164 +4955 316 +-1586 5378 +-3986 1725 +724 1148 +2543 258 +2952 -809 +108 4543 +-502 -2270 +-553 -707 +-231 3577 +-2591 1385 +-7168 0 +-2591 -1385 +-231 -3577 +-553 707 +-502 2270 +108 -4543 +2952 809 +2543 -258 +724 -1148 +-3986 -1725 +-1586 -5378 +4955 -316 +1840 -3164 +-1338 -2069 +1946 3837 +-556 3619 +-4096 -1024 +5350 688 +2778 -103 +-3270 -2129 +1056 2380 +95 -864 +2525 -2546 +-544 -6987 +-724 -3196 +1307 2728 +205 -456 +3090 22 +-2394 -378 +2705 -32 +-397 -3421 +-7317 -1860 +-548 -2172 +-2209 155 +-191 553 +-1681 -228 +1900 660 +-2542 218 +-3811 -1723 +1562 1079 +1024 0 +1562 -1079 +-3811 1723 +-2542 -218 +1900 -660 +-1681 228 +-191 -553 +-2209 -155 +-548 2172 +2087 2855 +-1473 6772 +-851 1071 +-3562 -4682 +676 -502 +1242 484 +-5721 3782 +2048 -1024 +734 -552 +-5522 -3159 +-100 -5380 +2114 4358 +3805 933 +2765 -1617 +1826 373 +6692 2172 +4976 -3966 +-2301 -1949 +-2601 978 +-452 -3908 +-2498 1585 +1099 -3120 +2538 -950 +-1024 0 +2538 950 +1099 3120 +-2498 -1585 +-452 3908 +-2601 -978 +-2301 1949 +4976 3966 +6692 -2172 +1826 -373 +2765 1617 +3805 -933 +2114 -4358 +-100 5380 +-5522 3159 +734 552 +2048 1024 +-5721 -3782 +1242 -484 +676 502 +-3562 4682 +-851 -1071 +-1473 -6772 +2087 -2855 +-548 -2172 +-2209 155 +-191 553 +-1681 -228 +1900 660 +-2542 218 +-3811 -1723 +1562 1079 +1448 4520 +5545 757 +-565 2296 +-36 -59 +1182 60 +5700 1002 +3480 3391 +-2582 -647 +0 0 +-2582 647 +3480 -3391 +5700 -1002 +1182 -60 +-36 59 +-565 -2296 +5545 -757 +1448 -4520 +-2504 -1775 +-1447 3551 +-1182 -4234 +-1818 -1168 +-4098 4370 +2967 2913 +1667 415 +0 2048 +524 -3475 +-2486 -4144 +863 1652 +-2278 -2616 +4427 526 +7279 1698 +-2397 2247 +-1448 1624 +-3541 369 +-1171 3340 +-312 1923 +-5278 -1508 +432 -824 +135 5234 +-2506 -346 +0 0 +-2506 346 +135 -5234 +432 824 +-5278 1508 +-312 -1923 +-1171 -3340 +-3541 -369 +-1448 -1624 +-2397 -2247 +7279 -1698 +4427 -526 +-2278 2616 +863 -1652 +-2486 4144 +524 3475 +0 -2048 +1667 -415 +2967 -2913 +-4098 -4370 +-1818 1168 +-1182 4234 +-1447 -3551 +-2504 1775 +1448 4520 +5545 757 +-565 2296 +-36 -59 +1182 60 +5700 1002 +3480 3391 +-2582 -647 +-2472 -424 +-3325 2221 +997 -1597 +1791 448 +-1698 1388 +1834 3463 +-2350 783 +-5919 963 +-1024 0 +-5919 -963 +-2350 -783 +1834 -3463 +-1698 -1388 +1791 -448 +997 1597 +-3325 -2221 +-2472 424 +1744 959 +2249 -6265 +-150 -3891 +-26 -280 +84 3337 +-3642 1499 +120 1704 +7168 2048 +-830 830 +-363 -2441 +493 -703 +-4918 4064 +295 -5622 +-2028 -3433 +520 2338 +424 2472 +-1834 3493 +4576 332 +960 -1603 +2546 2956 +485 7058 +562 -627 +3733 99 +-1024 0 +3733 -99 +562 627 +485 -7058 +2546 -2956 +960 1603 +4576 -332 +-1834 -3493 +424 -2472 +520 -2338 +-2028 3433 +295 5622 +-4918 -4064 +493 703 +-363 2441 +-830 -830 +7168 -2048 +120 -1704 +-3642 -1499 +84 -3337 +-26 280 +-150 3891 +2249 6265 +1744 -959 +-2472 -424 +-3325 2221 +997 -1597 +1791 448 +-1698 1388 +1834 3463 +-2350 783 +-5919 963 +-6692 2596 +-1608 2118 +6472 -1530 +-1009 -3056 +-3178 1402 +-1031 -3758 +1635 -3678 +237 908 +-4096 0 +237 -908 +1635 3678 +-1031 3758 +-3178 -1402 +-1009 3056 +6472 1530 +-1608 -2118 +-6692 -2596 +2284 -3336 +-1705 -1554 +-1906 984 +2948 3404 +-1019 645 +2233 -6653 +3245 -6493 +1024 1024 +4545 -1687 +2831 1411 +787 674 +-52 -4188 +-2500 158 +-1479 -1829 +246 -1559 +548 -4644 +-1809 988 +-2088 2454 +951 1342 +282 3294 +-66 -2894 +293 4750 +-1346 -566 +-2048 0 +-1346 566 +293 -4750 +-66 2894 +282 -3294 +951 -1342 +-2088 -2454 +-1809 -988 +548 4644 +246 1559 +-1479 1829 +-2500 -158 +-52 4188 +787 -674 +2831 -1411 +4545 1687 +1024 -1024 +3245 6493 +2233 6653 +-1019 -645 +2948 -3404 +-1906 -984 +-1705 1554 +2284 3336 +-6692 2596 +-1608 2118 +6472 -1530 +-1009 -3056 +-3178 1402 +-1031 -3758 +1635 -3678 +237 908 +-2172 300 +245 -198 +4480 3152 +1553 6246 +816 8312 +-2280 2877 +-1844 -4263 +94 4806 +1024 0 +94 -4806 +-1844 4263 +-2280 -2877 +816 -8312 +1553 -6246 +4480 -3152 +245 198 +-2172 -300 +1615 -2578 +-4151 -1931 +600 2815 +-3418 -1155 +-1655 -1794 +6627 1839 +217 -714 +2048 -3072 +-2198 -883 +-936 3443 +2570 -3252 +-1526 -2954 +-3367 1155 +-2189 -1747 +2032 2882 +2172 1748 +2790 233 +-1036 -1769 +-115 -2922 +32 2177 +-3098 -1751 +-951 -1125 +999 3585 +3072 0 +999 -3585 +-951 1125 +-3098 1751 +32 -2177 +-115 2922 +-1036 1769 +2790 -233 +2172 -1748 +2032 -2882 +-2189 1747 +-3367 -1155 +-1526 2954 +2570 3252 +-936 -3443 +-2198 883 +2048 3072 +217 714 +6627 -1839 +-1655 1794 +-3418 1155 +600 -2815 +-4151 1931 +1615 2578 +-2172 300 +245 -198 +4480 3152 +1553 6246 +816 8312 +-2280 2877 +-1844 -4263 +94 4806 +-4344 2048 +237 -961 +1800 -2384 +926 -4235 +-3980 -978 +-3266 -2952 +18 -4123 +-2678 -2533 +-3072 0 +-2678 2533 +18 4123 +-3266 2952 +-3980 978 +926 4235 +1800 2384 +237 961 +-4344 -2048 +-643 -512 +-1200 -1760 +-2795 -5074 +3040 1134 +2125 -489 +3478 -2391 +1187 809 +-3072 6144 +-761 -2412 +3478 -4727 +3745 1183 +-744 -3810 +-1365 2391 +-1200 1256 +5844 2060 +4344 2048 +-3870 -1611 +1800 2504 +-550 -3229 +-2412 130 +1179 1280 +18 -1109 +685 5754 +1024 0 +685 -5754 +18 1109 +1179 -1280 +-2412 -130 +-550 3229 +1800 -2504 +-3870 1611 +4344 -2048 +5844 -2060 +-1200 -1256 +-1365 -2391 +-744 3810 +3745 -1183 +3478 4727 +-761 2412 +-3072 -6144 +1187 -809 +3478 2391 +2125 489 +3040 -1134 +-2795 5074 +-1200 1760 +-643 512 +-4344 2048 +237 -961 +1800 -2384 +926 -4235 +-3980 -978 +-3266 -2952 +18 -4123 +-2678 -2533 +1148 -1148 +-2251 2085 +-1566 1189 +36 312 +2080 1051 +4171 -4138 +1554 553 +469 -3320 +2048 0 +469 3320 +1554 -553 +4171 4138 +2080 -1051 +36 -312 +-1566 -1189 +-2251 -2085 +1148 1148 +1170 2148 +-2901 852 +-2140 -581 +522 1382 +-2737 -22 +-6050 5157 +532 922 +5120 3072 +-2941 5827 +-4246 -740 +2172 1172 +-1370 -7058 +-701 -2339 +5966 1416 +439 -1147 +-3196 3196 +642 412 +198 5167 +2805 3722 +2864 -1300 +-3606 -461 +-1146 -657 +1940 2433 +0 0 +1940 -2433 +-1146 657 +-3606 461 +2864 1300 +2805 -3722 +198 -5167 +642 -412 +-3196 -3196 +439 1147 +5966 -1416 +-701 2339 +-1370 7058 +2172 -1172 +-4246 740 +-2941 -5827 +5120 -3072 +532 -922 +-6050 -5157 +-2737 22 +522 -1382 +-2140 581 +-2901 -852 +1170 -2148 +1148 -1148 +-2251 2085 +-1566 1189 +36 312 +2080 1051 +4171 -4138 +1554 553 +469 -3320 +5069 -1324 +2897 -1740 +-3743 -601 +5645 518 +3054 946 +-4957 2687 +988 5272 +-1099 2440 +-4096 0 +-1099 -2440 +988 -5272 +-4957 -2687 +3054 -946 +5645 -518 +-3743 601 +2897 1740 +5069 1324 +1804 1938 +-31 -2612 +2009 -5870 +1272 392 +-2424 1123 +-2138 4316 +2118 1710 +3072 -3072 +-2415 2844 +-694 1159 +473 1589 +4272 392 +930 -476 +-125 2111 +2566 -1557 +-5069 -2772 +62 -4651 +-197 1229 +-777 1979 +-406 -946 +-6693 676 +-2252 -4333 +-141 1590 +-2048 0 +-141 -1590 +-2252 4333 +-6693 -676 +-406 946 +-777 -1979 +-197 -1229 +62 4651 +-5069 2772 +2566 1557 +-125 -2111 +930 476 +4272 -392 +473 -1589 +-694 -1159 +-2415 -2844 +3072 3072 +2118 -1710 +-2138 -4316 +-2424 -1123 +1272 -392 +2009 5870 +-31 2612 +1804 -1938 +5069 -1324 +2897 -1740 +-3743 -601 +5645 518 +3054 946 +-4957 2687 +988 5272 +-1099 2440 +5120 2896 +249 -1186 +537 -2796 +1337 -190 +-5004 -3470 +-2301 -648 +1120 1936 +1116 267 +0 0 +1116 -267 +1120 -1936 +-2301 648 +-5004 3470 +1337 190 +537 2796 +249 1186 +5120 -2896 +464 -2605 +1820 -6 +741 3767 +2016 -3146 +252 -2685 +2265 111 +7654 397 +-2048 2048 +-348 -4885 +2643 1559 +1435 4661 +-1768 -1098 +-4404 1336 +-6269 1442 +-4232 1026 +5120 -2896 +-265 -846 +1016 1348 +758 -1930 +-3436 1422 +2181 114 +-3131 -3384 +-4639 -3020 +0 0 +-4639 3020 +-3131 3384 +2181 -114 +-3436 -1422 +758 1930 +1016 -1348 +-265 846 +5120 2896 +-4232 -1026 +-6269 -1442 +-4404 -1336 +-1768 1098 +1435 -4661 +2643 -1559 +-348 4885 +-2048 -2048 +7654 -397 +2265 -111 +252 2685 +2016 3146 +741 -3767 +1820 6 +464 2605 +5120 2896 +249 -1186 +537 -2796 +1337 -190 +-5004 -3470 +-2301 -648 +1120 1936 +1116 267 +-548 724 +-1199 -3983 +2056 1799 +2955 5301 +-1116 2708 +349 -2962 +-3276 -2858 +-1881 899 +3072 0 +-1881 -899 +-3276 2858 +349 2962 +-1116 -2708 +2955 -5301 +2056 -1799 +-1199 3983 +-548 -724 +-5493 -3532 +-5563 3861 +-2329 -1100 +1670 -2634 +-188 1093 +-337 -6526 +-60 -5041 +0 3072 +2207 4254 +-808 -354 +2021 -1410 +-222 2310 +985 1355 +-713 4369 +1015 2500 +6692 -724 +-1004 3776 +3021 -91 +-282 -599 +-332 -1860 +2283 -3087 +-2571 2038 +622 -3636 +1024 0 +622 3636 +-2571 -2038 +2283 3087 +-332 1860 +-282 599 +3021 91 +-1004 -3776 +6692 724 +1015 -2500 +-713 -4369 +985 -1355 +-222 -2310 +2021 1410 +-808 354 +2207 -4254 +0 -3072 +-60 5041 +-337 6526 +-188 -1093 +1670 2634 +-2329 1100 +-5563 -3861 +-5493 3532 +-548 724 +-1199 -3983 +2056 1799 +2955 5301 +-1116 2708 +349 -2962 +-3276 -2858 +-1881 899 +-1748 -2596 +272 -4409 +-1556 -7499 +-2004 1829 +1167 -802 +5634 -626 +-492 -183 +-1561 -753 +6144 0 +-1561 753 +-492 183 +5634 626 +1167 802 +-2004 -1829 +-1556 7499 +272 4409 +-1748 2596 +25 3366 +570 -4547 +-580 583 +-1396 92 +-616 -2664 +5531 -2829 +-609 -110 +-5120 3072 +-2494 18 +-927 1360 +245 3185 +-4397 692 +-602 2727 +2706 1062 +614 -4518 +-300 4644 +-2239 3205 +-520 323 +2299 1644 +4626 -2694 +1418 2012 +2880 -222 +200 1770 +-8192 0 +200 -1770 +2880 222 +1418 -2012 +4626 2694 +2299 -1644 +-520 -323 +-2239 -3205 +-300 -4644 +614 4518 +2706 -1062 +-602 -2727 +-4397 -692 +245 -3185 +-927 -1360 +-2494 -18 +-5120 -3072 +-609 110 +5531 2829 +-616 2664 +-1396 -92 +-580 -583 +570 4547 +25 -3366 +-1748 -2596 +272 -4409 +-1556 -7499 +-2004 1829 +1167 -802 +5634 -626 +-492 -183 +-1561 -753 +-1024 1448 +1220 77 +2766 3069 +1413 1960 +1363 -1228 +-4019 1501 +-119 -310 +5421 -894 +0 0 +5421 894 +-119 310 +-4019 -1501 +1363 1228 +1413 -1960 +2766 -3069 +1220 -77 +-1024 -1448 +1082 -1415 +2866 1531 +-2394 2263 +2266 -340 +112 1822 +-4092 2807 +3070 -389 +0 -6144 +1364 -6877 +2460 -1198 +-2108 -728 +-5762 2557 +206 224 +3971 1310 +-2565 4769 +-1024 -1448 +704 3890 +286 2504 +-2802 1353 +-1962 4124 +-1995 1301 +54 2098 +1289 -7811 +-4096 0 +1289 7811 +54 -2098 +-1995 -1301 +-1962 -4124 +-2802 -1353 +286 -2504 +704 -3890 +-1024 1448 +-2565 -4769 +3971 -1310 +206 -224 +-5762 -2557 +-2108 728 +2460 1198 +1364 6877 +0 6144 +3070 389 +-4092 -2807 +112 -1822 +2266 340 +-2394 -2263 +2866 -1531 +1082 1415 +-1024 1448 +1220 77 +2766 3069 +1413 1960 +1363 -1228 +-4019 1501 +-119 -310 +5421 -894 +-2472 600 +-2695 619 +1388 1972 +-2526 2007 +-4305 1154 +3998 1986 +4223 -81 +-4094 4312 +-6144 0 +-4094 -4312 +4223 81 +3998 -1986 +-4305 -1154 +-2526 -2007 +1388 -1972 +-2695 -619 +-2472 -600 +-680 5757 +-1099 1862 +2028 1608 +-1528 4834 +2153 -1988 +2730 3138 +-934 1763 +-2048 -4096 +-4804 3537 +322 1571 +-896 -3529 +3824 -2158 +3871 -1674 +-534 -1923 +1292 108 +424 3496 +1643 -916 +-1453 -5757 +203 -3073 +-2088 46 +2754 -1310 +2614 -1486 +-1313 2813 +6144 0 +-1313 -2813 +2614 1486 +2754 1310 +-2088 -46 +203 3073 +-1453 5757 +1643 916 +424 -3496 +1292 -108 +-534 1923 +3871 1674 +3824 2158 +-896 3529 +322 -1571 +-4804 -3537 +-2048 4096 +-934 -1763 +2730 -3138 +2153 1988 +-1528 -4834 +2028 -1608 +-1099 -1862 +-680 -5757 +-2472 600 +-2695 619 +1388 1972 +-2526 2007 +-4305 1154 +3998 1986 +4223 -81 +-4094 4312 +-424 1872 +-1037 3987 +3238 1751 +5601 1934 +4364 -170 +999 2058 +-5880 6027 +-1379 -862 +5120 0 +-1379 862 +-5880 -6027 +999 -2058 +4364 170 +5601 -1934 +3238 -1751 +-1037 -3987 +-424 -1872 +403 1838 +-2084 -892 +-5170 -2201 +360 2062 +-853 -4 +-1166 -1565 +-488 3231 +-1024 2048 +2028 -888 +-2210 -3990 +556 -1019 +-1208 614 +-1955 -6856 +-852 633 +663 2402 +2472 -3920 +-2245 1929 +1395 -2627 +-3827 -4372 +580 -1278 +4650 -856 +-631 5533 +2055 2094 +1024 0 +2055 -2094 +-631 -5533 +4650 856 +580 1278 +-3827 4372 +1395 2627 +-2245 -1929 +2472 3920 +663 -2402 +-852 -633 +-1955 6856 +-1208 -614 +556 1019 +-2210 3990 +2028 888 +-1024 -2048 +-488 -3231 +-1166 1565 +-853 4 +360 -2062 +-5170 2201 +-2084 892 +403 -1838 +-424 1872 +-1037 3987 +3238 1751 +5601 1934 +4364 -170 +999 2058 +-5880 6027 +-1379 -862 +-424 -3496 +1236 -2617 +-3057 10 +-2118 4307 +1084 -230 +1250 -1285 +-2119 -5824 +-3229 -1251 +0 0 +-3229 1251 +-2119 5824 +1250 1285 +1084 230 +-2118 -4307 +-3057 -10 +1236 2617 +-424 3496 +-594 4259 +-294 -1968 +-233 2060 +-144 3230 +1052 1414 +809 -112 +-6682 -2252 +0 0 +515 936 +-3641 -6572 +6029 -4905 +3640 3230 +1354 -751 +138 -1509 +403 -1819 +2472 -600 +-3702 -326 +-883 449 +-779 449 +-484 230 +5031 2535 +854 -636 +468 7575 +4096 0 +468 -7575 +854 636 +5031 -2535 +-484 -230 +-779 -449 +-883 -449 +-3702 326 +2472 600 +403 1819 +138 1509 +1354 751 +3640 -3230 +6029 4905 +-3641 6572 +515 -936 +0 0 +-6682 2252 +809 112 +1052 -1414 +-144 -3230 +-233 -2060 +-294 1968 +-594 -4259 +-424 -3496 +1236 -2617 +-3057 10 +-2118 4307 +1084 -230 +1250 -1285 +-2119 -5824 +-3229 -1251 +2896 1200 +-1265 -229 +1572 2156 +-2210 -976 +-254 240 +-638 527 +-1757 1181 +-983 -2057 +-5120 0 +-983 2057 +-1757 -1181 +-638 -527 +-254 -240 +-2210 976 +1572 -2156 +-1265 229 +2896 -1200 +4431 -872 +564 2832 +465 -946 +410 2916 +188 4490 +-3054 739 +2515 -1674 +-1024 4096 +-4356 3494 +3498 -540 +82 1318 +3086 868 +4025 2875 +1668 -1920 +1584 3096 +-2896 6992 +1931 -3739 +-908 -8108 +-3610 -2844 +854 1808 +-4096 2644 +-1584 4532 +1936 -3111 +-1024 0 +1936 3111 +-1584 -4532 +-4096 -2644 +854 -1808 +-3610 2844 +-908 8108 +1931 3739 +-2896 -6992 +1584 -3096 +1668 1920 +4025 -2875 +3086 -868 +82 -1318 +3498 540 +-4356 -3494 +-1024 -4096 +2515 1674 +-3054 -739 +188 -4490 +410 -2916 +465 946 +564 -2832 +4431 872 +2896 1200 +-1265 -229 +1572 2156 +-2210 -976 +-254 240 +-638 527 +-1757 1181 +-983 -2057 +1448 -2472 +370 -95 +1019 3564 +-2802 1800 +-2002 -540 +-4545 2898 +569 2656 +5325 3084 +0 0 +5325 -3084 +569 -2656 +-4545 -2898 +-2002 540 +-2802 -1800 +1019 -3564 +370 95 +1448 2472 +1511 -1182 +-497 869 +18 -3970 +110 -4664 +2473 6992 +-1185 -2906 +-2214 -2692 +0 -2048 +-2164 -7933 +-1491 -2343 +3082 -214 +2786 880 +-511 -4465 +1605 974 +-1770 5507 +-1448 424 +-110 30 +-2127 2333 +3295 3577 +-894 -2108 +-1009 -839 +2107 3700 +-947 4698 +0 0 +-947 -4698 +2107 -3700 +-1009 839 +-894 2108 +3295 -3577 +-2127 -2333 +-110 -30 +-1448 -424 +-1770 -5507 +1605 -974 +-511 4465 +2786 -880 +3082 214 +-1491 2343 +-2164 7933 +0 2048 +-2214 2692 +-1185 2906 +2473 -6992 +110 4664 +18 3970 +-497 -869 +1511 1182 +1448 -2472 +370 -95 +1019 3564 +-2802 1800 +-2002 -540 +-4545 2898 +569 2656 +5325 3084 +1148 -900 +-106 -1125 +1701 -2140 +-347 3869 +1794 -1402 +5612 -679 +-422 -559 +-2563 3519 +0 0 +-2563 -3519 +-422 559 +5612 679 +1794 1402 +-347 -3869 +1701 2140 +-106 1125 +1148 900 +101 3100 +-25 -1996 +-471 527 +-4552 -3404 +-552 2593 +-1320 2486 +-3520 -2269 +1024 3072 +2011 2136 +1920 2669 +-3454 5530 +-5336 4188 +104 -608 +3521 3393 +2563 -1205 +-3196 -5244 +1905 3500 +1795 536 +-5966 -3676 +-98 -3294 +-719 -848 +1022 1943 +5402 1774 +2048 0 +5402 -1774 +1022 -1943 +-719 848 +-98 3294 +-5966 3676 +1795 -536 +1905 -3500 +-3196 5244 +2563 1205 +3521 -3393 +104 608 +-5336 -4188 +-3454 -5530 +1920 -2669 +2011 -2136 +1024 -3072 +-3520 2269 +-1320 -2486 +-552 -2593 +-4552 3404 +-471 -527 +-25 1996 +101 -3100 +1148 -900 +-106 -1125 +1701 -2140 +-347 3869 +1794 -1402 +5612 -679 +-422 -559 +-2563 3519 +1148 4644 +-3862 -2126 +-2039 7328 +675 747 +-1056 -248 +46 4642 +2603 -3295 +404 1025 +-2048 0 +404 -1025 +2603 3295 +46 -4642 +-1056 248 +675 -747 +-2039 -7328 +-3862 2126 +1148 -4644 +2941 -5362 +673 774 +1496 1435 +502 1430 +-2014 -4465 +-928 -2565 +-180 -3317 +1024 -1024 +1936 -2377 +-3196 -242 +-4634 5432 +2394 2030 +4907 3353 +1883 -202 +-1190 851 +-3196 -2596 +543 -2676 +2378 2784 +-3294 -735 +-1840 -3248 +2818 -4842 +-1375 323 +-592 -2908 +4096 0 +-592 2908 +-1375 -323 +2818 4842 +-1840 3248 +-3294 735 +2378 -2784 +543 2676 +-3196 2596 +-1190 -851 +1883 202 +4907 -3353 +2394 -2030 +-4634 -5432 +-3196 242 +1936 2377 +1024 1024 +-180 3317 +-928 2565 +-2014 4465 +502 -1430 +1496 -1435 +673 -774 +2941 5362 +1148 4644 +-3862 -2126 +-2039 7328 +675 747 +-1056 -248 +46 4642 +2603 -3295 +404 1025 +2596 300 +-1457 -5797 +2523 -3231 +-2 -5223 +-3345 -3792 +-546 3611 +-505 2422 +-1605 -1018 +-2048 0 +-1605 1018 +-505 -2422 +-546 -3611 +-3345 3792 +-2 5223 +2523 3231 +-1457 5797 +2596 -300 +1958 347 +-86 -198 +1000 -605 +-4462 2778 +529 -4015 +678 -2921 +-2140 -789 +7168 1024 +2446 1916 +-2634 2755 +1573 1778 +1214 1330 +2146 3579 +2355 -2549 +-421 212 +-4644 1748 +-80 2527 +5097 880 +-3145 1838 +-5696 2344 +-1557 -5386 +765 3254 +1299 588 +0 0 +1299 -588 +765 -3254 +-1557 5386 +-5696 -2344 +-3145 -1838 +5097 -880 +-80 -2527 +-4644 -1748 +-421 -212 +2355 2549 +2146 -3579 +1214 -1330 +1573 -1778 +-2634 -2755 +2446 -1916 +7168 -1024 +-2140 789 +678 2921 +529 4015 +-4462 -2778 +1000 605 +-86 198 +1958 -347 +2596 300 +-1457 -5797 +2523 -3231 +-2 -5223 +-3345 -3792 +-546 3611 +-505 2422 +-1605 -1018 +-724 -724 +7541 1411 +1273 -2727 +-1421 -4294 +776 -3288 +-27 4409 +2149 -4399 +9 -441 +-4096 0 +9 441 +2149 4399 +-27 -4409 +776 3288 +-1421 4294 +1273 2727 +7541 -1411 +-724 724 +-2764 4038 +4946 -5756 +1959 2159 +2454 3842 +1483 -1285 +-4473 -2763 +-402 -1761 +1024 1024 +857 719 +1013 3030 +-3109 -360 +-1006 -1950 +-1544 -242 +-1945 36 +3086 -184 +724 724 +-2071 -1537 +-4274 -3066 +-4787 -2204 +-2224 -2504 +-4140 612 +1311 -1393 +5328 -1174 +2048 0 +5328 1174 +1311 1393 +-4140 -612 +-2224 2504 +-4787 2204 +-4274 3066 +-2071 1537 +724 -724 +3086 184 +-1945 -36 +-1544 242 +-1006 1950 +-3109 360 +1013 -3030 +857 -719 +1024 -1024 +-402 1761 +-4473 2763 +1483 1285 +2454 -3842 +1959 -2159 +4946 5756 +-2764 -4038 +-724 -724 +7541 1411 +1273 -2727 +-1421 -4294 +776 -3288 +-27 4409 +2149 -4399 +9 -441 +2472 -1448 +230 -1348 +-4080 -1238 +-2130 -3847 +-3290 1024 +-519 -1500 +2018 -2593 +-824 992 +0 0 +-824 -992 +2018 2593 +-519 1500 +-3290 -1024 +-2130 3847 +-4080 1238 +230 1348 +2472 1448 +2680 -1444 +407 -5858 +-1453 -5593 +938 1024 +1998 1781 +-354 4126 +-7562 -1380 +-2048 -2048 +1929 899 +-1657 1790 +600 -392 +-2387 -1024 +32 8603 +1145 -2843 +-2861 -4560 +-424 1448 +1518 -2815 +-369 1358 +-234 -982 +4738 1024 +1706 80 +2889 -2639 +4889 3633 +0 0 +4889 -3633 +2889 2639 +1706 -80 +4738 -1024 +-234 982 +-369 -1358 +1518 2815 +-424 -1448 +-2861 4560 +1145 2843 +32 -8603 +-2387 1024 +600 392 +-1657 -1790 +1929 -899 +-2048 2048 +-7562 1380 +-354 -4126 +1998 -1781 +938 -1024 +-1453 5593 +407 5858 +2680 1444 +2472 -1448 +230 -1348 +-4080 -1238 +-2130 -3847 +-3290 1024 +-519 -1500 +2018 -2593 +-824 992 +424 -2472 +3232 2201 +743 -1515 +2040 -5297 +-3496 2302 +-5090 -1303 +-936 -1084 +-710 4222 +3072 0 +-710 -4222 +-936 1084 +-5090 1303 +-3496 -2302 +2040 5297 +743 1515 +3232 -2201 +424 2472 +1345 2233 +2587 4754 +2427 2100 +-600 1638 +1861 2780 +4283 928 +-5095 1489 +-3072 0 +-91 -2557 +-2575 -3132 +-3002 3080 +-600 1038 +3784 -517 +3585 5250 +-3505 1318 +-2472 424 +2032 -2228 +77 -4982 +1565 -1784 +-3496 1194 +2208 2932 +428 -1776 +-3000 -1587 +7168 0 +-3000 1587 +428 1776 +2208 -2932 +-3496 -1194 +1565 1784 +77 4982 +2032 2228 +-2472 -424 +-3505 -1318 +3585 -5250 +3784 517 +-600 -1038 +-3002 -3080 +-2575 3132 +-91 2557 +-3072 0 +-5095 -1489 +4283 -928 +1861 -2780 +-600 -1638 +2427 -2100 +2587 -4754 +1345 -2233 +424 -2472 +3232 2201 +743 -1515 +2040 -5297 +-3496 2302 +-5090 -1303 +-936 -1084 +-710 4222 +1024 600 +-1349 -1813 +-7195 -2943 +-1839 -454 +-964 2002 +-743 -5194 +3433 1922 +-126 1497 +-4096 0 +-126 -1497 +3433 -1922 +-743 5194 +-964 -2002 +-1839 454 +-7195 2943 +-1349 1813 +1024 -600 +-2790 -713 +1731 -585 +2787 -6018 +-2192 -110 +-449 -3050 +4691 -2877 +1275 -820 +-2048 0 +-3772 3155 +-4774 -2877 +2207 169 +1592 2786 +1812 607 +4205 -585 +-2115 2464 +1024 3496 +462 1854 +-438 2943 +3032 -489 +-2532 894 +4777 845 +6539 -1922 +-3170 -657 +-4096 0 +-3170 657 +6539 1922 +4777 -845 +-2532 -894 +3032 489 +-438 -2943 +462 -1854 +1024 -3496 +-2115 -2464 +4205 585 +1812 -607 +1592 -2786 +2207 -169 +-4774 2877 +-3772 -3155 +-2048 0 +1275 820 +4691 2877 +-449 3050 +-2192 110 +2787 6018 +1731 585 +-2790 713 +1024 600 +-1349 -1813 +-7195 -2943 +-1839 -454 +-964 2002 +-743 -5194 +3433 1922 +-126 1497 +2596 2772 +7253 -5814 +-84 -5232 +-2443 3326 +1560 4912 +-4772 902 +-3044 -1613 +3397 -1055 +3072 0 +3397 1055 +-3044 1613 +-4772 -902 +1560 -4912 +-2443 -3326 +-84 5232 +7253 5814 +2596 -2772 +2102 501 +1199 1642 +-4054 1388 +562 678 +1698 -870 +-1834 -278 +1474 -4700 +2048 3072 +372 -2580 +2277 -3293 +3017 4412 +886 -2570 +-395 -644 +1033 -3590 +-1428 1035 +-4644 1324 +-567 -1532 +748 2896 +-2684 304 +-3008 4128 +-1953 1851 +-297 1494 +-1017 3486 +-3072 0 +-1017 -3486 +-297 -1494 +-1953 -1851 +-3008 -4128 +-2684 -304 +748 -2896 +-567 1532 +-4644 -1324 +-1428 -1035 +1033 3590 +-395 644 +886 2570 +3017 -4412 +2277 3293 +372 2580 +2048 -3072 +1474 4700 +-1834 278 +1698 870 +562 -678 +-4054 -1388 +1199 -1642 +2102 -501 +2596 2772 +7253 -5814 +-84 -5232 +-2443 3326 +1560 4912 +-4772 902 +-3044 -1613 +3397 -1055 +-6392 -1448 +2141 -144 +3198 -1161 +642 3862 +-3086 424 +-2808 1794 +494 845 +124 -122 +1024 0 +124 122 +494 -845 +-2808 -1794 +-3086 -424 +642 -3862 +3198 1161 +2141 144 +-6392 1448 +-1067 2707 +732 418 +-6386 5172 +254 -2472 +5430 -2458 +2959 -1329 +-1586 -4118 +-1024 2048 +-1635 -36 +-2463 -2556 +582 4666 +-854 2472 +-1422 -1963 +-3591 758 +648 -2842 +2296 1448 +-2372 1956 +861 -1395 +-1970 -1421 +-410 424 +5932 3321 +6002 -4969 +3747 -20 +1024 0 +3747 20 +6002 4969 +5932 -3321 +-410 -424 +-1970 1421 +861 1395 +-2372 -1956 +2296 -1448 +648 2842 +-3591 -758 +-1422 1963 +-854 -2472 +582 -4666 +-2463 2556 +-1635 36 +-1024 -2048 +-1586 4118 +2959 1329 +5430 2458 +254 2472 +-6386 -5172 +732 -418 +-1067 -2707 +-6392 -1448 +2141 -144 +3198 -1161 +642 3862 +-3086 424 +-2808 1794 +494 845 +124 -122 +-5368 1024 +-1097 -5841 +2237 130 +2874 2185 +-470 -2772 +289 7223 +899 1868 +1164 -124 +5120 0 +1164 124 +899 -1868 +289 -7223 +-470 2772 +2874 -2185 +2237 -130 +-1097 5841 +-5368 -1024 +163 1196 +2185 -2233 +-161 -6408 +314 -1324 +-3209 654 +-1131 -330 +-1333 -574 +-3072 -4096 +-2922 2229 +-825 1562 +839 -4706 +-2362 1324 +1300 -1104 +83 -3016 +-1157 -142 +3320 1024 +4308 -3506 +5383 -914 +1340 2678 +-1578 -2772 +-3271 -1438 +-639 24 +874 6502 +-3072 0 +874 -6502 +-639 -24 +-3271 1438 +-1578 2772 +1340 -2678 +5383 914 +4308 3506 +3320 -1024 +-1157 142 +83 3016 +1300 1104 +-2362 -1324 +839 4706 +-825 -1562 +-2922 -2229 +-3072 4096 +-1333 574 +-1131 330 +-3209 -654 +314 1324 +-161 6408 +2185 2233 +163 -1196 +-5368 1024 +-1097 -5841 +2237 130 +2874 2185 +-470 -2772 +289 7223 +899 1868 +1164 -124 +-1448 -6392 +2762 374 +2486 696 +-464 -815 +3086 905 +2632 622 +-2976 -1529 +46 2973 +3072 0 +46 -2973 +-2976 1529 +2632 -622 +3086 -905 +-464 815 +2486 -696 +2762 -374 +-1448 6392 +-4840 1621 +575 -1007 +336 2162 +-254 3360 +341 6276 +-6057 -1928 +1125 460 +1024 -2048 +-986 -5331 +2237 -480 +-4602 -1230 +854 4208 +1415 -351 +-5743 442 +-3201 3898 +1448 2296 +3711 3030 +1482 -2144 +2497 -1489 +410 4040 +-2155 3666 +-196 80 +1383 3948 +3072 0 +1383 -3948 +-196 -80 +-2155 -3666 +410 -4040 +2497 1489 +1482 2144 +3711 -3030 +1448 -2296 +-3201 -3898 +-5743 -442 +1415 351 +854 -4208 +-4602 1230 +2237 480 +-986 5331 +1024 2048 +1125 -460 +-6057 1928 +341 -6276 +-254 -3360 +336 -2162 +575 1007 +-4840 -1621 +-1448 -6392 +2762 374 +2486 696 +-464 -815 +3086 905 +2632 622 +-2976 -1529 +46 2973 +-1748 2348 +-2471 -1204 +2579 2778 +-1863 442 +-1102 1246 +612 3729 +2914 -6493 +7231 430 +4096 0 +7231 -430 +2914 6493 +612 -3729 +-1102 -1246 +-1863 -442 +2579 -2778 +-2471 1204 +-1748 -2348 +2902 -2202 +2737 -1107 +-2047 819 +-1656 2140 +-416 3244 +28 -1303 +1284 -2106 +1024 7168 +756 -265 +-3212 -2375 +1863 3514 +-2440 -1356 +-5701 -3672 +-809 -2032 +-2845 3813 +-300 3796 +-690 539 +2485 -2503 +-204 -6262 +-2994 -646 +1965 1005 +1470 -1572 +-374 523 +-2048 0 +-374 -523 +1470 1572 +1965 -1005 +-2994 646 +-204 6262 +2485 2503 +-690 -539 +-300 -3796 +-2845 -3813 +-809 2032 +-5701 3672 +-2440 1356 +1863 -3514 +-3212 2375 +756 265 +1024 -7168 +1284 2106 +28 1303 +-416 -3244 +-1656 -2140 +-2047 -819 +2737 1107 +2902 2202 +-1748 2348 +-2471 -1204 +2579 2778 +-1863 442 +-1102 1246 +612 3729 +2914 -6493 +7231 430 +4220 -2172 +5134 -3883 +-255 -2648 +-3004 1962 +-1116 2284 +764 -2040 +2616 -4071 +1894 2384 +2048 0 +1894 -2384 +2616 4071 +764 2040 +-1116 -2284 +-3004 -1962 +-255 2648 +5134 3883 +4220 2172 +4757 -2675 +-1371 812 +-1444 1354 +1670 -162 +-5399 4995 +-4705 -2642 +-2367 337 +3072 -1024 +973 -1235 +-3699 4519 +2313 -4378 +-222 -162 +2998 1171 +5220 -3691 +199 -1962 +-124 2172 +-1842 -516 +-1897 -3552 +-3663 310 +-332 -2284 +1643 915 +-4101 1343 +-2957 -3276 +0 0 +-2957 3276 +-4101 -1343 +1643 -915 +-332 2284 +-3663 -310 +-1897 3552 +-1842 516 +-124 -2172 +199 1962 +5220 3691 +2998 -1171 +-222 162 +2313 4378 +-3699 -4519 +973 1235 +3072 1024 +-2367 -337 +-4705 2642 +-5399 -4995 +1670 162 +-1444 -1354 +-1371 -812 +4757 2675 +4220 -2172 +5134 -3883 +-255 -2648 +-3004 1962 +-1116 2284 +764 -2040 +2616 -4071 +1894 2384 +-4220 4820 +-2083 2384 +-1845 705 +1513 -4685 +1116 -2948 +-1771 -2352 +1868 -2844 +2681 4445 +0 0 +2681 -4445 +1868 2844 +-1771 2352 +1116 2948 +1513 4685 +-1845 -705 +-2083 -2384 +-4220 -4820 +-4272 -1390 +248 1226 +-1169 983 +-1670 -282 +3206 163 +-808 2739 +-6217 -1997 +-1024 -1024 +1223 -5968 +-1712 2111 +-315 6168 +222 -3178 +-624 4636 +2124 -1931 +2730 -621 +124 3372 +729 -78 +3569 234 +3176 -5261 +332 52 +1776 551 +4748 -1880 +-584 -1086 +-6144 0 +-584 1086 +4748 1880 +1776 -551 +332 -52 +3176 5261 +3569 -234 +729 78 +124 -3372 +2730 621 +2124 1931 +-624 -4636 +222 3178 +-315 -6168 +-1712 -2111 +1223 5968 +-1024 1024 +-6217 1997 +-808 -2739 +3206 -163 +-1670 282 +-1169 -983 +248 -1226 +-4272 1390 +-4220 4820 +-2083 2384 +-1845 705 +1513 -4685 +1116 -2948 +-1771 -2352 +1868 -2844 +2681 4445 +424 -3920 +-2092 290 +3966 4545 +-1896 1844 +2566 -144 +7999 1476 +-3597 111 +-2191 166 +1024 0 +-2191 -166 +-3597 -111 +7999 -1476 +2566 144 +-1896 -1844 +3966 -4545 +-2092 -290 +424 3920 +-2337 1818 +1066 -350 +3291 1258 +-214 -484 +-1608 1732 +-4110 2169 +3307 -3051 +1024 0 +-366 -1214 +2442 -1171 +2707 1410 +3111 -1084 +3764 4222 +2170 -1014 +-4367 -5557 +-2472 1872 +-782 -2002 +1486 -2313 +-934 808 +-5462 3640 +-1737 6082 +-3424 -555 +-2758 -857 +1024 0 +-2758 857 +-3424 555 +-1737 -6082 +-5462 -3640 +-934 -808 +1486 2313 +-782 2002 +-2472 -1872 +-4367 5557 +2170 1014 +3764 -4222 +3111 1084 +2707 -1410 +2442 1171 +-366 1214 +1024 0 +3307 3051 +-4110 -2169 +-1608 -1732 +-214 484 +3291 -1258 +1066 350 +-2337 -1818 +424 -3920 +-2092 290 +3966 4545 +-1896 1844 +2566 -144 +7999 1476 +-3597 111 +-2191 166 +5668 -6692 +637 -2230 +-1399 2552 +-1139 -1122 +816 2648 +4646 131 +-1225 1556 +-360 1415 +3072 0 +-360 -1415 +-1225 -1556 +4646 -131 +816 -2648 +-1139 1122 +-1399 -2552 +637 2230 +5668 6692 +-2637 -3995 +-1363 1948 +-1168 2795 +-3418 -1466 +-1620 -52 +399 296 +3837 1094 +0 -3072 +-568 3869 +-4523 5684 +-5032 1272 +-1526 4926 +1604 511 +3919 565 +-521 5207 +-1572 548 +1633 -4697 +1739 -2736 +-3760 -612 +32 -352 +677 -656 +2453 -3160 +3772 -784 +-3072 0 +3772 784 +2453 3160 +677 656 +32 352 +-3760 612 +1739 2736 +1633 4697 +-1572 -548 +-521 -5207 +3919 -565 +1604 -511 +-1526 -4926 +-5032 -1272 +-4523 -5684 +-568 -3869 +0 3072 +3837 -1094 +399 -296 +-1620 52 +-3418 1466 +-1168 -2795 +-1363 -1948 +-2637 3995 +5668 -6692 +637 -2230 +-1399 2552 +-1139 -1122 +816 2648 +4646 131 +-1225 1556 +-360 1415 +1148 -2348 +797 2386 +-2405 -5100 +293 -5584 +-4092 2188 +-1204 2725 +-448 -2338 +-940 2840 +6144 0 +-940 -2840 +-448 2338 +-1204 -2725 +-4092 -2188 +293 5584 +-2405 5100 +797 -2386 +1148 2348 +1566 720 +2345 -3234 +364 591 +1030 -2595 +-722 -1222 +4654 -2759 +1318 362 +-3072 -1024 +-15 -4184 +-1498 2029 +1461 822 +-3078 53 +-4075 -1403 +2378 -1122 +-695 -1204 +-3196 -3796 +-1668 -2534 +1777 1420 +3419 -5338 +2044 -7732 +466 1977 +1388 1334 +-363 5976 +-4096 0 +-363 -5976 +1388 -1334 +466 -1977 +2044 7732 +3419 5338 +1777 -1420 +-1668 2534 +-3196 3796 +-695 1204 +2378 1122 +-4075 1403 +-3078 -53 +1461 -822 +-1498 -2029 +-15 4184 +-3072 1024 +1318 -362 +4654 2759 +-722 1222 +1030 2595 +364 -591 +2345 3234 +1566 -720 +1148 -2348 +797 2386 +-2405 -5100 +293 -5584 +-4092 2188 +-1204 2725 +-448 -2338 +-940 2840 +2596 5420 +769 -2302 +1046 -908 +3351 1427 +-148 -4092 +2326 -155 +2208 489 +-782 -1188 +3072 0 +-782 1188 +2208 -489 +2326 155 +-148 4092 +3351 -1427 +1046 908 +769 2302 +2596 -5420 +-1856 -5950 +-1650 -3670 +3312 364 +-5610 1030 +-1441 3004 +1571 1582 +-3227 -3917 +2048 -3072 +-1816 -1536 +2709 2091 +2505 1010 +66 3078 +929 3440 +358 -2849 +3437 3777 +-4644 6868 +-1463 -2 +1446 -5264 +-3127 -1896 +-2500 2044 +-2061 407 +505 1219 +-856 -2670 +-3072 0 +-856 2670 +505 -1219 +-2061 -407 +-2500 -2044 +-3127 1896 +1446 5264 +-1463 2 +-4644 -6868 +3437 -3777 +358 2849 +929 -3440 +66 -3078 +2505 -1010 +2709 -2091 +-1816 1536 +2048 3072 +-3227 3917 +1571 -1582 +-1441 -3004 +-5610 -1030 +3312 -364 +-1650 3670 +-1856 5950 +2596 5420 +769 -2302 +1046 -908 +3351 1427 +-148 -4092 +2326 -155 +2208 489 +-782 -1188 +-2048 -2472 +3204 -790 +3669 4724 +-1455 6570 +2806 -3316 +-370 -1100 +-1543 2839 +2112 3206 +0 0 +2112 -3206 +-1543 -2839 +-370 1100 +2806 3316 +-1455 -6570 +3669 -4724 +3204 790 +-2048 2472 +1607 1293 +-1228 -18 +-4866 3589 +2702 3484 +-757 3536 +-5370 539 +1475 1483 +-2048 -2048 +-2653 -1132 +138 5707 +2245 3938 +2243 4084 +-3723 638 +1109 -3189 +-607 -4807 +-2048 424 +260 409 +-654 -903 +3364 3473 +-3654 -181 +-231 511 +3879 1129 +396 2297 +4096 0 +396 -2297 +3879 -1129 +-231 -511 +-3654 181 +3364 -3473 +-654 903 +260 -409 +-2048 -424 +-607 4807 +1109 3189 +-3723 -638 +2243 -4084 +2245 -3938 +138 -5707 +-2653 1132 +-2048 2048 +1475 -1483 +-5370 -539 +-757 -3536 +2702 -3484 +-4866 -3589 +-1228 18 +1607 -1293 +-2048 -2472 +3204 -790 +3669 4724 +-1455 6570 +2806 -3316 +-370 -1100 +-1543 2839 +2112 3206 +-4944 -848 +-5211 4922 +-3096 6065 +3462 -1087 +4664 3386 +-2475 -1527 +-798 1137 +81 2484 +-3072 0 +81 -2484 +-798 -1137 +-2475 1527 +4664 -3386 +3462 1087 +-3096 -6065 +-5211 -4922 +-4944 848 +2777 -653 +-167 3512 +-5659 650 +2108 1494 +-47 -632 +-3981 492 +-1071 -336 +3072 -2048 +3423 373 +-3315 -1464 +475 -55 +540 -2602 +-1204 505 +6691 1244 +1550 1610 +848 4944 +3780 238 +-1732 1555 +504 3838 +880 710 +-849 -792 +-1795 -1397 +463 -4671 +5120 0 +463 4671 +-1795 1397 +-849 792 +880 -710 +504 -3838 +-1732 -1555 +3780 -238 +848 -4944 +1550 -1610 +6691 -1244 +-1204 -505 +540 2602 +475 55 +-3315 1464 +3423 -373 +3072 2048 +-1071 336 +-3981 -492 +-47 632 +2108 -1494 +-5659 -650 +-167 -3512 +2777 653 +-4944 -848 +-5211 4922 +-3096 6065 +3462 -1087 +4664 3386 +-2475 -1527 +-798 1137 +81 2484 +1024 -600 +3113 -1314 +409 -490 +6266 -1541 +2432 2362 +-2538 -3943 +-202 -164 +-2366 261 +-4096 0 +-2366 -261 +-202 164 +-2538 3943 +2432 -2362 +6266 1541 +409 490 +3113 1314 +1024 600 +-1178 -1350 +1166 2341 +-399 -3596 +5448 470 +-1162 4525 +-7744 5218 +-560 1039 +-2048 0 +978 1245 +-321 -3171 +-5819 1257 +96 -1578 +-1331 482 +-1441 7184 +3422 2185 +1024 -3496 +-1811 385 +-1333 4133 +1703 -1061 +216 -314 +-2514 -212 +1274 -1233 +4195 1274 +4096 0 +4195 -1274 +1274 1233 +-2514 212 +216 314 +1703 1061 +-1333 -4133 +-1811 -385 +1024 3496 +3422 -2185 +-1441 -7184 +-1331 -482 +96 1578 +-5819 -1257 +-321 3171 +978 -1245 +-2048 0 +-560 -1039 +-7744 -5218 +-1162 -4525 +5448 -470 +-399 3596 +1166 -2341 +-1178 1350 +1024 -600 +3113 -1314 +409 -490 +6266 -1541 +2432 2362 +-2538 -3943 +-202 -164 +-2366 261 +4096 600 +-5769 2852 +-5614 5432 +5292 4481 +2062 5388 +-2969 -696 +-586 -6417 +3732 -3820 +3072 0 +3732 3820 +-586 6417 +-2969 696 +2062 -5388 +5292 -4481 +-5614 -5432 +-5769 -2852 +4096 -600 +-2600 -1135 +-103 -1109 +-1131 -1503 +-1278 1384 +2133 913 +-1152 352 +2078 -3323 +-1024 -2048 +-1250 1641 +997 -5168 +-656 -880 +-170 184 +1984 -2786 +-1161 -22 +485 134 +4096 3496 +-364 -904 +2782 -249 +-1032 2484 +-614 1604 +2171 1359 +-3355 -3199 +-2105 3672 +-1024 0 +-2105 -3672 +-3355 3199 +2171 -1359 +-614 -1604 +-1032 -2484 +2782 249 +-364 904 +4096 -3496 +485 -134 +-1161 22 +1984 2786 +-170 -184 +-656 880 +997 5168 +-1250 -1641 +-1024 2048 +2078 3323 +-1152 -352 +2133 -913 +-1278 -1384 +-1131 1503 +-103 1109 +-2600 1135 +4096 600 +-5769 2852 +-5614 5432 +5292 4481 +2062 5388 +-2969 -696 +-586 -6417 +3732 -3820 +-1448 -1448 +761 1398 +-3689 -499 +2987 -193 +880 -1338 +1740 28 +805 2047 +-6316 893 +-1024 0 +-6316 -893 +805 -2047 +1740 -28 +880 1338 +2987 193 +-3689 499 +761 -1398 +-1448 1448 +1284 6347 +-456 -1515 +-2230 -3229 +540 554 +-1598 -4864 +2319 -1715 +-1775 1894 +-1024 0 +525 2234 +-3363 -867 +-89 -740 +2108 554 +-5192 -2001 +-5272 3430 +4691 3790 +1448 1448 +-4281 1159 +-471 -4445 +5115 -1034 +4664 1338 +5060 -4152 +1935 -2896 +-682 -1233 +3072 0 +-682 1233 +1935 2896 +5060 4152 +4664 -1338 +5115 1034 +-471 4445 +-4281 -1159 +1448 -1448 +4691 -3790 +-5272 -3430 +-5192 2001 +2108 -554 +-89 740 +-3363 867 +525 -2234 +-1024 0 +-1775 -1894 +2319 1715 +-1598 4864 +540 -554 +-2230 3229 +-456 1515 +1284 -6347 +-1448 -1448 +761 1398 +-3689 -499 +2987 -193 +880 -1338 +1740 28 +805 2047 +-6316 893 +2772 300 +-553 6227 +-2179 563 +679 -2473 +2200 248 +1678 -2389 +-1764 -1693 +1821 2739 +3072 0 +1821 -2739 +-1764 1693 +1678 2389 +2200 -248 +679 2473 +-2179 -563 +-553 -6227 +2772 -300 +-3794 2868 +3089 -268 +-1937 360 +-1814 -1430 +3259 -2801 +-4117 -1375 +1696 -1049 +0 1024 +-1413 -712 +-6299 -3607 +-5316 -1933 +3862 -2030 +1719 -1723 +2312 -3609 +-506 -4996 +1324 1748 +1069 838 +-4422 -1007 +-2028 6831 +-152 3248 +1947 -1886 +5187 2357 +1681 941 +-3072 0 +1681 -941 +5187 -2357 +1947 1886 +-152 -3248 +-2028 -6831 +-4422 1007 +1069 -838 +1324 -1748 +-506 4996 +2312 3609 +1719 1723 +3862 2030 +-5316 1933 +-6299 3607 +-1413 712 +0 -1024 +1696 1049 +-4117 1375 +3259 2801 +-1814 1430 +-1937 -360 +3089 268 +-3794 -2868 +2772 300 +-553 6227 +-2179 563 +679 -2473 +2200 248 +1678 -2389 +-1764 -1693 +1821 2739 +3320 424 +26 2668 +919 -4693 +-338 -1652 +1154 144 +-336 -4138 +-225 6188 +2550 735 +-1024 0 +2550 -735 +-225 -6188 +-336 4138 +1154 -144 +-338 1652 +919 4693 +26 -2668 +3320 -424 +3367 636 +-432 4610 +-2107 4173 +4834 484 +503 -3091 +-5496 -3509 +1450 1896 +3072 -2048 +2998 1053 +-1784 3876 +1509 -1997 +2158 1084 +-2801 1806 +-1735 -3913 +-4919 -4713 +-5368 -2472 +-1578 -1336 +48 3162 +-4570 614 +46 -3640 +2347 768 +513 -3 +1899 -691 +-1024 0 +1899 691 +513 3 +2347 -768 +46 3640 +-4570 -614 +48 -3162 +-1578 1336 +-5368 2472 +-4919 4713 +-1735 3913 +-2801 -1806 +2158 -1084 +1509 1997 +-1784 -3876 +2998 -1053 +3072 2048 +1450 -1896 +-5496 3509 +503 3091 +4834 -484 +-2107 -4173 +-432 -4610 +3367 -636 +3320 424 +26 2668 +919 -4693 +-338 -1652 +1154 144 +-336 -4138 +-225 6188 +2550 735 +2048 -3072 +1721 2459 +4440 4397 +2487 1845 +-2243 -300 +1843 -3994 +4323 -1917 +2227 -849 +0 0 +2227 849 +4323 1917 +1843 3994 +-2243 300 +2487 -1845 +4440 -4397 +1721 -2459 +2048 3072 +-1142 -141 +788 1940 +2199 2557 +-2806 -1748 +-4356 -240 +-322 -1091 +-5161 -661 +-2048 0 +46 -812 +-7806 292 +3480 2566 +3654 1748 +3316 -5268 +1989 336 +-4063 5591 +2048 -3072 +-979 -1711 +1472 991 +-1321 -1111 +-2702 -300 +-1855 1376 +-4883 2101 +1558 -1887 +4096 0 +1558 1887 +-4883 -2101 +-1855 -1376 +-2702 300 +-1321 1111 +1472 -991 +-979 1711 +2048 3072 +-4063 -5591 +1989 -336 +3316 5268 +3654 -1748 +3480 -2566 +-7806 -292 +46 812 +-2048 0 +-5161 661 +-322 1091 +-4356 240 +-2806 1748 +2199 -2557 +788 -1940 +-1142 141 +2048 -3072 +1721 2459 +4440 4397 +2487 1845 +-2243 -300 +1843 -3994 +4323 -1917 +2227 -849 +1324 724 +-2091 3313 +-1233 -5829 +-5215 -780 +-1006 -3334 +3041 -1969 +538 933 +778 -9235 +0 0 +778 9235 +538 -933 +3041 1969 +-1006 3334 +-5215 780 +-1233 5829 +-2091 -3313 +1324 -724 +1374 -229 +-2635 -4769 +-2009 1952 +776 1684 +-266 1771 +-4928 -2496 +2453 -680 +3072 -3072 +-3043 -5219 +-1688 1028 +-2248 505 +-2224 2884 +-2259 575 +911 1284 +937 991 +2772 -724 +5812 802 +-1139 1993 +-982 2300 +2454 -3658 +4144 -2193 +1982 894 +-426 1800 +2048 0 +-426 -1800 +1982 -894 +4144 2193 +2454 3658 +-982 -2300 +-1139 -1993 +5812 -802 +2772 724 +937 -991 +911 -1284 +-2259 -575 +-2224 -2884 +-2248 -505 +-1688 -1028 +-3043 5219 +3072 3072 +2453 680 +-4928 2496 +-266 -1771 +776 -1684 +-2009 -1952 +-2635 4769 +1374 229 +1324 724 +-2091 3313 +-1233 -5829 +-5215 -780 +-1006 -3334 +3041 -1969 +538 933 +778 -9235 +4644 -300 +184 -2308 +-2707 1695 +-3890 -466 +-2708 -8057 +1657 6471 +-1440 3036 +-2053 -435 +2048 0 +-2053 435 +-1440 -3036 +1657 -6471 +-2708 8057 +-3890 466 +-2707 -1695 +184 2308 +4644 300 +2663 1920 +-938 -2017 +1191 -453 +2634 -4621 +-877 -1504 +452 -90 +2211 -3890 +-1024 -1024 +1245 -1914 +-452 -1354 +-2915 -1956 +2310 -1973 +-108 29 +938 1923 +3258 -578 +-2596 -1748 +2144 -1207 +2707 -1851 +-2307 -693 +1860 2512 +1456 5421 +1440 -204 +-3859 -1006 +-12288 0 +-3859 1006 +1440 204 +1456 -5421 +1860 -2512 +-2307 693 +2707 1851 +2144 1207 +-2596 1748 +3258 578 +938 -1923 +-108 -29 +2310 1973 +-2915 1956 +-452 1354 +1245 1914 +-1024 1024 +2211 3890 +452 90 +-877 1504 +2634 4621 +1191 453 +-938 2017 +2663 -1920 +4644 -300 +184 -2308 +-2707 1695 +-3890 -466 +-2708 -8057 +1657 6471 +-1440 3036 +-2053 -435 +-4944 1024 +328 2431 +105 1439 +-3030 1312 +2436 -7691 +-1383 -2167 +-2086 6284 +456 -1371 +-4096 0 +456 1371 +-2086 -6284 +-1383 2167 +2436 7691 +-3030 -1312 +105 -1439 +328 -2431 +-4944 -1024 +-3975 -2115 +967 1802 +-312 2776 +-4024 -3021 +-3569 3497 +733 -1647 +6868 31 +0 2048 +328 -1020 +6547 1473 +2021 -4115 +1976 -1222 +-527 1222 +1201 678 +3370 108 +848 1024 +2285 1359 +-1072 334 +-3491 919 +-4484 -2798 +-1294 -1661 +1798 -268 +1924 1888 +4096 0 +1924 -1888 +1798 268 +-1294 1661 +-4484 2798 +-3491 -919 +-1072 -334 +2285 -1359 +848 -1024 +3370 -108 +1201 -678 +-527 -1222 +1976 1222 +2021 4115 +6547 -1473 +328 1020 +0 -2048 +6868 -31 +733 1647 +-3569 -3497 +-4024 3021 +-312 -2776 +967 -1802 +-3975 2115 +-4944 1024 +328 2431 +105 1439 +-3030 1312 +2436 -7691 +-1383 -2167 +-2086 6284 +456 -1371 +-1748 900 +-354 -242 +-2208 -728 +-3532 -1019 +-1260 -1441 +5444 2712 +1989 -3553 +-1325 177 +4096 0 +-1325 -177 +1989 3553 +5444 -2712 +-1260 1441 +-3532 1019 +-2208 728 +-354 242 +-1748 -900 +3148 3409 +-605 5233 +-6096 2664 +1186 -2898 +-447 4161 +1134 6760 +4028 396 +-1024 -1024 +-1872 1926 +-1134 2672 +-1234 -1361 +862 -4346 +1663 -2223 +605 -924 +3273 -3663 +-300 5244 +-37 3297 +2208 -1332 +-2500 2501 +3308 -8 +909 535 +-1989 -4630 +-1070 -2505 +-6144 0 +-1070 2505 +-1989 4630 +909 -535 +3308 8 +-2500 -2501 +2208 1332 +-37 -3297 +-300 -5244 +3273 3663 +605 924 +1663 2223 +862 4346 +-1234 1361 +-1134 -2672 +-1872 -1926 +-1024 1024 +4028 -396 +1134 -6760 +-447 -4161 +1186 2898 +-6096 -2664 +-605 -5233 +3148 -3409 +-1748 900 +-354 -242 +-2208 -728 +-3532 -1019 +-1260 -1441 +5444 2712 +1989 -3553 +-1325 177 +2596 1748 +80 1911 +-2470 91 +1003 -8541 +548 -3913 +315 302 +1473 -3310 +-2540 5539 +-4096 0 +-2540 -5539 +1473 3310 +315 -302 +548 3913 +1003 8541 +-2470 -91 +80 -1911 +2596 -1748 +-926 -1514 +-128 -1311 +3388 -3176 +318 -2474 +2238 696 +-253 2156 +120 -300 +1024 -1024 +-2661 -1743 +-347 1084 +-3850 2369 +3778 -4770 +2250 -3988 +-3368 -2235 +-2648 -2799 +-4644 300 +4381 -92 +-1026 184 +-2177 -2087 +3548 -2480 +2625 -2652 +-2073 -4754 +-1599 1916 +6144 0 +-1599 -1916 +-2073 4754 +2625 2652 +3548 2480 +-2177 2087 +-1026 -184 +4381 92 +-4644 -300 +-2648 2799 +-3368 2235 +2250 3988 +3778 4770 +-3850 -2369 +-347 -1084 +-2661 1743 +1024 1024 +120 300 +-253 -2156 +2238 -696 +318 2474 +3388 3176 +-128 1311 +-926 1514 +2596 1748 +80 1911 +-2470 91 +1003 -8541 +548 -3913 +315 302 +1473 -3310 +-2540 5539 +2472 -4520 +-386 523 +844 4064 +-836 -711 +-3700 510 +542 3867 +-777 357 +-55 -4097 +5120 0 +-55 4097 +-777 -357 +542 -3867 +-3700 -510 +-836 711 +844 -4064 +-386 -523 +2472 4520 +4903 -1856 +3017 2868 +-1785 -1971 +84 -6186 +1745 703 +-2591 -4826 +-2307 1890 +-1024 0 +209 -2122 +-1414 2010 +-5796 -2462 +-2132 -1842 +-1510 -1418 +-2797 2932 +525 -1687 +-424 -1624 +3207 -1370 +4728 -5696 +-983 -377 +1652 3835 +2830 5311 +-1011 -3409 +-302 -3332 +1024 0 +-302 3332 +-1011 3409 +2830 -5311 +1652 -3835 +-983 377 +4728 5696 +3207 1370 +-424 1624 +525 1687 +-2797 -2932 +-1510 1418 +-2132 1842 +-5796 2462 +-1414 -2010 +209 2122 +-1024 0 +-2307 -1890 +-2591 4826 +1745 -703 +84 6186 +-1785 1971 +3017 -2868 +4903 1856 +2472 -4520 +-386 523 +844 4064 +-836 -711 +-3700 510 +542 3867 +-777 357 +-55 -4097 +3496 -848 +599 -3132 +-3709 -2378 +-1229 374 +-3954 -2268 +-4980 945 +-3974 -1396 +247 965 +7168 0 +247 -965 +-3974 1396 +-4980 -945 +-3954 2268 +-1229 -374 +-3709 2378 +599 3132 +3496 848 +1345 459 +141 -8 +3256 3201 +495 -260 +-4652 -418 +1178 -402 +81 -942 +1024 -4096 +2031 -1652 +-4010 5651 +-2457 -995 +954 -1957 +4494 3346 +-297 -1835 +-4343 -1805 +600 4944 +2161 -2027 +-231 -1146 +-1849 -3125 +2506 -7620 +1623 1374 +2710 -2440 +3673 1221 +-1024 0 +3673 -1221 +2710 2440 +1623 -1374 +2506 7620 +-1849 3125 +-231 1146 +2161 2027 +600 -4944 +-4343 1805 +-297 1835 +4494 -3346 +954 1957 +-2457 995 +-4010 -5651 +2031 1652 +1024 4096 +81 942 +1178 402 +-4652 418 +495 260 +3256 -3201 +141 8 +1345 -459 +3496 -848 +599 -3132 +-3709 -2378 +-1229 374 +-3954 -2268 +-4980 945 +-3974 -1396 +247 965 +-3196 -124 +-320 -2199 +4360 -2732 +1712 3827 +-802 5070 +4226 -151 +-1863 670 +373 3407 +11264 0 +373 -3407 +-1863 -670 +4226 151 +-802 -5070 +1712 -3827 +4360 2732 +-320 2199 +-3196 124 +-1542 -3903 +-1331 646 +-1695 1302 +92 -2165 +-2464 -185 +227 -4062 +2381 1902 +0 1024 +3981 -2095 +3233 882 +1755 579 +-692 732 +-4743 -1409 +-1670 1495 +-2402 3110 +1148 4220 +4944 2 +-1360 1883 +-3522 14 +-2694 -2174 +-1062 5068 +-1596 -5615 +-1621 -2629 +1024 0 +-1621 2629 +-1596 5615 +-1062 -5068 +-2694 2174 +-3522 -14 +-1360 -1883 +4944 -2 +1148 -4220 +-2402 -3110 +-1670 -1495 +-4743 1409 +-692 -732 +1755 -579 +3233 -882 +3981 2095 +0 -1024 +2381 -1902 +227 4062 +-2464 185 +92 2165 +-1695 -1302 +-1331 -646 +-1542 3903 +-3196 -124 +-320 -2199 +4360 -2732 +1712 3827 +-802 5070 +4226 -151 +-1863 670 +373 3407 +3320 -2472 +-2512 -2502 +495 -362 +1283 -229 +894 -364 +4540 -6668 +1734 606 +-317 -1491 +1024 0 +-317 1491 +1734 -606 +4540 6668 +894 364 +1283 229 +495 362 +-2512 2502 +3320 2472 +13 -2573 +-5688 -521 +-2555 502 +-2786 1304 +-301 -4675 +-698 -445 +-2286 1361 +1024 2048 +631 7372 +-291 4463 +-1518 3670 +-110 -5088 +-76 -3451 +676 3927 +2074 1176 +-5368 424 +1992 1029 +7413 1914 +-2437 164 +2002 -1932 +1064 -878 +-3641 1405 +404 -1114 +1024 0 +404 1114 +-3641 -1405 +1064 878 +2002 1932 +-2437 -164 +7413 -1914 +1992 -1029 +-5368 -424 +2074 -1176 +676 -3927 +-76 3451 +-110 5088 +-1518 -3670 +-291 -4463 +631 -7372 +1024 -2048 +-2286 -1361 +-698 445 +-301 4675 +-2786 -1304 +-2555 -502 +-5688 521 +13 2573 +3320 -2472 +-2512 -2502 +495 -362 +1283 -229 +894 -364 +4540 -6668 +1734 606 +-317 -1491 +1748 -2172 +-2452 1406 +1767 703 +2866 3360 +-3562 522 +2014 -6473 +273 920 +-1772 2084 +1024 0 +-1772 -2084 +273 -920 +2014 6473 +-3562 -522 +2866 -3360 +1767 -703 +-2452 -1406 +1748 2172 +31 3549 +536 1784 +-6489 1201 +-452 2864 +6008 -1935 +1092 -1936 +894 912 +-2048 -3072 +334 -3967 +-3324 -6060 +436 -2828 +1900 -2080 +-3494 -3720 +2804 -773 +2524 -665 +300 2172 +-752 -2355 +-2211 -363 +-2019 5391 +2114 -1370 +678 -525 +-937 -2147 +1193 -7092 +-1024 0 +1193 7092 +-937 2147 +678 525 +2114 1370 +-2019 -5391 +-2211 363 +-752 2355 +300 -2172 +2524 665 +2804 773 +-3494 3720 +1900 2080 +436 2828 +-3324 6060 +334 3967 +-2048 3072 +894 -912 +1092 1936 +6008 1935 +-452 -2864 +-6489 -1201 +536 -1784 +31 -3549 +1748 -2172 +-2452 1406 +1767 703 +2866 3360 +-3562 522 +2014 -6473 +273 920 +-1772 2084 +600 600 +1691 -1015 +-2039 226 +-1536 -638 +5762 -3920 +3152 -1762 +464 833 +4455 6374 +3072 0 +4455 -6374 +464 -833 +3152 1762 +5762 3920 +-1536 638 +-2039 -226 +1691 1015 +600 -600 +-901 -1906 +2078 -2585 +103 2647 +-1363 1872 +-5715 -1023 +-103 -2242 +448 -469 +-7168 2048 +2986 -2311 +768 -166 +1811 -2243 +1962 -1872 +-3779 4678 +-1634 2020 +-3088 3677 +3496 3496 +1411 -1962 +-1301 -2614 +748 84 +-2266 -3920 +-577 -4442 +1768 2442 +-1209 -2791 +-5120 0 +-1209 2791 +1768 -2442 +-577 4442 +-2266 3920 +748 -84 +-1301 2614 +1411 1962 +3496 -3496 +-3088 -3677 +-1634 -2020 +-3779 -4678 +1962 1872 +1811 2243 +768 166 +2986 2311 +-7168 -2048 +448 469 +-103 2242 +-5715 1023 +-1363 -1872 +103 -2647 +2078 2585 +-901 1906 +600 600 +1691 -1015 +-2039 226 +-1536 -638 +5762 -3920 +3152 -1762 +464 833 +4455 6374 +1024 1872 +851 7918 +1513 2978 +-864 -1702 +-314 724 +-2269 -1089 +-1600 902 +-386 1986 +-3072 0 +-386 -1986 +-1600 -902 +-2269 1089 +-314 -724 +-864 1702 +1513 -2978 +851 -7918 +1024 -1872 +143 750 +-2058 -647 +356 2806 +1578 -724 +4337 -575 +983 386 +-1683 -5485 +3072 4096 +-921 -1994 +-1684 -4403 +2759 5397 +470 724 +-4132 576 +-1986 -2759 +5246 -2117 +1024 -3920 +-889 -2776 +4227 702 +2423 -86 +2362 724 +-2609 -4083 +-7587 103 +-2362 -2071 +1024 0 +-2362 2071 +-7587 -103 +-2609 4083 +2362 -724 +2423 86 +4227 -702 +-889 2776 +1024 3920 +5246 2117 +-1986 2759 +-4132 -576 +470 -724 +2759 -5397 +-1684 4403 +-921 1994 +3072 -4096 +-1683 5485 +983 -386 +4337 575 +1578 724 +356 -2806 +-2058 647 +143 -750 +1024 1872 +851 7918 +1513 2978 +-864 -1702 +-314 724 +-2269 -1089 +-1600 902 +-386 1986 +-600 -3072 +-1376 1665 +3375 -2103 +2136 2299 +554 -96 +761 -2544 +499 5160 +-3815 1780 +-8192 0 +-3815 -1780 +499 -5160 +761 2544 +554 96 +2136 -2299 +3375 2103 +-1376 -1665 +-600 3072 +3293 4062 +483 1160 +475 -2501 +1338 -2432 +3280 964 +877 -3285 +-7267 2039 +0 0 +4446 -2123 +-2834 -3441 +15 -4344 +-1338 216 +-3847 -2004 +1786 2425 +-1194 -3043 +-3496 -3072 +1732 -522 +4245 -728 +1915 3310 +-554 -5448 +1057 -3444 +-239 -1219 +-1612 -3486 +0 0 +-1612 3486 +-239 1219 +1057 3444 +-554 5448 +1915 -3310 +4245 728 +1732 522 +-3496 3072 +-1194 3043 +1786 -2425 +-3847 2004 +-1338 -216 +15 4344 +-2834 3441 +4446 2123 +0 0 +-7267 -2039 +877 3285 +3280 -964 +1338 2432 +475 2501 +483 -1160 +3293 -4062 +-600 -3072 +-1376 1665 +3375 -2103 +2136 2299 +554 -96 +761 -2544 +499 5160 +-3815 1780 +2472 5968 +2390 1180 +1517 1810 +102 -746 +288 3686 +557 1389 +820 -8395 +60 1467 +-1024 0 +60 -1467 +820 8395 +557 -1389 +288 -3686 +102 746 +1517 -1810 +2390 -1180 +2472 -5968 +1852 -270 +-2870 -2264 +-3922 -3551 +968 3242 +537 -3017 +-925 839 +-62 2924 +-3072 2048 +-2790 251 +-691 -233 +-3334 -870 +-2167 -4350 +417 -1348 +1015 -3189 +3097 2361 +-424 176 +-659 -3013 +7331 -1535 +2074 -3732 +-7280 1010 +-2224 -130 +1997 331 +1904 -2811 +3072 0 +1904 2811 +1997 -331 +-2224 130 +-7280 -1010 +2074 3732 +7331 1535 +-659 3013 +-424 -176 +3097 -2361 +1015 3189 +417 1348 +-2167 4350 +-3334 870 +-691 233 +-2790 -251 +-3072 -2048 +-62 -2924 +-925 -839 +537 3017 +968 -3242 +-3922 3551 +-2870 2264 +1852 270 +2472 5968 +2390 1180 +1517 1810 +102 -746 +288 3686 +557 1389 +820 -8395 +60 1467 +-2472 0 +-4723 649 +-1623 4340 +-264 1356 +-614 5592 +-2146 811 +3643 1109 +4105 243 +-2048 0 +4105 -243 +3643 -1109 +-2146 -811 +-614 -5592 +-264 -1356 +-1623 -4340 +-4723 -649 +-2472 0 +772 -4156 +1356 -451 +2592 -2148 +-170 699 +-481 -1615 +-1179 -931 +1346 -6274 +4096 -4096 +-2694 8487 +735 2973 +4811 1401 +-1278 -1349 +-3469 -1440 +-3587 318 +-1220 -3489 +424 0 +1387 771 +958 -675 +-426 400 +2062 -3544 +-616 -141 +-303 5691 +1027 -4507 +-2048 0 +1027 4507 +-303 -5691 +-616 141 +2062 3544 +-426 -400 +958 675 +1387 -771 +424 0 +-1220 3489 +-3587 -318 +-3469 1440 +-1278 1349 +4811 -1401 +735 -2973 +-2694 -8487 +4096 4096 +1346 6274 +-1179 931 +-481 1615 +-170 -699 +2592 2148 +1356 451 +772 4156 +-2472 0 +-4723 649 +-1623 4340 +-264 1356 +-614 5592 +-2146 811 +3643 1109 +4105 243 +848 -3920 +4386 -1538 +425 1219 +-1218 -2315 +2870 -2856 +5007 2570 +2428 1137 +-3757 -1730 +-4096 0 +-3757 1730 +2428 -1137 +5007 -2570 +2870 2856 +-1218 2315 +425 -1219 +4386 1538 +848 3920 +652 -535 +-2199 967 +-436 2795 +-350 -2976 +2656 688 +3349 -560 +-2085 -943 +2048 4096 +-230 1114 +3043 992 +1466 -2843 +-4594 -2376 +2438 -2099 +-97 -3940 +-9623 2189 +-4944 1872 +359 477 +-2721 -3231 +1225 -1013 +-2022 -640 +448 -4533 +3965 3311 +-1287 3 +0 0 +-1287 -3 +3965 -3311 +448 4533 +-2022 640 +1225 1013 +-2721 3231 +359 -477 +-4944 -1872 +-9623 -2189 +-97 3940 +2438 2099 +-4594 2376 +1466 2843 +3043 -992 +-230 -1114 +2048 -4096 +-2085 943 +3349 560 +2656 -688 +-350 2976 +-436 -2795 +-2199 -967 +652 535 +848 -3920 +4386 -1538 +425 1219 +-1218 -2315 +2870 -2856 +5007 2570 +2428 1137 +-3757 -1730 +124 -1748 +-2939 -1754 +973 2911 +1590 -3723 +392 2380 +6529 2962 +1105 -1244 +-5433 -2647 +-3072 0 +-5433 2647 +1105 1244 +6529 -2962 +392 -2380 +1590 3723 +973 -2911 +-2939 1754 +124 1748 +3459 -781 +3582 3853 +-498 -213 +-946 2270 +2023 -173 +-78 -250 +-1020 -4226 +0 -3072 +1919 2088 +3234 -2990 +1395 1229 +946 -378 +78 -1542 +1141 -307 +1213 2184 +-4220 -300 +-4629 -5746 +-1601 2817 +1727 2632 +-392 3164 +-7051 4470 +-165 200 +1637 4289 +-5120 0 +1637 -4289 +-165 -200 +-7051 -4470 +-392 -3164 +1727 -2632 +-1601 -2817 +-4629 5746 +-4220 300 +1213 -2184 +1141 307 +78 1542 +946 378 +1395 -1229 +3234 2990 +1919 -2088 +0 3072 +-1020 4226 +-78 250 +2023 173 +-946 -2270 +-498 213 +3582 -3853 +3459 781 +124 -1748 +-2939 -1754 +973 2911 +1590 -3723 +392 2380 +6529 2962 +1105 -1244 +-5433 -2647 +724 -724 +-833 1311 +1957 -2852 +-2882 -58 +1586 1526 +2531 -1076 +-2520 2823 +-1621 -1637 +-6144 0 +-1621 1637 +-2520 -2823 +2531 1076 +1586 -1526 +-2882 58 +1957 2852 +-833 -1311 +724 724 +-3685 -2180 +-2494 -3801 +-359 -2599 +-1984 -816 +-628 4260 +1477 1096 +4065 489 +-1024 -1024 +1778 -4150 +-2141 276 +-2712 -270 +2584 32 +-475 -1338 +2050 -2093 +-1914 5752 +-724 724 +5544 -6372 +1384 3361 +-747 9438 +1910 3418 +-520 2266 +288 3349 +2459 -2011 +0 0 +2459 2011 +288 -3349 +-520 -2266 +1910 -3418 +-747 -9438 +1384 -3361 +5544 6372 +-724 -724 +-1914 -5752 +2050 2093 +-475 1338 +2584 -32 +-2712 270 +-2141 -276 +1778 4150 +-1024 1024 +4065 -489 +1477 -1096 +-628 -4260 +-1984 816 +-359 2599 +-2494 3801 +-3685 2180 +724 -724 +-833 1311 +1957 -2852 +-2882 -58 +1586 1526 +2531 -1076 +-2520 2823 +-1621 -1637 +1024 -4520 +-1331 -3679 +36 1050 +-243 -1359 +-2232 -2302 +3784 361 +-1874 835 +-991 -3052 +7168 0 +-991 3052 +-1874 -835 +3784 -361 +-2232 2302 +-243 1359 +36 -1050 +-1331 3679 +1024 4520 +-2150 -610 +-1411 3188 +-624 647 +3340 -1638 +1916 2375 +535 2438 +-1193 6091 +-5120 0 +-1693 -4291 +-2204 2882 +1513 867 +-444 -1038 +-962 -961 +4647 956 +6080 2536 +1024 -1624 +-1032 721 +5417 -386 +-1955 -3513 +-664 -1194 +-3430 -1740 +-5147 2505 +2310 6342 +-1024 0 +2310 -6342 +-5147 -2505 +-3430 1740 +-664 1194 +-1955 3513 +5417 386 +-1032 -721 +1024 1624 +6080 -2536 +4647 -956 +-962 961 +-444 1038 +1513 -867 +-2204 -2882 +-1693 4291 +-5120 0 +-1193 -6091 +535 -2438 +1916 -2375 +3340 1638 +-624 -647 +-1411 -3188 +-2150 610 +1024 -4520 +-1331 -3679 +36 1050 +-243 -1359 +-2232 -2302 +3784 361 +-1874 835 +-991 -3052 +1024 1448 +-1758 3010 +1832 -1402 +-2308 2447 +-1768 -1698 +2270 -804 +2155 276 +176 -1716 +-4096 0 +176 1716 +2155 -276 +2270 804 +-1768 1698 +-2308 -2447 +1832 1402 +-1758 -3010 +1024 -1448 +-271 862 +1774 -4303 +2549 1195 +-5004 -26 +703 -2171 +1003 790 +-1827 2511 +-2048 0 +3064 3604 +7061 5762 +-3877 3207 +-3436 4918 +-3081 2633 +-1499 3198 +7046 -2173 +1024 -1448 +-554 636 +-907 -3882 +-3840 320 +2016 2546 +1791 1718 +-3227 103 +-84 3698 +4096 0 +-84 -3698 +-3227 -103 +1791 -1718 +2016 -2546 +-3840 -320 +-907 3882 +-554 -636 +1024 1448 +7046 2173 +-1499 -3198 +-3081 -2633 +-3436 -4918 +-3877 -3207 +7061 -5762 +3064 -3604 +-2048 0 +-1827 -2511 +1003 -790 +703 2171 +-5004 26 +2549 -1195 +1774 4303 +-271 -862 +1024 1448 +-1758 3010 +1832 -1402 +-2308 2447 +-1768 -1698 +2270 -804 +2155 276 +176 -1716 +300 2348 +-245 -3535 +167 -3482 +-704 2058 +-1251 1006 +3763 507 +1208 -1875 +-583 -1620 +0 0 +-583 1620 +1208 1875 +3763 -507 +-1251 -1006 +-704 -2058 +167 3482 +-245 3535 +300 -2348 +-3213 -2421 +2101 -806 +547 1141 +-256 -776 +-1668 -313 +-4948 -3086 +-2673 -1507 +1024 3072 +3781 1569 +-5348 8475 +4344 1933 +8096 -2224 +-3223 3952 +964 -1681 +2105 2264 +1748 3796 +-863 2867 +-1536 5007 +-1972 -1464 +-2494 -2454 +-1087 -477 +-801 -550 +1692 -657 +2048 0 +1692 657 +-801 550 +-1087 477 +-2494 2454 +-1972 1464 +-1536 -5007 +-863 -2867 +1748 -3796 +2105 -2264 +964 1681 +-3223 -3952 +8096 2224 +4344 -1933 +-5348 -8475 +3781 -1569 +1024 -3072 +-2673 1507 +-4948 3086 +-1668 313 +-256 776 +547 -1141 +2101 806 +-3213 2421 +300 2348 +-245 -3535 +167 -3482 +-704 2058 +-1251 1006 +3763 507 +1208 -1875 +-583 -1620 +2772 -1748 +3933 5880 +416 -355 +-683 -3222 +-2440 1560 +1943 -6022 +1373 360 +-4297 1298 +-3072 0 +-4297 -1298 +1373 -360 +1943 6022 +-2440 -1560 +-683 3222 +416 355 +3933 -5880 +2772 1748 +1686 667 +-83 -2509 +-2127 -2155 +-1102 562 +-1817 726 +2056 750 +3645 1536 +2048 1024 +-1369 -509 +-2056 3102 +-2200 1150 +-2994 -886 +2926 6148 +83 3168 +1719 1817 +1324 -300 +-7339 3799 +-416 6031 +-116 -3274 +-1656 -3008 +2075 -161 +-1373 1991 +2021 -3006 +7168 0 +2021 3006 +-1373 -1991 +2075 161 +-1656 3008 +-116 3274 +-416 -6031 +-7339 -3799 +1324 300 +1719 -1817 +83 -3168 +2926 -6148 +-2994 886 +-2200 -1150 +-2056 -3102 +-1369 509 +2048 -1024 +3645 -1536 +2056 -750 +-1817 -726 +-1102 -562 +-2127 2155 +-83 2509 +1686 -667 +2772 -1748 +3933 5880 +416 -355 +-683 -3222 +-2440 1560 +1943 -6022 +1373 360 +-4297 1298 +0 -600 +-1433 -708 +1835 -86 +4274 -2058 +1553 -4568 +-383 -2093 +-957 1091 +3299 632 +3072 0 +3299 -632 +-957 -1091 +-383 2093 +1553 4568 +4274 2058 +1835 86 +-1433 708 +0 600 +-6320 219 +-465 109 +-1072 45 +-458 325 +-810 -1023 +-4603 -2323 +4283 -173 +-1024 2048 +-3140 3313 +-4909 5297 +1307 3407 +6002 325 +-4917 2810 +1638 2065 +2157 -4440 +0 -3496 +3140 1163 +-1311 346 +1035 2367 +1094 4568 +-5226 -1725 +580 -3359 +3806 2175 +-1024 0 +3806 -2175 +580 3359 +-5226 1725 +1094 -4568 +1035 -2367 +-1311 -346 +3140 -1163 +0 3496 +2157 4440 +1638 -2065 +-4917 -2810 +6002 -325 +1307 -3407 +-4909 -5297 +-3140 -3313 +-1024 -2048 +4283 173 +-4603 2323 +-810 1023 +-458 -325 +-1072 -45 +-465 -109 +-6320 -219 +0 -600 +-1433 -708 +1835 -86 +4274 -2058 +1553 -4568 +-383 -2093 +-957 1091 +3299 632 +3796 -1148 +492 -1845 +-1870 787 +52 3151 +-1206 1090 +3871 -3455 +-4268 -935 +-4263 -2564 +4096 0 +-4263 2564 +-4268 935 +3871 3455 +-1206 -1090 +52 -3151 +-1870 -787 +492 1845 +3796 1148 +-3877 -226 +4706 1810 +-845 -893 +-8012 876 +-916 -1172 +2188 -2639 +2620 859 +-1024 -3072 +240 -2108 +-2528 702 +973 2369 +-1877 1476 +-8479 959 +-582 2474 +2580 1468 +2348 3196 +156 -2124 +643 -3019 +136 -986 +2903 -4586 +5208 -884 +1711 1379 +2053 2125 +2048 0 +2053 -2125 +1711 -1379 +5208 884 +2903 4586 +136 986 +643 3019 +156 2124 +2348 -3196 +2580 -1468 +-582 -2474 +-8479 -959 +-1877 -1476 +973 -2369 +-2528 -702 +240 2108 +-1024 3072 +2620 -859 +2188 2639 +-916 1172 +-8012 -876 +-845 893 +4706 -1810 +-3877 226 +3796 -1148 +492 -1845 +-1870 787 +52 3151 +-1206 1090 +3871 -3455 +-4268 -935 +-4263 -2564 +900 -300 +305 3142 +3139 540 +-554 -2084 +-223 -4372 +1055 302 +-624 -1065 +-2110 -931 +-2048 0 +-2110 931 +-624 1065 +1055 -302 +-223 4372 +-554 2084 +3139 -540 +305 -3142 +900 300 +5693 1929 +-4432 -967 +-3407 1918 +4250 3986 +123 5700 +-3688 -450 +-3512 -278 +3072 3072 +791 4298 +2699 2891 +2007 -681 +-8346 1690 +-896 -500 +-580 -303 +1478 -2173 +5244 -1748 +-557 -1581 +4770 -2772 +-1143 -646 +-3873 -2021 +2816 1197 +-1283 1509 +-2088 2978 +0 0 +-2088 -2978 +-1283 -1509 +2816 -1197 +-3873 2021 +-1143 646 +4770 2772 +-557 1581 +5244 1748 +1478 2173 +-580 303 +-896 500 +-8346 -1690 +2007 681 +2699 -2891 +791 -4298 +3072 -3072 +-3512 278 +-3688 450 +123 -5700 +4250 -3986 +-3407 -1918 +-4432 967 +5693 -1929 +900 -300 +305 3142 +3139 540 +-554 -2084 +-223 -4372 +1055 302 +-624 -1065 +-2110 -931 +2772 -300 +-3695 -7393 +-2780 -2850 +3177 -839 +-1260 -2428 +2053 -1627 +2783 -2630 +-198 788 +1024 0 +-198 -788 +2783 2630 +2053 1627 +-1260 2428 +3177 839 +-2780 2850 +-3695 7393 +2772 300 +2482 500 +1070 491 +-1849 -6757 +1186 -321 +-4139 1359 +-2757 -1309 +580 3244 +-2048 -1024 +207 -1136 +-3415 4927 +5096 1564 +862 -921 +-5487 2448 +-561 4052 +-1894 202 +1324 -1748 +-677 -266 +1072 -2278 +2591 468 +3308 5924 +-1443 3841 +-3603 178 +3195 -943 +3072 0 +3195 943 +-3603 -178 +-1443 -3841 +3308 -5924 +2591 -468 +1072 2278 +-677 266 +1324 1748 +-1894 -202 +-561 -4052 +-5487 -2448 +862 921 +5096 -1564 +-3415 -4927 +207 1136 +-2048 1024 +580 -3244 +-2757 1309 +-4139 -1359 +1186 321 +-1849 6757 +1070 -491 +2482 -500 +2772 -300 +-3695 -7393 +-2780 -2850 +3177 -839 +-1260 -2428 +2053 -1627 +2783 -2630 +-198 788 +-1624 -1024 +56 5718 +-728 1821 +-1011 -619 +3810 880 +-3283 1041 +-971 -3955 +3102 -1268 +1024 0 +3102 1268 +-971 3955 +-3283 -1041 +3810 -880 +-1011 619 +-728 -1821 +56 -5718 +-1624 1024 +2175 5356 +-6746 -2581 +-1753 1432 +-978 540 +-5554 -3082 +-656 2480 +-1217 2232 +1024 0 +-1589 738 +1115 2636 +1736 -985 +130 -2108 +1444 -3360 +286 -3845 +-1721 1121 +-4520 -1024 +4603 2391 +7188 1011 +3776 -3156 +1134 4664 +-1147 4472 +512 15 +385 -1867 +1024 0 +385 1867 +512 -15 +-1147 -4472 +1134 -4664 +3776 3156 +7188 -1011 +4603 -2391 +-4520 1024 +-1721 -1121 +286 3845 +1444 3360 +130 2108 +1736 985 +1115 -2636 +-1589 -738 +1024 0 +-1217 -2232 +-656 -2480 +-5554 3082 +-978 -540 +-1753 -1432 +-6746 2581 +2175 -5356 +-1624 -1024 +56 5718 +-728 1821 +-1011 -619 +3810 880 +-3283 1041 +-971 -3955 +3102 -1268 +2648 -4520 +764 2414 +237 1517 +1188 668 +-8164 1822 +-1966 98 +2913 -457 +-42 4646 +4096 0 +-42 -4646 +2913 457 +-1966 -98 +-8164 -1822 +1188 -668 +237 -1517 +764 -2414 +2648 4520 +581 1513 +810 547 +2572 136 +6765 -4194 +4320 3465 +-705 -719 +-157 937 +2048 4096 +-291 51 +105 -1383 +-963 -2847 +-3020 -698 +364 -4134 +-4306 991 +479 494 +5544 -1624 +-3390 3487 +-3733 1823 +-340 1062 +323 -2422 +-5175 -409 +-3513 2689 +2057 1387 +0 0 +2057 -1387 +-3513 -2689 +-5175 409 +323 2422 +-340 -1062 +-3733 -1823 +-3390 -3487 +5544 1624 +479 -494 +-4306 -991 +364 4134 +-3020 698 +-963 2847 +105 1383 +-291 -51 +2048 -4096 +-157 -937 +-705 719 +4320 -3465 +6765 4194 +2572 -136 +810 -547 +581 -1513 +2648 -4520 +764 2414 +237 1517 +1188 668 +-8164 1822 +-1966 98 +2913 -457 +-42 4646 +1448 -6392 +3407 -1331 +-5132 -363 +-3721 44 +1194 -1468 +612 1257 +3247 2211 +2720 -3696 +3072 0 +2720 3696 +3247 -2211 +612 -1257 +1194 1468 +-3721 -44 +-5132 363 +3407 1331 +1448 6392 +-487 2922 +-2348 508 +-588 3463 +-1038 -3256 +767 -1899 +1274 -1501 +-5023 1848 +1024 6144 +2286 -3118 +-2437 -2949 +-1066 -1686 +1638 1688 +-3729 2013 +-1044 -940 +3203 6179 +-1448 2296 +1238 803 +-4261 1811 +-1539 73 +2302 2316 +-2322 524 +2509 -763 +4242 -5054 +3072 0 +4242 5054 +2509 763 +-2322 -524 +2302 -2316 +-1539 -73 +-4261 -1811 +1238 -803 +-1448 -2296 +3203 -6179 +-1044 940 +-3729 -2013 +1638 -1688 +-1066 1686 +-2437 2949 +2286 3118 +1024 -6144 +-5023 -1848 +1274 1501 +767 1899 +-1038 3256 +-588 -3463 +-2348 -508 +-487 -2922 +1448 -6392 +3407 -1331 +-5132 -363 +-3721 44 +1194 -1468 +612 1257 +3247 2211 +2720 -3696 +-3372 -1748 +-4522 2792 +-185 -347 +-1957 -1952 +2624 -1116 +2835 -6788 +-1197 1766 +1050 -391 +1024 0 +1050 391 +-1197 -1766 +2835 6788 +2624 1116 +-1957 1952 +-185 347 +-4522 -2792 +-3372 1748 +369 -1187 +519 -3136 +-998 -1824 +-4286 1670 +-2541 -511 +-168 -2775 +1103 367 +-2048 1024 +-2628 -1466 +-2248 3841 +-1082 4016 +1390 222 +-882 983 +8209 -4860 +5529 -104 +-4820 -300 +1520 -1947 +1345 2720 +942 88 +273 -332 +-2109 850 +1916 754 +3371 -7474 +3072 0 +3371 7474 +1916 -754 +-2109 -850 +273 332 +942 -88 +1345 -2720 +1520 1947 +-4820 300 +5529 104 +8209 4860 +-882 -983 +1390 -222 +-1082 -4016 +-2248 -3841 +-2628 1466 +-2048 -1024 +1103 -367 +-168 2775 +-2541 511 +-4286 -1670 +-998 1824 +519 3136 +369 1187 +-3372 -1748 +-4522 2792 +-185 -347 +-1957 -1952 +2624 -1116 +2835 -6788 +-1197 1766 +1050 -391 +4520 5968 +-1785 1804 +-440 -3014 +-2362 -291 +-868 -1618 +3750 415 +-86 432 +2432 -2137 +3072 0 +2432 2137 +-86 -432 +3750 -415 +-868 1618 +-2362 291 +-440 3014 +-1785 -1804 +4520 -5968 +-4401 2763 +-2173 2004 +1608 2052 +240 3510 +2571 -3864 +-353 -3379 +3001 2545 +-3072 6144 +-5604 1453 +-4371 1409 +-1198 7123 +1808 -834 +-3413 -45 +1233 4116 +4031 -2145 +1624 176 +-62 1297 +-2716 -666 +-1185 -1364 +2916 -2726 +229 -573 +713 -1436 +2388 -2531 +-1024 0 +2388 2531 +713 1436 +229 573 +2916 2726 +-1185 1364 +-2716 666 +-62 -1297 +1624 -176 +4031 2145 +1233 -4116 +-3413 45 +1808 834 +-1198 -7123 +-4371 -1409 +-5604 -1453 +-3072 -6144 +3001 -2545 +-353 3379 +2571 3864 +240 -3510 +1608 -2052 +-2173 -2004 +-4401 -2763 +4520 5968 +-1785 1804 +-440 -3014 +-2362 -291 +-868 -1618 +3750 415 +-86 432 +2432 -2137 +1324 -724 +-1573 2560 +-480 2432 +-3184 2686 +822 1286 +415 2288 +-3456 5415 +1126 3187 +0 0 +1126 -3187 +-3456 -5415 +415 -2288 +822 -1286 +-3184 -2686 +-480 -2432 +-1573 -2560 +1324 724 +-1822 3908 +-1545 664 +516 -3837 +4612 -3732 +3400 237 +110 1043 +2681 931 +-1024 3072 +2658 -556 +-2942 -2793 +-2894 83 +3828 -836 +2873 -838 +1389 -2860 +-5048 -4594 +2772 724 +196 -2814 +-3461 -4260 +4909 5425 +-1070 1610 +-241 -3121 +2192 638 +-4009 -2219 +-6144 0 +-4009 2219 +2192 -638 +-241 3121 +-1070 -1610 +4909 -5425 +-3461 4260 +196 2814 +2772 -724 +-5048 4594 +1389 2860 +2873 838 +3828 836 +-2894 -83 +-2942 2793 +2658 556 +-1024 -3072 +2681 -931 +110 -1043 +3400 -237 +4612 3732 +516 3837 +-1545 -664 +-1822 -3908 +1324 -724 +-1573 2560 +-480 2432 +-3184 2686 +822 1286 +415 2288 +-3456 5415 +1126 3187 +-300 -1572 +-496 592 +-394 -686 +2050 -1791 +-1246 -1610 +1939 1041 +3638 6392 +1054 2398 +5120 0 +1054 -2398 +3638 -6392 +1939 -1041 +-1246 1610 +2050 1791 +-394 686 +-496 -592 +-300 1572 +-1282 2679 +855 148 +4978 -1869 +-2140 -836 +791 -3955 +4747 -1959 +-2182 2887 +2048 3072 +-475 1979 +-3742 -223 +-3321 -1866 +-1356 -3732 +-1262 3994 +-4535 629 +-4583 -5111 +-1748 5668 +3465 386 +-1719 -2929 +-2870 -2474 +646 -1286 +3487 4905 +1150 -560 +-1292 3062 +3072 0 +-1292 -3062 +1150 560 +3487 -4905 +646 1286 +-2870 2474 +-1719 2929 +3465 -386 +-1748 -5668 +-4583 5111 +-4535 -629 +-1262 -3994 +-1356 3732 +-3321 1866 +-3742 223 +-475 -1979 +2048 -3072 +-2182 -2887 +4747 1959 +791 3955 +-2140 836 +4978 1869 +855 -148 +-1282 -2679 +-300 -1572 +-496 592 +-394 -686 +2050 -1791 +-1246 -1610 +1939 1041 +3638 6392 +1054 2398 +1024 1024 +2085 4754 +-195 -1468 +-2102 -2918 +2446 -300 +3145 -777 +5048 984 +4471 2800 +-3072 0 +4471 -2800 +5048 -984 +3145 777 +2446 300 +-2102 2918 +-195 1468 +2085 -4754 +1024 -1024 +-1632 -454 +196 1253 +-1771 3915 +2122 -1748 +3063 2563 +-1658 -2887 +-2956 -5383 +-5120 4096 +-829 531 +-3094 713 +-3052 -85 +-2122 1748 +604 412 +5517 -5703 +890 2431 +1024 1024 +3121 -2757 +1475 1505 +-3413 3880 +-2446 -300 +-2269 -3199 +904 1417 +643 -3567 +-7168 0 +643 3567 +904 -1417 +-2269 3199 +-2446 300 +-3413 -3880 +1475 -1505 +3121 2757 +1024 -1024 +890 -2431 +5517 5703 +604 -412 +-2122 -1748 +-3052 85 +-3094 -713 +-829 -531 +-5120 -4096 +-2956 5383 +-1658 2887 +3063 -2563 +2122 1748 +-1771 -3915 +196 -1253 +-1632 454 +1024 1024 +2085 4754 +-195 -1468 +-2102 -2918 +2446 -300 +3145 -777 +5048 984 +4471 2800 +-1748 724 +-71 -2146 +-1385 3277 +3070 978 +-432 -4996 +-1043 -6136 +3369 6578 +-1376 2606 +-5120 0 +-1376 -2606 +3369 -6578 +-1043 6136 +-432 4996 +3070 -978 +-1385 -3277 +-71 2146 +-1748 -724 +268 -759 +3986 6366 +549 -2241 +6818 -2330 +2781 -3198 +-1150 -2657 +6559 -1351 +0 -1024 +-1798 2763 +366 2955 +-1788 4388 +-426 -1130 +-4571 -415 +-2094 963 +2451 -694 +-300 -724 +249 -2123 +-507 1208 +-1945 271 +-1865 -1996 +-2846 -839 +-2585 731 +-488 -427 +1024 0 +-488 427 +-2585 -731 +-2846 839 +-1865 1996 +-1945 -271 +-507 -1208 +249 2123 +-300 724 +2451 694 +-2094 -963 +-4571 415 +-426 1130 +-1788 -4388 +366 -2955 +-1798 -2763 +0 1024 +6559 1351 +-1150 2657 +2781 3198 +6818 2330 +549 2241 +3986 -6366 +268 759 +-1748 724 +-71 -2146 +-1385 3277 +3070 978 +-432 -4996 +-1043 -6136 +3369 6578 +-1376 2606 +-3372 -2596 +-1811 -3681 +4277 -3721 +1480 1753 +4312 -248 +-311 -1431 +234 -3368 +2315 -3084 +-5120 0 +2315 3084 +234 3368 +-311 1431 +4312 248 +1480 -1753 +4277 3721 +-1811 3681 +-3372 2596 +-562 -1193 +-282 -812 +-96 4091 +-2818 1430 +2066 2620 +1048 -5021 +-3216 -3276 +-4096 1024 +-714 -3087 +3795 1216 +132 1437 +-926 2030 +-3333 5316 +-1114 2749 +-757 -438 +-4820 4644 +4697 651 +4112 -1407 +-1834 1039 +3528 -3248 +1898 1815 +-3877 916 +48 -511 +5120 0 +48 511 +-3877 -916 +1898 -1815 +3528 3248 +-1834 -1039 +4112 1407 +4697 -651 +-4820 -4644 +-757 438 +-1114 -2749 +-3333 -5316 +-926 -2030 +132 -1437 +3795 -1216 +-714 3087 +-4096 -1024 +-3216 3276 +1048 5021 +2066 -2620 +-2818 -1430 +-96 -4091 +-282 812 +-562 1193 +-3372 -2596 +-1811 -3681 +4277 -3721 +1480 1753 +4312 -248 +-311 -1431 +234 -3368 +2315 -3084 +-5668 1324 +-1142 -3076 +-1300 -4982 +-8 1928 +1116 3732 +1464 -3796 +878 4005 +3621 -3382 +8192 0 +3621 3382 +878 -4005 +1464 3796 +1116 -3732 +-8 -1928 +-1300 4982 +-1142 3076 +-5668 -1324 +-1676 -1628 +2505 4630 +-3940 687 +-1670 -1610 +-1517 682 +-1161 5401 +4426 -175 +1024 -3072 +-918 -112 +-2299 625 +-3702 5448 +222 1286 +2302 2156 +496 478 +-834 255 +1572 2772 +-1699 -4488 +-1700 -1569 +3863 1420 +332 -836 +1538 2248 +2581 5204 +-1778 2189 +-2048 0 +-1778 -2189 +2581 -5204 +1538 -2248 +332 836 +3863 -1420 +-1700 1569 +-1699 4488 +1572 -2772 +-834 -255 +496 -478 +2302 -2156 +222 -1286 +-3702 -5448 +-2299 -625 +-918 112 +1024 3072 +4426 175 +-1161 -5401 +-1517 -682 +-1670 1610 +-3940 -687 +2505 -4630 +-1676 1628 +-5668 1324 +-1142 -3076 +-1300 -4982 +-8 1928 +1116 3732 +1464 -3796 +878 4005 +3621 -3382 +1748 300 +-5689 1920 +-269 3298 +-1503 1233 +-3732 -4541 +-2623 -2790 +-3941 -2424 +-140 -1093 +0 0 +-140 1093 +-3941 2424 +-2623 2790 +-3732 4541 +-1503 -1233 +-269 -3298 +-5689 -1920 +1748 -300 +1672 -401 +-242 -2588 +-283 2602 +1610 683 +3271 -683 +-614 -1099 +1277 -1051 +1024 5120 +2768 479 +3630 1132 +1421 1733 +1286 -5710 +-1333 -2829 +-4990 752 +576 -700 +300 1748 +-2560 3262 +2605 -2854 +-3799 1657 +836 2244 +4851 -6250 +3821 1759 +2097 4711 +-6144 0 +2097 -4711 +3821 -1759 +4851 6250 +836 -2244 +-3799 -1657 +2605 2854 +-2560 -3262 +300 -1748 +576 700 +-4990 -752 +-1333 2829 +1286 5710 +1421 -1733 +3630 -1132 +2768 -479 +1024 -5120 +1277 1051 +-614 1099 +3271 683 +1610 -683 +-283 -2602 +-242 2588 +1672 401 +1748 300 +-5689 1920 +-269 3298 +-1503 1233 +-3732 -4541 +-2623 -2790 +-3941 -2424 +-140 -1093 +2348 -6268 +-2568 -3844 +928 7185 +197 -599 +1090 318 +60 3440 +-47 1192 +3266 75 +1024 0 +3266 -75 +-47 -1192 +60 -3440 +1090 -318 +197 599 +928 -7185 +-2568 3844 +2348 6268 +-3116 -2134 +-3964 -1209 +4611 -158 +876 3548 +2777 463 +-232 -892 +14 -1947 +4096 1024 +721 894 +1644 -2221 +-2450 2871 +-1476 -548 +1920 -1767 +-1084 -321 +1383 -2699 +3796 -1924 +1166 3279 +24 -505 +840 -1010 +-4586 3778 +-7955 -1032 +-5461 3272 +-867 2765 +3072 0 +-867 -2765 +-5461 -3272 +-7955 1032 +-4586 -3778 +840 1010 +24 505 +1166 -3279 +3796 1924 +1383 2699 +-1084 321 +1920 1767 +-1476 548 +-2450 -2871 +1644 2221 +721 -894 +4096 -1024 +14 1947 +-232 892 +2777 -463 +876 -3548 +4611 158 +-3964 1209 +-3116 2134 +2348 -6268 +-2568 -3844 +928 7185 +197 -599 +1090 318 +60 3440 +-47 1192 +3266 75 +-8016 -2472 +-398 -1466 +-638 -601 +-1131 4707 +3026 724 +2138 -254 +407 -2493 +776 -205 +1024 0 +776 205 +407 2493 +2138 254 +3026 -724 +-1131 -4707 +-638 601 +-398 1466 +-8016 2472 +-1039 -1297 +7145 -6972 +-1527 -2146 +914 -724 +4104 4705 +-1620 -2177 +1314 -2187 +-1024 2048 +-3352 -1040 +992 -1173 +-1123 -5793 +-1762 724 +-2686 -1336 +-3989 -3292 +-2172 1280 +-2224 424 +1392 1827 +1577 -1512 +-8 1454 +1918 724 +232 -4893 +4317 -2295 +3479 -864 +-3072 0 +3479 864 +4317 2295 +232 4893 +1918 -724 +-8 -1454 +1577 1512 +1392 -1827 +-2224 -424 +-2172 -1280 +-3989 3292 +-2686 1336 +-1762 -724 +-1123 5793 +992 1173 +-3352 1040 +-1024 -2048 +1314 2187 +-1620 2177 +4104 -4705 +914 724 +-1527 2146 +7145 6972 +-1039 1297 +-8016 -2472 +-398 -1466 +-638 -601 +-1131 4707 +3026 724 +2138 -254 +407 -2493 +776 -205 +-600 -2896 +4017 -4848 +-3132 473 +2280 2445 +3556 3830 +-332 -977 +537 3260 +-548 -1148 +-1024 0 +-548 1148 +537 -3260 +-332 977 +3556 -3830 +2280 -2445 +-3132 -473 +4017 4848 +-600 2896 +-4493 -3163 +3209 -2125 +-384 -2901 +-568 3726 +-266 -3769 +185 -7094 +758 -747 +-5120 2048 +2012 3027 +1927 -634 +-4083 -307 +3216 -3267 +49 -2303 +-4214 -2584 +-1729 -542 +-3496 2896 +-3147 -1979 +-1656 -932 +272 32 +1988 -2630 +2464 -1874 +3143 3200 +3131 3667 +3072 0 +3131 -3667 +3143 -3200 +2464 1874 +1988 2630 +272 -32 +-1656 932 +-3147 1979 +-3496 -2896 +-1729 542 +-4214 2584 +49 2303 +3216 3267 +-4083 307 +1927 634 +2012 -3027 +-5120 -2048 +758 747 +185 7094 +-266 3769 +-568 -3726 +-384 2901 +3209 2125 +-4493 3163 +-600 -2896 +4017 -4848 +-3132 473 +2280 2445 +3556 3830 +-332 -977 +537 3260 +-548 -1148 +-724 476 +5410 6246 +1946 3145 +-843 -1704 +-332 717 +-4396 2552 +1920 1670 +960 -647 +-4096 0 +960 647 +1920 -1670 +-4396 -2552 +-332 -717 +-843 1704 +1946 -3145 +5410 -6246 +-724 -476 +2730 3987 +-2177 -948 +-2784 -1217 +-222 3622 +-252 -1175 +3118 4077 +932 4704 +1024 -3072 +-4495 1358 +-5010 293 +943 1185 +1670 3622 +-1047 2963 +1394 620 +2554 563 +724 7716 +2667 1995 +-1162 -1578 +2016 -1347 +-1116 -717 +-5223 769 +-28 -5455 +827 774 +2048 0 +827 -774 +-28 5455 +-5223 -769 +-1116 717 +2016 1347 +-1162 1578 +2667 -1995 +724 -7716 +2554 -563 +1394 -620 +-1047 -2963 +1670 -3622 +943 -1185 +-5010 -293 +-4495 -1358 +1024 3072 +932 -4704 +3118 -4077 +-252 1175 +-222 -3622 +-2784 1217 +-2177 948 +2730 -3987 +-724 476 +5410 6246 +1946 3145 +-843 -1704 +-332 717 +-4396 2552 +1920 1670 +960 -647 +1148 -724 +-1191 3963 +-472 449 +3058 -648 +-6688 188 +-499 -4844 +-147 147 +-6186 4809 +3072 0 +-6186 -4809 +-147 -147 +-499 4844 +-6688 -188 +3058 648 +-472 -449 +-1191 -3963 +1148 724 +1700 -1003 +1681 -3145 +8032 -1151 +5675 -262 +-1642 -1686 +-1489 -2871 +-3384 2087 +0 1024 +-1807 -982 +825 -314 +2043 -2566 +1566 586 +3173 -1677 +-2125 -4372 +-2067 456 +-3196 724 +1766 -2816 +3812 -4573 +-1551 2651 +-553 4756 +-1029 -1699 +-2084 -487 +-416 2 +1024 0 +-416 -2 +-2084 487 +-1029 1699 +-553 -4756 +-1551 -2651 +3812 4573 +1766 2816 +-3196 -724 +-2067 -456 +-2125 4372 +3173 1677 +1566 -586 +2043 2566 +825 314 +-1807 982 +0 -1024 +-3384 -2087 +-1489 2871 +-1642 1686 +5675 262 +8032 1151 +1681 3145 +1700 1003 +1148 -724 +-1191 3963 +-472 449 +3058 -648 +-6688 188 +-499 -4844 +-147 147 +-6186 4809 +724 -724 +-3978 -3027 +-3170 -1705 +-1156 1872 +332 -273 +3981 -1430 +-3898 2454 +-1688 -1478 +10240 0 +-1688 1478 +-3898 -2454 +3981 1430 +332 273 +-1156 -1872 +-3170 1705 +-3978 3027 +724 724 +-208 -833 +789 3220 +4133 963 +222 -1390 +3741 797 +-1579 -2105 +-4174 -2099 +1024 -3072 +-86 -1080 +2532 1939 +-4569 -3577 +-1670 -4286 +2000 -5263 +623 -5967 +1006 -1478 +-724 724 +2500 3061 +-2338 2406 +3271 150 +1116 -2624 +-5608 -489 +-1151 3286 +835 -2616 +4096 0 +835 2616 +-1151 -3286 +-5608 489 +1116 2624 +3271 -150 +-2338 -2406 +2500 -3061 +-724 -724 +1006 1478 +623 5967 +2000 5263 +-1670 4286 +-4569 3577 +2532 -1939 +-86 1080 +1024 3072 +-4174 2099 +-1579 2105 +3741 -797 +222 1390 +4133 -963 +789 -3220 +-208 833 +724 -724 +-3978 -3027 +-3170 -1705 +-1156 1872 +332 -273 +3981 -1430 +-3898 2454 +-1688 -1478 +0 2048 +665 2137 +-4954 1124 +-719 863 +-410 4040 +779 -878 +5527 2427 +1380 29 +-1024 0 +1380 -29 +5527 -2427 +779 878 +-410 -4040 +-719 -863 +-4954 -1124 +665 -2137 +0 -2048 +330 -2343 +-1011 2733 +-5550 -1085 +-854 -4208 +-541 -4140 +1176 -414 +111 1653 +-1024 0 +6553 -1448 +3325 -1862 +-4451 -3425 +254 -3360 +966 573 +-2069 1285 +6088 4254 +0 2048 +-5075 2893 +3441 325 +-2057 -2990 +-3086 905 +-12 -2191 +2758 -979 +1533 -4698 +-5120 0 +1533 4698 +2758 979 +-12 2191 +-3086 -905 +-2057 2990 +3441 -325 +-5075 -2893 +0 -2048 +6088 -4254 +-2069 -1285 +966 -573 +254 3360 +-4451 3425 +3325 1862 +6553 1448 +-1024 0 +111 -1653 +1176 414 +-541 4140 +-854 4208 +-5550 1085 +-1011 -2733 +330 2343 +0 2048 +665 2137 +-4954 1124 +-719 863 +-410 4040 +779 -878 +5527 2427 +1380 29 +848 -2048 +-4234 2503 +-4723 1671 +-4044 -2256 +-2506 1264 +-1189 1743 +-1536 128 +-1112 554 +-1024 0 +-1112 -554 +-1536 -128 +-1189 -1743 +-2506 -1264 +-4044 2256 +-4723 -1671 +-4234 -2503 +848 2048 +2606 2785 +3064 -1837 +2125 1168 +-954 3940 +1305 603 +143 -2875 +1129 -5650 +3072 4096 +888 -2120 +2857 -3983 +-782 6229 +-495 -156 +2836 -151 +396 839 +1105 -1730 +-4944 -2048 +-4828 5087 +1264 1005 +1300 -2718 +3954 2832 +-1551 -3165 +-1464 -1236 +4446 -4696 +3072 0 +4446 4696 +-1464 1236 +-1551 3165 +3954 -2832 +1300 2718 +1264 -1005 +-4828 -5087 +-4944 2048 +1105 1730 +396 -839 +2836 151 +-495 156 +-782 -6229 +2857 3983 +888 2120 +3072 -4096 +1129 5650 +143 2875 +1305 -603 +-954 -3940 +2125 -1168 +3064 1837 +2606 -2785 +848 -2048 +-4234 2503 +-4723 1671 +-4044 -2256 +-2506 1264 +-1189 1743 +-1536 128 +-1112 554 +2772 -1748 +-2417 -450 +-1030 -128 +-5550 -2487 +78 3322 +-3635 2101 +-1270 -2917 +4957 2742 +-5120 0 +4957 -2742 +-1270 2917 +-3635 -2101 +78 -3322 +-5550 2487 +-1030 128 +-2417 450 +2772 1748 +55 1384 +963 4577 +5706 1770 +632 -2465 +1118 -1903 +172 1007 +1516 6436 +2048 3072 +517 -4073 +337 -2333 +3068 -1009 +1416 1032 +-626 5183 +-1784 3912 +-3650 2049 +1324 -300 +-3123 -893 +-5142 2360 +1119 -1460 +1970 -3922 +-1200 3018 +-438 2473 +2145 -3673 +1024 0 +2145 3673 +-438 -2473 +-1200 -3018 +1970 3922 +1119 1460 +-5142 -2360 +-3123 893 +1324 300 +-3650 -2049 +-1784 -3912 +-626 -5183 +1416 -1032 +3068 1009 +337 2333 +517 4073 +2048 -3072 +1516 -6436 +172 -1007 +1118 1903 +632 2465 +5706 -1770 +963 -4577 +55 -1384 +2772 -1748 +-2417 -450 +-1030 -128 +-5550 -2487 +78 3322 +-3635 2101 +-1270 -2917 +4957 2742 +5968 -2048 +2685 -4638 +-692 5063 +869 668 +-724 1918 +-1497 4894 +-2694 -5095 +-4372 -1751 +-4096 0 +-4372 1751 +-2694 5095 +-1497 -4894 +-724 -1918 +869 -668 +-692 -5063 +2685 4638 +5968 2048 +159 -618 +92 -1868 +2745 -3131 +724 -1762 +1706 -2 +-802 3826 +-2734 -7015 +2048 -2048 +1403 2398 +-802 -3454 +661 -514 +724 -914 +624 1716 +92 299 +684 1193 +176 -2048 +-2641 -5583 +-692 -4096 +225 634 +-724 3026 +458 -3159 +-2694 4807 +-977 4925 +4096 0 +-977 -4925 +-2694 -4807 +458 3159 +-724 -3026 +225 -634 +-692 4096 +-2641 5583 +176 2048 +684 -1193 +92 -299 +624 -1716 +724 914 +661 514 +-802 3454 +1403 -2398 +2048 2048 +-2734 7015 +-802 -3826 +1706 2 +724 1762 +2745 3131 +92 1868 +159 618 +5968 -2048 +2685 -4638 +-692 5063 +869 668 +-724 1918 +-1497 4894 +-2694 -5095 +-4372 -1751 +-3496 -600 +1477 977 +1212 -1552 +-903 3463 +2432 -2002 +1276 -3196 +-4069 841 +2252 19 +7168 0 +2252 -19 +-4069 -841 +1276 3196 +2432 2002 +-903 -3463 +1212 1552 +1477 -977 +-3496 600 +-3853 6959 +3205 631 +1918 993 +5448 110 +2147 -1836 +1801 -319 +4274 1107 +-5120 0 +475 -3681 +91 3465 +-109 548 +96 -2786 +-4191 2355 +-2421 -937 +-4781 -26 +-600 -3496 +-411 -4490 +-1996 -15 +40 -1139 +216 -894 +-180 -1651 +2177 2943 +568 6857 +-5120 0 +568 -6857 +2177 -2943 +-180 1651 +216 894 +40 1139 +-1996 15 +-411 4490 +-600 3496 +-4781 26 +-2421 937 +-4191 -2355 +96 2786 +-109 -548 +91 -3465 +475 3681 +-5120 0 +4274 -1107 +1801 319 +2147 1836 +5448 -110 +1918 -993 +3205 -631 +-3853 -6959 +-3496 -600 +1477 977 +1212 -1552 +-903 3463 +2432 -2002 +1276 -3196 +-4069 841 +2252 19 +1448 -2048 +1675 -2675 +-39 -1460 +-915 -262 +-3880 3386 +269 -3776 +4323 2717 +617 2897 +-1024 0 +617 -2897 +4323 -2717 +269 3776 +-3880 -3386 +-915 262 +-39 1460 +1675 2675 +1448 2048 +2742 1285 +1382 7152 +-4448 -50 +-4000 1494 +-6411 2701 +-1310 89 +5769 1284 +-1024 2048 +-342 807 +2574 349 +546 285 +1352 -2602 +1790 3938 +2558 -468 +974 -5562 +-1448 -2048 +-2495 500 +195 3728 +676 -1781 +-1664 710 +2699 1122 +-1491 -760 +-3147 7091 +3072 0 +-3147 -7091 +-1491 760 +2699 -1122 +-1664 -710 +676 1781 +195 -3728 +-2495 -500 +-1448 2048 +974 5562 +2558 468 +1790 -3938 +1352 2602 +546 -285 +2574 -349 +-342 -807 +-1024 -2048 +5769 -1284 +-1310 -89 +-6411 -2701 +-4000 -1494 +-4448 50 +1382 -7152 +2742 -1285 +1448 -2048 +1675 -2675 +-39 -1460 +-915 -262 +-3880 3386 +269 -3776 +4323 2717 +617 2897 +6992 3072 +1104 1405 +-1342 -749 +1485 475 +314 -2688 +-2988 -2589 +-2945 1508 +1484 2069 +4096 0 +1484 -2069 +-2945 -1508 +-2988 2589 +314 2688 +1485 -475 +-1342 749 +1104 -1405 +6992 -3072 +2334 -3566 +-502 -394 +-467 406 +-1578 328 +1052 1765 +-1488 -854 +2380 1769 +2048 0 +-4396 2829 +6240 -190 +6089 -3130 +-470 5024 +-381 2370 +-5211 -838 +293 -1508 +1200 3072 +-2164 -3132 +63 -2592 +-4421 3056 +-2362 -4904 +-369 -738 +-3006 -3740 +-1036 -4793 +0 0 +-1036 4793 +-3006 3740 +-369 738 +-2362 4904 +-4421 -3056 +63 2592 +-2164 3132 +1200 -3072 +293 1508 +-5211 838 +-381 -2370 +-470 -5024 +6089 3130 +6240 190 +-4396 -2829 +2048 0 +2380 -1769 +-1488 854 +1052 -1765 +-1578 -328 +-467 -406 +-502 394 +2334 3566 +6992 3072 +1104 1405 +-1342 -749 +1485 475 +314 -2688 +-2988 -2589 +-2945 1508 +1484 2069 +1448 -1448 +3935 -609 +-445 -6 +-647 -272 +181 4254 +-608 4140 +-1652 2251 +-1556 -2832 +-3072 0 +-1556 2832 +-1652 -2251 +-608 -4140 +181 -4254 +-647 272 +-445 6 +3935 609 +1448 1448 +-120 -4140 +4955 -5409 +-2969 -4679 +4084 1254 +1713 2383 +-5439 235 +5095 -381 +-3072 -4096 +-4265 -2339 +1419 -2582 +1478 -1464 +-3484 -794 +-4482 208 +3957 3439 +1520 125 +-1448 1448 +-871 2290 +222 1862 +1418 -266 +3316 -2206 +-1695 573 +-3017 -3868 +2055 -4109 +1024 0 +2055 4109 +-3017 3868 +-1695 -573 +3316 2206 +1418 266 +222 -1862 +-871 -2290 +-1448 -1448 +1520 -125 +3957 -3439 +-4482 -208 +-3484 794 +1478 1464 +1419 2582 +-4265 2339 +-3072 4096 +5095 381 +-5439 -235 +1713 -2383 +4084 -1254 +-2969 4679 +4955 5409 +-120 4140 +1448 -1448 +3935 -609 +-445 -6 +-647 -272 +181 4254 +-608 4140 +-1652 2251 +-1556 -2832 +-1448 5968 +-3294 3748 +-2673 1048 +-383 3391 +-684 -1038 +7141 -4516 +1484 -2341 +-3389 -202 +6144 0 +-3389 202 +1484 2341 +7141 4516 +-684 1038 +-383 -3391 +-2673 -1048 +-3294 -3748 +-1448 -5968 +215 -4506 +32 -2805 +-2165 2483 +-5148 2302 +2245 -2286 +3260 -5954 +-2540 771 +0 2048 +308 -3597 +4869 2110 +1095 -886 +204 -1194 +1721 674 +-2809 -3080 +-879 -384 +1448 176 +2630 2959 +-3238 -123 +-61 225 +1533 1638 +-3801 -2644 +-924 3412 +1157 818 +2048 0 +1157 -818 +-924 -3412 +-3801 2644 +1533 -1638 +-61 -225 +-3238 123 +2630 -2959 +1448 -176 +-879 384 +-2809 3080 +1721 -674 +204 1194 +1095 886 +4869 -2110 +308 3597 +0 -2048 +-2540 -771 +3260 5954 +2245 2286 +-5148 -2302 +-2165 -2483 +32 2805 +215 4506 +-1448 5968 +-3294 3748 +-2673 1048 +-383 3391 +-684 -1038 +7141 -4516 +1484 -2341 +-3389 -202 +2172 724 +-2030 4562 +1371 3668 +-4794 -1850 +-4227 438 +3519 1604 +-2702 2244 +-2281 -2033 +2048 0 +-2281 2033 +-2702 -2244 +3519 -1604 +-4227 -438 +-4794 1850 +1371 -3668 +-2030 -4562 +2172 -724 +-5190 4514 +-4329 4544 +4703 -1027 +-895 1212 +1697 -313 +-1649 1100 +-2743 2087 +1024 1024 +552 -2795 +4000 -2904 +2164 -1099 +5240 -5780 +3043 1051 +-1348 4323 +1823 784 +-2172 -724 +-395 3373 +4305 1904 +2841 -3303 +-118 762 +-1588 -1429 +351 -456 +-1320 623 +-4096 0 +-1320 -623 +351 456 +-1588 1429 +-118 -762 +2841 3303 +4305 -1904 +-395 -3373 +-2172 724 +1823 -784 +-1348 -4323 +3043 -1051 +5240 5780 +2164 1099 +4000 2904 +552 2795 +1024 -1024 +-2743 -2087 +-1649 -1100 +1697 313 +-895 -1212 +4703 1027 +-4329 -4544 +-5190 -4514 +2172 724 +-2030 4562 +1371 3668 +-4794 -1850 +-4227 438 +3519 1604 +-2702 2244 +-2281 -2033 +1324 -2172 +1282 -3246 +350 6286 +1495 -849 +-607 -1840 +-48 4548 +679 3598 +12 -3901 +2048 0 +12 3901 +679 -3598 +-48 -4548 +-607 1840 +1495 849 +350 -6286 +1282 3246 +1324 2172 +841 -1974 +-644 4096 +-1366 265 +850 2394 +2986 2475 +-357 -548 +-6967 1402 +-5120 3072 +-1213 -1081 +3189 1279 +-1083 3439 +-6394 -502 +-414 3693 +800 260 +5465 1412 +2772 2172 +660 -1616 +3590 -233 +-4829 -837 +-2040 -1056 +-2535 -2904 +585 -74 +5712 -1039 +0 0 +5712 1039 +585 74 +-2535 2904 +-2040 1056 +-4829 837 +3590 233 +660 1616 +2772 -2172 +5465 -1412 +800 -260 +-414 -3693 +-6394 502 +-1083 -3439 +3189 -1279 +-1213 1081 +-5120 -3072 +-6967 -1402 +-357 548 +2986 -2475 +850 -2394 +-1366 -265 +-644 -4096 +841 1974 +1324 -2172 +1282 -3246 +350 6286 +1495 -849 +-607 -1840 +-48 4548 +679 3598 +12 -3901 +4944 4520 +-2763 1400 +306 -594 +268 -670 +-4834 60 +2428 251 +2742 7686 +-1990 2214 +-2048 0 +-1990 -2214 +2742 -7686 +2428 -251 +-4834 -60 +268 670 +306 594 +-2763 -1400 +4944 -4520 +1593 -6146 +-144 -3500 +2108 -2107 +-46 -1168 +-278 -2807 +-745 1204 +219 3502 +-4096 2048 +-2776 -2672 +1225 827 +-949 2964 +-1154 -2616 +2016 1444 +5976 -4024 +-1933 -2999 +-848 1624 +2424 1068 +-2042 1767 +3856 -4027 +-2158 -1508 +-3655 3065 +874 1826 +-566 -3275 +2048 0 +-566 3275 +874 -1826 +-3655 -3065 +-2158 1508 +3856 4027 +-2042 -1767 +2424 -1068 +-848 -1624 +-1933 2999 +5976 4024 +2016 -1444 +-1154 2616 +-949 -2964 +1225 -827 +-2776 2672 +-4096 -2048 +219 -3502 +-745 -1204 +-278 2807 +-46 1168 +2108 2107 +-144 3500 +1593 6146 +4944 4520 +-2763 1400 +306 -594 +268 -670 +-4834 60 +2428 251 +2742 7686 +-1990 2214 +2648 -2648 +4573 -2534 +-76 3693 +685 -1012 +-3510 -288 +-1645 555 +1035 -3037 +392 -619 +3072 0 +392 619 +1035 3037 +-1645 -555 +-3510 288 +685 1012 +-76 -3693 +4573 2534 +2648 2648 +-1528 -1243 +1068 546 +-1079 2026 +2726 -968 +-105 -2387 +-1706 2921 +7112 -214 +1024 -2048 +-1552 -2533 +1771 964 +-1178 -124 +1618 -2167 +463 2736 +-4120 -1723 +230 -1098 +5544 -5544 +-378 -217 +-6761 3927 +-2965 -1174 +-834 7280 +31 4605 +597 2777 +-3055 1196 +-5120 0 +-3055 -1196 +597 -2777 +31 -4605 +-834 -7280 +-2965 1174 +-6761 -3927 +-378 217 +5544 5544 +230 1098 +-4120 1723 +463 -2736 +1618 2167 +-1178 124 +1771 -964 +-1552 2533 +1024 2048 +7112 214 +-1706 -2921 +-105 2387 +2726 968 +-1079 -2026 +1068 -546 +-1528 1243 +2648 -2648 +4573 -2534 +-76 3693 +685 -1012 +-3510 -288 +-1645 555 +1035 -3037 +392 -619 +2472 -1024 +1899 1474 +648 -24 +1435 1333 +-2186 2532 +-442 992 +2317 -1757 +-1480 -3558 +-3072 0 +-1480 3558 +2317 1757 +-442 -992 +-2186 -2532 +1435 -1333 +648 24 +1899 -1474 +2472 1024 +2684 -870 +1543 -30 +1437 -1258 +5498 -1592 +-3801 76 +-7638 -6817 +-150 1906 +-3072 4096 +-4061 -2028 +-2318 -2317 +754 -3664 +4390 -2192 +57 307 +-2601 3050 +-937 -1343 +-424 -1024 +-2758 -3276 +-788 -1489 +1535 1120 +490 964 +4818 1948 +647 -6527 +-990 4087 +5120 0 +-990 -4087 +647 6527 +4818 -1948 +490 -964 +1535 -1120 +-788 1489 +-2758 3276 +-424 1024 +-937 1343 +-2601 -3050 +57 -307 +4390 2192 +754 3664 +-2318 2317 +-4061 2028 +-3072 -4096 +-150 -1906 +-7638 6817 +-3801 -76 +5498 1592 +1437 1258 +1543 30 +2684 870 +2472 -1024 +1899 1474 +648 -24 +1435 1333 +-2186 2532 +-442 992 +2317 -1757 +-1480 -3558 +2348 -300 +-3558 -363 +195 171 +2677 -1913 +1814 -3054 +-609 2247 +-1306 4920 +2442 -3425 +4096 0 +2442 3425 +-1306 -4920 +-609 -2247 +1814 3054 +2677 1913 +195 -171 +-3558 363 +2348 300 +2918 278 +-517 995 +1531 3083 +152 -1272 +1595 -918 +-1640 3907 +-1526 -2335 +5120 1024 +-1141 -1473 +-3084 -2449 +-1667 3831 +-2200 4272 +1183 2018 +-423 -4902 +3069 1374 +3796 -1748 +-2429 -1676 +-3351 -275 +-5391 -6720 +-3862 406 +681 -5066 +1934 -5484 +226 1153 +-2048 0 +226 -1153 +1934 5484 +681 5066 +-3862 -406 +-5391 6720 +-3351 275 +-2429 1676 +3796 1748 +3069 -1374 +-423 4902 +1183 -2018 +-2200 -4272 +-1667 -3831 +-3084 2449 +-1141 1473 +5120 -1024 +-1526 2335 +-1640 -3907 +1595 918 +152 1272 +1531 -3083 +-517 -995 +2918 -278 +2348 -300 +-3558 -363 +195 171 +2677 -1913 +1814 -3054 +-609 2247 +-1306 4920 +2442 -3425 +-424 -5368 +-1492 -5016 +2434 2777 +4260 -1922 +-2278 -928 +-1091 2188 +1551 -158 +-22 4729 +1024 0 +-22 -4729 +1551 158 +-1091 -2188 +-2278 928 +4260 1922 +2434 -2777 +-1492 5016 +-424 5368 +3493 6084 +1750 1857 +-2528 -4958 +1182 1408 +-2162 -752 +-4314 -2450 +-586 2228 +-3072 2048 +-963 -4489 +2238 42 +103 2469 +-5278 808 +-4689 4366 +2855 -2422 +2327 553 +2472 3320 +2352 2590 +-46 -64 +1628 1431 +-1818 4424 +-1314 -2990 +1724 1451 +683 -2548 +1024 0 +683 2548 +1724 -1451 +-1314 2990 +-1818 -4424 +1628 -1431 +-46 64 +2352 -2590 +2472 -3320 +2327 -553 +2855 2422 +-4689 -4366 +-5278 -808 +103 -2469 +2238 -42 +-963 4489 +-3072 -2048 +-586 -2228 +-4314 2450 +-2162 752 +1182 -1408 +-2528 4958 +1750 -1857 +3493 -6084 +-424 -5368 +-1492 -5016 +2434 2777 +4260 -1922 +-2278 -928 +-1091 2188 +1551 -158 +-22 4729 +2772 -476 +-1740 5072 +-609 878 +3311 1086 +2538 3574 +1206 -2333 +-2282 -2843 +-472 -6247 +4096 0 +-472 6247 +-2282 2843 +1206 2333 +2538 -3574 +3311 -1086 +-609 -878 +-1740 -5072 +2772 476 +246 1885 +-2659 -195 +-945 2876 +-572 1232 +404 -1015 +1388 -880 +2030 -922 +1024 5120 +618 1320 +4628 -1388 +1593 -2705 +-2924 -2016 +1711 1154 +887 -1015 +-1207 2384 +1324 -7716 +-1763 -1366 +-515 5294 +2603 -2439 +-3138 5466 +-4091 3411 +-838 1135 +-3504 -2288 +-6144 0 +-3504 2288 +-838 -1135 +-4091 -3411 +-3138 -5466 +2603 2439 +-515 -5294 +-1763 1366 +1324 7716 +-1207 -2384 +887 1015 +1711 -1154 +-2924 2016 +1593 2705 +4628 1388 +618 -1320 +1024 -5120 +2030 922 +1388 880 +404 1015 +-572 -1232 +-945 -2876 +-2659 195 +246 -1885 +2772 -476 +-1740 5072 +-609 878 +3311 1086 +2538 3574 +1206 -2333 +-2282 -2843 +-472 -6247 +-2896 5968 +-5039 -166 +-372 -4029 +5132 -2423 +0 -1618 +-5394 3608 +-811 -749 +25 854 +-2048 0 +25 -854 +-811 749 +-5394 -3608 +0 1618 +5132 2423 +-372 4029 +-5039 166 +-2896 -5968 +-552 -1432 +-4627 -927 +-1681 -1265 +0 3510 +-1788 3313 +2170 383 +1435 1713 +2048 -6144 +748 835 +3402 5172 +5058 -6316 +0 -834 +2531 604 +622 1186 +3431 2227 +2896 176 +-3191 -88 +-1416 349 +-3764 -245 +0 -2726 +-93 2003 +1032 -255 +3144 -2252 +-2048 0 +3144 2252 +1032 255 +-93 -2003 +0 2726 +-3764 245 +-1416 -349 +-3191 88 +2896 -176 +3431 -2227 +622 -1186 +2531 -604 +0 834 +5058 6316 +3402 -5172 +748 -835 +2048 6144 +1435 -1713 +2170 -383 +-1788 -3313 +0 -3510 +-1681 1265 +-4627 927 +-552 1432 +-2896 5968 +-5039 -166 +-372 -4029 +5132 -2423 +0 -1618 +-5394 3608 +-811 -749 +25 854 +-2296 600 +-1701 -1266 +-4378 2900 +-1330 -784 +1638 -4180 +-676 3943 +-486 -2163 +-4151 -9 +-7168 0 +-4151 9 +-486 2163 +-676 -3943 +1638 4180 +-1330 784 +-4378 -2900 +-1701 1266 +-2296 -600 +3756 2743 +3091 6755 +-834 152 +1194 -5748 +958 1351 +352 -319 +-3463 -2586 +3072 -2048 +1478 -4240 +-6200 -347 +1498 3171 +2302 396 +3061 -2478 +1986 103 +1682 655 +6392 3496 +48 747 +-1898 1536 +670 1937 +-1038 -1964 +-3348 1661 +-659 -3161 +2352 -78 +1024 0 +2352 78 +-659 3161 +-3348 -1661 +-1038 1964 +670 -1937 +-1898 -1536 +48 -747 +6392 -3496 +1682 -655 +1986 -103 +3061 2478 +2302 -396 +1498 -3171 +-6200 347 +1478 4240 +3072 2048 +-3463 2586 +352 319 +958 -1351 +1194 5748 +-834 -152 +3091 -6755 +3756 -2743 +-2296 600 +-1701 -1266 +-4378 2900 +-1330 -784 +1638 -4180 +-676 3943 +-486 -2163 +-4151 -9 +1624 2648 +2875 993 +3207 -3402 +-165 2688 +384 2806 +1334 -964 +-665 2322 +757 -4813 +0 0 +757 4813 +-665 -2322 +1334 964 +384 -2806 +-165 -2688 +3207 3402 +2875 -993 +1624 -2648 +-258 2586 +988 3746 +521 1476 +3400 2702 +-452 -1552 +-1615 -581 +-1266 2268 +-4096 0 +3752 3471 +-617 408 +-1839 -538 +-1952 -2243 +-637 -6355 +2352 -1266 +-1664 3324 +4520 5544 +-2521 -2343 +-3651 -4507 +4065 937 +-1832 -3654 +-2827 -6343 +1 -4230 +-1676 536 +-4096 0 +-1676 -536 +1 4230 +-2827 6343 +-1832 3654 +4065 -937 +-3651 4507 +-2521 2343 +4520 -5544 +-1664 -3324 +2352 1266 +-637 6355 +-1952 2243 +-1839 538 +-617 -408 +3752 -3471 +-4096 0 +-1266 -2268 +-1615 581 +-452 1552 +3400 -2702 +521 -1476 +988 -3746 +-258 -2586 +1624 2648 +2875 993 +3207 -3402 +-165 2688 +384 2806 +1334 -964 +-665 2322 +757 -4813 +-3920 1624 +-3321 1535 +1369 3261 +-2724 1431 +4050 -808 +-94 -4271 +-1261 -6845 +6513 1389 +1024 0 +6513 -1389 +-1261 6845 +-94 4271 +4050 808 +-2724 -1431 +1369 -3261 +-3321 -1535 +-3920 -1624 +-813 665 +562 1318 +-486 824 +1938 -928 +616 -2917 +-4554 -1068 +1140 -1661 +3072 -2048 +2468 -4113 +-4294 -2140 +-2954 1018 +-738 -4424 +-3478 -978 +1055 393 +-697 -2276 +1872 4520 +-1408 2205 +-4186 -2986 +4441 -1904 +2942 1408 +-1113 3742 +3117 -1219 +1912 -2954 +-3072 0 +1912 2954 +3117 1219 +-1113 -3742 +2942 -1408 +4441 1904 +-4186 2986 +-1408 -2205 +1872 -4520 +-697 2276 +1055 -393 +-3478 978 +-738 4424 +-2954 -1018 +-4294 2140 +2468 4113 +3072 2048 +1140 1661 +-4554 1068 +616 2917 +1938 928 +-486 -824 +562 -1318 +-813 -665 +-3920 1624 +-3321 1535 +1369 3261 +-2724 1431 +4050 -808 +-94 -4271 +-1261 -6845 +6513 1389 +4644 -2172 +-2929 -2279 +-154 4459 +-123 2253 +-1606 742 +-382 -530 +-1220 -2318 +2004 2235 +5120 0 +2004 -2235 +-1220 2318 +-382 530 +-1606 -742 +-123 -2253 +-154 -4459 +-2929 2279 +4644 2172 +4752 953 +3023 -2827 +6034 -3119 +-2720 1076 +-2497 -2833 +976 -1727 +-806 -1493 +2048 1024 +-678 -1763 +576 -1387 +-604 5320 +-5720 1924 +275 -905 +1885 1297 +-1557 5823 +-2596 2172 +-1834 3702 +-1857 -3231 +-2402 -5078 +1854 4202 +-300 -4549 +-3229 -238 +1047 2240 +3072 0 +1047 -2240 +-3229 238 +-300 4549 +1854 -4202 +-2402 5078 +-1857 3231 +-1834 -3702 +-2596 -2172 +-1557 -5823 +1885 -1297 +275 905 +-5720 -1924 +-604 -5320 +576 1387 +-678 1763 +2048 -1024 +-806 1493 +976 1727 +-2497 2833 +-2720 -1076 +6034 3119 +3023 2827 +4752 -953 +4644 -2172 +-2929 -2279 +-154 4459 +-123 2253 +-1606 742 +-382 -530 +-1220 -2318 +2004 2235 +-300 -2772 +842 -1421 +-1801 -1116 +2457 -862 +2510 -162 +-1607 -4119 +871 1958 +-801 -4006 +-3072 0 +-801 4006 +871 -1958 +-1607 4119 +2510 162 +2457 862 +-1801 1116 +842 1421 +-300 2772 +863 -2060 +-2938 -261 +-260 -2060 +6080 -2284 +-3871 1394 +-1549 240 +2337 -2132 +-2048 -1024 +-197 -1303 +-1282 4483 +-3531 4308 +1512 -2284 +6852 3027 +2782 4631 +2113 3219 +-1748 -1324 +-6953 3565 +-2139 6009 +-1480 -1619 +2186 162 +1441 -536 +-2135 2286 +1796 1701 +3072 0 +1796 -1701 +-2135 -2286 +1441 536 +2186 -162 +-1480 1619 +-2139 -6009 +-6953 -3565 +-1748 1324 +2113 -3219 +2782 -4631 +6852 -3027 +1512 2284 +-3531 -4308 +-1282 -4483 +-197 1303 +-2048 1024 +2337 2132 +-1549 -240 +-3871 -1394 +6080 2284 +-260 2060 +-2938 261 +863 2060 +-300 -2772 +842 -1421 +-1801 -1116 +2457 -862 +2510 -162 +-1607 -4119 +871 1958 +-801 -4006 +724 -3196 +-654 422 +2092 688 +1085 -521 +-1526 3322 +65 153 +2268 1873 +255 2301 +-5120 0 +255 -2301 +2268 -1873 +65 -153 +-1526 -3322 +1085 521 +2092 -688 +-654 -422 +724 3196 +-2155 -2538 +-5015 -994 +-4030 443 +816 -2465 +-4459 -1413 +-1514 1945 +4986 3167 +-2048 5120 +-159 2978 +117 -5491 +3641 -230 +32 1032 +-1200 -782 +1372 2438 +-6791 -3292 +-724 1148 +464 2608 +2751 -2552 +4794 -2271 +-3418 -3922 +103 -537 +6120 1779 +4053 1294 +1024 0 +4053 -1294 +6120 -1779 +103 537 +-3418 3922 +4794 2271 +2751 2552 +464 -2608 +-724 -1148 +-6791 3292 +1372 -2438 +-1200 782 +32 -1032 +3641 230 +117 5491 +-159 -2978 +-2048 -5120 +4986 -3167 +-1514 -1945 +-4459 1413 +816 2465 +-4030 -443 +-5015 994 +-2155 2538 +724 -3196 +-654 422 +2092 688 +1085 -521 +-1526 3322 +65 153 +2268 1873 +255 2301 +-5244 -3620 +-1108 561 +-421 4796 +1262 2473 +-1800 4672 +-581 3055 +4771 1899 +1719 -2832 +-1024 0 +1719 2832 +4771 -1899 +-581 -3055 +-1800 -4672 +1262 -2473 +-421 -4796 +-1108 -561 +-5244 3620 +-2642 53 +4654 -1444 +1483 -1599 +-3478 -2238 +-1218 -3959 +-958 -678 +597 -2730 +6144 1024 +-2300 1703 +-4458 -898 +1617 2084 +-18 -3438 +526 -2393 +615 -3232 +3780 -2537 +-900 3620 +-30 2642 +5040 -791 +-3271 2526 +1200 2321 +181 -2805 +-1051 3673 +-17 1473 +-7168 0 +-17 -1473 +-1051 -3673 +181 2805 +1200 -2321 +-3271 -2526 +5040 791 +-30 -2642 +-900 -3620 +3780 2537 +615 3232 +526 2393 +-18 3438 +1617 -2084 +-4458 898 +-2300 -1703 +6144 -1024 +597 2730 +-958 678 +-1218 3959 +-3478 2238 +1483 1599 +4654 1444 +-2642 -53 +-5244 -3620 +-1108 561 +-421 4796 +1262 2473 +-1800 4672 +-581 3055 +4771 1899 +1719 -2832 +-848 3072 +-2319 4610 +-965 -2526 +-270 -748 +-1782 -1988 +-476 -586 +676 -422 +3327 1646 +6144 0 +3327 -1646 +676 422 +-476 586 +-1782 1988 +-270 748 +-965 2526 +-2319 -4610 +-848 -3072 +-3832 937 +-6031 1028 +-3052 1599 +-1678 -3216 +1652 3799 +2868 -182 +-2289 883 +0 0 +4005 -3508 +-2020 4866 +-4774 -4478 +-1219 -568 +-129 2278 +1086 -4480 +4214 2716 +4944 3072 +-518 -376 +-3979 1114 +2771 747 +4678 -3556 +-1515 -2577 +172 1375 +3205 2211 +2048 0 +3205 -2211 +172 -1375 +-1515 2577 +4678 3556 +2771 -747 +-3979 -1114 +-518 376 +4944 -3072 +4214 -2716 +1086 4480 +-129 -2278 +-1219 568 +-4774 4478 +-2020 -4866 +4005 3508 +0 0 +-2289 -883 +2868 182 +1652 -3799 +-1678 3216 +-3052 -1599 +-6031 -1028 +-3832 -937 +-848 3072 +-2319 4610 +-965 -2526 +-270 -748 +-1782 -1988 +-476 -586 +676 -422 +3327 1646 +-1324 1748 +-409 -6189 +-552 -3471 +77 3874 +2504 3045 +-621 1001 +89 1554 +1584 -1017 +-1024 0 +1584 1017 +89 -1554 +-621 -1001 +2504 -3045 +77 -3874 +-552 3471 +-409 6189 +-1324 -1748 +-12 -1372 +581 -2006 +-2066 -1298 +-1950 2714 +1486 374 +648 -5 +2610 -2189 +0 3072 +-5940 2585 +-388 -161 +-288 1478 +-3842 2962 +-185 4498 +7039 -741 +7283 2658 +-2772 300 +1387 1972 +2821 640 +-2939 -3191 +3288 5396 +-1257 782 +-2046 2386 +-709 3335 +-7168 0 +-709 -3335 +-2046 -2386 +-1257 -782 +3288 -5396 +-2939 3191 +2821 -640 +1387 -1972 +-2772 -300 +7283 -2658 +7039 741 +-185 -4498 +-3842 -2962 +-288 -1478 +-388 161 +-5940 -2585 +0 -3072 +2610 2189 +648 5 +1486 -374 +-1950 -2714 +-2066 1298 +581 2006 +-12 1372 +-1324 1748 +-409 -6189 +-552 -3471 +77 3874 +2504 3045 +-621 1001 +89 1554 +1584 -1017 +-3196 -2772 +-1912 -2347 +2116 1801 +-1912 -897 +-1376 2080 +-21 1974 +-1187 -2157 +-598 2013 +-1024 0 +-598 -2013 +-1187 2157 +-21 -1974 +-1376 -2080 +-1912 897 +2116 -1801 +-1912 2347 +-3196 2772 +1490 1116 +1297 -2605 +-4202 -900 +-5950 522 +2059 -6863 +1560 -2653 +-356 -225 +2048 1024 +-164 -545 +3828 -486 +-298 3293 +-2490 1370 +5572 67 +87 -2317 +19 -1489 +1148 -1324 +-6277 8639 +-2300 5479 +1872 -2158 +1624 2864 +2725 -1633 +2791 3125 +2007 771 +1024 0 +2007 -771 +2791 -3125 +2725 1633 +1624 -2864 +1872 2158 +-2300 -5479 +-6277 -8639 +1148 1324 +19 1489 +87 2317 +5572 -67 +-2490 -1370 +-298 -3293 +3828 486 +-164 545 +2048 -1024 +-356 225 +1560 2653 +2059 6863 +-5950 -522 +-4202 900 +1297 2605 +1490 -1116 +-3196 -2772 +-1912 -2347 +2116 1801 +-1912 -897 +-1376 2080 +-21 1974 +-1187 -2157 +-598 2013 +1148 -3196 +1075 -1102 +-1684 -5212 +976 -5045 +-1970 5166 +-1848 3331 +966 1643 +-572 613 +2048 0 +-572 -613 +966 -1643 +-1848 -3331 +-1970 -5166 +976 5045 +-1684 5212 +1075 1102 +1148 3196 +-901 5818 +-1095 5583 +1555 -6019 +-1416 268 +2099 4793 +3873 -2043 +-538 3243 +3072 1024 +-910 37 +-976 238 +-651 -640 +-632 516 +-107 -208 +-1801 3284 +-547 934 +-3196 1148 +-2523 -2583 +-1213 -6976 +472 3863 +-78 3274 +3296 -1772 +1930 -1058 +-876 -5018 +4096 0 +-876 5018 +1930 1058 +3296 1772 +-78 -3274 +472 -3863 +-1213 6976 +-2523 2583 +-3196 -1148 +-547 -934 +-1801 -3284 +-107 208 +-632 -516 +-651 640 +-976 -238 +-910 -37 +3072 -1024 +-538 -3243 +3873 2043 +2099 -4793 +-1416 -268 +1555 6019 +-1095 -5583 +-901 -5818 +1148 -3196 +1075 -1102 +-1684 -5212 +976 -5045 +-1970 5166 +-1848 3331 +966 1643 +-572 613 +-1148 -1748 +3411 1808 +5014 5644 +1218 498 +-78 1006 +-2840 3169 +1896 -36 +3741 -7758 +2048 0 +3741 7758 +1896 36 +-2840 -3169 +-78 -1006 +1218 -498 +5014 -5644 +3411 -1808 +-1148 1748 +2563 -1778 +118 3328 +2681 1947 +-632 -776 +-871 1308 +-1996 1277 +-6191 -1575 +1024 -1024 +-3492 4262 +-221 324 +320 -765 +-1416 -2224 +2509 -2567 +-5470 4740 +-3200 1750 +3196 -300 +1899 1144 +338 -137 +-377 -3076 +-1970 -2454 +3153 -908 +320 -5012 +-4523 -966 +0 0 +-4523 966 +320 5012 +3153 908 +-1970 2454 +-377 3076 +338 137 +1899 -1144 +3196 300 +-3200 -1750 +-5470 -4740 +2509 2567 +-1416 2224 +320 765 +-221 -324 +-3492 -4262 +1024 1024 +-6191 1575 +-1996 -1277 +-871 -1308 +-632 776 +2681 -1947 +118 -3328 +2563 1778 +-1148 -1748 +3411 1808 +5014 5644 +1218 498 +-78 1006 +-2840 3169 +1896 -36 +3741 -7758 +-1324 724 +-881 69 +2364 -3587 +3190 -2022 +4032 188 +616 5199 +1917 -2854 +2940 -878 +-2048 0 +2940 878 +1917 2854 +616 -5199 +4032 -188 +3190 2022 +2364 3587 +-881 -69 +-1324 -724 +-2789 6284 +-2669 624 +-1370 -1512 +138 -262 +937 1529 +-2156 1056 +2904 -3335 +1024 -1024 +-1322 2957 +2820 -891 +-713 2316 +462 586 +2433 -5716 +3112 2123 +1152 -2273 +-2772 -724 +-4162 -65 +-5704 -7698 +-2925 401 +-536 4756 +3625 572 +315 -3686 +-3634 1745 +0 0 +-3634 -1745 +315 3686 +3625 -572 +-536 -4756 +-2925 -401 +-5704 7698 +-4162 65 +-2772 724 +1152 2273 +3112 -2123 +2433 5716 +462 -586 +-713 -2316 +2820 891 +-1322 -2957 +1024 1024 +2904 3335 +-2156 -1056 +937 -1529 +138 262 +-1370 1512 +-2669 -624 +-2789 -6284 +-1324 724 +-881 69 +2364 -3587 +3190 -2022 +4032 188 +616 5199 +1917 -2854 +2940 -878 +2348 3796 +1864 2609 +831 1460 +1795 3186 +1490 -7242 +723 -3469 +2614 -2532 +3072 2111 +2048 0 +3072 -2111 +2614 2532 +723 3469 +1490 7242 +1795 -3186 +831 -1460 +1864 -2609 +2348 -3796 +1633 1924 +989 -4077 +-2443 2300 +-4416 4337 +-846 -754 +-272 -4304 +-2918 2280 +-1024 5120 +-718 -1883 +-3512 1424 +-58 -2966 +2368 -2904 +-2954 1730 +-2557 -1337 +4100 2345 +3796 2348 +651 -3829 +737 -416 +-1511 -340 +-3538 2 +-498 2275 +1170 -1628 +-1891 6070 +-4096 0 +-1891 -6070 +1170 1628 +-498 -2275 +-3538 -2 +-1511 340 +737 416 +651 3829 +3796 -2348 +4100 -2345 +-2557 1337 +-2954 -1730 +2368 2904 +-58 2966 +-3512 -1424 +-718 1883 +-1024 -5120 +-2918 -2280 +-272 4304 +-846 754 +-4416 -4337 +-2443 -2300 +989 4077 +1633 -1924 +2348 3796 +1864 2609 +831 1460 +1795 3186 +1490 -7242 +723 -3469 +2614 -2532 +3072 2111 +2048 600 +-3868 4041 +-1069 82 +1466 633 +458 4724 +951 1020 +-476 -250 +4057 1342 +5120 0 +4057 -1342 +-476 250 +951 -1020 +458 -4724 +1466 -633 +-1069 -82 +-3868 -4041 +2048 -600 +-2548 664 +1812 1055 +657 1462 +-1094 940 +-2625 4037 +-8191 -1758 +2221 -6179 +1024 -2048 +-2292 -3096 +-840 -650 +685 -423 +-1553 -3156 +617 1530 +5193 -1620 +-4239 -3322 +2048 3496 +5303 1421 +-143 -2758 +-523 -2444 +-6002 -628 +-1227 833 +3715 1359 +1366 2997 +1024 0 +1366 -2997 +3715 -1359 +-1227 -833 +-6002 628 +-523 2444 +-143 2758 +5303 -1421 +2048 -3496 +-4239 3322 +5193 1620 +617 -1530 +-1553 3156 +685 423 +-840 650 +-2292 3096 +1024 2048 +2221 6179 +-8191 1758 +-2625 -4037 +-1094 -940 +657 -1462 +1812 -1055 +-2548 -664 +2048 600 +-3868 4041 +-1069 82 +1466 633 +458 4724 +951 1020 +-476 -250 +4057 1342 +1748 724 +563 -4029 +-1943 497 +-1419 -1172 +1800 1656 +-1607 -2766 +-4690 -3296 +-2444 837 +-1024 0 +-2444 -837 +-4690 3296 +-1607 2766 +1800 -1656 +-1419 1172 +-1943 -497 +563 4029 +1748 -724 +3580 -2447 +2292 1488 +1150 -736 +3478 2994 +2871 -2876 +-936 3701 +-1961 2213 +2048 -1024 +1350 8341 +-3683 -83 +-548 -3565 +18 -1102 +-2407 5056 +3124 3055 +1827 -3411 +300 -724 +5174 -2286 +-1777 1070 +-5333 4748 +-1200 2440 +-4292 -139 +-579 -488 +3497 -61 +1024 0 +3497 61 +-579 488 +-4292 139 +-1200 -2440 +-5333 -4748 +-1777 -1070 +5174 2286 +300 724 +1827 3411 +3124 -3055 +-2407 -5056 +18 1102 +-548 3565 +-3683 83 +1350 -8341 +2048 1024 +-1961 -2213 +-936 -3701 +2871 2876 +3478 -2994 +1150 736 +2292 -1488 +3580 2447 +1748 724 +563 -4029 +-1943 497 +-1419 -1172 +1800 1656 +-1607 -2766 +-4690 -3296 +-2444 837 +3496 1448 +-2247 710 +5938 -1460 +2139 -2367 +-3640 -2362 +-923 2606 +-4324 -2568 +-4382 -4349 +-3072 0 +-4382 4349 +-4324 2568 +-923 -2606 +-3640 2362 +2139 2367 +5938 1460 +-2247 -710 +3496 -1448 +646 -1598 +-2575 1844 +-916 4956 +-1084 -470 +7875 2107 +-2067 559 +-6311 -972 +1024 0 +-1837 -103 +294 -1297 +119 -1429 +484 1578 +-730 -1585 +-545 -973 +2809 -4647 +600 -1448 +2815 4801 +78 -157 +-3598 -1589 +144 314 +1826 -1158 +3200 -6280 +2715 -206 +1024 0 +2715 206 +3200 6280 +1826 1158 +144 -314 +-3598 1589 +78 157 +2815 -4801 +600 1448 +2809 4647 +-545 973 +-730 1585 +484 -1578 +119 1429 +294 1297 +-1837 103 +1024 0 +-6311 972 +-2067 -559 +7875 -2107 +-1084 470 +-916 -4956 +-2575 -1844 +646 1598 +3496 1448 +-2247 710 +5938 -1460 +2139 -2367 +-3640 -2362 +-923 2606 +-4324 -2568 +-4382 -4349 +1324 -4644 +-1535 -974 +-1451 2391 +2716 410 +-1150 3478 +-2435 3388 +2042 4581 +2640 293 +3072 0 +2640 -293 +2042 -4581 +-2435 -3388 +-1150 -3478 +2716 -410 +-1451 -2391 +-1535 974 +1324 4644 +2653 2237 +-625 836 +-3138 -1416 +292 -1200 +1990 3074 +-1438 9729 +-2441 2452 +0 -1024 +-4126 -1143 +-1838 -603 +-502 3458 +-1141 -1800 +4105 1376 +-1763 1371 +754 -793 +2772 2596 +-3439 1729 +-3154 -1359 +102 815 +6094 18 +-2837 -4571 +34 1967 +5495 -104 +-3072 0 +5495 104 +34 -1967 +-2837 4571 +6094 -18 +102 -815 +-3154 1359 +-3439 -1729 +2772 -2596 +754 793 +-1763 -1371 +4105 -1376 +-1141 1800 +-502 -3458 +-1838 603 +-4126 1143 +0 1024 +-2441 -2452 +-1438 -9729 +1990 -3074 +292 1200 +-3138 1416 +-625 -836 +2653 -2237 +1324 -4644 +-1535 -974 +-1451 2391 +2716 410 +-1150 3478 +-2435 3388 +2042 4581 +2640 293 +0 3496 +-1655 3277 +1795 -2120 +-862 2261 +-2596 -914 +3456 -657 +1207 -2486 +111 348 +5120 0 +111 -348 +1207 2486 +3456 657 +-2596 914 +-862 -2261 +1795 2120 +-1655 -3277 +0 -3496 +6446 158 +446 -1537 +-3289 3560 +4644 -1918 +178 98 +-2295 -1697 +161 72 +-1024 4096 +1552 -4997 +-3094 -3145 +-44 -61 +4644 3026 +-3202 1124 +-1830 -2985 +-757 -893 +0 600 +3326 2590 +-1611 3568 +-2224 1304 +-2596 1762 +-5598 6498 +-2811 3934 +2401 1503 +5120 0 +2401 -1503 +-2811 -3934 +-5598 -6498 +-2596 -1762 +-2224 -1304 +-1611 -3568 +3326 -2590 +0 -600 +-757 893 +-1830 2985 +-3202 -1124 +4644 -3026 +-44 61 +-3094 3145 +1552 4997 +-1024 -4096 +161 -72 +-2295 1697 +178 -98 +4644 1918 +-3289 -3560 +446 1537 +6446 -158 +0 3496 +-1655 3277 +1795 -2120 +-862 2261 +-2596 -914 +3456 -657 +1207 -2486 +111 348 +724 -300 +3824 -1432 +-1841 -1009 +-4055 1794 +2550 -1330 +973 -6162 +-2633 -1734 +-382 -5618 +1024 0 +-382 5618 +-2633 1734 +973 6162 +2550 1330 +-4055 -1794 +-1841 1009 +3824 1432 +724 300 +-1120 -1770 +-1156 1114 +-538 1929 +208 -3792 +-3558 -1375 +-5469 275 +-4426 -4871 +0 1024 +5803 1356 +745 431 +-3878 -1328 +992 -2344 +1757 -110 +216 -150 +-146 6677 +-724 -1748 +339 2072 +-1315 3841 +-61 -5370 +4442 2778 +3566 -1121 +3261 -2206 +1902 -1755 +-1024 0 +1902 1755 +3261 2206 +3566 1121 +4442 -2778 +-61 5370 +-1315 -3841 +339 -2072 +-724 1748 +-146 -6677 +216 150 +1757 110 +992 2344 +-3878 1328 +745 -431 +5803 -1356 +0 -1024 +-4426 4871 +-5469 -275 +-3558 1375 +208 3792 +-538 -1929 +-1156 -1114 +-1120 1770 +724 -300 +3824 -1432 +-1841 -1009 +-4055 1794 +2550 -1330 +973 -6162 +-2633 -1734 +-382 -5618 +-3072 2048 +2138 1294 +-1775 -1960 +-9981 -1815 +-4619 1024 +-420 1262 +3573 -3818 +357 3280 +-4096 0 +357 -3280 +3573 3818 +-420 -1262 +-4619 -1024 +-9981 1815 +-1775 1960 +2138 -1294 +-3072 -2048 +3275 4791 +-1043 2254 +-2082 1437 +51 1024 +1844 -2519 +3435 -1780 +-840 -3246 +0 -4096 +1645 22 +3741 2824 +-2044 -1591 +4294 -1024 +5999 -98 +-3145 -1022 +1326 2189 +-3072 2048 +-2275 2784 +1371 -116 +-616 1609 +274 1024 +1508 -4797 +2036 1431 +167 876 +0 0 +167 -876 +2036 -1431 +1508 4797 +274 -1024 +-616 -1609 +1371 116 +-2275 -2784 +-3072 -2048 +1326 -2189 +-3145 1022 +5999 98 +4294 1024 +-2044 1591 +3741 -2824 +1645 -22 +0 4096 +-840 3246 +3435 1780 +1844 2519 +51 -1024 +-2082 -1437 +-1043 -2254 +3275 -4791 +-3072 2048 +2138 1294 +-1775 -1960 +-9981 -1815 +-4619 1024 +-420 1262 +3573 -3818 +357 3280 +424 4520 +4201 24 +3981 -1290 +-1968 1972 +3784 -254 +3875 5002 +-915 2018 +396 1976 +-1024 0 +396 -1976 +-915 -2018 +3875 -5002 +3784 254 +-1968 -1972 +3981 1290 +4201 -24 +424 -4520 +-4549 -1574 +-4220 -2800 +1366 518 +1567 410 +953 -1895 +-1615 -26 +1365 3300 +1024 0 +-1005 303 +4631 5102 +2061 1008 +-1567 -3086 +1744 689 +-1013 3437 +-7097 -1141 +-2472 1624 +-2132 -2121 +-1645 -1163 +3083 6079 +-3784 854 +471 -2411 +796 -5578 +-2764 691 +3072 0 +-2764 -691 +796 5578 +471 2411 +-3784 -854 +3083 -6079 +-1645 1163 +-2132 2121 +-2472 -1624 +-7097 1141 +-1013 -3437 +1744 -689 +-1567 3086 +2061 -1008 +4631 -5102 +-1005 -303 +1024 0 +1365 -3300 +-1615 26 +953 1895 +1567 -410 +1366 -518 +-4220 2800 +-4549 1574 +424 4520 +4201 24 +3981 -1290 +-1968 1972 +3784 -254 +3875 5002 +-915 2018 +396 1976 +3620 300 +3462 53 +-2097 -5318 +2118 4225 +2080 4372 +-5266 2644 +1322 2479 +3863 -2433 +1024 0 +3863 2433 +1322 -2479 +-5266 -2644 +2080 -4372 +2118 -4225 +-2097 5318 +3462 -53 +3620 -300 +-3043 5714 +-6315 497 +3035 1345 +522 -3986 +-1324 -2923 +-336 879 +-2248 -5364 +2048 -3072 +-4063 -2113 +-1372 -245 +-530 89 +-1370 -1690 +1605 -3044 +143 -5519 +700 331 +-3620 1748 +-470 1925 +1276 2198 +2378 963 +2864 2021 +-2016 -1639 +-813 -706 +1799 1458 +3072 0 +1799 -1458 +-813 706 +-2016 1639 +2864 -2021 +2378 -963 +1276 -2198 +-470 -1925 +-3620 -1748 +700 -331 +143 5519 +1605 3044 +-1370 1690 +-530 -89 +-1372 245 +-4063 2113 +2048 3072 +-2248 5364 +-336 -879 +-1324 2923 +522 3986 +3035 -1345 +-6315 -497 +-3043 -5714 +3620 300 +3462 53 +-2097 -5318 +2118 4225 +2080 4372 +-5266 2644 +1322 2479 +3863 -2433 +-4769 -2472 +539 -927 +693 -343 +-2756 -178 +-3726 1932 +1731 871 +6377 -1734 +1871 3854 +-1024 0 +1871 -3854 +6377 1734 +1731 -871 +-3726 -1932 +-2756 178 +693 343 +539 927 +-4769 2472 +-5133 -2407 +-6484 2246 +239 2102 +2630 -5088 +-3554 1317 +2806 -1023 +-1188 342 +-3072 -2048 +2919 119 +1370 5473 +49 -3726 +-3830 1304 +-1906 2796 +-1164 -1813 +2280 -2142 +6817 424 +1426 -137 +2363 -2517 +-41 1760 +-3267 364 +446 767 +2233 1239 +3078 386 +3072 0 +3078 -386 +2233 -1239 +446 -767 +-3267 -364 +-41 -1760 +2363 2517 +1426 137 +6817 -424 +2280 2142 +-1164 1813 +-1906 -2796 +-3830 -1304 +49 3726 +1370 -5473 +2919 -119 +-3072 2048 +-1188 -342 +2806 1023 +-3554 -1317 +2630 5088 +239 -2102 +-6484 -2246 +-5133 2407 +-4769 -2472 +539 -927 +693 -343 +-2756 -178 +-3726 1932 +1731 871 +6377 -1734 +1871 3854 +124 -2172 +-1661 2440 +802 2647 +-4423 -418 +-2465 2524 +-1284 1391 +2497 -2188 +7240 1465 +0 0 +7240 -1465 +2497 2188 +-1284 -1391 +-2465 -2524 +-4423 418 +802 -2647 +-1661 -2440 +124 2172 +-1482 3424 +2055 283 +-4093 -1688 +-3922 2754 +5799 2712 +-3224 -2551 +1131 -2347 +1024 -1024 +-4212 1954 +1931 4560 +3614 1364 +3322 706 +2149 369 +658 1420 +-640 6925 +-4220 2172 +-2218 -2723 +3478 3785 +-552 4042 +-1032 -476 +-1210 -1173 +-5 -1789 +1841 -949 +-2048 0 +1841 949 +-5 1789 +-1210 1173 +-1032 476 +-552 -4042 +3478 -3785 +-2218 2723 +-4220 -2172 +-640 -6925 +658 -1420 +2149 -369 +3322 -706 +3614 -1364 +1931 -4560 +-4212 -1954 +1024 1024 +1131 2347 +-3224 2551 +5799 -2712 +-3922 -2754 +-4093 1688 +2055 -283 +-1482 -3424 +124 -2172 +-1661 2440 +802 2647 +-4423 -418 +-2465 2524 +-1284 1391 +2497 -2188 +7240 1465 +-1148 -3620 +-844 -2030 +815 -1960 +2850 -2021 +1336 788 +-6 3577 +-1317 3875 +1442 2465 +3072 0 +1442 -2465 +-1317 -3875 +-6 -3577 +1336 -788 +2850 2021 +815 1960 +-844 2030 +-1148 3620 +-1545 2797 +3541 1499 +-3209 3492 +-3458 3234 +3272 -1595 +-14 -3554 +-313 -1244 +-2048 1024 +-5541 2860 +458 1678 +1113 -3127 +-3783 -2910 +5793 2039 +-1309 1380 +-4208 -599 +3196 3620 +-2980 4297 +-150 -1056 +-1208 256 +5904 5356 +2981 2771 +-2023 -1539 +2403 -889 +-3072 0 +2403 889 +-2023 1539 +2981 -2771 +5904 -5356 +-1208 -256 +-150 1056 +-2980 -4297 +3196 -3620 +-4208 599 +-1309 -1380 +5793 -2039 +-3783 2910 +1113 3127 +458 -1678 +-5541 -2860 +-2048 -1024 +-313 1244 +-14 3554 +3272 1595 +-3458 -3234 +-3209 -3492 +3541 -1499 +-1545 -2797 +-1148 -3620 +-844 -2030 +815 -1960 +2850 -2021 +1336 788 +-6 3577 +-1317 3875 +1442 2465 +-1024 1024 +-525 -2458 +1481 537 +3055 1117 +738 4424 +-4723 -3457 +2039 -2329 +5981 1306 +1024 0 +5981 -1306 +2039 2329 +-4723 3457 +738 -4424 +3055 -1117 +1481 -537 +-525 2458 +-1024 -1024 +-2260 153 +-609 2471 +1171 -7356 +-4050 -808 +-2797 -3553 +-2361 -602 +-2272 3266 +3072 -4096 +54 661 +-824 -1674 +-976 -4544 +-2942 -1408 +1447 1407 +2538 1546 +-48 1442 +-1024 1024 +4163 1500 +3583 -262 +-4786 -4386 +-1938 -928 +1815 -3774 +2345 -5735 +701 -365 +-3072 0 +701 365 +2345 5735 +1815 3774 +-1938 928 +-4786 4386 +3583 262 +4163 -1500 +-1024 -1024 +-48 -1442 +2538 -1546 +1447 -1407 +-2942 1408 +-976 4544 +-824 1674 +54 -661 +3072 4096 +-2272 -3266 +-2361 602 +-2797 3553 +-4050 808 +1171 7356 +-609 -2471 +-2260 -153 +-1024 1024 +-525 -2458 +1481 537 +3055 1117 +738 4424 +-4723 -3457 +2039 -2329 +5981 1306 +2048 -4944 +542 -2074 +1009 5562 +-5460 -3650 +2062 0 +2254 1754 +2149 1724 +5036 4644 +-1024 0 +5036 -4644 +2149 -1724 +2254 -1754 +2062 0 +-5460 3650 +1009 -5562 +542 2074 +2048 4944 +-1012 -3343 +-7117 3582 +-1029 5488 +-1278 0 +334 -2053 +202 2759 +451 807 +3072 0 +-2315 1894 +-5251 1690 +-4223 1009 +-170 0 +2154 2181 +1609 -3150 +1190 -922 +2048 848 +2177 1598 +403 3387 +1438 -2553 +-614 0 +-1261 4204 +-1196 4697 +-276 -661 +3072 0 +-276 661 +-1196 -4697 +-1261 -4204 +-614 0 +1438 2553 +403 -3387 +2177 -1598 +2048 -848 +1190 922 +1609 3150 +2154 -2181 +-170 0 +-4223 -1009 +-5251 -1690 +-2315 -1894 +3072 0 +451 -807 +202 -2759 +334 2053 +-1278 0 +-1029 -5488 +-7117 -3582 +-1012 3343 +2048 -4944 +542 -2074 +1009 5562 +-5460 -3650 +2062 0 +2254 1754 +2149 1724 +5036 4644 +-4520 -2896 +787 -4315 +-3260 -1060 +-8188 1469 +1748 5122 +-14 1729 +-302 -195 +1547 -1972 +0 0 +1547 1972 +-302 195 +-14 -1729 +1748 -5122 +-8188 -1469 +-3260 1060 +787 4315 +-4520 2896 +1381 -2451 +-774 1237 +3027 -190 +300 1013 +-2180 3607 +1190 2459 +-4930 1246 +0 -2048 +3372 -184 +3598 -1156 +393 -1691 +300 1013 +1245 2916 +-1339 -499 +334 -3151 +-1624 2896 +394 -2754 +-420 -4772 +1020 -2221 +1748 -5122 +-1096 3100 +1307 676 +2907 -8226 +4096 0 +2907 8226 +1307 -676 +-1096 -3100 +1748 5122 +1020 2221 +-420 4772 +394 2754 +-1624 -2896 +334 3151 +-1339 499 +1245 -2916 +300 -1013 +393 1691 +3598 1156 +3372 184 +0 2048 +-4930 -1246 +1190 -2459 +-2180 -3607 +300 -1013 +3027 190 +-774 -1237 +1381 2451 +-4520 -2896 +787 -4315 +-3260 -1060 +-8188 1469 +1748 5122 +-14 1729 +-302 -195 +1547 -1972 +-300 -1324 +18 -1291 +2422 1140 +758 5880 +1116 -307 +-2952 -3682 +645 994 +3705 764 +-5120 0 +3705 -764 +645 -994 +-2952 3682 +1116 307 +758 -5880 +2422 -1140 +18 1291 +-300 1324 +-1342 3468 +3416 -2526 +1909 -4336 +-1670 2598 +-1857 284 +1681 -4895 +709 -4677 +-6144 -5120 +4293 -2704 +-1865 1277 +-5832 3131 +222 4646 +-1800 1663 +1972 -2017 +-4215 -1574 +-1748 -2772 +1516 -2422 +-818 568 +2237 -770 +332 -1741 +1744 -151 +739 -1814 +1109 -3255 +5120 0 +1109 3255 +739 1814 +1744 151 +332 1741 +2237 770 +-818 -568 +1516 2422 +-1748 2772 +-4215 1574 +1972 2017 +-1800 -1663 +222 -4646 +-5832 -3131 +-1865 -1277 +4293 2704 +-6144 5120 +709 4677 +1681 4895 +-1857 -284 +-1670 -2598 +1909 4336 +3416 2526 +-1342 -3468 +-300 -1324 +18 -1291 +2422 1140 +758 5880 +1116 -307 +-2952 -3682 +645 994 +3705 764 +-4944 1448 +-638 1493 +-538 -2966 +-1265 4445 +938 2557 +925 -4988 +2275 5915 +874 1510 +1024 0 +874 -1510 +2275 -5915 +925 4988 +938 -2557 +-1265 -4445 +-538 2966 +-638 -1493 +-4944 -1448 +-848 1007 +-133 878 +7728 -2596 +4738 1228 +-4643 1940 +405 -116 +3737 2018 +5120 -2048 +1377 275 +1708 681 +-585 1886 +-3290 4124 +-703 -1497 +-871 731 +-626 1518 +848 -1448 +-1023 -5078 +-4250 -5374 +1809 750 +-2387 340 +-3265 837 +1405 3074 +-2853 844 +-3072 0 +-2853 -844 +1405 -3074 +-3265 -837 +-2387 -340 +1809 -750 +-4250 5374 +-1023 5078 +848 1448 +-626 -1518 +-871 -731 +-703 1497 +-3290 -4124 +-585 -1886 +1708 -681 +1377 -275 +5120 2048 +3737 -2018 +405 116 +-4643 -1940 +4738 -1228 +7728 2596 +-133 -878 +-848 -1007 +-4944 1448 +-638 1493 +-538 -2966 +-1265 4445 +938 2557 +925 -4988 +2275 5915 +874 1510 +-2772 -1748 +-2285 -1272 +-417 -3111 +1339 -5073 +3189 2140 +-1225 -1631 +-646 -362 +186 1212 +-3072 0 +186 -1212 +-646 362 +-1225 1631 +3189 -2140 +1339 5073 +-417 3111 +-2285 1272 +-2772 1748 +1002 2141 +-249 2448 +-347 -1634 +3198 -646 +3254 -992 +-559 6311 +-1336 1558 +4096 1024 +1691 4523 +-1829 -2693 +676 1677 +-4046 -1246 +-2539 2501 +2325 2095 +-2588 -4027 +-1324 -300 +87 -6464 +-2858 -2538 +-20 5423 +1756 1356 +-1138 516 +-3958 2446 +3244 186 +11264 0 +3244 -186 +-3958 -2446 +-1138 -516 +1756 -1356 +-20 -5423 +-2858 2538 +87 6464 +-1324 300 +-2588 4027 +2325 -2095 +-2539 -2501 +-4046 1246 +676 -1677 +-1829 2693 +1691 -4523 +4096 -1024 +-1336 -1558 +-559 -6311 +3254 992 +3198 646 +-347 1634 +-249 -2448 +1002 -2141 +-2772 -1748 +-2285 -1272 +-417 -3111 +1339 -5073 +3189 2140 +-1225 -1631 +-646 -362 +186 1212 +3496 0 +1161 -276 +-6559 -680 +-4088 399 +1258 7040 +1543 1282 +794 257 +3460 -258 +7168 0 +3460 258 +794 -257 +1543 -1282 +1258 -7040 +-4088 -399 +-6559 680 +1161 276 +3496 0 +-474 -4378 +-772 -445 +324 1814 +-4090 -749 +-2329 -2279 +1663 -2121 +1354 -2746 +5120 4096 +-2774 951 +-3046 -2461 +672 1757 +-5199 100 +1466 1400 +-832 -4569 +-2688 -1114 +600 0 +-1783 -5595 +1170 -548 +731 -1462 +3934 -2096 +1682 2105 +-610 2299 +1744 -5181 +-1024 0 +1744 5181 +-610 -2299 +1682 -2105 +3934 2096 +731 1462 +1170 548 +-1783 5595 +600 0 +-2688 1114 +-832 4569 +1466 -1400 +-5199 -100 +672 -1757 +-3046 2461 +-2774 -951 +5120 -4096 +1354 2746 +1663 2121 +-2329 2279 +-4090 749 +324 -1814 +-772 445 +-474 4378 +3496 0 +1161 -276 +-6559 -680 +-4088 399 +1258 7040 +1543 1282 +794 257 +3460 -258 +600 0 +-4484 -2688 +5860 -1852 +-5272 2020 +-3666 -3496 +455 -6968 +-3392 1238 +2716 5561 +1024 0 +2716 -5561 +-3392 -1238 +455 6968 +-3666 3496 +-5272 -2020 +5860 1852 +-4484 2688 +600 0 +2623 -1120 +-2441 -4701 +189 -4389 +1462 -600 +3993 -611 +-120 -133 +1726 -941 +3072 -4096 +-712 -3227 +-425 -1242 +1923 402 +-1214 600 +-1421 -3023 +-339 -2025 +-186 1123 +3496 0 +-170 3052 +2713 4527 +1153 -1910 +-4774 -3496 +-1019 -1468 +-1855 -2347 +-1514 -1534 +1024 0 +-1514 1534 +-1855 2347 +-1019 1468 +-4774 3496 +1153 1910 +2713 -4527 +-170 -3052 +3496 0 +-186 -1123 +-339 2025 +-1421 3023 +-1214 -600 +1923 -402 +-425 1242 +-712 3227 +3072 4096 +1726 941 +-120 133 +3993 611 +1462 600 +189 4389 +-2441 4701 +2623 1120 +600 0 +-4484 -2688 +5860 -1852 +-5272 2020 +-3666 -3496 +455 -6968 +-3392 1238 +2716 5561 +4769 -1448 +-969 1205 +2763 -187 +4465 -5157 +1822 -2557 +2616 1653 +-1188 1158 +-1415 -1419 +4096 0 +-1415 1419 +-1188 -1158 +2616 -1653 +1822 2557 +4465 5157 +2763 187 +-969 -1205 +4769 1448 +3265 1084 +-1354 -158 +2753 -3635 +-4194 -1228 +-3161 1276 +-621 491 +-1944 1846 +0 -2048 +-4559 -136 +-1492 1118 +468 -4135 +698 -4124 +-2179 -4084 +2358 2999 +2383 5427 +-6817 1448 +-7 4338 +2025 -752 +992 -2284 +-2422 -340 +-161 -72 +-2492 3566 +-2547 -1762 +8192 0 +-2547 1762 +-2492 -3566 +-161 72 +-2422 340 +992 2284 +2025 752 +-7 -4338 +-6817 -1448 +2383 -5427 +2358 -2999 +-2179 4084 +698 4124 +468 4135 +-1492 -1118 +-4559 136 +0 2048 +-1944 -1846 +-621 -491 +-3161 -1276 +-4194 1228 +2753 3635 +-1354 158 +3265 -1084 +4769 -1448 +-969 1205 +2763 -187 +4465 -5157 +1822 -2557 +2616 1653 +-1188 1158 +-1415 -1419 +-3620 -300 +-1249 1208 +2386 1785 +2599 -913 +-1480 380 +-596 243 +1301 -3114 +-724 2059 +-1024 0 +-724 -2059 +1301 3114 +-596 -243 +-1480 -380 +2599 913 +2386 -1785 +-1249 -1208 +-3620 300 +903 -920 +1058 1733 +-524 -766 +2974 -1727 +-3828 1008 +-2672 -982 +4098 1445 +2048 -1024 +2422 97 +-4609 -4102 +-3369 -3321 +4866 2969 +-2090 -1644 +-3226 2857 +1775 -471 +3620 -1748 +2796 -4544 +-1419 -3558 +-1994 1603 +-2264 -7972 +-1784 -3005 +-1013 -2902 +1564 -7191 +5120 0 +1564 7191 +-1013 2902 +-1784 3005 +-2264 7972 +-1994 -1603 +-1419 3558 +2796 4544 +3620 1748 +1775 471 +-3226 -2857 +-2090 1644 +4866 -2969 +-3369 3321 +-4609 4102 +2422 -97 +2048 1024 +4098 -1445 +-2672 982 +-3828 -1008 +2974 1727 +-524 766 +1058 -1733 +903 920 +-3620 -300 +-1249 1208 +2386 1785 +2599 -913 +-1480 380 +-596 243 +1301 -3114 +-724 2059 +-2472 1448 +-3539 -3328 +-1670 -178 +805 -1251 +-60 -1762 +5680 1283 +1116 1647 +-3265 -7547 +2048 0 +-3265 7547 +1116 -1647 +5680 -1283 +-60 1762 +805 1251 +-1670 178 +-3539 3328 +-2472 -1448 +-3890 -1803 +222 2714 +4571 443 +1168 3026 +2457 1223 +332 2026 +-5266 4018 +2048 4096 +1993 419 +332 1686 +14 5464 +-2616 -1918 +1958 -3250 +222 -1410 +3081 2465 +424 -1448 +-5228 -5636 +-1670 -1049 +-3109 1005 +1508 914 +-790 -1788 +1116 909 +4529 -1093 +-2048 0 +4529 1093 +1116 -909 +-790 1788 +1508 -914 +-3109 -1005 +-1670 1049 +-5228 5636 +424 1448 +3081 -2465 +222 1410 +1958 3250 +-2616 1918 +14 -5464 +332 -1686 +1993 -419 +2048 -4096 +-5266 -4018 +332 -2026 +2457 -1223 +1168 -3026 +4571 -443 +222 -2714 +-3890 1803 +-2472 1448 +-3539 -3328 +-1670 -178 +805 -1251 +-60 -1762 +5680 1283 +1116 1647 +-3265 -7547 +-6093 2772 +-681 -1265 +1700 -3514 +-2973 -1103 +2465 4516 +-1256 6503 +-2581 2317 +4465 -729 +3072 0 +4465 729 +-2581 -2317 +-1256 -6503 +2465 -4516 +-2973 1103 +1700 3514 +-681 1265 +-6093 -2772 +-2602 -997 +-496 2314 +3217 -1895 +3922 -3503 +-1933 782 +2299 2145 +-242 3225 +-2048 3072 +4600 1651 +1161 3881 +-461 1735 +-3322 -606 +863 4575 +-2505 2795 +-3875 -2029 +4045 1324 +-649 1432 +1300 -101 +430 580 +1032 -1620 +-3680 1443 +-878 3515 +4776 -2045 +-3072 0 +4776 2045 +-878 -3515 +-3680 -1443 +1032 1620 +430 -580 +1300 101 +-649 -1432 +4045 -1324 +-3875 2029 +-2505 -2795 +863 -4575 +-3322 606 +-461 -1735 +1161 -3881 +4600 -1651 +-2048 -3072 +-242 -3225 +2299 -2145 +-1933 -782 +3922 3503 +3217 1895 +-496 -2314 +-2602 997 +-6093 2772 +-681 -1265 +1700 -3514 +-2973 -1103 +2465 4516 +-1256 6503 +-2581 2317 +4465 -729 +-124 8141 +-740 3894 +-1026 -482 +-83 -1786 +-2200 -2924 +1719 3255 +-815 -2278 +-5036 955 +-1024 0 +-5036 -955 +-815 2278 +1719 -3255 +-2200 2924 +-83 1786 +-1026 482 +-740 -3894 +-124 -8141 +2361 -606 +2484 -872 +956 151 +1814 2538 +1300 1979 +2381 121 +634 -2253 +0 1024 +-1257 4085 +2852 -7943 +4881 -6988 +-3862 3138 +-1965 -3801 +-2365 -597 +-617 995 +4220 -1997 +-2332 -1743 +-1990 -443 +204 -22 +152 -572 +-1220 3905 +-1521 1207 +1195 3535 +1024 0 +1195 -3535 +-1521 -1207 +-1220 -3905 +152 572 +204 22 +-1990 443 +-2332 1743 +4220 1997 +-617 -995 +-2365 597 +-1965 3801 +-3862 -3138 +4881 6988 +2852 7943 +-1257 -4085 +0 -1024 +634 2253 +2381 -121 +1300 -1979 +1814 -2538 +956 -151 +2484 872 +2361 606 +-124 8141 +-740 3894 +-1026 -482 +-83 -1786 +-2200 -2924 +1719 3255 +-815 -2278 +-5036 955 +-2048 -1448 +-2731 -906 +-604 -247 +-2412 -653 +1168 4678 +4827 4174 +1915 -5137 +1227 3282 +-1024 0 +1227 -3282 +1915 5137 +4827 -4174 +1168 -4678 +-2412 653 +-604 247 +-2731 906 +-2048 1448 +-2877 -410 +-1459 -1773 +-3267 2887 +1508 -1219 +5341 1010 +299 3917 +-2114 -1111 +-3072 0 +1179 -5039 +965 1073 +1859 6114 +-60 1678 +1372 4162 +5399 423 +350 2143 +-2048 1448 +-2072 563 +760 6539 +-3500 -968 +-2616 -1782 +1574 3826 +917 -1803 +1244 -4313 +-1024 0 +1244 4313 +917 1803 +1574 -3826 +-2616 1782 +-3500 968 +760 -6539 +-2072 -563 +-2048 -1448 +350 -2143 +5399 -423 +1372 -4162 +-60 -1678 +1859 -6114 +965 -1073 +1179 5039 +-3072 0 +-2114 1111 +299 -3917 +5341 -1010 +1508 1219 +-3267 -2887 +-1459 1773 +-2877 410 +-2048 -1448 +-2731 -906 +-604 -247 +-2412 -653 +1168 4678 +4827 4174 +1915 -5137 +1227 3282 +300 -3196 +2626 -4265 +2479 606 +-2762 1199 +5070 -1586 +306 -3302 +-5186 2123 +-199 -193 +-4096 0 +-199 193 +-5186 -2123 +306 3302 +5070 1586 +-2762 -1199 +2479 -606 +2626 4265 +300 3196 +-2093 -5437 +-520 -2049 +513 1186 +-2165 1984 +-358 3684 +108 3703 +-369 2738 +1024 1024 +-847 -2034 +-669 -4841 +5223 2405 +-732 2584 +2044 2669 +6432 4058 +702 -5116 +1748 1148 +332 4275 +298 206 +-3580 2812 +-2174 -1910 +-1387 -3641 +-2943 420 +-152 3301 +-2048 0 +-152 -3301 +-2943 -420 +-1387 3641 +-2174 1910 +-3580 -2812 +298 -206 +332 -4275 +1748 -1148 +702 5116 +6432 -4058 +2044 -2669 +-732 -2584 +5223 -2405 +-669 4841 +-847 2034 +1024 -1024 +-369 -2738 +108 -3703 +-358 -3684 +-2165 -1984 +513 -1186 +-520 2049 +-2093 5437 +300 -3196 +2626 -4265 +2479 606 +-2762 1199 +5070 -1586 +306 -3302 +-5186 2123 +-199 -193 +1448 1448 +-168 -4054 +1878 -1721 +1109 -907 +4184 -1338 +1268 -2279 +730 -4006 +2526 505 +-5120 0 +2526 -505 +730 4006 +1268 2279 +4184 1338 +1109 907 +1878 1721 +-168 4054 +1448 -1448 +-1519 -4471 +1833 3991 +-2246 8823 +-3725 554 +1678 -2913 +-2033 -1444 +2122 -3316 +3072 0 +4104 -1148 +141 -2700 +-1381 541 +2276 554 +-2634 758 +-2617 -2321 +308 -813 +-1448 -1448 +-6190 -3952 +-1095 3600 +636 73 +-2735 1338 +1570 4772 +1162 -5442 +-1183 -1808 +-1024 0 +-1183 1808 +1162 5442 +1570 -4772 +-2735 -1338 +636 -73 +-1095 -3600 +-6190 3952 +-1448 1448 +308 813 +-2617 2321 +-2634 -758 +2276 -554 +-1381 -541 +141 2700 +4104 1148 +3072 0 +2122 3316 +-2033 1444 +1678 2913 +-3725 -554 +-2246 -8823 +1833 -3991 +-1519 4471 +1448 1448 +-168 -4054 +1878 -1721 +1109 -907 +4184 -1338 +1268 -2279 +730 -4006 +2526 505 +1324 4396 +-294 -1577 +-829 1125 +4017 3214 +-392 -2380 +-3182 2064 +1005 -1276 +3118 -564 +5120 0 +3118 564 +1005 1276 +-3182 -2064 +-392 2380 +4017 -3214 +-829 -1125 +-294 1577 +1324 -4396 +-380 -5526 +-1051 -1784 +2136 3335 +946 -2270 +1243 -2780 +3123 377 +-2868 -394 +4096 1024 +-117 4933 +-7846 3429 +3352 341 +-946 378 +-2791 -1125 +112 -152 +-763 356 +2772 5844 +-1460 2787 +-2327 -1190 +-466 -1642 +392 -3164 +1483 1295 +-377 -5560 +-3029 -4574 +-5120 0 +-3029 4574 +-377 5560 +1483 -1295 +392 3164 +-466 1642 +-2327 1190 +-1460 -2787 +2772 -5844 +-763 -356 +112 152 +-2791 1125 +-946 -378 +3352 -341 +-7846 -3429 +-117 -4933 +4096 -1024 +-2868 394 +3123 -377 +1243 2780 +946 2270 +2136 -3335 +-1051 1784 +-380 5526 +1324 4396 +-294 -1577 +-829 1125 +4017 3214 +-392 -2380 +-3182 2064 +1005 -1276 +3118 -564 +0 -1024 +835 3332 +389 742 +-1392 -1581 +-360 2458 +4261 1387 +1355 2528 +2429 1051 +6144 0 +2429 -1051 +1355 -2528 +4261 -1387 +-360 -2458 +-1392 1581 +389 -742 +835 -3332 +0 1024 +-1205 -3675 +3506 -2500 +2490 128 +-580 2902 +-1960 8346 +382 751 +-3333 785 +-2048 2048 +5153 -3468 +-2458 307 +-3243 -60 +-4364 -1794 +-606 -1676 +1098 -269 +-3401 2981 +0 -1024 +-13 -901 +1998 -1407 +-2059 -2231 +1208 5134 +2510 -217 +1920 -5868 +-465 2057 +-10240 0 +-465 -2057 +1920 5868 +2510 217 +1208 -5134 +-2059 2231 +1998 1407 +-13 901 +0 1024 +-3401 -2981 +1098 269 +-606 1676 +-4364 1794 +-3243 60 +-2458 -307 +5153 3468 +-2048 -2048 +-3333 -785 +382 -751 +-1960 -8346 +-580 -2902 +2490 -128 +3506 2500 +-1205 3675 +0 -1024 +835 3332 +389 742 +-1392 -1581 +-360 2458 +4261 1387 +1355 2528 +2429 1051 +-3196 -2348 +3160 -4607 +-1760 848 +-152 1642 +4332 692 +-3298 2169 +-3037 1053 +-2274 -2194 +-6144 0 +-2274 2194 +-3037 -1053 +-3298 -2169 +4332 -692 +-152 -1642 +-1760 -848 +3160 4607 +-3196 2348 +-1155 237 +750 -2036 +-1992 -1656 +1886 802 +-4699 -983 +-4610 -464 +4563 -1158 +3072 3072 +-1296 -685 +-2241 -501 +3770 1469 +2210 -2694 +457 2084 +8007 1565 +3757 766 +1148 -3796 +918 672 +-2404 1553 +358 -4583 +-236 -92 +-237 -604 +-2897 5903 +-1882 3996 +4096 0 +-1882 -3996 +-2897 -5903 +-237 604 +-236 92 +358 4583 +-2404 -1553 +918 -672 +1148 3796 +3757 -766 +8007 -1565 +457 -2084 +2210 2694 +3770 -1469 +-2241 501 +-1296 685 +3072 -3072 +4563 1158 +-4610 464 +-4699 983 +1886 -802 +-1992 1656 +750 2036 +-1155 -237 +-3196 -2348 +3160 -4607 +-1760 848 +-152 1642 +4332 692 +-3298 2169 +-3037 1053 +-2274 -2194 +2048 848 +-145 -2520 +-1154 2403 +-1913 3672 +-2376 -2546 +-5242 -3705 +-1454 -7742 +1124 1698 +-5120 0 +1124 -1698 +-1454 7742 +-5242 3705 +-2376 2546 +-1913 -3672 +-1154 -2403 +-145 2520 +2048 -848 +-1209 925 +-1109 -3196 +-231 3735 +2856 4918 +1829 -5179 +1309 -81 +1684 511 +-3072 -2048 +989 -1981 +-865 -2586 +318 -899 +640 -26 +-1972 1022 +3341 3124 +3840 -367 +2048 -4944 +1977 3812 +1819 5116 +-2565 -960 +2976 1698 +3983 -575 +-1886 -1755 +-2468 794 +-5120 0 +-2468 -794 +-1886 1755 +3983 575 +2976 -1698 +-2565 960 +1819 -5116 +1977 -3812 +2048 4944 +3840 367 +3341 -3124 +-1972 -1022 +640 26 +318 899 +-865 2586 +989 1981 +-3072 2048 +1684 -511 +1309 81 +1829 5179 +2856 -4918 +-231 -3735 +-1109 3196 +-1209 -925 +2048 848 +-145 -2520 +-1154 2403 +-1913 3672 +-2376 -2546 +-5242 -3705 +-1454 -7742 +1124 1698 +0 -2048 +1533 1033 +2051 1032 +-2289 1516 +-1978 -2252 +1258 -4361 +-3988 -1916 +-4017 2071 +-1024 0 +-4017 -2071 +-3988 1916 +1258 4361 +-1978 2252 +-2289 -1516 +2051 -1032 +1533 -1033 +0 2048 +-3857 -1480 +1105 2714 +2313 7312 +2930 -1364 +3573 -202 +-6598 -1886 +1566 -307 +3072 0 +-3942 484 +1929 43 +-2437 -3048 +-3530 3581 +-786 -1049 +-1328 -1670 +-548 -1232 +0 -2048 +3760 -417 +6861 -4217 +5227 899 +-1519 3100 +-1065 -1693 +-32 -3148 +-288 1486 +3072 0 +-288 -1486 +-32 3148 +-1065 1693 +-1519 -3100 +5227 -899 +6861 4217 +3760 417 +0 2048 +-548 1232 +-1328 1670 +-786 1049 +-3530 -3581 +-2437 3048 +1929 -43 +-3942 -484 +3072 0 +1566 307 +-6598 1886 +3573 202 +2930 1364 +2313 -7312 +1105 -2714 +-3857 1480 +0 -2048 +1533 1033 +2051 1032 +-2289 1516 +-1978 -2252 +1258 -4361 +-3988 -1916 +-4017 2071 +-2772 2172 +-2150 213 +-323 1543 +-1368 -2254 +3092 -32 +1495 2580 +-483 -697 +952 2475 +0 0 +952 -2475 +-483 697 +1495 -2580 +3092 32 +-1368 2254 +-323 -1543 +-2150 -213 +-2772 -2172 +880 -1266 +-3287 -777 +-2139 3786 +765 1526 +1235 -3466 +4600 2478 +-214 -4333 +1024 1024 +5906 4379 +-321 -1646 +-1471 -1472 +-4262 -3418 +-6956 -1210 +1995 -3334 +3004 1866 +-1324 -2172 +274 -6217 +2815 -1204 +3102 -3391 +-3692 -816 +-5484 -1234 +3195 -531 +2933 -2898 +-2048 0 +2933 2898 +3195 531 +-5484 1234 +-3692 816 +3102 3391 +2815 1204 +274 6217 +-1324 2172 +3004 -1866 +1995 3334 +-6956 1210 +-4262 3418 +-1471 1472 +-321 1646 +5906 -4379 +1024 -1024 +-214 4333 +4600 -2478 +1235 3466 +765 -1526 +-2139 -3786 +-3287 777 +880 1266 +-2772 2172 +-2150 213 +-323 1543 +-1368 -2254 +3092 -32 +1495 2580 +-483 -697 +952 2475 +724 -1748 +1193 3454 +-3718 -2229 +487 -2132 +2634 2694 +-5256 1288 +-1117 -1848 +1685 1887 +-1024 0 +1685 -1887 +-1117 1848 +-5256 -1288 +2634 -2694 +487 2132 +-3718 2229 +1193 -3454 +724 1748 +4515 867 +1249 -1348 +-768 -350 +1860 692 +-1151 6611 +2499 -4104 +-2156 -5676 +-2048 -1024 +2851 1456 +58 3501 +957 -997 +-2708 92 +-890 -6892 +-21 -8083 +-3545 -3575 +-724 -300 +-834 -1553 +-406 -3306 +2059 3526 +2310 802 +-1231 1439 +1457 2461 +2084 -2396 +-3072 0 +2084 2396 +1457 -2461 +-1231 -1439 +2310 -802 +2059 -3526 +-406 3306 +-834 1553 +-724 300 +-3545 3575 +-21 8083 +-890 6892 +-2708 -92 +957 997 +58 -3501 +2851 -1456 +-2048 1024 +-2156 5676 +2499 4104 +-1151 -6611 +1860 -692 +-768 350 +1249 1348 +4515 -867 +724 -1748 +1193 3454 +-3718 -2229 +487 -2132 +2634 2694 +-5256 1288 +-1117 -1848 +1685 1887 +-3620 -124 +-402 451 +-756 -4568 +802 -2946 +1070 -1574 +2918 -2897 +3253 2330 +-404 -1374 +0 0 +-404 1374 +3253 -2330 +2918 2897 +1070 1574 +802 2946 +-756 4568 +-402 -451 +-3620 124 +1783 3541 +2598 -2828 +-4948 119 +-3828 2765 +-1471 -5409 +322 1105 +554 2340 +3072 5120 +1297 1809 +55 -3618 +1734 2426 +-4612 -1331 +-4025 608 +-3122 -1889 +-972 -319 +3620 4220 +271 802 +-417 1412 +-77 980 +-822 5670 +-726 2485 +6259 -2958 +3666 163 +-6144 0 +3666 -163 +6259 2958 +-726 -2485 +-822 -5670 +-77 -980 +-417 -1412 +271 -802 +3620 -4220 +-972 319 +-3122 1889 +-4025 -608 +-4612 1331 +1734 -2426 +55 3618 +1297 -1809 +3072 -5120 +554 -2340 +322 -1105 +-1471 5409 +-3828 -2765 +-4948 -119 +2598 2828 +1783 -3541 +-3620 -124 +-402 451 +-756 -4568 +802 -2946 +1070 -1574 +2918 -2897 +3253 2330 +-404 -1374 +0 5544 +1704 2309 +5382 -1808 +10 -3587 +640 195 +4307 657 +-245 3571 +-493 -4304 +1024 0 +-493 4304 +-245 -3571 +4307 -657 +640 -195 +10 3587 +5382 1808 +1704 -2309 +0 -5544 +-663 -4598 +516 610 +-529 -2973 +-2376 758 +-801 3248 +-1636 32 +1716 -1147 +-1024 0 +-457 -501 +971 -1468 +-1830 773 +2976 5702 +-2144 3718 +-960 -5930 +2865 -702 +0 2648 +2774 1219 +-2041 -138 +1334 -498 +2856 654 +-6140 76 +-1987 7715 +-1654 2182 +-7168 0 +-1654 -2182 +-1987 -7715 +-6140 -76 +2856 -654 +1334 498 +-2041 138 +2774 -1219 +0 -2648 +2865 702 +-960 5930 +-2144 -3718 +2976 -5702 +-1830 -773 +971 1468 +-457 501 +-1024 0 +1716 1147 +-1636 -32 +-801 -3248 +-2376 -758 +-529 2973 +516 -610 +-663 4598 +0 5544 +1704 2309 +5382 -1808 +10 -3587 +640 195 +4307 657 +-245 3571 +-493 -4304 +0 -424 +-2941 639 +1308 133 +3679 2529 +-5352 -1214 +-6499 753 +-1722 1969 +-187 2463 +2048 0 +-187 -2463 +-1722 -1969 +-6499 -753 +-5352 1214 +3679 -2529 +1308 -133 +-2941 -639 +0 424 +1635 1417 +-743 -99 +1921 -996 +2217 -3666 +-1218 2073 +113 -3685 +-1496 -7127 +-2048 0 +961 1363 +4130 1104 +-1029 4365 +-2217 4774 +2169 1396 +-4149 2014 +-56 -3621 +0 2472 +-1773 4212 +3584 -3813 +-200 1559 +5352 1462 +1177 -158 +-2521 -2974 +3857 -3861 +2048 0 +3857 3861 +-2521 2974 +1177 158 +5352 -1462 +-200 -1559 +3584 3813 +-1773 -4212 +0 -2472 +-56 3621 +-4149 -2014 +2169 -1396 +-2217 -4774 +-1029 -4365 +4130 -1104 +961 -1363 +-2048 0 +-1496 7127 +113 3685 +-1218 -2073 +2217 3666 +1921 996 +-743 99 +1635 -1417 +0 -424 +-2941 639 +1308 133 +3679 2529 +-5352 -1214 +-6499 753 +-1722 1969 +-187 2463 +-3021 300 +-5 -2780 +-2346 -764 +-1727 4382 +3622 -222 +2727 3355 +818 3301 +1589 -3819 +-1024 0 +1589 3819 +818 -3301 +2727 -3355 +3622 222 +-1727 -4382 +-2346 764 +-5 2780 +-3021 -300 +-406 1326 +5869 753 +-3257 634 +-717 -1116 +1376 2829 +1924 -288 +4543 -2851 +-2048 3072 +4710 -2268 +293 -184 +-3440 1417 +717 332 +-1083 1276 +-517 -5603 +1150 -2055 +7117 1748 +2837 1279 +-3005 201 +-5076 -2484 +-3622 1670 +-1104 4681 +-3034 2596 +-2833 -1911 +-3072 0 +-2833 1911 +-3034 -2596 +-1104 -4681 +-3622 -1670 +-5076 2484 +-3005 -201 +2837 -1279 +7117 -1748 +1150 2055 +-517 5603 +-1083 -1276 +717 -332 +-3440 -1417 +293 184 +4710 2268 +-2048 -3072 +4543 2851 +1924 288 +1376 -2829 +-717 1116 +-3257 -634 +5869 -753 +-406 -1326 +-3021 300 +-5 -2780 +-2346 -764 +-1727 4382 +3622 -222 +2727 3355 +818 3301 +1589 -3819 +2772 -3796 +699 205 +-2189 1090 +-5354 -3873 +-4118 1226 +1051 -1946 +-1559 -3524 +-1309 4109 +3072 0 +-1309 -4109 +-1559 3524 +1051 1946 +-4118 -1226 +-5354 3873 +-2189 -1090 +699 -205 +2772 3796 +655 3055 +769 946 +3150 -2569 +3576 -2564 +3253 -2712 +354 -305 +237 4485 +2048 7168 +868 -917 +754 -2197 +-3426 2679 +-4776 1780 +3402 335 +1907 1729 +-3135 4589 +1324 -2348 +1781 1667 +-486 -307 +-1198 170 +-2875 3118 +-878 -7462 +450 1631 +204 -982 +1024 0 +204 982 +450 -1631 +-878 7462 +-2875 -3118 +-1198 -170 +-486 307 +1781 -1667 +1324 2348 +-3135 -4589 +1907 -1729 +3402 -335 +-4776 -1780 +-3426 -2679 +754 2197 +868 917 +2048 -7168 +237 -4485 +354 305 +3253 2712 +3576 2564 +3150 2569 +769 -946 +655 -3055 +2772 -3796 +699 205 +-2189 1090 +-5354 -3873 +-4118 1226 +1051 -1946 +-1559 -3524 +-1309 4109 +-300 724 +-6482 18 +-5989 -5190 +1883 542 +1006 1306 +160 1121 +-787 -2043 +-1971 -809 +3072 0 +-1971 809 +-787 2043 +160 -1121 +1006 -1306 +1883 -542 +-5989 5190 +-6482 -18 +-300 -724 +2483 6844 +-442 -1912 +2027 -567 +-776 972 +-1022 -1710 +270 -121 +-2976 -51 +0 5120 +-3147 843 +-2502 -1348 +-883 -2103 +2224 -3972 +3731 961 +3782 -1573 +6182 -808 +-1748 -724 +-1974 211 +5545 2633 +5071 -4677 +-2454 -2154 +619 1014 +123 -2081 +-3699 1392 +1024 0 +-3699 -1392 +123 2081 +619 -1014 +-2454 2154 +5071 4677 +5545 -2633 +-1974 -211 +-1748 724 +6182 808 +3782 1573 +3731 -961 +2224 3972 +-883 2103 +-2502 1348 +-3147 -843 +0 -5120 +-2976 51 +270 121 +-1022 1710 +-776 -972 +2027 567 +-442 1912 +2483 -6844 +-300 724 +-6482 18 +-5989 -5190 +1883 542 +1006 1306 +160 1121 +-787 -2043 +-1971 -809 +-1024 -1024 +-682 -1148 +-3314 -1573 +-4663 -1212 +-1652 3446 +-2360 -4469 +167 -3771 +851 -419 +-1024 0 +851 419 +167 3771 +-2360 4469 +-1652 -3446 +-4663 1212 +-3314 1573 +-682 1148 +-1024 1024 +5176 -2677 +3808 2305 +2430 4150 +2132 326 +-96 -2707 +4211 -3327 +1396 -1337 +-1024 6144 +-1744 1028 +-427 2401 +2288 7076 +-84 -5218 +-426 -717 +-2240 5046 +955 2166 +-1024 -1024 +-2553 1656 +1747 2617 +-238 -4231 +3700 -798 +-2728 -309 +-3951 -390 +2394 849 +-1024 0 +2394 -849 +-3951 390 +-2728 309 +3700 798 +-238 4231 +1747 -2617 +-2553 -1656 +-1024 1024 +955 -2166 +-2240 -5046 +-426 717 +-84 5218 +2288 -7076 +-427 -2401 +-1744 -1028 +-1024 -6144 +1396 1337 +4211 3327 +-96 2707 +2132 -326 +2430 -4150 +3808 -2305 +5176 2677 +-1024 -1024 +-682 -1148 +-3314 -1573 +-4663 -1212 +-1652 3446 +-2360 -4469 +167 -3771 +851 -419 +-2772 2772 +4377 -1875 +1693 -5270 +-1754 2737 +2694 -3078 +1637 -1166 +2699 1958 +4194 -1481 +2048 0 +4194 1481 +2699 -1958 +1637 1166 +2694 3078 +-1754 -2737 +1693 5270 +4377 1875 +-2772 -2772 +-1950 -1651 +-1344 1880 +-3575 4119 +692 -4092 +-572 1673 +-142 -2818 +7179 -2506 +5120 1024 +-2297 -2419 +-6355 3523 +-4781 -3930 +-92 -2044 +-1549 2965 +-2716 -915 +-592 -1131 +-1324 1324 +173 3962 +1167 -421 +-483 -107 +802 1030 +-509 -654 +-3195 1487 +501 3135 +4096 0 +501 -3135 +-3195 -1487 +-509 654 +802 -1030 +-483 107 +1167 421 +173 -3962 +-1324 -1324 +-592 1131 +-2716 915 +-1549 -2965 +-92 2044 +-4781 3930 +-6355 -3523 +-2297 2419 +5120 -1024 +7179 2506 +-142 2818 +-572 -1673 +692 4092 +-3575 -4119 +-1344 -1880 +-1950 1651 +-2772 2772 +4377 -1875 +1693 -5270 +-1754 2737 +2694 -3078 +1637 -1166 +2699 1958 +4194 -1481 +2472 -600 +987 8 +2410 -2829 +-2102 2682 +410 -989 +1445 -1974 +-3904 -3829 +-1384 1817 +2048 0 +-1384 -1817 +-3904 3829 +1445 1974 +410 989 +-2102 -2682 +2410 2829 +987 -8 +2472 600 +1582 6570 +-1439 1282 +3636 -2051 +854 -5012 +-2460 -486 +-2794 -3995 +-3408 169 +0 2048 +-706 -4303 +-259 2997 +-555 -5235 +-254 -7908 +4760 293 +3071 82 +-207 -789 +-424 -3496 +-146 -2016 +-2345 4029 +-942 1878 +3086 -1907 +-3782 -558 +-2932 -3163 +3282 -938 +2048 0 +3282 938 +-2932 3163 +-3782 558 +3086 1907 +-942 -1878 +-2345 -4029 +-146 2016 +-424 3496 +-207 789 +3071 -82 +4760 -293 +-254 7908 +-555 5235 +-259 -2997 +-706 4303 +0 -2048 +-3408 -169 +-2794 3995 +-2460 486 +854 5012 +3636 2051 +-1439 -1282 +1582 -6570 +2472 -600 +987 8 +2410 -2829 +-2102 2682 +410 -989 +1445 -1974 +-3904 -3829 +-1384 1817 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs0_gi1_aggr0_len4000_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs0_gi1_aggr0_len4000_pre100_post200_openwifi.txt new file mode 100644 index 0000000..2e48acb --- /dev/null +++ b/testing_inputs/simulated/ht_mcs0_gi1_aggr0_len4000_pre100_post200_openwifi.txt @@ -0,0 +1,89724 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 3072 +-3543 1854 +1037 -5774 +-1347 -1759 +-2362 -27 +1294 -1886 +7797 1633 +4456 557 +-4520 1748 +-2235 4042 +2918 -1348 +1025 356 +-1578 6034 +37 4036 +-657 3199 +2167 3903 +7168 0 +2167 -3903 +-657 -3199 +37 -4036 +-1578 -6034 +1025 -356 +2918 1348 +-2235 -4042 +-4520 -1748 +4456 -557 +7797 -1633 +1294 1886 +-2362 27 +-1347 1759 +1037 5774 +-3543 -1854 +-1024 -3072 +4282 1473 +-2344 -1663 +476 -298 +314 2324 +-2597 826 +3607 1799 +-744 1407 +-1624 300 +-1477 -512 +-4433 -516 +279 2368 +-470 358 +834 -2310 +268 453 +-2905 992 +-1024 0 +-2905 -992 +268 -453 +834 2310 +-470 -358 +279 -2368 +-4433 516 +-1477 512 +-1624 -300 +-744 -1407 +3607 -1799 +-2597 -826 +314 -2324 +476 298 +-2344 1663 +4282 -1473 +-1024 3072 +-3543 1854 +1037 -5774 +-1347 -1759 +-2362 -27 +1294 -1886 +7797 1633 +4456 557 +-4520 1748 +-2235 4042 +2918 -1348 +1025 356 +-1578 6034 +37 4036 +-657 3199 +2167 3903 +0 1024 +-1588 1118 +-6143 -1300 +-1195 -711 +1212 -14 +-609 -1712 +-2372 4146 +147 3527 +2772 -2472 +-1991 2625 +-425 -257 +100 -1085 +-2330 4110 +829 -2602 +2807 1122 +4922 -695 +1024 -13312 +-3339 -2501 +-2407 2825 +-1795 -2402 +438 2218 +-2060 498 +-713 143 +2191 665 +-1324 -1024 +1891 4122 +4075 2137 +1203 -413 +-1996 770 +-612 -2749 +4135 -162 +289 2083 +0 -1024 +1816 -1001 +1679 177 +7260 1630 +5780 2662 +-640 3634 +-4309 1870 +-1505 -1235 +1324 424 +-3463 -1133 +-463 -2863 +2747 731 +1130 1434 +1140 116 +-1478 -2895 +-598 -3206 +-1024 1024 +-985 -1400 +1078 -4598 +-175 -85 +762 3326 +-787 -852 +1601 -3263 +3262 827 +-2772 -1024 +-533 -1830 +2606 3879 +46 2335 +-4996 1878 +-5454 3668 +329 -961 +-516 -1967 +0 1024 +-1588 1118 +-6143 -1300 +-1195 -711 +1212 -14 +-609 -1712 +-2372 4146 +147 3527 +2772 -2472 +-1991 2625 +-425 -257 +100 -1085 +-2330 4110 +829 -2602 +2807 1122 +4922 -695 +-6144 2048 +2160 -1988 +-1421 591 +342 1884 +-58 -2532 +-2213 -946 +3339 3560 +337 385 +724 -1448 +4150 1611 +-2410 -319 +-6153 -2478 +-3613 -300 +-3914 -2634 +-3690 -5229 +896 -276 +1024 2048 +687 -2082 +4089 -3526 +2949 -2433 +1721 -2192 +4193 -895 +1272 80 +-3949 -348 +724 0 +1702 979 +-1636 1551 +2808 353 +-726 -1748 +-2148 -154 +-588 1729 +-3459 -1023 +6144 0 +5242 4620 +-1515 -147 +-307 -6362 +-5734 -964 +906 3093 +3173 -1328 +-5074 -1729 +-724 1448 +322 1062 +-967 984 +-1705 1219 +-2180 -300 +-203 432 +-943 1888 +1731 2109 +-1024 4096 +-3314 3915 +543 186 +1169 231 +4072 1592 +3665 -364 +2104 584 +-523 3021 +-724 0 +3036 -2323 +-4876 681 +-1501 1794 +6518 -1748 +2113 -4324 +3523 -1285 +-3943 3654 +-6144 2048 +2160 -1988 +-1421 591 +342 1884 +-58 -2532 +-2213 -946 +3339 3560 +337 385 +724 -1448 +4150 1611 +-2410 -319 +-6153 -2478 +-3613 -300 +-3914 -2634 +-3690 -5229 +896 -276 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +1024 0 +3382 542 +-3943 2212 +-6230 -1606 +1408 -1358 +-1644 -877 +-1836 -1788 +1107 -3313 +-848 -2048 +2404 1740 +3088 -5122 +1514 -3733 +4424 4150 +-4213 924 +-1218 815 +3136 553 +-1024 -2048 +1815 -1033 +-606 1155 +1998 -395 +-928 -3691 +-77 197 +-1116 -998 +-3603 -1690 +4944 2048 +4318 -2958 +4457 -560 +-1801 1486 +-808 -5102 +-1133 -4492 +-7018 -344 +-973 2739 +1024 0 +-973 -2739 +-7018 344 +-1133 4492 +-808 5102 +-1801 -1486 +4457 560 +4318 2958 +4944 -2048 +-3603 1690 +-1116 998 +-77 -197 +-928 3691 +1998 395 +-606 -1155 +1815 1033 +-1024 2048 +3136 -553 +-1218 -815 +-4213 -924 +4424 -4150 +1514 3733 +3088 5122 +2404 -1740 +-848 2048 +1107 3313 +-1836 1788 +-1644 877 +1408 1358 +-6230 1606 +-3943 -2212 +3382 -542 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-6144 0 +-4000 -1764 +5585 5146 +111 2775 +-392 4032 +2935 2133 +-4494 -2603 +-19 826 +-2348 300 +453 1226 +-50 2407 +-4351 228 +946 -138 +4963 546 +2322 102 +-1854 -1661 +3072 3072 +4072 3632 +4071 -2298 +-1190 -980 +-946 462 +1733 421 +-2246 2370 +1856 3601 +-3796 -1748 +-3619 -3479 +2198 4353 +-1205 998 +392 536 +2797 5712 +807 1546 +-2682 2641 +-4096 0 +-2682 -2641 +807 -1546 +2797 -5712 +392 -536 +-1205 -998 +2198 -4353 +-3619 3479 +-3796 1748 +1856 -3601 +-2246 -2370 +1733 -421 +-946 -462 +-1190 980 +4071 2298 +4072 -3632 +3072 -3072 +-1854 1661 +2322 -102 +4963 -546 +946 138 +-4351 -228 +-50 -2407 +453 -1226 +-2348 -300 +-19 -826 +-4494 2603 +2935 -2133 +-392 -4032 +111 -2775 +5585 -5146 +-4000 1764 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +6144 0 +-719 -3896 +23 -3904 +-1415 -977 +-4749 -3230 +5849 -1688 +6408 2700 +8 -2294 +-1624 -4096 +1035 -724 +4524 -1613 +1646 -1323 +-3759 230 +352 1945 +1843 1679 +-265 -915 +-2048 2048 +-1078 2058 +-799 -2417 +-3189 -229 +3160 230 +-3391 -891 +1204 2483 +5323 3726 +-4520 4096 +1203 2155 +-2247 -1396 +-970 -1256 +1252 -3230 +1118 -3151 +-2763 192 +-5506 -923 +2048 0 +-5506 923 +-2763 -192 +1118 3151 +1252 3230 +-970 1256 +-2247 1396 +1203 -2155 +-4520 -4096 +5323 -3726 +1204 -2483 +-3391 891 +3160 -230 +-3189 229 +-799 2417 +-1078 -2058 +-2048 -2048 +-265 915 +1843 -1679 +352 -1945 +-3759 -230 +1646 1323 +4524 1613 +1035 724 +-1624 4096 +8 2294 +6408 -2700 +5849 1688 +-4749 3230 +-1415 977 +23 3904 +-719 3896 +-1624 -424 +-171 420 +-502 101 +2504 1155 +5304 -3510 +2856 -555 +-1946 1553 +-1622 585 +1024 0 +-1622 -585 +-1946 -1553 +2856 555 +5304 3510 +2504 -1155 +-502 -101 +-171 -420 +-1624 424 +-2224 2762 +-3648 -2169 +-6289 -1328 +-268 2726 +1592 -718 +-1640 -1731 +2266 -682 +3072 -4096 +1683 3479 +-408 381 +-1324 -6329 +3516 -1618 +2004 -1023 +1600 -1165 +1681 -1778 +-4520 2472 +-1503 -7177 +-1546 -4889 +-3571 4502 +3736 -834 +2228 296 +-102 -5233 +-110 1359 +-3072 0 +-110 -1359 +-102 5233 +2228 -296 +3736 834 +-3571 -4502 +-1546 4889 +-1503 7177 +-4520 -2472 +1681 1778 +1600 1165 +2004 1023 +3516 1618 +-1324 6329 +-408 -381 +1683 -3479 +3072 4096 +2266 682 +-1640 1731 +1592 718 +-268 -2726 +-6289 1328 +-3648 2169 +-2224 -2762 +-1624 -424 +-171 420 +-502 101 +2504 1155 +5304 -3510 +2856 -555 +-1946 1553 +-1622 585 +1024 -2472 +-2353 4021 +-6222 -357 +2492 -2764 +-1912 1722 +-1074 3128 +2132 -1785 +-1168 -1332 +1024 0 +-1168 1332 +2132 1785 +-1074 -3128 +-1912 -1722 +2492 2764 +-6222 357 +-2353 -4021 +1024 2472 +-2092 819 +2770 1318 +677 5415 +-5488 2846 +-5006 4962 +-335 -2488 +2081 -1149 +1024 0 +-1962 -1896 +2552 -1224 +2670 -4577 +-2353 1398 +4556 -271 +2582 -2622 +-923 -2848 +1024 424 +-663 2440 +870 513 +2741 3446 +5657 -3170 +-1262 -3899 +-4349 -1047 +1288 -3272 +1024 0 +1288 3272 +-4349 1047 +-1262 3899 +5657 3170 +2741 -3446 +870 -513 +-663 -2440 +1024 -424 +-923 2848 +2582 2622 +4556 271 +-2353 -1398 +2670 4577 +2552 1224 +-1962 1896 +1024 0 +2081 1149 +-335 2488 +-5006 -4962 +-5488 -2846 +677 -5415 +2770 -1318 +-2092 -819 +1024 -2472 +-2353 4021 +-6222 -357 +2492 -2764 +-1912 1722 +-1074 3128 +2132 -1785 +-1168 -1332 +-2772 1324 +-349 -1078 +-4435 2544 +3521 2530 +4816 -307 +-3810 -3208 +-347 -6071 +218 -417 +0 0 +218 417 +-347 6071 +-3810 3208 +4816 307 +3521 -2530 +-4435 -2544 +-349 1078 +-2772 -1324 +-1041 3499 +-1852 3204 +889 -5098 +-1754 2598 +-2478 5202 +4104 156 +246 2903 +1024 1024 +-1004 -924 +4809 -1920 +5274 -2021 +2354 4646 +3895 3938 +-2817 -1400 +2355 35 +-1324 2772 +-5429 2598 +415 -156 +-1624 -2054 +-1320 -1741 +126 1410 +124 2795 +-788 -825 +-2048 0 +-788 825 +124 -2795 +126 -1410 +-1320 1741 +-1624 2054 +415 156 +-5429 -2598 +-1324 -2772 +2355 -35 +-2817 1400 +3895 -3938 +2354 -4646 +5274 2021 +4809 1920 +-1004 924 +1024 -1024 +246 -2903 +4104 -156 +-2478 -5202 +-1754 -2598 +889 5098 +-1852 -3204 +-1041 -3499 +-2772 1324 +-349 -1078 +-4435 2544 +3521 2530 +4816 -307 +-3810 -3208 +-347 -6071 +218 -417 +1748 -2772 +-696 7 +1615 1261 +-3708 522 +-2224 2420 +-4957 5972 +-707 508 +3737 175 +-5120 0 +3737 -175 +-707 -508 +-4957 -5972 +-2224 -2420 +-3708 -522 +1615 -1261 +-696 -7 +1748 2772 +799 2502 +401 -2198 +5446 -1402 +-1006 -3602 +-3188 -240 +6573 1673 +5660 -3139 +2048 1024 +1401 1272 +-7237 -2451 +-1744 -3874 +2454 143 +-493 292 +-845 -4754 +-1833 -2432 +300 -1324 +604 723 +1725 -921 +-1037 859 +776 5421 +-1904 -1726 +-1525 -1735 +1914 1707 +-3072 0 +1914 -1707 +-1525 1735 +-1904 1726 +776 -5421 +-1037 -859 +1725 921 +604 -723 +300 1324 +-1833 2432 +-845 4754 +-493 -292 +2454 -143 +-1744 3874 +-7237 2451 +1401 -1272 +2048 -1024 +5660 3139 +6573 -1673 +-3188 240 +-1006 3602 +5446 1402 +401 2198 +799 -2502 +1748 -2772 +-696 7 +1615 1261 +-3708 522 +-2224 2420 +-4957 5972 +-707 508 +3737 175 +2048 -2472 +-3676 2955 +-2584 -1498 +4778 -4034 +-3940 1398 +-12 -3589 +6377 -3668 +-1538 -5335 +-2048 0 +-1538 5335 +6377 3668 +-12 3589 +-3940 -1398 +4778 4034 +-2584 1498 +-3676 -2955 +2048 2472 +-181 -275 +-2070 -294 +-317 -3140 +-2832 -1722 +1004 1567 +1425 478 +1448 670 +6144 -4096 +-1448 43 +-984 -305 +-1004 -5636 +-1264 -3170 +317 -3594 +-1505 -2186 +181 -925 +2048 424 +3676 490 +-5425 -394 +-4778 -516 +-156 -2846 +12 482 +4768 2884 +1538 611 +-2048 0 +1538 -611 +4768 -2884 +12 -482 +-156 2846 +-4778 516 +-5425 394 +3676 -490 +2048 -424 +181 925 +-1505 2186 +317 3594 +-1264 3170 +-1004 5636 +-984 305 +-1448 -43 +6144 4096 +1448 -670 +1425 -478 +1004 -1567 +-2832 1722 +-317 3140 +-2070 294 +-181 275 +2048 -2472 +-3676 2955 +-2584 -1498 +4778 -4034 +-3940 1398 +-12 -3589 +6377 -3668 +-1538 -5335 +-4520 2296 +1979 -1559 +-3377 696 +-3481 -60 +6667 -6256 +1503 -3401 +-90 -1529 +-1998 4137 +-6144 0 +-1998 -4137 +-90 1529 +1503 3401 +6667 6256 +-3481 60 +-3377 -696 +1979 1559 +-4520 -2296 +1747 1652 +3416 -1007 +2082 -4643 +1997 -1143 +3026 1024 +451 -1928 +-2286 -2842 +0 2048 +-4180 1599 +1322 -480 +-364 -1972 +-2246 -1992 +638 -1268 +-296 442 +1979 -2020 +-1624 -6392 +1214 415 +-2639 -2144 +-5240 -4445 +1774 1312 +1837 716 +1213 80 +1545 -5359 +2048 0 +1545 5359 +1213 -80 +1837 -716 +1774 -1312 +-5240 4445 +-2639 2144 +1214 -415 +-1624 6392 +1979 2020 +-296 -442 +638 1268 +-2246 1992 +-364 1972 +1322 480 +-4180 -1599 +0 -2048 +-2286 2842 +451 1928 +3026 -1024 +1997 1143 +2082 4643 +3416 1007 +1747 -1652 +-4520 2296 +1979 -1559 +-3377 696 +-3481 -60 +6667 -6256 +1503 -3401 +-90 -1529 +-1998 4137 +-2896 1448 +-494 701 +5314 -4359 +3755 -1799 +-3595 699 +-689 1401 +-1162 -5566 +-673 300 +5120 0 +-673 -300 +-1162 5566 +-689 -1401 +-3595 -699 +3755 1799 +5314 4359 +-494 -701 +-2896 -1448 +-306 -2114 +-197 -3186 +-2862 2485 +1075 -3544 +1894 486 +-596 1017 +-2904 1942 +-3072 2048 +-271 -4181 +-2745 2058 +1517 -1851 +5318 -5592 +983 -5256 +861 -3107 +-83 1830 +2896 -1448 +1770 -2182 +-3082 -154 +2588 -958 +1298 1349 +-1394 -1153 +1606 -6178 +-2833 2137 +-7168 0 +-2833 -2137 +1606 6178 +-1394 1153 +1298 -1349 +2588 958 +-3082 154 +1770 2182 +2896 1448 +-83 -1830 +861 3107 +983 5256 +5318 5592 +1517 1851 +-2745 -2058 +-271 4181 +-3072 -2048 +-2904 -1942 +-596 -1017 +1894 -486 +1075 3544 +-2862 -2485 +-197 3186 +-306 2114 +-2896 1448 +-494 701 +5314 -4359 +3755 -1799 +-3595 699 +-689 1401 +-1162 -5566 +-673 300 +-124 -1748 +253 -328 +1329 1267 +-1532 -1013 +-3444 -672 +1468 2705 +6953 1143 +3116 404 +-1024 0 +3116 -404 +6953 -1143 +1468 -2705 +-3444 672 +-1532 1013 +1329 -1267 +253 328 +-124 1748 +4534 -975 +-941 3072 +-4390 3619 +2578 3902 +-545 5747 +-455 -683 +-58 -1875 +-4096 -7168 +2244 -1579 +2724 3257 +-1774 -1926 +-881 -442 +1638 -1291 +1201 240 +626 -2365 +4220 -300 +-2516 -823 +-3286 -3 +1387 776 +-6445 -3672 +-2046 86 +667 -1299 +-2406 5924 +1024 0 +-2406 -5924 +667 1299 +-2046 -86 +-6445 3672 +1387 -776 +-3286 3 +-2516 823 +4220 300 +626 2365 +1201 -240 +1638 1291 +-881 442 +-1774 1926 +2724 -3257 +2244 1579 +-4096 7168 +-58 1875 +-455 683 +-545 -5747 +2578 -3902 +-4390 -3619 +-941 -3072 +4534 975 +-124 -1748 +253 -328 +1329 1267 +-1532 -1013 +-3444 -672 +1468 2705 +6953 1143 +3116 404 +1748 724 +4132 -3029 +2356 57 +1155 -704 +-1716 2644 +-1494 1397 +1666 -2170 +-2726 2081 +-7168 0 +-2726 -2081 +1666 2170 +-1494 -1397 +-1716 -2644 +1155 704 +2356 -57 +4132 3029 +1748 -724 +65 -649 +909 2850 +1705 1306 +-1826 418 +-6005 -1839 +3972 -1336 +7224 -1211 +0 -1024 +-758 -3978 +-4156 372 +-5094 -2932 +-3718 -4527 +1203 4952 +4480 -3322 +-2882 -1564 +300 -724 +2230 -2350 +-752 763 +2177 -2330 +-932 -3492 +562 -3378 +-282 2679 +-1493 -3519 +3072 0 +-1493 3519 +-282 -2679 +562 3378 +-932 3492 +2177 2330 +-752 -763 +2230 2350 +300 724 +-2882 1564 +4480 3322 +1203 -4952 +-3718 4527 +-5094 2932 +-4156 -372 +-758 3978 +0 1024 +7224 1211 +3972 1336 +-6005 1839 +-1826 -418 +1705 -1306 +909 -2850 +65 649 +1748 724 +4132 -3029 +2356 57 +1155 -704 +-1716 2644 +-1494 1397 +1666 -2170 +-2726 2081 +-1748 -1148 +-2640 3482 +2191 -954 +18 -2331 +1996 -1540 +86 -1377 +-2533 3948 +4739 77 +4096 0 +4739 -77 +-2533 -3948 +86 1377 +1996 1540 +18 2331 +2191 954 +-2640 -3482 +-1748 1148 +-4779 -667 +1725 -3272 +3911 669 +-1130 4142 +-2272 432 +-3687 -5441 +1684 -1210 +-1024 -5120 +-174 -4818 +190 2623 +555 -5953 +2330 -2250 +-1573 3239 +-2325 -3547 +-3645 1896 +-300 3196 +-968 -32 +-2791 1879 +1189 438 +4996 -756 +3878 -3679 +-963 -2877 +-10 2996 +2048 0 +-10 -2996 +-963 2877 +3878 3679 +4996 756 +1189 -438 +-2791 -1879 +-968 32 +-300 -3196 +-3645 -1896 +-2325 3547 +-1573 -3239 +2330 2250 +555 5953 +190 -2623 +-174 4818 +-1024 5120 +1684 1210 +-3687 5441 +-2272 -432 +-1130 -4142 +3911 -669 +1725 3272 +-4779 667 +-1748 -1148 +-2640 3482 +2191 -954 +18 -2331 +1996 -1540 +86 -1377 +-2533 3948 +4739 77 +1324 2348 +4462 -874 +2322 -1486 +1932 -309 +-2321 268 +-136 -4675 +2852 -1659 +-2762 -913 +-5120 0 +-2762 913 +2852 1659 +-136 4675 +-2321 -268 +1932 309 +2322 1486 +4462 874 +1324 -2348 +-264 -567 +4735 553 +1344 -1447 +-3438 3274 +1095 42 +850 400 +-1217 343 +2048 -3072 +-2601 -3351 +90 244 +3564 -739 +2238 -5166 +2142 2278 +-5363 1817 +3029 1476 +2772 3796 +-4772 2237 +2402 -1346 +-4739 -4215 +-4672 -516 +590 1439 +304 5599 +-1668 2332 +-7168 0 +-1668 -2332 +304 -5599 +590 -1439 +-4672 516 +-4739 4215 +2402 1346 +-4772 -2237 +2772 -3796 +3029 -1476 +-5363 -1817 +2142 -2278 +2238 5166 +3564 739 +90 -244 +-2601 3351 +2048 3072 +-1217 -343 +850 -400 +1095 -42 +-3438 -3274 +1344 1447 +4735 -553 +-264 567 +1324 2348 +4462 -874 +2322 -1486 +1932 -309 +-2321 268 +-136 -4675 +2852 -1659 +-2762 -913 +-848 2048 +119 406 +-2537 -7206 +-325 -3835 +-2930 868 +-3930 -1836 +617 44 +613 2177 +-1024 0 +613 -2177 +617 -44 +-3930 1836 +-2930 -868 +-325 3835 +-2537 7206 +119 -406 +-848 -2048 +-2511 1021 +3118 5804 +1869 -361 +1519 -240 +2116 1607 +-3097 -7158 +-1780 3118 +-1024 0 +-1815 -2988 +-1182 1711 +-2500 -1864 +1978 1808 +923 -2788 +-1825 -4872 +5541 -3853 +4944 2048 +635 3856 +45 1826 +-900 2057 +3530 -2916 +2746 -986 +-3329 -2263 +-802 854 +3072 0 +-802 -854 +-3329 2263 +2746 986 +3530 2916 +-900 -2057 +45 -1826 +635 -3856 +4944 -2048 +5541 3853 +-1825 4872 +923 2788 +1978 -1808 +-2500 1864 +-1182 -1711 +-1815 2988 +-1024 0 +-1780 -3118 +-3097 7158 +2116 -1607 +1519 240 +1869 361 +3118 -5804 +-2511 -1021 +-848 2048 +119 406 +-2537 -7206 +-325 -3835 +-2930 868 +-3930 -1836 +617 44 +613 2177 +-1448 5368 +626 1014 +378 -1696 +1945 -2082 +-3810 -928 +-2821 1125 +5154 320 +1821 3303 +0 0 +1821 -3303 +5154 -320 +-2821 -1125 +-3810 928 +1945 2082 +378 1696 +626 -1014 +-1448 -5368 +-1217 -4073 +-1444 -348 +1094 872 +978 1408 +-1042 5194 +-4966 3082 +-3944 1656 +4096 2048 +2171 -1094 +2630 370 +-2078 1822 +-130 808 +4922 -4734 +-1571 2144 +94 6330 +1448 -3320 +-1749 3963 +-259 2988 +4072 1460 +-1134 4424 +-299 -1913 +78 3960 +-3593 2506 +0 0 +-3593 -2506 +78 -3960 +-299 1913 +-1134 -4424 +4072 -1460 +-259 -2988 +-1749 -3963 +1448 3320 +94 -6330 +-1571 -2144 +4922 4734 +-130 -808 +-2078 -1822 +2630 -370 +2171 1094 +4096 -2048 +-3944 -1656 +-4966 -3082 +-1042 -5194 +978 -1408 +1094 -872 +-1444 348 +-1217 4073 +-1448 5368 +626 1014 +378 -1696 +1945 -2082 +-3810 -928 +-2821 1125 +5154 320 +1821 3303 +1872 1448 +-3623 1825 +-763 -1413 +2082 1844 +724 1762 +2509 -1046 +2494 3761 +309 -3690 +0 0 +309 3690 +2494 -3761 +2509 1046 +724 -1762 +2082 -1844 +-763 1413 +-3623 -1825 +1872 -1448 +3308 -3098 +-247 -3349 +-311 1003 +-724 -3026 +981 -2473 +3843 3803 +721 845 +-2048 0 +-1250 -2408 +437 2983 +1960 3711 +-724 1918 +-2142 5600 +-1046 -1641 +-5110 1149 +-3920 -1448 +4097 -1601 +3255 1921 +-518 -351 +724 -914 +1231 -1666 +218 2411 +-4244 -9379 +-8192 0 +-4244 9379 +218 -2411 +1231 1666 +724 914 +-518 351 +3255 -1921 +4097 1601 +-3920 1448 +-5110 -1149 +-1046 1641 +-2142 -5600 +-724 -1918 +1960 -3711 +437 -2983 +-1250 2408 +-2048 0 +721 -845 +3843 -3803 +981 2473 +-724 3026 +-311 -1003 +-247 3349 +3308 3098 +1872 1448 +-3623 1825 +-763 -1413 +2082 1844 +724 1762 +2509 -1046 +2494 3761 +309 -3690 +-4096 -1272 +2336 -911 +-632 82 +1977 -2336 +3570 1832 +346 396 +-2068 -3252 +-2671 -4328 +2048 0 +-2671 4328 +-2068 3252 +346 -396 +3570 -1832 +1977 2336 +-632 -82 +2336 911 +-4096 1272 +-1449 -1290 +2299 2310 +-21 -203 +-3894 1952 +1009 -224 +5376 -5238 +-4092 -1347 +0 -2048 +2635 -25 +-2220 1598 +1620 2676 +998 3400 +-2353 910 +2425 2374 +-3311 6380 +-4096 7416 +4878 333 +4 -1714 +1077 -1664 +-674 -384 +2138 3184 +3008 200 +-4121 3194 +-2048 0 +-4121 -3194 +3008 -200 +2138 -3184 +-674 384 +1077 1664 +4 1714 +4878 -333 +-4096 -7416 +-3311 -6380 +2425 -2374 +-2353 -910 +998 -3400 +1620 -2676 +-2220 -1598 +2635 25 +0 2048 +-4092 1347 +5376 5238 +1009 224 +-3894 -1952 +-21 203 +2299 -2310 +-1449 1290 +-4096 -1272 +2336 -911 +-632 82 +1977 -2336 +3570 1832 +346 396 +-2068 -3252 +-2671 -4328 +-2472 -3496 +44 -1798 +2817 4064 +4226 859 +484 110 +-342 -2494 +-4344 -5230 +-4710 2978 +2048 0 +-4710 -2978 +-4344 5230 +-342 2494 +484 -110 +4226 -859 +2817 -4064 +44 1798 +-2472 3496 +-1832 1313 +1961 3991 +1346 2322 +-3640 -894 +562 290 +3042 2694 +705 1578 +-2048 0 +705 -1098 +7578 -3986 +562 -818 +144 2002 +1346 1214 +-458 2662 +-1832 -1363 +424 -600 +44 4474 +-6017 399 +4226 250 +-1084 2786 +-342 3603 +3612 4342 +-4710 -303 +-2048 0 +-4710 303 +3612 -4342 +-342 -3603 +-1084 -2786 +4226 -250 +-6017 -399 +44 -4474 +424 600 +-1832 1363 +-458 -2662 +1346 -1214 +144 -2002 +562 818 +7578 3986 +705 1098 +-2048 0 +705 -1578 +3042 -2694 +562 -290 +-3640 894 +1346 -2322 +1961 -3991 +-1832 -1313 +-2472 -3496 +44 -1798 +2817 4064 +4226 859 +484 110 +-342 -2494 +-4344 -5230 +-4710 2978 +1324 -3796 +-2894 1495 +1604 2730 +2505 -1395 +-2100 2564 +-3507 468 +-1318 -1734 +1381 -4131 +-1024 0 +1381 4131 +-1318 1734 +-3507 -468 +-2100 -2564 +2505 1395 +1604 -2730 +-2894 -1495 +1324 3796 +2092 1356 +2174 2402 +-5658 -4440 +-5226 -3118 +255 1503 +-2276 1833 +1157 3013 +2048 -3072 +1523 139 +1168 -59 +1485 -986 +-1766 1226 +-2461 -1224 +-4850 3186 +-3396 -2773 +2772 -2348 +-3370 6040 +1072 -1946 +2480 -2620 +900 1780 +4902 -1996 +2426 -158 +3508 4729 +5120 0 +3508 -4729 +2426 158 +4902 1996 +900 -1780 +2480 2620 +1072 1946 +-3370 -6040 +2772 2348 +-3396 2773 +-4850 -3186 +-2461 1224 +-1766 -1226 +1485 986 +1168 59 +1523 -139 +2048 3072 +1157 -3013 +-2276 -1833 +255 -1503 +-5226 3118 +-5658 4440 +2174 -2402 +2092 -1356 +1324 -3796 +-2894 1495 +1604 2730 +2505 -1395 +-2100 2564 +-3507 468 +-1318 -1734 +1381 -4131 +1748 -3620 +-3693 -969 +-2877 -1532 +-1052 -1311 +1320 732 +476 852 +1487 -1265 +3623 -71 +1024 0 +3623 71 +1487 1265 +476 -852 +1320 -732 +-1052 1311 +-2877 1532 +-3693 969 +1748 3620 +-493 -515 +-2927 6687 +-1527 5157 +-2354 -5070 +932 6344 +1292 131 +1930 -2846 +0 1024 +491 730 +821 4617 +-3089 -2176 +1754 -2174 +3196 -142 +1923 1075 +403 1963 +300 3620 +1327 2430 +-1912 -5776 +-1296 -5629 +-4816 2165 +-3433 2973 +2193 -4138 +2204 231 +3072 0 +2204 -231 +2193 4138 +-3433 -2973 +-4816 -2165 +-1296 5629 +-1912 5776 +1327 -2430 +300 -3620 +403 -1963 +1923 -1075 +3196 142 +1754 2174 +-3089 2176 +821 -4617 +491 -730 +0 -1024 +1930 2846 +1292 -131 +932 -6344 +-2354 5070 +-1527 -5157 +-2927 -6687 +-493 515 +1748 -3620 +-3693 -969 +-2877 -1532 +-1052 -1311 +1320 732 +476 852 +1487 -1265 +3623 -71 +2048 4344 +-2012 -1173 +-2452 -3318 +8262 581 +540 -2602 +-743 1833 +820 3312 +-957 2989 +5120 0 +-957 -2989 +820 -3312 +-743 -1833 +540 2602 +8262 -581 +-2452 3318 +-2012 1173 +2048 -4344 +848 -892 +-3142 301 +-4974 -1897 +4664 -3386 +-201 -2996 +-4312 -3168 +-1666 3900 +-5120 0 +-3133 -789 +372 2664 +1155 -3944 +880 710 +2146 851 +310 -3315 +-411 -4961 +2048 -4344 +895 -440 +1188 3799 +2814 1487 +2108 -1494 +-2667 2332 +-976 -1576 +643 570 +-3072 0 +643 -570 +-976 1576 +-2667 -2332 +2108 1494 +2814 -1487 +1188 -3799 +895 440 +2048 4344 +-411 4961 +310 3315 +2146 -851 +880 -710 +1155 3944 +372 -2664 +-3133 789 +-5120 0 +-1666 -3900 +-4312 3168 +-201 2996 +4664 3386 +-4974 1897 +-3142 -301 +848 892 +2048 4344 +-2012 -1173 +-2452 -3318 +8262 581 +540 -2602 +-743 1833 +820 3312 +-957 2989 +1324 1148 +-230 -1351 +409 -3791 +-3266 -756 +-262 -2214 +2651 299 +1675 2078 +2939 3242 +1024 0 +2939 -3242 +1675 -2078 +2651 -299 +-262 2214 +-3266 756 +409 3791 +-230 1351 +1324 -1148 +1508 2257 +-4587 800 +-4094 6981 +4756 5140 +-3996 -4240 +-2608 -4076 +4798 -1048 +-2048 -1024 +2331 -2108 +-1776 1940 +-690 655 +188 1644 +-3306 5018 +-476 2573 +-3455 200 +2772 -3196 +1969 3077 +-2338 2667 +-2045 -2774 +-586 2813 +3161 3028 +1509 1042 +1727 -517 +3072 0 +1727 517 +1509 -1042 +3161 -3028 +-586 -2813 +-2045 2774 +-2338 -2667 +1969 -3077 +2772 3196 +-3455 -200 +-476 -2573 +-3306 -5018 +188 -1644 +-690 -655 +-1776 -1940 +2331 2108 +-2048 1024 +4798 1048 +-2608 4076 +-3996 4240 +4756 -5140 +-4094 -6981 +-4587 -800 +1508 -2257 +1324 1148 +-230 -1351 +409 -3791 +-3266 -756 +-262 -2214 +2651 299 +1675 2078 +2939 3242 +6992 3320 +1279 -268 +2529 -1366 +1506 -2779 +-1578 -1436 +2255 -1268 +-2213 1842 +-2223 3592 +4096 0 +-2223 -3592 +-2213 -1842 +2255 1268 +-1578 1436 +1506 2779 +2529 1366 +1279 268 +6992 -3320 +2233 -1103 +-3744 318 +-406 -1623 +-2362 2229 +-4441 -1017 +-3908 -4428 +-3273 -2924 +2048 -2048 +2613 -3584 +-1805 1588 +-1591 969 +314 -5364 +145 -3277 +-2207 2090 +-2184 2921 +1200 -5368 +2456 2725 +2223 243 +322 -2659 +-470 6132 +2210 -531 +934 1277 +-901 -5820 +0 0 +-901 5820 +934 -1277 +2210 531 +-470 -6132 +322 2659 +2223 -243 +2456 -2725 +1200 5368 +-2184 -2921 +-2207 -2090 +145 3277 +314 5364 +-1591 -969 +-1805 -1588 +2613 3584 +2048 2048 +-3273 2924 +-3908 4428 +-4441 1017 +-2362 -2229 +-406 1623 +-3744 -318 +2233 1103 +6992 3320 +1279 -268 +2529 -1366 +1506 -2779 +-1578 -1436 +2255 -1268 +-2213 1842 +-2223 3592 +2596 -1748 +2403 623 +-2487 -2432 +-3457 443 +4202 -2335 +274 -4589 +-714 -2413 +3920 -8193 +4096 0 +3920 8193 +-714 2413 +274 4589 +4202 2335 +-3457 -443 +-2487 2432 +2403 -623 +2596 1748 +-578 490 +-2175 -1918 +2997 4413 +-1924 -112 +972 -2417 +758 2437 +66 -2168 +3072 -1024 +-3645 4984 +2766 544 +2307 -5938 +1076 -4456 +-85 -1016 +-3878 -1134 +-3698 673 +-4644 -300 +1874 -2217 +-1349 3216 +545 3057 +742 -2010 +-3553 -1589 +-1114 521 +-341 1984 +2048 0 +-341 -1984 +-1114 -521 +-3553 1589 +742 2010 +545 -3057 +-1349 -3216 +1874 2217 +-4644 300 +-3698 -673 +-3878 1134 +-85 1016 +1076 4456 +2307 5938 +2766 -544 +-3645 -4984 +3072 1024 +66 2168 +758 -2437 +972 2417 +-1924 112 +2997 -4413 +-2175 1918 +-578 -490 +2596 -1748 +2403 623 +-2487 -2432 +-3457 443 +4202 -2335 +274 -4589 +-714 -2413 +3920 -8193 +3196 -2348 +-2736 909 +2140 4945 +-374 2141 +586 -2335 +-975 3430 +-3420 2307 +2778 8 +2048 0 +2778 -8 +-3420 -2307 +-975 -3430 +586 2335 +-374 -2141 +2140 -4945 +-2736 -909 +3196 2348 +-601 2907 +-4941 -1298 +343 -121 +-188 -112 +1444 2085 +2232 1499 +2095 -2550 +3072 1024 +-3143 1655 +-3560 1435 +2913 -2329 +-4756 -4456 +1415 -3900 +4053 -4350 +-6929 -1489 +-1148 -3796 +2018 -530 +4540 1892 +3729 -1768 +262 -2010 +-2703 -1292 +-1044 -674 +725 -5012 +-4096 0 +725 5012 +-1044 674 +-2703 1292 +262 2010 +3729 1768 +4540 -1892 +2018 530 +-1148 3796 +-6929 1489 +4053 4350 +1415 3900 +-4756 4456 +2913 2329 +-3560 -1435 +-3143 -1655 +3072 -1024 +2095 2550 +2232 -1499 +1444 -2085 +-188 112 +343 121 +-4941 1298 +-601 -2907 +3196 -2348 +-2736 909 +2140 4945 +-374 2141 +586 -2335 +-975 3430 +-3420 2307 +2778 8 +1748 1748 +-3652 3430 +5170 1717 +2464 -1122 +-1574 -2094 +1641 -1100 +248 3730 +24 -890 +0 0 +24 890 +248 -3730 +1641 1100 +-1574 2094 +2464 1122 +5170 -1717 +-3652 -3430 +1748 -1748 +1220 966 +-5314 1062 +287 4163 +2765 2804 +521 -941 +-2068 4499 +-801 -2445 +-1024 1024 +-540 4636 +-3645 1786 +-6614 3104 +1331 -3588 +1279 4136 +-638 3554 +-169 -663 +300 300 +355 -3730 +-418 -424 +8002 207 +5670 -202 +-1787 -1535 +-1527 550 +-2229 3507 +-2048 0 +-2229 -3507 +-1527 -550 +-1787 1535 +5670 202 +8002 -207 +-418 424 +355 3730 +300 -300 +-169 663 +-638 -3554 +1279 -4136 +1331 3588 +-6614 -3104 +-3645 -1786 +-540 -4636 +-1024 -1024 +-801 2445 +-2068 -4499 +521 941 +2765 -2804 +287 -4163 +-5314 -1062 +1220 -966 +1748 1748 +-3652 3430 +5170 1717 +2464 -1122 +-1574 -2094 +1641 -1100 +248 3730 +24 -890 +-6517 2348 +598 3376 +1658 669 +-2159 2178 +-78 -1311 +688 3132 +531 -2585 +413 2253 +-1024 0 +413 -2253 +531 2585 +688 -3132 +-78 1311 +-2159 -2178 +1658 -669 +598 -3376 +-6517 -2348 +-4837 5006 +2348 -4631 +3483 -2030 +-632 912 +-52 1237 +1234 2031 +735 1194 +4096 -1024 +2547 -3368 +-1574 -461 +-2973 -5659 +-1416 -5480 +4800 474 +1776 -351 +690 -191 +6517 3796 +-915 -455 +-2886 -3381 +556 -665 +-1970 -986 +1449 2965 +-3087 1292 +-5023 -1096 +1024 0 +-5023 1096 +-3087 -1292 +1449 -2965 +-1970 986 +556 665 +-2886 3381 +-915 455 +6517 -3796 +690 191 +1776 351 +4800 -474 +-1416 5480 +-2973 5659 +-1574 461 +2547 3368 +4096 1024 +735 -1194 +1234 -2031 +-52 -1237 +-632 -912 +3483 2030 +2348 4631 +-4837 -5006 +-6517 2348 +598 3376 +1658 669 +-2159 2178 +-78 -1311 +688 3132 +531 -2585 +413 2253 +2772 300 +-2217 1040 +-2642 1516 +-4239 873 +1756 692 +1677 -7351 +-1733 -1205 +2281 4195 +3072 0 +2281 -4195 +-1733 1205 +1677 7351 +1756 -692 +-4239 -873 +-2642 -1516 +-2217 -1040 +2772 -300 +4369 1799 +1238 2090 +-1043 -3636 +-4046 802 +-1865 -1289 +-342 578 +21 3493 +0 -3072 +1721 -1877 +-2045 -545 +-2685 -5087 +3198 -2694 +-2373 468 +838 -3926 +4131 -1341 +1324 1748 +3294 1820 +-634 -4636 +3429 -3688 +3189 -92 +-4486 -3366 +-2871 2978 +-2015 -3564 +-3072 0 +-2015 3564 +-2871 -2978 +-4486 3366 +3189 92 +3429 3688 +-634 4636 +3294 -1820 +1324 -1748 +4131 1341 +838 3926 +-2373 -468 +3198 2694 +-2685 5087 +-2045 545 +1721 1877 +0 3072 +21 -3493 +-342 -578 +-1865 1289 +-4046 -802 +-1043 3636 +1238 -2090 +4369 -1799 +2772 300 +-2217 1040 +-2642 1516 +-4239 873 +1756 692 +1677 -7351 +-1733 -1205 +2281 4195 +476 -724 +-3682 -2971 +2103 -5578 +-819 -278 +1560 2440 +3318 1996 +-3444 3944 +-1968 3292 +0 0 +-1968 -3292 +-3444 -3944 +3318 -1996 +1560 -2440 +-819 278 +2103 5578 +-3682 2971 +476 724 +5077 2128 +349 -960 +121 -1023 +562 1102 +-2117 -1353 +-645 -1108 +-1324 -1323 +1024 -3072 +-1089 2478 +-4624 5092 +3094 -958 +886 -2994 +2032 1657 +-4068 6201 +-1676 4620 +7716 724 +-2615 2567 +3313 2850 +1562 61 +-3008 1656 +-1398 1295 +-1176 560 +1485 3405 +-2048 0 +1485 -3405 +-1176 -560 +-1398 -1295 +-3008 -1656 +1562 -61 +3313 -2850 +-2615 -2567 +7716 -724 +-1676 -4620 +-4068 -6201 +2032 -1657 +886 2994 +3094 958 +-4624 -5092 +-1089 -2478 +1024 3072 +-1324 1323 +-645 1108 +-2117 1353 +562 -1102 +121 1023 +349 960 +5077 -2128 +476 -724 +-3682 -2971 +2103 -5578 +-819 -278 +1560 2440 +3318 1996 +-3444 3944 +-1968 3292 +-600 -3920 +633 -708 +1007 3458 +-2078 4151 +-2702 3640 +4357 -287 +-1163 1598 +-6773 2327 +0 0 +-6773 -2327 +-1163 -1598 +4357 287 +-2702 -3640 +-2078 -4151 +1007 -3458 +633 708 +-600 3920 +439 -917 +-1023 -566 +3307 2322 +3654 1084 +4200 356 +2035 -56 +-3086 -6293 +0 -4096 +-2399 919 +4137 -3396 +5409 -525 +-2806 484 +-2673 1496 +514 -1231 +-1179 -4768 +-3496 1872 +1233 -1575 +701 -1226 +1236 -1192 +-2243 -144 +-2172 3190 +1983 -2042 +-454 6453 +0 0 +-454 -6453 +1983 2042 +-2172 -3190 +-2243 144 +1236 1192 +701 1226 +1233 1575 +-3496 -1872 +-1179 4768 +514 1231 +-2673 -1496 +-2806 -484 +5409 525 +4137 3396 +-2399 -919 +0 4096 +-3086 6293 +2035 56 +4200 -356 +3654 -1084 +3307 -2322 +-1023 566 +439 917 +-600 -3920 +633 -708 +1007 3458 +-2078 4151 +-2702 3640 +4357 -287 +-1163 1598 +-6773 2327 +-4220 -300 +2818 2736 +173 572 +-601 -947 +5579 -1826 +2375 1795 +20 -307 +148 670 +-1024 0 +148 -670 +20 307 +2375 -1795 +5579 1826 +-601 947 +173 -572 +2818 -2736 +-4220 300 +-2962 278 +455 -1251 +77 2315 +-2985 -932 +-1172 1639 +824 5384 +-2341 5937 +2048 1024 +-2448 981 +1224 336 +4864 -5028 +-6904 1716 +869 5401 +1593 4257 +-2568 -784 +124 -1748 +-2401 -1343 +1875 839 +-2801 3354 +-3882 -3718 +2182 -3350 +2028 -646 +3962 2622 +5120 0 +3962 -2622 +2028 646 +2182 3350 +-3882 3718 +-2801 -3354 +1875 -839 +-2401 1343 +124 1748 +-2568 784 +1593 -4257 +869 -5401 +-6904 -1716 +4864 5028 +1224 -336 +-2448 -981 +2048 -1024 +-2341 -5937 +824 -5384 +-1172 -1639 +-2985 932 +77 -2315 +455 1251 +-2962 -278 +-4220 -300 +2818 2736 +173 572 +-601 -947 +5579 -1826 +2375 1795 +20 -307 +148 670 +124 1324 +3601 930 +2541 3149 +-298 -2272 +4742 -2154 +1375 -296 +1333 488 +2101 3683 +-4096 0 +2101 -3683 +1333 -488 +1375 296 +4742 2154 +-298 2272 +2541 -3149 +3601 -930 +124 -1324 +-1269 3762 +-740 242 +437 -3216 +2740 3972 +200 -3847 +-4779 371 +11 1728 +-1024 -1024 +437 2180 +3854 -1077 +490 -2184 +1956 -972 +-4767 4927 +-7325 -1206 +-3864 -2879 +-4220 2772 +-2253 -1569 +-1469 -1701 +3060 3496 +2850 1306 +-498 -4960 +-1608 960 +1235 2770 +6144 0 +1235 -2770 +-1608 -960 +-498 4960 +2850 -1306 +3060 -3496 +-1469 1701 +-2253 1569 +-4220 -2772 +-3864 2879 +-7325 1206 +-4767 -4927 +1956 972 +490 2184 +3854 1077 +437 -2180 +-1024 1024 +11 -1728 +-4779 -371 +200 3847 +2740 -3972 +437 3216 +-740 -242 +-1269 -3762 +124 1324 +3601 930 +2541 3149 +-298 -2272 +4742 -2154 +1375 -296 +1333 488 +2101 3683 +-2472 2048 +6126 -5403 +5416 -3745 +-2614 26 +-1168 758 +-2478 4392 +-3402 -2941 +-504 -4299 +0 0 +-504 4299 +-3402 2941 +-2478 -4392 +-1168 -758 +-2614 -26 +5416 3745 +6126 5403 +-2472 -2048 +1859 -2279 +49 -1121 +-8035 -1515 +-1508 654 +1421 -3480 +-1451 -2019 +536 4570 +0 -2048 +133 -169 +-321 2325 +-2519 2799 +60 -195 +-299 -4214 +-3169 3223 +-1419 -381 +424 2048 +795 -579 +600 -599 +1371 2938 +2616 -5702 +1567 -642 +2279 -1404 +4061 -128 +4096 0 +4061 128 +2279 1404 +1567 642 +2616 5702 +1371 -2938 +600 599 +795 579 +424 -2048 +-1419 381 +-3169 -3223 +-299 4214 +60 195 +-2519 -2799 +-321 -2325 +133 169 +0 2048 +536 -4570 +-1451 2019 +1421 3480 +-1508 -654 +-8035 1515 +49 1121 +1859 2279 +-2472 2048 +6126 -5403 +5416 -3745 +-2614 26 +-1168 758 +-2478 4392 +-3402 -2941 +-504 -4299 +-600 -3920 +-2493 -1939 +-373 -3465 +2604 521 +-2167 -1094 +-2324 196 +847 1711 +-140 1397 +0 0 +-140 -1397 +847 -1711 +-2324 -196 +-2167 1094 +2604 -521 +-373 3465 +-2493 1939 +-600 3920 +-416 1413 +2006 -2660 +5463 -1240 +288 -6002 +373 -1951 +745 -1544 +-2077 793 +6144 2048 +-1474 -1926 +-4269 -371 +2613 -826 +-7280 -458 +-3709 -2233 +4047 -2283 +2114 -451 +-3496 1872 +-2102 -2821 +4209 -6047 +-1461 -4411 +968 -1553 +2234 3826 +980 -2235 +794 -1219 +-4096 0 +794 1219 +980 2235 +2234 -3826 +968 1553 +-1461 4411 +4209 6047 +-2102 2821 +-3496 -1872 +2114 451 +4047 2283 +-3709 2233 +-7280 458 +2613 826 +-4269 371 +-1474 1926 +6144 -2048 +-2077 -793 +745 1544 +373 1951 +288 6002 +5463 1240 +2006 2660 +-416 -1413 +-600 -3920 +-2493 -1939 +-373 -3465 +2604 521 +-2167 -1094 +-2324 196 +847 1711 +-140 1397 +-2472 -5544 +-3566 -2555 +1207 -996 +6076 -1895 +3798 1533 +-2699 -2019 +-3211 -3266 +771 1799 +4096 0 +771 -1799 +-3211 3266 +-2699 2019 +3798 -1533 +6076 1895 +1207 996 +-3566 2555 +-2472 5544 +152 906 +-1912 1250 +-1990 2494 +-1759 204 +478 -1019 +-4008 1418 +1398 2791 +6144 -2048 +-2386 -11 +3343 191 +614 -225 +-3785 5148 +-2641 235 +1468 1590 +4620 3432 +424 -2648 +2578 -583 +2133 -1561 +123 -51 +-6446 -684 +40 -5068 +979 -858 +-3567 -2072 +4096 0 +-3567 2072 +979 858 +40 5068 +-6446 684 +123 51 +2133 1561 +2578 583 +424 2648 +4620 -3432 +1468 -1590 +-2641 -235 +-3785 -5148 +614 225 +3343 -191 +-2386 11 +6144 2048 +1398 -2791 +-4008 -1418 +478 1019 +-1759 -204 +-1990 -2494 +-1912 -1250 +152 -906 +-2472 -5544 +-3566 -2555 +1207 -996 +6076 -1895 +3798 1533 +-2699 -2019 +-3211 -3266 +771 1799 +-1748 1148 +-3321 -1850 +1394 -2736 +-6542 2565 +282 802 +-850 -272 +-5102 175 +-211 3163 +-4096 0 +-211 -3163 +-5102 -175 +-850 272 +282 -802 +-6542 -2565 +1394 2736 +-3321 1850 +-1748 -1148 +5900 825 +1913 -1054 +-647 3492 +-52 -92 +-2510 -4975 +-1827 103 +843 321 +5120 -1024 +7635 1303 +-730 3443 +1158 -1702 +2948 -692 +-1763 1060 +-3141 -390 +-1061 3062 +-300 -3196 +-1727 -3793 +2730 504 +-3759 666 +-3178 2694 +3329 1016 +4762 269 +3526 -1870 +-2048 0 +3526 1870 +4762 -269 +3329 -1016 +-3178 -2694 +-3759 -666 +2730 -504 +-1727 3793 +-300 3196 +-1061 -3062 +-3141 390 +-1763 -1060 +2948 692 +1158 1702 +-730 -3443 +7635 -1303 +5120 1024 +843 -321 +-1827 -103 +-2510 4975 +-52 92 +-647 -3492 +1913 1054 +5900 -825 +-1748 1148 +-3321 -1850 +1394 -2736 +-6542 2565 +282 802 +-850 -272 +-5102 175 +-211 3163 +1148 -300 +1974 -4417 +8145 -3491 +-559 -3866 +-946 -2538 +3599 4954 +110 4036 +-1457 -1997 +0 0 +-1457 1997 +110 -4036 +3599 -4954 +-946 2538 +-559 3866 +8145 3491 +1974 4417 +1148 300 +1402 -1463 +-5826 909 +1866 3083 +-392 572 +-1008 -1200 +572 3799 +-695 518 +1024 -3072 +-1197 1150 +4011 -2529 +224 -4440 +392 -2924 +-1082 -2307 +-3191 1665 +490 -1720 +-3196 -1748 +-82 2255 +-2025 -1049 +1343 1299 +946 3138 +-4383 2821 +-1797 724 +-435 -7468 +2048 0 +-435 7468 +-1797 -724 +-4383 -2821 +946 -3138 +1343 -1299 +-2025 1049 +-82 -2255 +-3196 1748 +490 1720 +-3191 -1665 +-1082 2307 +392 2924 +224 4440 +4011 2529 +-1197 -1150 +1024 3072 +-695 -518 +572 -3799 +-1008 1200 +-392 -572 +1866 -3083 +-5826 -909 +1402 1463 +1148 -300 +1974 -4417 +8145 -3491 +-559 -3866 +-946 -2538 +3599 4954 +110 4036 +-1457 -1997 +-1748 1148 +833 -2271 +1723 -1519 +-1804 -1054 +3215 18 +6140 -1460 +-1748 -7424 +-321 -1980 +2048 0 +-321 1980 +-1748 7424 +6140 1460 +3215 -18 +-1804 1054 +1723 1519 +833 2271 +-1748 -1148 +-6484 -228 +-997 1841 +1910 373 +652 1800 +-2178 1547 +-3785 -3706 +2269 1036 +3072 3072 +-224 342 +-2387 1683 +2707 -2693 +-2349 1200 +-3662 638 +1505 457 +-3519 4815 +-300 -3196 +273 634 +-3432 1335 +-467 -10 +6674 3478 +3145 1683 +927 5820 +1380 398 +-4096 0 +1380 -398 +927 -5820 +3145 -1683 +6674 -3478 +-467 10 +-3432 -1335 +273 -634 +-300 3196 +-3519 -4815 +1505 -457 +-3662 -638 +-2349 -1200 +2707 2693 +-2387 -1683 +-224 -342 +3072 -3072 +2269 -1036 +-3785 3706 +-2178 -1547 +652 -1800 +1910 -373 +-997 -1841 +-6484 228 +-1748 1148 +833 -2271 +1723 -1519 +-1804 -1054 +3215 18 +6140 -1460 +-1748 -7424 +-321 -1980 +-7841 2048 +190 2248 +847 -546 +-2588 2182 +-2218 1892 +1687 -2855 +365 964 +-3089 -2466 +1024 0 +-3089 2466 +365 -964 +1687 2855 +-2218 -1892 +-2588 -2182 +847 546 +190 -2248 +-7841 -2048 +-948 -4169 +2123 -3927 +2762 681 +14 784 +-4806 -3104 +2205 3037 +2462 1720 +1024 -4096 +1088 5106 +-941 2777 +-2226 -1418 +-2662 784 +-3122 1645 +1817 3693 +3323 771 +3745 2048 +5683 236 +-691 -1723 +-2165 -539 +-3326 -1892 +4666 -573 +2467 -2921 +-2917 -2396 +5120 0 +-2917 2396 +2467 2921 +4666 573 +-3326 1892 +-2165 539 +-691 1723 +5683 -236 +3745 -2048 +3323 -771 +1817 -3693 +-3122 -1645 +-2662 -784 +-2226 1418 +-941 -2777 +1088 -5106 +1024 4096 +2462 -1720 +2205 -3037 +-4806 3104 +14 -784 +2762 -681 +2123 3927 +-948 4169 +-7841 2048 +190 2248 +847 -546 +-2588 2182 +-2218 1892 +1687 -2855 +365 964 +-3089 -2466 +-2224 -2472 +-1114 993 +3268 -622 +1151 -3759 +-1846 2192 +827 -87 +348 -1371 +3042 -1664 +9216 0 +3042 1664 +348 1371 +827 87 +-1846 -2192 +1151 3759 +3268 622 +-1114 -993 +-2224 2472 +2116 3043 +-1603 -339 +-2025 -2019 +1374 2532 +219 527 +-309 2254 +-3957 198 +-1024 -2048 +2444 -1387 +429 22 +1364 2639 +5618 -964 +5259 -2057 +-733 -3679 +-1732 569 +-8016 424 +-3053 -938 +1965 178 +-5953 -4160 +3046 -1592 +-842 2511 +-3364 2035 +2255 -5584 +-3072 0 +2255 5584 +-3364 -2035 +-842 -2511 +3046 1592 +-5953 4160 +1965 -178 +-3053 938 +-8016 -424 +-1732 -569 +-733 3679 +5259 2057 +5618 964 +1364 -2639 +429 -22 +2444 1387 +-1024 2048 +-3957 -198 +-309 -2254 +219 -527 +1374 -2532 +-2025 2019 +-1603 339 +2116 -3043 +-2224 -2472 +-1114 993 +3268 -622 +1151 -3759 +-1846 2192 +827 -87 +348 -1371 +3042 -1664 +4220 -1324 +-1358 -884 +6713 697 +-935 2151 +-2354 1970 +-6684 -482 +-4787 -3334 +37 -3365 +-10240 0 +37 3365 +-4787 3334 +-6684 482 +-2354 -1970 +-935 -2151 +6713 -697 +-1358 884 +4220 1324 +3153 75 +-2056 -1646 +2041 -1334 +-4816 1416 +-3744 3242 +6098 1204 +3198 -2474 +-3072 -3072 +4028 -276 +637 1543 +-2488 356 +1320 -632 +195 1029 +1443 2478 +-946 429 +-124 -2772 +3376 -2545 +892 531 +-3309 1779 +1754 78 +3339 -837 +-748 777 +97 1779 +0 0 +97 -1779 +-748 -777 +3339 837 +1754 -78 +-3309 -1779 +892 -531 +3376 2545 +-124 2772 +-946 -429 +1443 -2478 +195 -1029 +1320 632 +-2488 -356 +637 -1543 +4028 276 +-3072 3072 +3198 2474 +6098 -1204 +-3744 -3242 +-4816 -1416 +2041 1334 +-2056 1646 +3153 -75 +4220 -1324 +-1358 -884 +6713 697 +-935 2151 +-2354 1970 +-6684 -482 +-4787 -3334 +37 -3365 +-1624 2896 +4599 1836 +-4780 -2766 +-330 1904 +4110 4364 +120 1587 +1434 2251 +-1801 2630 +-2048 0 +-1801 -2630 +1434 -2251 +120 -1587 +4110 -4364 +-330 -1904 +-4780 2766 +4599 -1836 +-1624 -2896 +-3610 2205 +63 -70 +3636 -1413 +770 360 +-900 -1794 +1462 1512 +-2141 6782 +0 4096 +-2930 1704 +770 2740 +2257 3076 +1878 1208 +1763 -1683 +-3403 -409 +-784 -9 +-4520 -2896 +3579 989 +5224 5323 +-3502 2571 +1434 580 +-3045 -164 +-770 1873 +3088 5523 +-2048 0 +3088 -5523 +-770 -1873 +-3045 164 +1434 -580 +-3502 -2571 +5224 -5323 +3579 -989 +-4520 2896 +-784 9 +-3403 409 +1763 1683 +1878 -1208 +2257 -3076 +770 -2740 +-2930 -1704 +0 -4096 +-2141 -6782 +1462 -1512 +-900 1794 +770 -360 +3636 1413 +63 70 +-3610 -2205 +-1624 2896 +4599 1836 +-4780 -2766 +-330 1904 +4110 4364 +120 1587 +1434 2251 +-1801 2630 +-2472 6568 +-574 1635 +-3334 -1265 +872 -268 +710 2856 +284 3164 +673 -3101 +-4971 1095 +-3072 0 +-4971 -1095 +673 3101 +284 -3164 +710 -2856 +872 268 +-3334 1265 +-574 -1635 +-2472 -6568 +1816 5 +-904 -2991 +2599 -4845 +2602 2976 +-4797 429 +2601 2226 +706 2030 +-3072 -2048 +-5839 2020 +-2720 2890 +3513 1912 +1494 2376 +-515 2098 +3240 -3435 +5593 -4767 +424 3672 +2302 1473 +-1898 -2075 +-3605 1547 +3386 640 +1650 847 +2343 869 +968 -1416 +-3072 0 +968 1416 +2343 -869 +1650 -847 +3386 -640 +-3605 -1547 +-1898 2075 +2302 -1473 +424 -3672 +5593 4767 +3240 3435 +-515 -2098 +1494 -2376 +3513 -1912 +-2720 -2890 +-5839 -2020 +-3072 2048 +706 -2030 +2601 -2226 +-4797 -429 +2602 -2976 +2599 4845 +-904 2991 +1816 -5 +-2472 6568 +-574 1635 +-3334 -1265 +872 -268 +710 2856 +284 3164 +673 -3101 +-4971 1095 +-5244 300 +-972 98 +-978 352 +2279 -3349 +-946 -4926 +-4148 2307 +2921 -1985 +5553 5824 +4096 0 +5553 -5824 +2921 1985 +-4148 -2307 +-946 4926 +2279 3349 +-978 -352 +-972 -98 +-5244 -300 +-1880 -2522 +4268 -6488 +-110 909 +-392 2648 +-1486 1901 +-794 -3018 +462 -3098 +-1024 -1024 +2602 -2756 +-1061 -2834 +-1919 -4752 +392 352 +-3587 -805 +-1452 -1100 +-1363 583 +-900 1748 +192 1679 +-639 -1956 +4522 2522 +946 -1466 +-1345 -2279 +5927 3369 +1198 -1019 +-6144 0 +1198 1019 +5927 -3369 +-1345 2279 +946 1466 +4522 -2522 +-639 1956 +192 -1679 +-900 -1748 +-1363 -583 +-1452 1100 +-3587 805 +392 -352 +-1919 4752 +-1061 2834 +2602 2756 +-1024 1024 +462 3098 +-794 3018 +-1486 -1901 +-392 -2648 +-110 -909 +4268 6488 +-1880 2522 +-5244 300 +-972 98 +-978 352 +2279 -3349 +-946 -4926 +-4148 2307 +2921 -1985 +5553 5824 +-1024 6817 +2834 3836 +-3413 2973 +-3000 -2507 +-2916 614 +751 2699 +1604 -4909 +-1124 2893 +5120 0 +-1124 -2893 +1604 4909 +751 -2699 +-2916 -614 +-3000 2507 +-3413 -2973 +2834 -3836 +-1024 -6817 +-778 575 +-770 4690 +966 -443 +-1808 170 +-2152 104 +4548 1595 +159 -350 +5120 -2048 +2559 -723 +-3440 -4929 +-769 -4101 +-240 -1278 +1421 -64 +3446 2097 +2988 -1563 +-1024 -4769 +3205 577 +737 4323 +-4500 -1889 +868 -2062 +1490 2305 +-2713 81 +-4049 886 +-3072 0 +-4049 -886 +-2713 -81 +1490 -2305 +868 2062 +-4500 1889 +737 -4323 +3205 -577 +-1024 4769 +2988 1563 +3446 -2097 +1421 64 +-240 1278 +-769 4101 +-3440 4929 +2559 723 +5120 2048 +159 350 +4548 -1595 +-2152 -104 +-1808 -170 +966 443 +-770 -4690 +-778 -575 +-1024 6817 +2834 3836 +-3413 2973 +-3000 -2507 +-2916 614 +751 2699 +1604 -4909 +-1124 2893 +-424 3496 +868 4334 +891 -2166 +-2644 796 +-724 2546 +3504 585 +-1655 -2911 +-2843 -1339 +0 0 +-2843 1339 +-1655 2911 +3504 -585 +-724 -2546 +-2644 -796 +891 2166 +868 -4334 +-424 -3496 +740 -2845 +4230 -1203 +-1281 2189 +724 -4918 +3720 -328 +-4847 -1445 +980 -3885 +4096 0 +29 -467 +-2108 -2933 +-3925 -4734 +724 26 +931 3285 +-1829 6757 +-2848 4945 +2472 600 +-88 -3225 +-4491 -2659 +2106 -1028 +-724 -1698 +3382 -528 +1618 -3170 +-2629 3868 +4096 0 +-2629 -3868 +1618 3170 +3382 528 +-724 1698 +2106 1028 +-4491 2659 +-88 3225 +2472 -600 +-2848 -4945 +-1829 -6757 +931 -3285 +724 -26 +-3925 4734 +-2108 2933 +29 467 +4096 0 +980 3885 +-4847 1445 +3720 328 +724 4918 +-1281 -2189 +4230 1203 +740 2845 +-424 3496 +868 4334 +891 -2166 +-2644 796 +-724 2546 +3504 585 +-1655 -2911 +-2843 -1339 +4520 0 +-2476 -717 +3559 1046 +4531 4537 +-1952 5638 +3764 5190 +-416 -2393 +-1758 2271 +2048 0 +-1758 -2271 +-416 2393 +3764 -5190 +-1952 -5638 +4531 -4537 +3559 -1046 +-2476 717 +4520 0 +-4164 1374 +-5429 -4726 +-804 1557 +384 2858 +977 -1917 +-506 2644 +1396 456 +-2048 -2048 +-1657 -2647 +-639 935 +290 -1546 +-1832 -6183 +-3381 375 +-847 1446 +1295 736 +1624 0 +-1336 -1251 +1517 -1866 +983 -4831 +3400 -2390 +-568 1862 +-5431 1884 +2908 -910 +6144 0 +2908 910 +-5431 -1884 +-568 -1862 +3400 2390 +983 4831 +1517 1866 +-1336 1251 +1624 0 +1295 -736 +-847 -1446 +-3381 -375 +-1832 6183 +290 1546 +-639 -935 +-1657 2647 +-2048 2048 +1396 -456 +-506 -2644 +977 1917 +384 -2858 +-804 -1557 +-5429 4726 +-4164 -1374 +4520 0 +-2476 -717 +3559 1046 +4531 4537 +-1952 5638 +3764 5190 +-416 -2393 +-1758 2271 +-724 -124 +-964 -237 +1604 -5085 +-4183 5032 +-3118 -162 +2609 -4066 +1307 4580 +-348 1758 +-2048 0 +-348 -1758 +1307 -4580 +2609 4066 +-3118 162 +-4183 -5032 +1604 5085 +-964 237 +-724 124 +-3160 305 +-2036 -869 +2107 1513 +1780 -2284 +5639 -43 +1562 -5844 +-2634 -1500 +1024 1024 +4448 -5353 +551 -2151 +-1999 -2814 +2564 -2284 +67 -905 +1031 1880 +1580 981 +724 4220 +327 2168 +-6392 -6151 +-3342 -1138 +-1226 162 +-897 -585 +2373 1513 +751 3837 +0 0 +751 -3837 +2373 -1513 +-897 585 +-1226 -162 +-3342 1138 +-6392 6151 +327 -2168 +724 -4220 +1580 -981 +1031 -1880 +67 905 +2564 2284 +-1999 2814 +551 2151 +4448 5353 +1024 -1024 +-2634 1500 +1562 5844 +5639 43 +1780 2284 +2107 -1513 +-2036 869 +-3160 -305 +-724 -124 +-964 -237 +1604 -5085 +-4183 5032 +-3118 -162 +2609 -4066 +1307 4580 +-348 1758 +-1024 -424 +-716 -241 +2238 -31 +3075 -4510 +-2786 -964 +1459 2743 +2434 1583 +-4121 -2570 +-1024 0 +-4121 2570 +2434 -1583 +1459 -2743 +-2786 964 +3075 4510 +2238 31 +-716 241 +-1024 424 +6180 -862 +1724 3941 +-1465 858 +2002 -2192 +-110 -2465 +2855 941 +2721 1243 +-3072 -2048 +-2750 -571 +1750 -4448 +-831 -403 +894 -1592 +2517 -4050 +1551 5325 +-393 2084 +-1024 2472 +1169 2299 +-4314 214 +-1879 4066 +-110 -2532 +3028 -2011 +-46 21 +-7882 -5925 +-5120 0 +-7882 5925 +-46 -21 +3028 2011 +-110 2532 +-1879 -4066 +-4314 -214 +1169 -2299 +-1024 -2472 +-393 -2084 +1551 -5325 +2517 4050 +894 1592 +-831 403 +1750 4448 +-2750 571 +-3072 2048 +2721 -1243 +2855 -941 +-110 2465 +2002 2192 +-1465 -858 +1724 -3941 +6180 862 +-1024 -424 +-716 -241 +2238 -31 +3075 -4510 +-2786 -964 +1459 2743 +2434 1583 +-4121 -2570 +3796 -1148 +-2535 1094 +-3104 3146 +508 3431 +-1896 1116 +-320 1688 +-1220 5968 +503 -6214 +2048 0 +503 6214 +-1220 -5968 +-320 -1688 +-1896 -1116 +508 -3431 +-3104 -3146 +-2535 -1094 +3796 1148 +-3623 -990 +368 2746 +-2245 109 +-5910 -1670 +603 -2625 +272 401 +883 6966 +-1024 -1024 +225 -1225 +1776 -1712 +2073 -5574 +-234 -222 +-431 79 +1680 1742 +2515 4056 +2348 3196 +1426 1736 +5152 1642 +-3184 1890 +-4248 332 +2996 715 +3268 -2287 +605 -4193 +-4096 0 +605 4193 +3268 2287 +2996 -715 +-4248 -332 +-3184 -1890 +5152 -1642 +1426 -1736 +2348 -3196 +2515 -4056 +1680 -1742 +-431 -79 +-234 222 +2073 5574 +1776 1712 +225 1225 +-1024 1024 +883 -6966 +272 -401 +603 2625 +-5910 1670 +-2245 -109 +368 -2746 +-3623 990 +3796 -1148 +-2535 1094 +-3104 3146 +508 3431 +-1896 1116 +-320 1688 +-1220 5968 +503 -6214 +1324 -124 +1472 1517 +-268 -732 +857 1544 +-1586 7322 +-257 -1154 +-3607 -4831 +-1970 3311 +4096 0 +-1970 -3311 +-3607 4831 +-257 1154 +-1586 -7322 +857 -1544 +-268 732 +1472 -1517 +1324 124 +-1672 -285 +-1007 3118 +-3151 3485 +1984 -801 +-594 100 +1693 709 +1062 4011 +-5120 1024 +2372 -7200 +-2357 -1367 +-3311 70 +-2584 -2849 +-524 1023 +563 -1487 +-2318 745 +2772 4220 +1630 1657 +3609 3120 +-1645 -2156 +-1910 -5274 +2832 573 +1375 1555 +5217 1607 +6144 0 +5217 -1607 +1375 -1555 +2832 -573 +-1910 5274 +-1645 2156 +3609 -3120 +1630 -1657 +2772 -4220 +-2318 -745 +563 1487 +-524 -1023 +-2584 2849 +-3311 -70 +-2357 1367 +2372 7200 +-5120 -1024 +1062 -4011 +1693 -709 +-594 -100 +1984 801 +-3151 -3485 +-1007 -3118 +-1672 285 +1324 -124 +1472 1517 +-268 -732 +857 1544 +-1586 7322 +-257 -1154 +-3607 -4831 +-1970 3311 +1148 -1324 +-4659 1761 +907 1756 +4770 538 +-3562 2754 +-3655 1127 +-222 -700 +821 -55 +5120 0 +821 55 +-222 700 +-3655 -1127 +-3562 -2754 +4770 -538 +907 -1756 +-4659 -1761 +1148 1324 +3587 -1233 +-1029 481 +1812 3795 +-452 -476 +-3615 -2982 +-366 -693 +679 3610 +0 1024 +2975 -2500 +1031 3063 +-5369 1450 +1900 -2524 +1546 -3724 +1473 -7739 +-994 -2881 +-3196 -2772 +2716 -5937 +-4248 1112 +1007 -177 +2114 -706 +3503 2994 +2454 -840 +-5124 -392 +-1024 0 +-5124 392 +2454 840 +3503 -2994 +2114 706 +1007 177 +-4248 -1112 +2716 5937 +-3196 2772 +-994 2881 +1473 7739 +1546 3724 +1900 2524 +-5369 -1450 +1031 -3063 +2975 2500 +0 -1024 +679 -3610 +-366 693 +-3615 2982 +-452 476 +1812 -3795 +-1029 -481 +3587 1233 +1148 -1324 +-4659 1761 +907 1756 +4770 538 +-3562 2754 +-3655 1127 +-222 -700 +821 -55 +3496 -1448 +-760 -2480 +-266 -4686 +-3800 -2674 +-34 3256 +3468 -3205 +273 -786 +-995 -943 +-3072 0 +-995 943 +273 786 +3468 3205 +-34 -3256 +-3800 2674 +-266 4686 +-760 2480 +3496 1448 +-1134 -1253 +-4072 92 +1715 -2762 +-1378 -2316 +-1107 -2542 +-2155 -357 +-2324 1165 +-1024 -2048 +-4668 -2306 +-796 2200 +3454 1721 +-919 -1468 +1863 268 +6252 -1136 +-2249 525 +600 1448 +-82 4907 +-8906 562 +2231 -3431 +6426 1688 +3761 -1669 +1479 446 +627 -1879 +5120 0 +627 1879 +1479 -446 +3761 1669 +6426 -1688 +2231 3431 +-8906 -562 +-82 -4907 +600 -1448 +-2249 -525 +6252 1136 +1863 -268 +-919 1468 +3454 -1721 +-796 -2200 +-4668 2306 +-1024 2048 +-2324 -1165 +-2155 357 +-1107 2542 +-1378 2316 +1715 2762 +-4072 -92 +-1134 1253 +3496 -1448 +-760 -2480 +-266 -4686 +-3800 -2674 +-34 3256 +3468 -3205 +273 -786 +-995 -943 +-300 4644 +-3422 2169 +4882 5515 +1416 1787 +2394 -2030 +2811 1146 +-6326 76 +-840 6555 +4096 0 +-840 -6555 +-6326 -76 +2811 -1146 +2394 2030 +1416 -1787 +4882 -5515 +-3422 -2169 +-300 -4644 +-1497 -3767 +-3245 -987 +-619 1496 +-1056 -248 +-221 -1926 +833 -1225 +3451 112 +-1024 1024 +-3347 -3429 +4638 -1889 +6577 2044 +-1840 3248 +56 1935 +-1308 -543 +-4399 -1603 +-1748 -2596 +-2474 -317 +2567 -2175 +-1979 1180 +502 1430 +3545 -2840 +-2042 2156 +944 -2216 +2048 0 +944 2216 +-2042 -2156 +3545 2840 +502 -1430 +-1979 -1180 +2567 2175 +-2474 317 +-1748 2596 +-4399 1603 +-1308 543 +56 -1935 +-1840 -3248 +6577 -2044 +4638 1889 +-3347 3429 +-1024 -1024 +3451 -112 +833 1225 +-221 1926 +-1056 248 +-619 -1496 +-3245 987 +-1497 3767 +-300 4644 +-3422 2169 +4882 5515 +1416 1787 +2394 -2030 +2811 1146 +-6326 76 +-840 6555 +900 1748 +-8118 1471 +-3619 1405 +-1158 310 +-392 -1984 +-761 3399 +-1873 4619 +-320 -2841 +-2048 0 +-320 2841 +-1873 -4619 +-761 -3399 +-392 1984 +-1158 -310 +-3619 -1405 +-8118 -1471 +900 -1748 +3258 294 +-1820 -295 +1598 -503 +946 1910 +-2863 2361 +685 2293 +-223 873 +3072 -1024 +5125 179 +1391 -199 +4347 -1880 +-946 -1586 +714 -238 +-2785 3985 +-314 5337 +5244 300 +-6858 -3108 +1231 -4117 +2391 -1374 +392 2584 +1524 -3176 +-1402 -5911 +1657 1259 +0 0 +1657 -1259 +-1402 5911 +1524 3176 +392 -2584 +2391 1374 +1231 4117 +-6858 3108 +5244 -300 +-314 -5337 +-2785 -3985 +714 238 +-946 1586 +4347 1880 +1391 199 +5125 -179 +3072 1024 +-223 -873 +685 -2293 +-2863 -2361 +946 -1910 +1598 503 +-1820 295 +3258 -294 +900 1748 +-8118 1471 +-3619 1405 +-1158 310 +-392 -1984 +-761 3399 +-1873 4619 +-320 -2841 +4820 -4220 +-1593 -1417 +-2539 -63 +372 -2500 +-3238 -2106 +-5699 609 +-432 -1343 +2717 1002 +2048 0 +2717 -1002 +-432 1343 +-5699 -609 +-3238 2106 +372 2500 +-2539 63 +-1593 1417 +4820 4220 +4558 675 +773 168 +2670 1828 +4116 2024 +456 2801 +-2420 -117 +-2513 4007 +-1024 1024 +1149 -2784 +-412 822 +-4180 316 +-2668 327 +566 4882 +-929 796 +-864 -6540 +3372 124 +3011 -3343 +-1401 4787 +-1153 4874 +1789 -7782 +1175 2019 +-832 -1814 +-674 455 +0 0 +-674 -455 +-832 1814 +1175 -2019 +1789 7782 +-1153 -4874 +-1401 -4787 +3011 3343 +3372 -124 +-864 6540 +-929 -796 +566 -4882 +-2668 -327 +-4180 -316 +-412 -822 +1149 2784 +-1024 -1024 +-2513 -4007 +-2420 117 +456 -2801 +4116 -2024 +2670 -1828 +773 -168 +4558 -675 +4820 -4220 +-1593 -1417 +-2539 -63 +372 -2500 +-3238 -2106 +-5699 609 +-432 -1343 +2717 1002 +6268 2772 +1971 2945 +-936 -1723 +-1319 1793 +3902 827 +5290 -1447 +-4068 3602 +-4752 1796 +-2048 0 +-4752 -1796 +-4068 -3602 +5290 1447 +3902 -827 +-1319 -1793 +-936 1723 +1971 -2945 +6268 -2772 +561 -664 +-1245 -2362 +-313 401 +-3672 2728 +-2979 3185 +761 2275 +-117 1450 +1024 1024 +-224 -1607 +-2245 227 +3057 4577 +-672 5624 +479 2414 +-907 -314 +955 3193 +1924 1324 +-3248 -1985 +4784 -325 +-3519 -2676 +442 2070 +5097 5735 +-4335 -1554 +-939 -1957 +0 0 +-939 1957 +-4335 1554 +5097 -5735 +442 -2070 +-3519 2676 +4784 325 +-3248 1985 +1924 -1324 +955 -3193 +-907 314 +479 -2414 +-672 -5624 +3057 -4577 +-2245 -227 +-224 1607 +1024 -1024 +-117 -1450 +761 -2275 +-2979 -3185 +-3672 -2728 +-313 -401 +-1245 2362 +561 664 +6268 2772 +1971 2945 +-936 -1723 +-1319 1793 +3902 827 +5290 -1447 +-4068 3602 +-4752 1796 +-3196 300 +-2475 867 +4134 -155 +-2577 -722 +842 -4298 +709 -1467 +685 -2145 +5536 1035 +2048 0 +5536 -1035 +685 2145 +709 1467 +842 4298 +-2577 722 +4134 155 +-2475 -867 +-3196 -300 +-1348 3682 +-692 860 +2801 -3885 +-5964 -508 +-1384 3976 +2688 -2811 +-2287 1851 +1024 3072 +-671 1175 +-4137 3517 +-1173 -1399 +171 1292 +-1720 1693 +2141 104 +2564 -92 +1148 1748 +2826 3239 +-2685 4695 +-2288 637 +4951 -6190 +5633 -1380 +-2133 -2616 +-4145 -2023 +0 0 +-4145 2023 +-2133 2616 +5633 1380 +4951 6190 +-2288 -637 +-2685 -4695 +2826 -3239 +1148 -1748 +2564 92 +2141 -104 +-1720 -1693 +171 -1292 +-1173 1399 +-4137 -3517 +-671 -1175 +1024 -3072 +-2287 -1851 +2688 2811 +-1384 -3976 +-5964 508 +2801 3885 +-692 -860 +-1348 -3682 +-3196 300 +-2475 867 +4134 -155 +-2577 -722 +842 -4298 +709 -1467 +685 -2145 +5536 1035 +-600 1448 +3376 -3368 +-1142 -2650 +2201 -855 +-1207 -2252 +-3711 768 +933 -2112 +-488 1074 +1024 0 +-488 -1074 +933 2112 +-3711 -768 +-1207 2252 +2201 855 +-1142 2650 +3376 3368 +-600 -1448 +-1976 3478 +-1433 731 +539 -4155 +-1001 -1364 +-5845 -224 +-1011 -4185 +416 -3937 +-1024 2048 +-2923 -7 +-4157 -1629 +2054 1974 +8594 3581 +1786 -725 +-1739 -497 +-4651 2159 +-3496 -1448 +3900 482 +-2679 -1474 +4610 500 +5903 3100 +-1634 -2354 +3035 1772 +2345 1289 +1024 0 +2345 -1289 +3035 -1772 +-1634 2354 +5903 -3100 +4610 -500 +-2679 1474 +3900 -482 +-3496 1448 +-4651 -2159 +-1739 497 +1786 725 +8594 -3581 +2054 -1974 +-4157 1629 +-2923 7 +-1024 -2048 +416 3937 +-1011 4185 +-5845 224 +-1001 1364 +539 4155 +-1433 -731 +-1976 -3478 +-600 1448 +3376 -3368 +-1142 -2650 +2201 -855 +-1207 -2252 +-3711 768 +933 -2112 +-488 1074 +4096 -424 +-3963 871 +-788 -1290 +-1084 -2403 +-5148 1638 +-1451 2509 +-2205 -2961 +-846 -274 +2048 0 +-846 274 +-2205 2961 +-1451 -2509 +-5148 -1638 +-1084 2403 +-788 1290 +-3963 -871 +4096 424 +1983 3571 +-6419 857 +-3429 3258 +1533 1194 +86 -7582 +2909 -2250 +-376 2415 +2048 0 +7088 -2357 +735 -2694 +4676 -1384 +-684 -2302 +-901 2166 +-1969 3089 +-5721 775 +4096 2472 +1701 117 +2185 625 +-1505 -257 +204 -1038 +3607 2122 +-2638 -379 +135 -714 +2048 0 +135 714 +-2638 379 +3607 -2122 +204 1038 +-1505 257 +2185 -625 +1701 -117 +4096 -2472 +-5721 -775 +-1969 -3089 +-901 -2166 +-684 2302 +4676 1384 +735 2694 +7088 2357 +2048 0 +-376 -2415 +2909 2250 +86 7582 +1533 -1194 +-3429 -3258 +-6419 -857 +1983 -3571 +4096 -424 +-3963 871 +-788 -1290 +-1084 -2403 +-5148 1638 +-1451 2509 +-2205 -2961 +-846 -274 +1448 1024 +10 978 +-71 -854 +3233 -935 +2436 -3870 +-36 945 +-842 773 +-3126 2258 +-6144 0 +-3126 -2258 +-842 -773 +-36 -945 +2436 3870 +3233 935 +-71 854 +10 -978 +1448 -1024 +-867 4222 +3950 3671 +1876 2146 +-4024 2146 +-1530 4282 +61 1442 +447 2528 +0 2048 +5280 -1025 +759 -3778 +-4308 -1959 +1976 2746 +-1899 589 +-2242 1751 +-2498 -2783 +-1448 1024 +1788 -1065 +-438 -6362 +574 5379 +-4484 374 +2090 -1185 +7014 5096 +-1033 1108 +-2048 0 +-1033 -1108 +7014 -5096 +2090 1185 +-4484 -374 +574 -5379 +-438 6362 +1788 1065 +-1448 -1024 +-2498 2783 +-2242 -1751 +-1899 -589 +1976 -2746 +-4308 1959 +759 3778 +5280 1025 +0 -2048 +447 -2528 +61 -1442 +-1530 -4282 +-4024 -2146 +1876 -2146 +3950 -3671 +-867 -4222 +1448 1024 +10 978 +-71 -854 +3233 -935 +2436 -3870 +-36 945 +-842 773 +-3126 2258 +-300 724 +-3988 -3923 +-2745 -4816 +3168 459 +3922 -162 +-1644 1802 +314 2881 +4619 2389 +7168 0 +4619 -2389 +314 -2881 +-1644 -1802 +3922 162 +3168 -459 +-2745 4816 +-3988 3923 +-300 -724 +1017 576 +-3926 2041 +3381 3291 +1032 -2284 +-820 -1135 +1432 1818 +-4029 665 +0 1024 +1792 -623 +2876 251 +-1027 -6872 +2465 -2284 +2717 5622 +-4019 -1743 +1700 1064 +-1748 -724 +-1863 -1381 +801 1031 +-1698 -3921 +-3322 162 +-4077 -1747 +-2926 -4448 +754 2117 +5120 0 +754 -2117 +-2926 4448 +-4077 1747 +-3322 -162 +-1698 3921 +801 -1031 +-1863 1381 +-1748 724 +1700 -1064 +-4019 1743 +2717 -5622 +2465 2284 +-1027 6872 +2876 -251 +1792 623 +0 -1024 +-4029 -665 +1432 -1818 +-820 1135 +1032 2284 +3381 -3291 +-3926 -2041 +1017 -576 +-300 724 +-3988 -3923 +-2745 -4816 +3168 459 +3922 -162 +-1644 1802 +314 2881 +4619 2389 +300 2172 +-1526 -2062 +-1546 -1924 +-1000 3904 +-3948 632 +1021 -3246 +2407 -794 +-272 -2315 +-1024 0 +-272 2315 +2407 794 +1021 3246 +-3948 -632 +-1000 -3904 +-1546 1924 +-1526 2062 +300 -2172 +-3426 1100 +-102 632 +1543 -4171 +1514 1970 +115 22 +2603 -6347 +3640 -1592 +0 -3072 +486 -860 +4353 933 +8105 -3560 +-4162 -78 +-4825 -951 +-2298 -1536 +-3464 -2474 +1748 -2172 +-481 5168 +5146 956 +258 646 +-1596 1416 +575 -4799 +-2370 1082 +-749 3935 +-3072 0 +-749 -3935 +-2370 -1082 +575 4799 +-1596 -1416 +258 -646 +5146 -956 +-481 -5168 +1748 2172 +-3464 2474 +-2298 1536 +-4825 951 +-4162 78 +8105 3560 +4353 -933 +486 860 +0 3072 +3640 1592 +2603 6347 +115 -22 +1514 -1970 +1543 4171 +-102 -632 +-3426 -1100 +300 2172 +-1526 -2062 +-1546 -1924 +-1000 3904 +-3948 632 +1021 -3246 +2407 -794 +-272 -2315 +1324 -6268 +1255 -3179 +672 10927 +-1210 3100 +1984 632 +753 3220 +1101 4973 +2098 1437 +-2048 0 +2098 -1437 +1101 -4973 +753 -3220 +1984 -632 +-1210 -3100 +672 -10927 +1255 3179 +1324 6268 +-501 -3601 +-1316 1076 +-2867 113 +-1910 1970 +1775 -86 +-1073 -2955 +1252 -1367 +-1024 -1024 +-2596 434 +629 100 +-3034 529 +-1586 -78 +-1611 -5288 +-916 -3099 +2333 4063 +2772 -1924 +1377 67 +-1337 -2318 +-992 -5734 +-2584 1416 +1393 -2238 +2239 2675 +575 3573 +4096 0 +575 -3573 +2239 -2675 +1393 2238 +-2584 -1416 +-992 5734 +-1337 2318 +1377 -67 +2772 1924 +2333 -4063 +-916 3099 +-1611 5288 +-1586 78 +-3034 -529 +629 -100 +-2596 -434 +-1024 1024 +1252 1367 +-1073 2955 +1775 86 +-1910 -1970 +-2867 -113 +-1316 -1076 +-501 3601 +1324 -6268 +1255 -3179 +672 10927 +-1210 3100 +1984 632 +753 3220 +1101 4973 +2098 1437 +1748 -1748 +1403 -226 +2174 -3147 +4205 -842 +-2080 1116 +-231 3444 +-1385 -95 +-4406 -5569 +4096 0 +-4406 5569 +-1385 95 +-231 -3444 +-2080 -1116 +4205 842 +2174 3147 +1403 226 +1748 1748 +6065 5918 +168 -675 +-1014 -2048 +-522 -1670 +-1776 -3259 +3111 -1181 +94 -2268 +1024 1024 +-1412 1801 +-435 -1026 +-158 -241 +1370 -222 +5946 1360 +-1276 -1939 +823 -2122 +300 -300 +-6755 -821 +-1066 5979 +4463 3050 +-2864 332 +-5642 774 +-1291 -3845 +-1606 2645 +-2048 0 +-1606 -2645 +-1291 3845 +-5642 -774 +-2864 -332 +4463 -3050 +-1066 -5979 +-6755 821 +300 300 +823 2122 +-1276 1939 +5946 -1360 +1370 222 +-158 241 +-435 1026 +-1412 -1801 +1024 -1024 +94 2268 +3111 1181 +-1776 3259 +-522 1670 +-1014 2048 +168 675 +6065 -5918 +1748 -1748 +1403 -226 +2174 -3147 +4205 -842 +-2080 1116 +-231 3444 +-1385 -95 +-4406 -5569 +3072 -2896 +1920 -5160 +-1451 -820 +-614 -2047 +-1988 914 +2604 1751 +1857 -1112 +-3269 -2687 +-6144 0 +-3269 2687 +1857 1112 +2604 -1751 +-1988 -914 +-614 2047 +-1451 820 +1920 5160 +3072 2896 +-5807 -1648 +2450 530 +2441 -2584 +-3216 1918 +-1215 812 +2777 -119 +3853 6215 +0 2048 +3543 271 +-64 -939 +1204 -1225 +568 -3026 +-2554 -2157 +42 2239 +285 -1722 +3072 2896 +-3079 3758 +158 1329 +2056 3362 +-3556 -1762 +1870 2893 +2422 7284 +-3239 1207 +-6144 0 +-3239 -1207 +2422 -7284 +1870 -2893 +-3556 1762 +2056 -3362 +158 -1329 +-3079 -3758 +3072 -2896 +285 1722 +42 -2239 +-2554 2157 +568 3026 +1204 1225 +-64 939 +3543 -271 +0 -2048 +3853 -6215 +2777 119 +-1215 -812 +-3216 -1918 +2441 2584 +2450 -530 +-5807 1648 +3072 -2896 +1920 -5160 +-1451 -820 +-614 -2047 +-1988 914 +2604 1751 +1857 -1112 +-3269 -2687 +2172 2172 +19 -1663 +-2131 2335 +813 -1232 +1936 -1840 +-518 -117 +1451 2344 +-396 4023 +-2048 0 +-396 -4023 +1451 -2344 +-518 117 +1936 1840 +813 1232 +-2131 -2335 +19 1663 +2172 -2172 +1024 -1396 +-306 7402 +7783 899 +38 2394 +-4356 1439 +-1624 -1663 +-4774 3318 +-1024 1024 +-3935 2905 +1688 165 +3095 -2421 +-287 -502 +523 368 +-2747 3567 +-3199 -1885 +-2172 -2172 +1476 3629 +-4705 3718 +-872 22 +6504 -1056 +-677 1370 +181 1181 +3992 3812 +4096 0 +3992 -3812 +181 -1181 +-677 -1370 +6504 1056 +-872 -22 +-4705 -3718 +1476 -3629 +-2172 2172 +-3199 1885 +-2747 -3567 +523 -368 +-287 502 +3095 2421 +1688 -165 +-3935 -2905 +-1024 -1024 +-4774 -3318 +-1624 1663 +-4356 -1439 +38 -2394 +7783 -899 +-306 -7402 +1024 1396 +2172 2172 +19 -1663 +-2131 2335 +813 -1232 +1936 -1840 +-518 -117 +1451 2344 +-396 4023 +-724 300 +-4715 1901 +2070 175 +-2428 -1012 +-5356 -2740 +-2746 5399 +230 390 +4034 -2564 +3072 0 +4034 2564 +230 -390 +-2746 -5399 +-5356 2740 +-2428 1012 +2070 -175 +-4715 -1901 +-724 -300 +604 2872 +-1881 -3443 +1650 -1353 +-2910 -2850 +-3878 -4425 +-885 504 +1667 359 +2048 -3072 +1393 909 +1485 2736 +-2031 3352 +-3234 4742 +1042 -1911 +5377 -103 +2018 4166 +724 1748 +525 -4391 +1426 269 +3521 2022 +-788 -1956 +4871 3945 +370 -1054 +-5526 -670 +1024 0 +-5526 670 +370 1054 +4871 -3945 +-788 1956 +3521 -2022 +1426 -269 +525 4391 +724 -1748 +2018 -4166 +5377 103 +1042 1911 +-3234 -4742 +-2031 -3352 +1485 -2736 +1393 -909 +2048 3072 +1667 -359 +-885 -504 +-3878 4425 +-2910 2850 +1650 1353 +-1881 3443 +604 -2872 +-724 300 +-4715 1901 +2070 175 +-2428 -1012 +-5356 -2740 +-2746 5399 +230 390 +4034 -2564 +2172 -3196 +4146 -1367 +-2501 3768 +-1394 -2865 +-1416 -692 +314 1059 +3147 -4786 +-2396 -3982 +-3072 0 +-2396 3982 +3147 4786 +314 -1059 +-1416 692 +-1394 2865 +-2501 -3768 +4146 1367 +2172 3196 +3267 -2249 +3654 -1010 +-1443 -1000 +-78 -802 +4828 -3399 +521 -786 +-496 907 +4096 -1024 +1631 2252 +2036 818 +-3581 2820 +-1970 2694 +-1468 903 +-2427 -826 +3743 -3534 +-2172 1148 +-1341 -286 +-1623 -2385 +-4592 -6009 +-632 92 +1544 8367 +-2807 -603 +-2762 2559 +3072 0 +-2762 -2559 +-2807 603 +1544 -8367 +-632 -92 +-4592 6009 +-1623 2385 +-1341 286 +-2172 -1148 +3743 3534 +-2427 826 +-1468 -903 +-1970 -2694 +-3581 -2820 +2036 -818 +1631 -2252 +4096 1024 +-496 -907 +521 786 +4828 3399 +-78 802 +-1443 1000 +3654 1010 +3267 2249 +2172 -3196 +4146 -1367 +-2501 3768 +-1394 -2865 +-1416 -692 +314 1059 +3147 -4786 +-2396 -3982 +724 724 +1525 476 +929 1238 +-1456 1797 +2369 3354 +-1752 1477 +-4384 2045 +-2527 889 +-6144 0 +-2527 -889 +-4384 -2045 +-1752 -1477 +2369 -3354 +-1456 -1797 +929 -1238 +1525 -476 +724 -724 +-3976 1329 +-2354 -634 +1383 2217 +-3876 3020 +2006 -6543 +4534 1733 +-1984 4882 +-1024 5120 +789 1520 +1482 -2871 +7792 1449 +4476 -6020 +-5200 -1233 +581 2642 +1176 -1267 +-724 -724 +-54 -487 +-2052 838 +4385 177 +1127 -106 +-1367 -2045 +1264 342 +-742 732 +0 0 +-742 -732 +1264 -342 +-1367 2045 +1127 106 +4385 -177 +-2052 -838 +-54 487 +-724 724 +1176 1267 +581 -2642 +-5200 1233 +4476 6020 +7792 -1449 +1482 2871 +789 -1520 +-1024 -5120 +-1984 -4882 +4534 -1733 +2006 6543 +-3876 -3020 +1383 -2217 +-2354 634 +-3976 -1329 +724 724 +1525 476 +929 1238 +-1456 1797 +2369 3354 +-1752 1477 +-4384 2045 +-2527 889 +1024 2648 +3380 1398 +3532 -710 +2119 -3014 +-2088 -738 +-3422 1557 +-2240 -4395 +1984 -1672 +4096 0 +1984 1672 +-2240 4395 +-3422 -1557 +-2088 738 +2119 3014 +3532 710 +3380 -1398 +1024 -2648 +-2631 1579 +-1201 -1992 +-505 -689 +3824 4050 +1753 -529 +952 1244 +1834 479 +2048 0 +3561 1605 +-3065 -3479 +-1736 -4339 +-1528 -2942 +2606 1949 +2205 -1053 +-1909 -6130 +1024 5544 +-1296 3237 +1256 -2446 +-4900 6669 +-4305 1938 +-1708 -1951 +-1440 3767 +870 1157 +-4096 0 +870 -1157 +-1440 -3767 +-1708 1951 +-4305 -1938 +-4900 -6669 +1256 2446 +-1296 -3237 +1024 -5544 +-1909 6130 +2205 1053 +2606 -1949 +-1528 2942 +-1736 4339 +-3065 3479 +3561 -1605 +2048 0 +1834 -479 +952 -1244 +1753 529 +3824 -4050 +-505 689 +-1201 1992 +-2631 -1579 +1024 2648 +3380 1398 +3532 -710 +2119 -3014 +-2088 -738 +-3422 1557 +-2240 -4395 +1984 -1672 +2172 300 +-245 2796 +3584 3344 +-1506 -3347 +1306 -7299 +-513 368 +-858 -1631 +438 2740 +-5120 0 +438 -2740 +-858 1631 +-513 -368 +1306 7299 +-1506 3347 +3584 -3344 +-245 -2796 +2172 -300 +7265 1379 +893 1521 +862 1871 +972 -3967 +-3213 1216 +-2227 4060 +522 -3674 +0 -5120 +2919 1047 +779 1660 +-195 -2979 +3972 -2168 +-1292 1716 +555 1485 +1889 -3301 +-2172 1748 +-2229 1084 +-2136 3611 +607 5777 +-2154 -3190 +-542 421 +-591 -1970 +-4767 -3444 +-3072 0 +-4767 3444 +-591 1970 +-542 -421 +-2154 3190 +607 -5777 +-2136 -3611 +-2229 -1084 +-2172 -1748 +1889 3301 +555 -1485 +-1292 -1716 +3972 2168 +-195 2979 +779 -1660 +2919 -1047 +0 5120 +522 3674 +-2227 -4060 +-3213 -1216 +972 3967 +862 -1871 +893 -1521 +7265 -1379 +2172 300 +-245 2796 +3584 3344 +-1506 -3347 +1306 -7299 +-513 368 +-858 -1631 +438 2740 +2048 -848 +-2581 -3386 +2194 1098 +657 793 +2930 -240 +1977 1017 +414 -382 +2807 3715 +-3072 0 +2807 -3715 +414 382 +1977 -1017 +2930 240 +657 -793 +2194 -1098 +-2581 3386 +2048 848 +-1111 -615 +192 389 +1655 -505 +-1519 -2916 +377 -2554 +-2022 -1920 +553 -5525 +1024 0 +1429 4474 +2687 1355 +2755 -221 +-1978 -868 +-282 3647 +252 -1998 +-6608 -7062 +2048 4944 +-1732 7716 +-5535 3506 +1515 592 +-3530 -1808 +-2862 949 +1818 2458 +1450 3076 +1024 0 +1450 -3076 +1818 -2458 +-2862 -949 +-3530 1808 +1515 -592 +-5535 -3506 +-1732 -7716 +2048 -4944 +-6608 7062 +252 1998 +-282 -3647 +-1978 868 +2755 221 +2687 -1355 +1429 -4474 +1024 0 +553 5525 +-2022 1920 +377 2554 +-1519 2916 +1655 505 +192 -389 +-1111 615 +2048 -848 +-2581 -3386 +2194 1098 +657 793 +2930 -240 +1977 1017 +414 -382 +2807 3715 +124 5244 +-2 2557 +-3444 -5573 +-2179 1573 +2864 3432 +-1536 -37 +-512 -4683 +-137 52 +-5120 0 +-137 -52 +-512 4683 +-1536 37 +2864 -3432 +-2179 -1573 +-3444 5573 +-2 -2557 +124 -5244 +-219 -2441 +-1869 -4608 +-340 -2373 +-1370 -3359 +-154 2234 +4229 -725 +-2045 3173 +-2048 5120 +1261 -595 +-2301 2835 +2046 138 +522 3034 +-1552 -685 +6253 -2156 +1002 1082 +-4220 900 +786 4749 +260 -663 +287 -1453 +2080 -1136 +3428 -3627 +5575 -445 +-647 -36 +-7168 0 +-647 36 +5575 445 +3428 3627 +2080 1136 +287 1453 +260 663 +786 -4749 +-4220 -900 +1002 -1082 +6253 2156 +-1552 685 +522 -3034 +2046 -138 +-2301 -2835 +1261 595 +-2048 -5120 +-2045 -3173 +4229 725 +-154 -2234 +-1370 3359 +-340 2373 +-1869 4608 +-219 2441 +124 5244 +-2 2557 +-3444 -5573 +-2179 1573 +2864 3432 +-1536 -37 +-512 -4683 +-137 52 +-724 -2772 +1096 -1251 +-669 -985 +3792 -3115 +3092 -2200 +281 1834 +-522 -15 +-438 396 +6144 0 +-438 -396 +-522 15 +281 -1834 +3092 2200 +3792 3115 +-669 985 +1096 1251 +-724 2772 +1821 3616 +-4197 -291 +-3032 2551 +765 1814 +1549 -2534 +2952 1929 +-2517 -2333 +7168 -3072 +4205 297 +-2768 -5979 +-1565 -2447 +-4262 3862 +-490 464 +-1191 -1280 +275 1797 +724 -1324 +-4760 -4353 +-936 2892 +3514 2596 +-3692 152 +-4049 -179 +-861 -4997 +318 -1549 +4096 0 +318 1549 +-861 4997 +-4049 179 +-3692 -152 +3514 -2596 +-936 -2892 +-4760 4353 +724 1324 +275 -1797 +-1191 1280 +-490 -464 +-4262 -3862 +-1565 2447 +-2768 5979 +4205 -297 +7168 3072 +-2517 2333 +2952 -1929 +1549 2534 +765 -1814 +-3032 -2551 +-4197 291 +1821 -3616 +-724 -2772 +1096 -1251 +-669 -985 +3792 -3115 +3092 -2200 +281 1834 +-522 -15 +-438 396 +1024 424 +-4316 -3503 +4878 5347 +1993 2121 +-3026 2772 +-6182 -179 +-3425 -3582 +-308 655 +-5120 0 +-308 -655 +-3425 3582 +-6182 179 +-3026 -2772 +1993 -2121 +4878 -5347 +-4316 3503 +1024 -424 +2543 -2068 +-2401 3598 +1542 -3928 +-914 1324 +758 3438 +1617 -1219 +-324 -1856 +-3072 2048 +-1043 3134 +3107 985 +-2941 741 +1762 -1324 +6689 1464 +3341 286 +2944 -1329 +1024 -2472 +1046 -1110 +-1721 -467 +-4872 1054 +-1918 2772 +3012 -4735 +2797 -2406 +-542 -1016 +-1024 0 +-542 1016 +2797 2406 +3012 4735 +-1918 -2772 +-4872 -1054 +-1721 467 +1046 1110 +1024 2472 +2944 1329 +3341 -286 +6689 -1464 +1762 1324 +-2941 -741 +3107 -985 +-1043 -3134 +-3072 -2048 +-324 1856 +1617 1219 +758 -3438 +-914 -1324 +1542 3928 +-2401 -3598 +2543 2068 +1024 424 +-4316 -3503 +4878 5347 +1993 2121 +-3026 2772 +-6182 -179 +-3425 -3582 +-308 655 +3620 -1748 +-224 -2683 +5163 2703 +5685 354 +-2394 -2114 +409 3856 +1822 -2605 +1588 10 +1024 0 +1588 -10 +1822 2605 +409 -3856 +-2394 2114 +5685 -354 +5163 -2703 +-224 2683 +3620 1748 +-3977 4539 +-501 1853 +4919 -148 +1056 -1900 +-2603 -1155 +-1866 1578 +-413 -3240 +-4096 -5120 +-339 -4116 +-3875 1734 +-3445 1014 +1840 -452 +-425 4108 +1202 588 +2265 1444 +-3620 -300 +-4095 -3309 +4025 129 +286 1005 +-502 3562 +966 1210 +2222 -1335 +-597 2011 +-9216 0 +-597 -2011 +2222 1335 +966 -1210 +-502 -3562 +286 -1005 +4025 -129 +-4095 3309 +-3620 300 +2265 -1444 +1202 -588 +-425 -4108 +1840 452 +-3445 -1014 +-3875 -1734 +-339 4116 +-4096 5120 +-413 3240 +-1866 -1578 +-2603 1155 +1056 1900 +4919 148 +-501 -1853 +-3977 -4539 +3620 -1748 +-224 -2683 +5163 2703 +5685 354 +-2394 -2114 +409 3856 +1822 -2605 +1588 10 +1448 2472 +-863 3948 +-962 564 +-1087 3966 +-1338 724 +514 79 +1746 -4152 +786 2697 +0 0 +786 -2697 +1746 4152 +514 -79 +-1338 -724 +-1087 -3966 +-962 -564 +-863 -3948 +1448 -2472 +1202 417 +-1811 -1758 +-660 7446 +554 -724 +-2168 263 +-603 857 +2926 -3550 +0 6144 +-1614 1647 +1932 752 +1726 6077 +-554 724 +771 -81 +2699 4598 +2980 2121 +-1448 -424 +-8671 -2765 +-5718 -1 +3193 3748 +1338 724 +-2289 1199 +2718 -1744 +3254 -1413 +0 0 +3254 1413 +2718 1744 +-2289 -1199 +1338 -724 +3193 -3748 +-5718 1 +-8671 2765 +-1448 424 +2980 -2121 +2699 -4598 +771 81 +-554 -724 +1726 -6077 +1932 -752 +-1614 -1647 +0 -6144 +2926 3550 +-603 -857 +-2168 -263 +554 724 +-660 -7446 +-1811 1758 +1202 -417 +1448 2472 +-863 3948 +-962 564 +-1087 3966 +-1338 724 +514 79 +1746 -4152 +786 2697 +-6692 300 +2352 4327 +327 -766 +4217 -1008 +-862 2114 +-4479 1689 +4619 247 +2451 -1753 +2048 0 +2451 1753 +4619 -247 +-4479 -1689 +-862 -2114 +4217 1008 +327 766 +2352 -4327 +-6692 -300 +829 3132 +529 87 +-4818 1862 +1260 1900 +-2048 2112 +-1805 4830 +1709 299 +7168 -1024 +-567 2657 +-6222 890 +-1503 -497 +-3308 452 +223 2062 +2797 2919 +-918 1339 +548 1748 +633 872 +-3652 -499 +-2518 9 +-1186 -3562 +5133 -3097 +3409 -91 +-697 -2881 +4096 0 +-697 2881 +3409 91 +5133 3097 +-1186 3562 +-2518 -9 +-3652 499 +633 -872 +548 -1748 +-918 -1339 +2797 -2919 +223 -2062 +-3308 -452 +-1503 497 +-6222 -890 +-567 -2657 +7168 1024 +1709 -299 +-1805 -4830 +-2048 -2112 +1260 -1900 +-4818 -1862 +529 -87 +829 -3132 +-6692 300 +2352 4327 +327 -766 +4217 -1008 +-862 2114 +-4479 1689 +4619 247 +2451 -1753 +2772 1748 +-2409 -2375 +-2739 2307 +-3681 3489 +4516 -1116 +3017 -1909 +-1023 3093 +2027 4261 +-3072 0 +2027 -4261 +-1023 -3093 +3017 1909 +4516 1116 +-3681 -3489 +-2739 -2307 +-2409 2375 +2772 -1748 +-343 -1762 +-3008 4418 +-5096 -1662 +-3503 1670 +-1233 8050 +-1502 -835 +3205 -2038 +-2048 -1024 +-71 -2938 +-1174 -1840 +-2699 -400 +606 222 +-1214 -2901 +4117 738 +3435 2864 +1324 300 +2894 688 +1631 -195 +-1153 4720 +-1620 -332 +474 -5286 +3699 1696 +2848 -3283 +-1024 0 +2848 3283 +3699 -1696 +474 5286 +-1620 332 +-1153 -4720 +1631 195 +2894 -688 +1324 -300 +3435 -2864 +4117 -738 +-1214 2901 +606 -222 +-2699 400 +-1174 1840 +-71 2938 +-2048 1024 +3205 2038 +-1502 835 +-1233 -8050 +-3503 -1670 +-5096 1662 +-3008 -4418 +-343 1762 +2772 1748 +-2409 -2375 +-2739 2307 +-3681 3489 +4516 -1116 +3017 -1909 +-1023 3093 +2027 4261 +2772 -2596 +-2693 -568 +-4583 -1184 +1216 -1288 +-3962 3238 +-1818 1180 +628 3238 +-815 -1163 +5120 0 +-815 1163 +628 -3238 +-1818 -1180 +-3962 -3238 +1216 1288 +-4583 1184 +-2693 568 +2772 2596 +-1458 -778 +-6615 2442 +-51 -3943 +4840 -4116 +2692 524 +-2372 -2445 +-976 1656 +0 -1024 +-4112 -2233 +6117 75 +3440 -2194 +-1944 -2668 +-297 817 +-1226 4815 +3462 3244 +1324 4644 +-2208 1693 +-3258 -539 +2028 3151 +1065 -1789 +-1418 -1003 +3116 3378 +3007 170 +3072 0 +3007 -170 +3116 -3378 +-1418 1003 +1065 1789 +2028 -3151 +-3258 539 +-2208 -1693 +1324 -4644 +3462 -3244 +-1226 -4815 +-297 -817 +-1944 2668 +3440 2194 +6117 -75 +-4112 2233 +0 1024 +-976 -1656 +-2372 2445 +2692 -524 +4840 4116 +-51 3943 +-6615 -2442 +-1458 778 +2772 -2596 +-2693 -568 +-4583 -1184 +1216 -1288 +-3962 3238 +-1818 1180 +628 3238 +-815 -1163 +-3496 -3920 +-441 -2986 +-527 2497 +-3644 2020 +-74 5788 +-2104 1584 +-46 -1808 +1161 -2347 +-2048 0 +1161 2347 +-46 1808 +-2104 -1584 +-74 -5788 +-3644 -2020 +-527 -2497 +-441 2986 +-3496 3920 +742 377 +-3729 2142 +1989 -1441 +4494 -3908 +-3609 -4211 +732 554 +-192 797 +2048 0 +1076 -1938 +-4109 490 +551 3390 +-398 -3660 +-1029 -1054 +793 -910 +3546 -1104 +-600 1872 +-952 4401 +5160 4340 +-212 1263 +4170 2653 +2265 3120 +-6466 3440 +852 -3284 +6144 0 +852 3284 +-6466 -3440 +2265 -3120 +4170 -2653 +-212 -1263 +5160 -4340 +-952 -4401 +-600 -1872 +3546 1104 +793 910 +-1029 1054 +-398 3660 +551 -3390 +-4109 -490 +1076 1938 +2048 0 +-192 -797 +732 -554 +-3609 4211 +4494 3908 +1989 1441 +-3729 -2142 +742 -377 +-3496 -3920 +-441 -2986 +-527 2497 +-3644 2020 +-74 5788 +-2104 1584 +-46 -1808 +1161 -2347 +1748 2596 +-1092 2538 +-1297 1145 +1157 3318 +-3972 1116 +-1933 -734 +-571 1228 +580 -854 +4096 0 +580 854 +-571 -1228 +-1933 734 +-3972 -1116 +1157 -3318 +-1297 -1145 +-1092 -2538 +1748 -2596 +1907 2034 +2141 3523 +-3484 -671 +-1306 -1670 +-1997 -646 +2901 -3099 +-248 1028 +-7168 3072 +6070 -3350 +4039 -3792 +583 -2154 +2154 -222 +6130 230 +4150 -2685 +-2480 -1780 +300 -4644 +-3686 -1000 +-898 6631 +-1586 -201 +-972 332 +1130 1443 +-2274 -4319 +-1051 1827 +-2048 0 +-1051 -1827 +-2274 4319 +1130 -1443 +-972 -332 +-1586 201 +-898 -6631 +-3686 1000 +300 4644 +-2480 1780 +4150 2685 +6130 -230 +2154 222 +583 2154 +4039 3792 +6070 3350 +-7168 -3072 +-248 -1028 +2901 3099 +-1997 646 +-1306 1670 +-3484 671 +2141 -3523 +1907 -2034 +1748 2596 +-1092 2538 +-1297 1145 +1157 3318 +-3972 1116 +-1933 -734 +-571 1228 +580 -854 +-600 -5968 +-2078 -302 +578 3977 +-367 4202 +-5812 -1674 +-973 -3714 +1116 -6139 +-1594 4048 +0 0 +-1594 -4048 +1116 6139 +-973 3714 +-5812 1674 +-367 -4202 +578 -3977 +-2078 302 +-600 5968 +3397 2720 +1381 -1912 +-2332 705 +1089 -4794 +-2358 2457 +-3662 1384 +-276 182 +-2048 2048 +230 -1804 +1586 5036 +3380 1 +2656 -98 +-863 2932 +3224 -3776 +1098 -2718 +-3496 -176 +-850 -1351 +1810 -545 +-222 -3543 +-2028 -5918 +3736 -310 +2160 -1297 +72 -2249 +4096 0 +72 2249 +2160 1297 +3736 310 +-2028 5918 +-222 3543 +1810 545 +-850 1351 +-3496 176 +1098 2718 +3224 3776 +-863 -2932 +2656 98 +3380 -1 +1586 -5036 +230 1804 +-2048 -2048 +-276 -182 +-3662 -1384 +-2358 -2457 +1089 4794 +-2332 -705 +1381 1912 +3397 -2720 +-600 -5968 +-2078 -302 +578 3977 +-367 4202 +-5812 -1674 +-973 -3714 +1116 -6139 +-1594 4048 +-3796 -3196 +-385 -3750 +1929 -3799 +-3482 491 +-52 -1800 +5268 4823 +-339 1049 +-2222 -1119 +0 0 +-2222 1119 +-339 -1049 +5268 -4823 +-52 1800 +-3482 -491 +1929 3799 +-385 3750 +-3796 3196 +-1645 2151 +889 -4036 +-1287 425 +-3178 -3478 +-1037 -2418 +-4138 -909 +-769 2717 +3072 3072 +-1050 -6400 +1582 -1665 +518 2353 +282 18 +1374 -1374 +-2117 724 +491 236 +-2348 1148 +-2245 -694 +2195 -2529 +1827 5590 +2948 1200 +-3181 -364 +-1 3491 +7825 -2334 +6144 0 +7825 2334 +-1 -3491 +-3181 364 +2948 -1200 +1827 -5590 +2195 2529 +-2245 694 +-2348 -1148 +491 -236 +-2117 -724 +1374 1374 +282 -18 +518 -2353 +1582 1665 +-1050 6400 +3072 -3072 +-769 -2717 +-4138 909 +-1037 2418 +-3178 3478 +-1287 -425 +889 4036 +-1645 -2151 +-3796 -3196 +-385 -3750 +1929 -3799 +-3482 491 +-52 -1800 +5268 4823 +-339 1049 +-2222 -1119 +-2896 2896 +1463 3239 +-769 3331 +-6273 3415 +-170 -2232 +4842 -82 +4053 -2361 +-801 1473 +-5120 0 +-801 -1473 +4053 2361 +4842 82 +-170 2232 +-6273 -3415 +-769 -3331 +1463 -3239 +-2896 -2896 +1056 -704 +1101 593 +-859 5343 +2062 3340 +231 2818 +1907 -2566 +1003 -296 +-5120 0 +2383 -1388 +3821 590 +-5964 -4274 +-614 444 +3216 -4400 +-3842 -4131 +-1922 -1184 +2896 -2896 +2299 2311 +1813 -3959 +1020 -2694 +-1278 -664 +-2006 -2338 +108 1422 +312 -2326 +-1024 0 +312 2326 +108 -1422 +-2006 2338 +-1278 664 +1020 2694 +1813 3959 +2299 -2311 +2896 2896 +-1922 1184 +-3842 4131 +3216 4400 +-614 -444 +-5964 4274 +3821 -590 +2383 1388 +-5120 0 +1003 296 +1907 2566 +231 -2818 +2062 -3340 +-859 -5343 +1101 -593 +1056 704 +-2896 2896 +1463 3239 +-769 3331 +-6273 3415 +-170 -2232 +4842 -82 +4053 -2361 +-801 1473 +-1748 -3620 +4494 -2312 +-455 6612 +-1201 2001 +306 -3178 +2798 -716 +1778 -4604 +694 2524 +7168 0 +694 -2524 +1778 4604 +2798 716 +306 3178 +-1201 -2001 +-455 -6612 +4494 2312 +-1748 3620 +-129 -300 +-621 -4291 +-2464 -555 +2768 2948 +3042 70 +3460 532 +2309 1851 +-2048 -1024 +-2334 -775 +220 1471 +-3946 -3042 +-3368 52 +-4212 -416 +-4167 -3664 +-401 4499 +-300 3620 +2068 120 +-549 -1888 +-2588 -663 +-3802 282 +2778 -3595 +334 1447 +-907 1843 +9216 0 +-907 -1843 +334 -1447 +2778 3595 +-3802 -282 +-2588 663 +-549 1888 +2068 -120 +-300 -3620 +-401 -4499 +-4167 3664 +-4212 416 +-3368 -52 +-3946 3042 +220 -1471 +-2334 775 +-2048 1024 +2309 -1851 +3460 -532 +3042 -70 +2768 -2948 +-2464 555 +-621 4291 +-129 300 +-1748 -3620 +4494 -2312 +-455 6612 +-1201 2001 +306 -3178 +2798 -716 +1778 -4604 +694 2524 +-300 -1748 +-296 4446 +-1658 2443 +2952 -3150 +273 1919 +364 193 +5358 -478 +4223 119 +4096 0 +4223 -119 +5358 478 +364 -193 +273 -1919 +2952 3150 +-1658 -2443 +-296 -4446 +-300 1748 +-1088 26 +-955 -1873 +1877 3800 +1390 1142 +-4522 -1443 +124 5972 +273 -1391 +-3072 1024 +-1809 -132 +697 -4529 +366 1188 +-4286 -5251 +725 1127 +2663 1966 +159 -2177 +-1748 -300 +-3240 32 +1150 5989 +1127 1806 +2624 -4216 +2903 -2025 +814 2762 +-4017 2027 +-10240 0 +-4017 -2027 +814 -2762 +2903 2025 +2624 4216 +1127 -1806 +1150 -5989 +-3240 -32 +-1748 300 +159 2177 +2663 -1966 +725 -1127 +-4286 5251 +366 -1188 +697 4529 +-1809 132 +-3072 -1024 +273 1391 +124 -5972 +-4522 1443 +1390 -1142 +1877 -3800 +-955 1873 +-1088 -26 +-300 -1748 +-296 4446 +-1658 2443 +2952 -3150 +273 1919 +364 193 +5358 -478 +4223 119 +-900 900 +-5469 3959 +173 2615 +1465 -117 +706 1330 +-2841 -2020 +20 4301 +3080 -2753 +0 0 +3080 2753 +20 -4301 +-2841 2020 +706 -1330 +1465 117 +173 -2615 +-5469 -3959 +-900 -900 +5315 -970 +455 1086 +-1081 -1150 +-2524 3792 +-776 2105 +824 16 +-2128 1090 +1024 1024 +1928 381 +1224 1687 +3631 5918 +476 2344 +-3880 3229 +1593 -1486 +2765 -69 +-5244 5244 +964 -842 +1875 606 +-7648 -856 +-2754 -2778 +-454 482 +2028 3163 +5129 4261 +2048 0 +5129 -4261 +2028 -3163 +-454 -482 +-2754 2778 +-7648 856 +1875 -606 +964 842 +-5244 -5244 +2765 69 +1593 1486 +-3880 -3229 +476 -2344 +3631 -5918 +1224 -1687 +1928 -381 +1024 -1024 +-2128 -1090 +824 -16 +-776 -2105 +-2524 -3792 +-1081 1150 +455 -1086 +5315 970 +-900 900 +-5469 3959 +173 2615 +1465 -117 +706 1330 +-2841 -2020 +20 4301 +3080 -2753 +2648 4944 +1173 4499 +5074 3021 +-3759 2558 +568 -2942 +-497 -3337 +-4670 2217 +158 2213 +-5120 0 +158 -2213 +-4670 -2217 +-497 3337 +568 2942 +-3759 -2558 +5074 -3021 +1173 -4499 +2648 -4944 +-972 -245 +1041 397 +4353 -1013 +-1988 738 +-468 2067 +-3908 1295 +-1458 -1881 +3072 -2048 +1425 732 +2904 -1601 +2304 -1591 +-3556 1938 +1799 -1373 +2639 -2499 +-3211 548 +5544 -848 +3010 390 +-2961 -125 +-2394 -7014 +-3216 -4050 +-1338 3775 +-119 679 +-125 -3696 +-1024 0 +-125 3696 +-119 -679 +-1338 -3775 +-3216 4050 +-2394 7014 +-2961 125 +3010 -390 +5544 848 +-3211 -548 +2639 2499 +1799 1373 +-3556 -1938 +2304 1591 +2904 1601 +1425 -732 +3072 2048 +-1458 1881 +-3908 -1295 +-468 -2067 +-1988 -738 +4353 1013 +1041 -397 +-972 245 +2648 4944 +1173 4499 +5074 3021 +-3759 2558 +568 -2942 +-497 -3337 +-4670 2217 +158 2213 +-1148 2772 +1963 951 +2002 -5220 +-581 -1749 +1200 -2440 +1947 330 +3287 -1403 +4305 -782 +3072 0 +4305 782 +3287 1403 +1947 -330 +1200 2440 +-581 1749 +2002 5220 +1963 -951 +-1148 -2772 +-989 -2070 +-787 -3913 +-229 1066 +-18 -1102 +-1232 1056 +-2967 -1419 +-1061 924 +2048 -1024 +-2251 -2223 +-2761 6370 +-1209 750 +-3478 2994 +2803 -471 +3528 -5260 +-281 32 +3196 1324 +-693 1152 +-3046 -1919 +-1993 211 +-1800 -1656 +494 -636 +-7448 3400 +-993 -2365 +13312 0 +-993 2365 +-7448 -3400 +494 636 +-1800 1656 +-1993 -211 +-3046 1919 +-693 -1152 +3196 -1324 +-281 -32 +3528 5260 +2803 471 +-3478 -2994 +-1209 -750 +-2761 -6370 +-2251 2223 +2048 1024 +-1061 -924 +-2967 1419 +-1232 -1056 +-18 1102 +-229 -1066 +-787 3913 +-989 2070 +-1148 2772 +1963 951 +2002 -5220 +-581 -1749 +1200 -2440 +1947 330 +3287 -1403 +4305 -782 +2172 -900 +-316 4401 +-2732 4071 +-536 -2061 +3464 4032 +-2610 2073 +-1341 -831 +-119 1191 +-5120 0 +-119 -1191 +-1341 831 +-2610 -2073 +3464 -4032 +-536 2061 +-2732 -4071 +-316 -4401 +2172 900 +3027 -3895 +-1969 -2418 +1723 2829 +2126 138 +-5061 330 +1023 3806 +3336 1627 +-2048 1024 +-943 -4550 +2161 -3539 +6009 -1200 +4018 -462 +-396 1675 +40 -3303 +-1403 -1982 +-2172 -5244 +-2876 1297 +-2332 3733 +2993 -660 +2680 -536 +-2123 -5172 +-3043 2175 +-707 -3583 +1024 0 +-707 3583 +-3043 -2175 +-2123 5172 +2680 536 +2993 660 +-2332 -3733 +-2876 -1297 +-2172 5244 +-1403 1982 +40 3303 +-396 -1675 +4018 462 +6009 1200 +2161 3539 +-943 4550 +-2048 -1024 +3336 -1627 +1023 -3806 +-5061 -330 +2126 -138 +1723 -2829 +-1969 2418 +3027 3895 +2172 -900 +-316 4401 +-2732 4071 +-536 -2061 +3464 4032 +-2610 2073 +-1341 -831 +-119 1191 +-2048 -2048 +-1799 184 +5 -952 +649 -315 +-2716 -1918 +629 -3416 +5639 3604 +2780 -2841 +-3072 0 +2780 2841 +5639 -3604 +629 3416 +-2716 1918 +649 315 +5 952 +-1799 -184 +-2048 2048 +-3114 -2641 +3978 135 +4892 5026 +6980 1762 +776 330 +-2576 1379 +2763 -772 +-1024 -2048 +3032 -1888 +-2331 -2117 +-4807 3608 +-588 914 +-617 -2095 +471 735 +-1505 -1758 +-2048 -2048 +-5615 -4286 +-1557 352 +-1378 1755 +419 -3026 +5650 1269 +-3627 -108 +-2335 -860 +5120 0 +-2335 860 +-3627 108 +5650 -1269 +419 3026 +-1378 -1755 +-1557 -352 +-5615 4286 +-2048 2048 +-1505 1758 +471 -735 +-617 2095 +-588 -914 +-4807 -3608 +-2331 2117 +3032 1888 +-1024 2048 +2763 772 +-2576 -1379 +776 -330 +6980 -1762 +4892 -5026 +3978 -135 +-3114 2641 +-2048 -2048 +-1799 184 +5 -952 +649 -315 +-2716 -1918 +629 -3416 +5639 3604 +2780 -2841 +2596 300 +1149 -312 +-869 -2533 +-1012 1525 +4118 737 +1543 -5264 +-1548 1991 +-688 1251 +-4096 0 +-688 -1251 +-1548 -1991 +1543 5264 +4118 -737 +-1012 -1525 +-869 2533 +1149 312 +2596 -300 +-3217 3397 +552 -574 +2092 -2582 +-3576 2960 +1818 409 +-2752 484 +151 -4264 +3072 -1024 +-5224 3259 +-744 -3796 +3931 -5079 +4776 -7528 +-926 -6650 +-1151 -1867 +1987 3874 +-4644 1748 +-1249 -99 +269 41 +-1041 -1129 +2875 1062 +-611 -1553 +-1948 721 +1297 -408 +2048 0 +1297 408 +-1948 -721 +-611 1553 +2875 -1062 +-1041 1129 +269 -41 +-1249 99 +-4644 -1748 +1987 -3874 +-1151 1867 +-926 6650 +4776 7528 +3931 5079 +-744 3796 +-5224 -3259 +3072 1024 +151 4264 +-2752 -484 +1818 -409 +-3576 -2960 +2091 2582 +552 574 +-3217 -3397 +2596 300 +1149 -312 +-869 -2533 +-1012 1525 +4118 737 +1543 -5264 +-1548 1991 +-688 1251 +-1924 -724 +-4562 -339 +1117 -500 +-127 -2194 +-1430 403 +-1621 -5290 +355 897 +-184 2325 +-4096 0 +-184 -2325 +355 -897 +-1621 5290 +-1430 -403 +-127 2194 +1117 500 +-4562 339 +-1924 724 +3231 -2231 +-823 -1304 +-746 1986 +3248 5200 +6562 -4615 +2713 2317 +746 3055 +3072 -1024 +-2499 6644 +-2269 -1179 +448 1572 +248 3152 +3160 -3636 +3054 -704 +121 1428 +-6268 724 +-5471 1231 +-453 -100 +-958 -219 +2030 1645 +-925 701 +-3695 2600 +2824 896 +6144 0 +2824 -896 +-3695 -2600 +-925 -701 +2030 -1645 +-958 219 +-453 100 +-5471 -1231 +-6268 -724 +121 -1428 +3054 704 +3160 3636 +248 -3152 +448 -1572 +-2269 1179 +-2499 -6644 +3072 1024 +746 -3055 +2713 -2317 +6562 4615 +3248 -5200 +-746 -1986 +-823 1304 +3231 2231 +-1924 -724 +-4562 -339 +1117 -500 +-127 -2194 +-1430 403 +-1621 -5290 +355 897 +-184 2325 +-2172 2172 +2857 229 +-2093 -2123 +-2601 -1170 +-2094 -78 +-4343 -767 +-524 5787 +-1620 -4582 +-4096 0 +-1620 4582 +-524 -5787 +-4343 767 +-2094 78 +-2601 1170 +-2093 2123 +2857 -229 +-2172 -2172 +1128 -2491 +417 3222 +-3298 15 +2804 -632 +741 960 +2266 -1592 +3554 1113 +1024 3072 +402 -4961 +-974 -2152 +1258 3850 +3588 1416 +2365 1240 +-3129 -2690 +-289 -343 +2172 -2172 +-1688 351 +-2187 4900 +-3826 -1388 +-202 -1970 +-1880 -127 +-1968 2342 +7240 -3060 +10240 0 +7240 3060 +-1968 -2342 +-1880 127 +-202 1970 +-3826 1388 +-2187 -4900 +-1688 -351 +2172 2172 +-289 343 +-3129 2690 +2365 -1240 +3588 -1416 +1258 -3850 +-974 2152 +402 4961 +1024 -3072 +3554 -1113 +2266 1592 +741 -960 +2804 632 +-3298 -15 +417 -3222 +1128 2491 +-2172 2172 +2857 229 +-2093 -2123 +-2601 -1170 +-2094 -78 +-4343 -767 +-524 5787 +-1620 -4582 +-3196 2172 +-947 4561 +3452 290 +-775 -3281 +5240 2745 +2086 758 +-1441 -3917 +3258 -5254 +-1024 0 +3258 5254 +-1441 3917 +2086 -758 +5240 -2745 +-775 3281 +3452 -290 +-947 -4561 +-3196 -2172 +-2392 1860 +88 5936 +-11 628 +-4227 966 +-1887 1514 +-1483 936 +-3680 2630 +2048 1024 +3563 3895 +-3186 -1768 +968 -2426 +-118 4710 +-1910 784 +-312 -1825 +100 -972 +1148 -2172 +-545 -1729 +5461 3037 +1129 3125 +-895 5096 +401 3182 +-2579 -4083 +643 3990 +1024 0 +643 -3990 +-2579 4083 +401 -3182 +-895 -5096 +1129 -3125 +5461 -3037 +-545 1729 +1148 2172 +100 972 +-312 1825 +-1910 -784 +-118 -4710 +968 2426 +-3186 1768 +3563 -3895 +2048 -1024 +-3680 -2630 +-1483 -936 +-1887 -1514 +-4227 -966 +-11 -628 +88 -5936 +-2392 -1860 +-3196 2172 +-947 4561 +3452 290 +-775 -3281 +5240 2745 +2086 758 +-1441 -3917 +3258 -5254 +-1448 -6568 +-4779 -206 +-1096 2041 +-1313 825 +470 1785 +4006 -645 +1556 -1987 +-324 -2346 +2048 0 +-324 2346 +1556 1987 +4006 645 +470 -1785 +-1313 -825 +-1096 -2041 +-4779 206 +-1448 6568 +2401 2587 +-2183 960 +146 5769 +-314 3900 +668 -953 +-669 971 +-1074 2272 +2048 -2048 +-818 -33 +69 1636 +-1452 2319 +2362 2101 +638 -2998 +-1313 516 +-508 -2303 +1448 -3672 +6671 2676 +-2400 -5382 +2097 -15 +1578 8704 +-4789 1909 +-2156 -245 +-1568 -4183 +2048 0 +-1568 4183 +-2156 245 +-4789 -1909 +1578 -8704 +2097 15 +-2400 5382 +6671 -2676 +1448 3672 +-508 2303 +-1313 -516 +638 2998 +2362 -2101 +-1452 -2319 +69 -1636 +-818 33 +2048 2048 +-1074 -2272 +-669 -971 +668 953 +-314 -3900 +146 -5769 +-2183 -960 +2401 -2587 +-1448 -6568 +-4779 -206 +-1096 2041 +-1313 825 +470 1785 +4006 -645 +1556 -1987 +-324 -2346 +1024 2472 +2523 -1365 +-1691 -2947 +1430 -4204 +2656 -3835 +2118 449 +-5823 -5116 +-3792 -438 +9216 0 +-3792 438 +-5823 5116 +2118 -449 +2656 3835 +1430 4204 +-1691 2947 +2523 1365 +1024 -2472 +-1310 1003 +348 -1742 +-2030 -797 +-5812 -1842 +659 -3257 +2810 -970 +3192 -2639 +5120 0 +-3027 -928 +-1074 1143 +-1959 -1677 +-2028 -6186 +-687 1017 +-829 -738 +4551 -1171 +1024 -424 +-413 -6160 +-1925 -1842 +-929 3801 +1089 -510 +1399 -1086 +-9 1436 +-1724 -3202 +1024 0 +-1724 3202 +-9 -1436 +1399 1086 +1089 510 +-929 -3801 +-1925 1842 +-413 6160 +1024 424 +4551 1171 +-829 738 +-687 -1017 +-2028 6186 +-1959 1677 +-1074 -1143 +-3027 928 +5120 0 +3192 2639 +2810 970 +659 3257 +-5812 1842 +-2030 797 +348 1742 +-1310 -1003 +1024 2472 +2523 -1365 +-1691 -2947 +1430 -4204 +2656 -3835 +2118 449 +-5823 -5116 +-3792 -438 +4520 3072 +-776 1625 +-565 -588 +-1049 98 +3940 -2042 +4202 2703 +-111 -1635 +1153 -3336 +-1024 0 +1153 3336 +-111 1635 +4202 -2703 +3940 2042 +-1049 -98 +-565 588 +-776 -1625 +4520 -3072 +1226 663 +-523 -5915 +3667 2775 +2832 5982 +-358 -1124 +-1054 1776 +-5066 869 +-3072 2048 +3901 -475 +-3330 -936 +-251 135 +1264 -3306 +-2438 -1703 +-4540 -3423 +-6975 -1170 +1624 3072 +-156 1007 +-1364 1881 +1149 2105 +156 -3151 +871 -556 +3295 5915 +900 662 +-5120 0 +900 -662 +3295 -5915 +871 556 +156 3151 +1149 -2105 +-1364 -1881 +-156 -1007 +1624 -3072 +-6975 1170 +-4540 3423 +-2438 1703 +1264 3306 +-251 -135 +-3330 936 +3901 475 +-3072 -2048 +-5066 -869 +-1054 -1776 +-358 1124 +2832 -5982 +3667 -2775 +-523 5915 +1226 -663 +4520 3072 +-776 1625 +-565 -588 +-1049 98 +3940 -2042 +4202 2703 +-111 -1635 +1153 -3336 +1324 -2172 +-1156 1885 +394 -3288 +2352 -1180 +-248 2044 +136 2783 +1995 1941 +267 4360 +0 0 +267 -4360 +1995 -1941 +136 -2783 +-248 -2044 +2352 1180 +394 3288 +-1156 -1885 +1324 2172 +4208 3266 +1952 380 +1201 -114 +1430 -3078 +1427 4783 +-1623 1566 +-3757 607 +1024 3072 +-4865 -1752 +-1717 -601 +2916 -1878 +-2030 -1030 +5952 2492 +-1288 92 +-6903 -4310 +2772 2172 +4739 874 +1838 -3993 +-5041 -2557 +-3248 -4092 +-3150 -1803 +-1551 -2908 +1675 1217 +-2048 0 +1675 -1217 +-1551 2908 +-3150 1803 +-3248 4092 +-5041 2557 +1838 3993 +4739 -874 +2772 -2172 +-6903 4310 +-1288 -92 +5952 -2492 +-2030 1030 +2916 1878 +-1717 601 +-4865 1752 +1024 -3072 +-3757 -607 +-1623 -1566 +1427 -4783 +1430 3078 +1201 114 +1952 -380 +4208 -3266 +1324 -2172 +-1156 1885 +394 -3288 +2352 -1180 +-248 2044 +136 2783 +1995 1941 +267 4360 +1748 900 +-727 1759 +-1272 950 +-167 1857 +-162 765 +-6116 4161 +-686 -469 +601 -35 +-8192 0 +601 35 +-686 469 +-6116 -4161 +-162 -765 +-167 -1857 +-1272 -950 +-727 -1759 +1748 -900 +1086 815 +-293 -235 +-2590 -1063 +-2284 -3692 +2578 7820 +192 6484 +-1111 -2741 +-1024 1024 +2557 1641 +5041 468 +3276 -499 +2284 -3092 +-3562 -2445 +412 -2008 +1596 -1308 +300 5244 +5405 -435 +-1743 173 +-3258 1801 +162 -4262 +-1746 752 +-1650 -2651 +2178 -329 +6144 0 +2178 329 +-1650 2651 +-1746 -752 +162 4262 +-3258 -1801 +-1743 -173 +5405 435 +300 -5244 +1596 1308 +412 2008 +-3562 2445 +2284 3092 +3276 499 +5041 -468 +2557 -1641 +-1024 -1024 +-1111 2741 +192 -6484 +2578 -7820 +-2284 3692 +-2590 1063 +-293 235 +1086 -815 +1748 900 +-727 1759 +-1272 950 +-167 1857 +-162 765 +-6116 4161 +-686 -469 +601 -35 +5668 -3796 +5706 -2086 +-1955 895 +3953 -1100 +-1286 -5610 +-6042 4003 +-1209 311 +-921 794 +-1024 0 +-921 -794 +-1209 -311 +-6042 -4003 +-1286 5610 +3953 1100 +-1955 -895 +5706 2086 +5668 3796 +-2119 5966 +1571 1612 +1067 -969 +3732 -2500 +-392 3527 +-6453 710 +42 2383 +-2048 3072 +-1324 -2412 +3777 2602 +196 48 +-836 148 +504 426 +-463 829 +-3325 827 +-1572 -2348 +1954 601 +847 -1679 +-172 -5177 +-1610 66 +886 4623 +3885 1581 +-14 1459 +-3072 0 +-14 -1459 +3885 -1581 +886 -4623 +-1610 -66 +-172 5177 +847 1679 +1954 -601 +-1572 2348 +-3325 -827 +-463 -829 +504 -426 +-836 -148 +196 -48 +3777 -2602 +-1324 2412 +-2048 -3072 +42 -2383 +-6453 -710 +-392 -3527 +3732 2500 +1067 969 +1571 -1612 +-2119 -5966 +5668 -3796 +5706 -2086 +-1955 895 +3953 -1100 +-1286 -5610 +-6042 4003 +-1209 311 +-921 794 +-2348 1748 +7482 -1568 +2223 -2239 +-1075 -143 +6408 -756 +-1940 -899 +38 -1316 +4356 2325 +0 0 +4356 -2325 +38 1316 +-1940 899 +6408 756 +-1075 143 +2223 2239 +7482 1568 +-2348 -1748 +-3618 3070 +-3831 1073 +-1596 -1532 +-2719 2250 +-3236 1867 +461 672 +4927 -911 +5120 -1024 +117 -2410 +987 1337 +-2219 5970 +-177 -4142 +1590 -6108 +2383 629 +-1127 652 +-3796 300 +1489 -1162 +-3671 -1101 +-927 -1905 +-3512 -1540 +-2182 -662 +1410 -916 +-2040 4056 +2048 0 +-2040 -4056 +1410 916 +-2182 662 +-3512 1540 +-927 1905 +-3671 1101 +1489 1162 +-3796 -300 +-1127 -652 +2383 -629 +1590 6108 +-177 4142 +-2219 -5970 +987 -1337 +117 2410 +5120 1024 +4927 911 +461 -672 +-3236 -1867 +-2719 -2250 +-1596 1532 +-3831 -1073 +-3618 -3070 +-2348 1748 +7482 -1568 +2223 -2239 +-1075 -143 +6408 -756 +-1940 -899 +38 -1316 +4356 2325 +-1448 2472 +-3364 -472 +2798 -242 +-2417 -3095 +-784 -2062 +2538 5471 +-2155 1036 +2216 1984 +4096 0 +2216 -1984 +-2155 -1036 +2538 -5471 +-784 2062 +-2417 3095 +2798 242 +-3364 472 +-1448 -2472 +-569 -419 +2500 -3692 +4103 -4376 +1892 1278 +1072 2592 +-3221 1063 +2158 4153 +6144 4096 +-81 -3015 +-615 907 +2437 4150 +-1892 -170 +1332 -3195 +1024 -2428 +-285 690 +1448 -424 +-6246 -1315 +-4625 -2590 +-3257 1380 +784 614 +-16 -1017 +-3897 2904 +379 -6256 +0 0 +379 6256 +-3897 -2904 +-16 1017 +784 -614 +-3257 -1380 +-4625 2590 +-6246 1315 +1448 424 +-285 -690 +1024 2428 +1332 3195 +-1892 170 +2437 -4150 +-615 -907 +-81 3015 +6144 -4096 +2158 -4153 +-3221 -1063 +1072 -2592 +1892 -1278 +4103 4376 +2500 3692 +-569 419 +-1448 2472 +-3364 -472 +2798 -242 +-2417 -3095 +-784 -2062 +2538 5471 +-2155 1036 +2216 1984 +-1024 2048 +-3298 1095 +-632 1296 +3405 2715 +-4932 214 +1086 -2906 +-78 2428 +-5249 213 +4096 0 +-5249 -213 +-78 -2428 +1086 2906 +-4932 -214 +3405 -2715 +-632 -1296 +-3298 -1095 +-1024 -2048 +1375 -2027 +-1416 1313 +-3116 2187 +1629 5462 +1343 1058 +-1970 -4032 +1346 -3062 +2048 -2048 +-2910 1019 +-1970 -5361 +-2393 -3792 +4764 2566 +5376 -57 +-1416 2200 +-589 3531 +-1024 2048 +-1064 2246 +-632 5385 +5479 393 +2636 -3111 +405 3408 +-78 2036 +-1197 1652 +4096 0 +-1197 -1652 +-78 -2036 +405 -3408 +2636 3111 +5479 -393 +-632 -5385 +-1064 -2246 +-1024 -2048 +-589 -3531 +-1416 -2200 +5376 57 +4764 -2566 +-2393 3792 +-1970 5361 +-2910 -1019 +2048 2048 +1346 3062 +-1970 4032 +1343 -1058 +1629 -5462 +-3116 -2187 +-1416 -1313 +1375 2027 +-1024 2048 +-3298 1095 +-632 1296 +3405 2715 +-4932 214 +1086 -2906 +-78 2428 +-5249 213 +300 724 +-2223 438 +-4492 4346 +-629 3293 +-1826 1186 +-1499 1908 +2743 3819 +3310 2124 +1024 0 +3310 -2124 +2743 -3819 +-1499 -1908 +-1826 -1186 +-629 -3293 +-4492 -4346 +-2223 -438 +300 -724 +734 -4600 +5178 -2009 +-1028 813 +-932 3308 +-412 -1806 +-3764 -6899 +435 445 +4096 1024 +893 -522 +-1144 -99 +3633 -1149 +-1716 1260 +1259 -18 +-730 1656 +-3858 5 +1748 -724 +-3789 3856 +2939 -3578 +1047 -1597 +-3718 862 +-2371 -6914 +-731 85 +4498 4790 +3072 0 +4498 -4790 +-731 -85 +-2371 6914 +-3718 -862 +1047 1597 +2939 3578 +-3789 -3856 +1748 724 +-3858 -5 +-730 -1656 +1259 18 +-1716 -1260 +3633 1149 +-1144 99 +893 522 +4096 -1024 +435 -445 +-3764 6899 +-412 1806 +-932 -3308 +-1028 -813 +5178 2009 +734 4600 +300 724 +-2223 438 +-4492 4346 +-629 3293 +-1826 1186 +-1499 1908 +2743 3819 +3310 2124 +-2896 1024 +-3339 -31 +1134 -3698 +3178 2185 +0 2726 +4279 -4320 +-978 228 +-5107 1487 +-2048 0 +-5107 -1487 +-978 -228 +4279 4320 +0 -2726 +3178 -2185 +1134 3698 +-3339 31 +-2896 -1024 +-1830 -470 +3810 3536 +189 3119 +0 -834 +944 3180 +130 1769 +-922 -901 +-2048 2048 +1052 -26 +130 -3739 +3982 1011 +0 3510 +-988 -1137 +3810 2584 +4051 2626 +2896 1024 +1356 6023 +1134 -1351 +-7052 -3545 +0 1618 +1259 -746 +-978 -1640 +-1055 -3508 +-10240 0 +-1055 3508 +-978 1640 +1259 746 +0 -1618 +-7052 3545 +1134 1351 +1356 -6023 +2896 -1024 +4051 -2626 +3810 -2584 +-988 1137 +0 -3510 +3982 -1011 +130 3739 +1052 26 +-2048 -2048 +-922 901 +130 -1769 +944 -3180 +0 834 +189 -3119 +3810 -3536 +-1830 470 +-2896 1024 +-3339 -31 +1134 -3698 +3178 2185 +0 2726 +4279 -4320 +-978 228 +-5107 1487 +-1324 -3620 +5205 -2019 +619 -121 +1109 -4057 +3802 -862 +-2226 -2423 +536 990 +2303 -1287 +-2048 0 +2303 1287 +536 -990 +-2226 2423 +3802 862 +1109 4057 +619 121 +5205 2019 +-1324 3620 +-6048 -723 +-2435 1125 +2638 801 +3368 1260 +-3634 42 +-4233 1651 +7 -4894 +-1024 -1024 +1581 2006 +2460 -5629 +-2076 1955 +-2768 3308 +-2334 5689 +-685 3292 +-545 1571 +-2772 3620 +2717 -1406 +5397 1089 +-524 -4049 +-306 -1186 +1255 5327 +-1660 -1278 +573 68 +4096 0 +573 -68 +-1660 1278 +1255 -5327 +-306 1186 +-524 4049 +5397 -1089 +2717 1406 +-2772 -3620 +-545 -1571 +-685 -3292 +-2334 -5689 +-2768 -3308 +-2076 -1955 +2460 5629 +1581 -2006 +-1024 1024 +7 4894 +-4233 -1651 +-3634 -42 +3368 -1260 +2638 -801 +-2435 -1125 +-6048 723 +-1324 -3620 +5205 -2019 +619 -121 +1109 -4057 +3802 -862 +-2226 -2423 +536 990 +2303 -1287 +-1872 1448 +-489 608 +-4148 -2484 +-472 2510 +-2218 -1024 +-365 -365 +-1490 1308 +-534 -537 +8192 0 +-534 537 +-1490 -1308 +-365 365 +-2218 1024 +-472 -2510 +-4148 2484 +-489 -608 +-1872 -1448 +31 -1176 +225 -2998 +-6066 -517 +14 -1024 +56 2465 +-48 -3644 +3231 -5024 +0 -2048 +-3050 759 +492 6052 +2308 677 +-2662 1024 +3468 5996 +2007 3563 +-4097 -2563 +3920 -1448 +6122 -1684 +4812 356 +-715 1475 +-3326 -1024 +1786 4241 +-1850 -301 +-1214 -1560 +4096 0 +-1214 1560 +-1850 301 +1786 -4241 +-3326 1024 +-715 -1475 +4812 -356 +6122 1684 +3920 1448 +-4097 2563 +2007 -3563 +3468 -5996 +-2662 -1024 +2308 -677 +492 -6052 +-3050 -759 +0 2048 +3231 5024 +-48 3644 +56 -2465 +14 1024 +-6066 517 +225 2998 +31 1176 +-1872 1448 +-489 608 +-4148 -2484 +-472 2510 +-2218 -1024 +-365 -365 +-1490 1308 +-534 -537 +3072 -2896 +-1832 -4251 +696 1602 +1316 -1584 +880 -3810 +530 582 +-1473 -5845 +-3546 647 +-8192 0 +-3546 -647 +-1473 5845 +530 -582 +880 3810 +1316 1584 +696 -1602 +-1832 4251 +3072 2896 +1365 -795 +-2261 -3256 +-5138 4482 +540 978 +3503 -1382 +-1552 5281 +-2087 -3665 +-2048 -2048 +194 978 +-2728 -4675 +105 -1455 +2108 130 +1688 -1461 +3553 -2197 +3691 -1222 +3072 2896 +-4553 2937 +-3188 -1743 +1246 104 +4664 -1134 +2543 -1984 +-1239 2881 +976 -2684 +0 0 +976 2684 +-1239 -2881 +2543 1984 +4664 1134 +1246 -104 +-3188 1743 +-4553 -2937 +3072 -2896 +3691 1222 +3553 2197 +1688 1461 +2108 -130 +105 1455 +-2728 4675 +194 -978 +-2048 2048 +-2087 3665 +-1552 -5281 +3503 1382 +540 -978 +-5138 -4482 +-2261 3256 +1365 795 +3072 -2896 +-1832 -4251 +696 1602 +1316 -1584 +880 -3810 +530 582 +-1473 -5845 +-3546 647 +-548 -1324 +1475 -1988 +-101 1145 +206 1556 +2759 4442 +-1794 3349 +-252 -4311 +3107 -1393 +-1024 0 +3107 1393 +-252 4311 +-1794 -3349 +2759 -4442 +206 -1556 +-101 -1145 +1475 1988 +-548 1324 +-86 5010 +2808 2217 +-2669 -7046 +-2360 992 +1994 5106 +1401 -12 +-1278 1762 +-2048 1024 +-2123 1797 +-2405 4933 +-2737 3219 +-6928 -208 +1692 -1142 +872 3065 +570 1569 +6692 -2772 +937 -252 +2214 -1994 +-2125 -2644 +2434 2550 +-359 1757 +-4536 -633 +3190 228 +1024 0 +3190 -228 +-4536 633 +-359 -1757 +2434 -2550 +-2125 2644 +2214 1994 +937 252 +6692 2772 +570 -1569 +872 -3065 +1692 1142 +-6928 208 +-2737 -3219 +-2405 -4933 +-2123 -1797 +-2048 -1024 +-1278 -1762 +1401 12 +1994 -5106 +-2360 -992 +-2669 7046 +2808 -2217 +-86 -5010 +-548 -1324 +1475 -1988 +-101 1145 +206 1556 +2759 4442 +-1794 3349 +-252 -4311 +3107 -1393 +1448 1024 +-1297 1425 +493 -284 +-1916 -1963 +-84 -1038 +-1432 787 +309 811 +2662 -64 +-6144 0 +2662 64 +309 -811 +-1432 -787 +-84 1038 +-1916 1963 +493 284 +-1297 -1425 +1448 -1024 +-6064 -412 +938 -987 +6166 -391 +-1652 2302 +-1011 3214 +-4275 6 +-1753 -3186 +0 -2048 +1217 1009 +-737 1298 +344 -666 +3700 -1194 +-4810 313 +-2845 1725 +3117 2018 +-1448 1024 +-1996 -1579 +-1482 -3996 +-1687 -2758 +2132 1638 +-1446 3892 +7599 1681 +9906 -725 +-2048 0 +9906 725 +7599 -1681 +-1446 -3892 +2132 -1638 +-1687 2758 +-1482 3996 +-1996 1579 +-1448 -1024 +3117 -2018 +-2845 -1725 +-4810 -313 +3700 1194 +344 666 +-737 -1298 +1217 -1009 +0 2048 +-1753 3186 +-4275 -6 +-1011 -3214 +-1652 -2302 +6166 391 +938 987 +-6064 412 +1448 1024 +-1297 1425 +493 -284 +-1916 -1963 +-84 -1038 +-1432 787 +309 811 +2662 -64 +4644 -724 +-1413 2140 +3693 -672 +-859 501 +1006 -78 +4585 -3392 +-1116 -3480 +251 -1444 +-1024 0 +251 1444 +-1116 3480 +4585 3392 +1006 78 +-859 -501 +3693 672 +-1413 -2140 +4644 724 +1551 859 +-919 -2930 +-564 2895 +-776 -632 +417 -1907 +-2369 5539 +1242 2260 +0 3072 +1050 -1179 +-972 -6245 +-771 760 +2224 1416 +1483 3742 +1583 1965 +-5452 -2501 +-2596 724 +1530 -3596 +-1462 -5521 +-1627 -2478 +-2454 -1970 +-2663 -4956 +1560 -3008 +1242 8101 +-3072 0 +1242 -8101 +1560 3008 +-2663 4956 +-2454 1970 +-1627 2478 +-1462 5521 +1530 3596 +-2596 -724 +-5452 2501 +1583 -1965 +1483 -3742 +2224 -1416 +-771 -760 +-972 6245 +1050 1179 +0 -3072 +1242 -2260 +-2369 -5539 +417 1907 +-776 632 +-564 -2895 +-919 2930 +1551 -859 +4644 -724 +-1413 2140 +3693 -672 +-859 501 +1006 -78 +4585 -3392 +-1116 -3480 +251 -1444 +-2472 -424 +5110 -1902 +-34 5686 +-1287 5794 +5148 -6002 +-4401 2600 +-4113 -700 +797 -834 +-3072 0 +797 834 +-4113 700 +-4401 -2600 +5148 6002 +-1287 -5794 +-34 -5686 +5110 1902 +-2472 424 +-2007 977 +255 -3515 +-592 -607 +-1533 -1553 +-5716 2557 +-3939 406 +-1077 -5843 +-1024 0 +1244 2307 +3718 2129 +4072 724 +684 1094 +715 557 +1533 -995 +-1989 -1505 +424 2472 +3998 4196 +4038 930 +3620 -478 +-204 458 +-2203 2120 +-1459 -1672 +-284 -225 +1024 0 +-284 225 +-1459 1672 +-2203 -2120 +-204 -458 +3620 478 +4038 -930 +3998 -4196 +424 -2472 +-1989 1505 +1533 995 +715 -557 +684 -1094 +4072 -724 +3718 -2129 +1244 -2307 +-1024 0 +-1077 5843 +-3939 -406 +-5716 -2557 +-1533 1553 +-592 607 +255 3515 +-2007 -977 +-2472 -424 +5110 -1902 +-34 5686 +-1287 5794 +5148 -6002 +-4401 2600 +-4113 -700 +797 -834 +1324 -1748 +-2252 5889 +-2805 465 +677 -2281 +-2044 1032 +1360 -174 +3552 4836 +-1202 -6182 +-1024 0 +-1202 6182 +3552 -4836 +1360 174 +-2044 -1032 +677 2281 +-2805 -465 +-2252 -5889 +1324 1748 +3506 1595 +2654 -877 +3924 -1024 +3078 -3322 +-416 -3183 +853 2705 +-6480 1195 +-6144 -3072 +-571 -3086 +-2081 1101 +-222 -1293 +-1030 -3922 +-822 2810 +-2994 -1060 +-2323 -891 +2772 -300 +1958 -2951 +248 -1849 +684 1131 +4092 2465 +607 -520 +572 552 +1573 -6658 +-3072 0 +1573 6658 +572 -552 +607 520 +4092 -2465 +684 -1131 +248 1849 +1958 2951 +2772 300 +-2323 891 +-2994 1060 +-822 -2810 +-1030 3922 +-222 1293 +-2081 -1101 +-571 3086 +-6144 3072 +-6480 -1195 +853 -2705 +-416 3183 +3078 3322 +3924 1024 +2654 877 +3506 -1595 +1324 -1748 +-2252 5889 +-2805 465 +677 -2281 +-2044 1032 +1360 -174 +3552 4836 +-1202 -6182 +-2896 3072 +-3 -1056 +2878 -594 +1939 3953 +4328 -1194 +3828 2788 +1306 -500 +1742 5315 +2048 0 +1742 -5315 +1306 500 +3828 -2788 +4328 1194 +1939 -3953 +2878 594 +-3 1056 +-2896 -3072 +115 2026 +673 211 +-1998 3949 +-3241 1038 +-292 2149 +-1217 2509 +-4288 -2027 +2048 -2048 +3288 -4134 +-2448 -1771 +-1617 453 +1193 1638 +510 -122 +-4576 -1082 +-2623 1098 +2896 3072 +1182 55 +3922 -1898 +-1339 -824 +-6376 -2302 +4761 318 +-537 3212 +-5206 6490 +2048 0 +-5206 -6490 +-537 -3212 +4761 -318 +-6376 2302 +-1339 824 +3922 1898 +1182 -55 +2896 -3072 +-2623 -1098 +-4576 1082 +510 122 +1193 -1638 +-1617 -453 +-2448 1771 +3288 4134 +2048 2048 +-4288 2027 +-1217 -2509 +-292 -2149 +-3241 -1038 +-1998 -3949 +673 -211 +115 -2026 +-2896 3072 +-3 -1056 +2878 -594 +1939 3953 +4328 -1194 +3828 2788 +1306 -500 +1742 5315 +1448 -2048 +1484 -175 +-1298 -1134 +1057 -1426 +-2642 -84 +-2665 -3231 +284 1319 +-2163 6383 +-3072 0 +-2163 -6383 +284 -1319 +-2665 3231 +-2642 84 +1057 1426 +-1298 1134 +1484 175 +1448 2048 +-821 1031 +1681 -6128 +1272 -5545 +2486 -1652 +2179 -2888 +1725 -2533 +774 -1880 +-1024 4096 +1754 1452 +987 1591 +2154 -112 +-190 -3700 +-5738 -561 +811 -3571 +2875 3776 +-1448 -2048 +-1970 -2223 +6 795 +-375 -8518 +-3750 2132 +2116 2664 +3996 -92 +-1933 3390 +-3072 0 +-1933 -3390 +3996 92 +2116 -2664 +-3750 -2132 +-375 8518 +6 -795 +-1970 2223 +-1448 2048 +2875 -3776 +811 3571 +-5738 561 +-190 3700 +2154 112 +987 -1591 +1754 -1452 +-1024 -4096 +774 1880 +1725 2533 +2179 2888 +2486 1652 +1272 5545 +1681 6128 +-821 -1031 +1448 -2048 +1484 -175 +-1298 -1134 +1057 -1426 +-2642 -84 +-2665 -3231 +284 1319 +-2163 6383 +-3196 2772 +-679 3727 +1804 4171 +3415 -777 +1910 881 +1336 7494 +2522 -1292 +501 1220 +-5120 0 +501 -1220 +2522 1292 +1336 -7494 +1910 -881 +3415 777 +1804 -4171 +-679 -3727 +-3196 -2772 +-5078 1513 +2678 -1718 +-1137 1091 +2584 3444 +3883 1574 +-6961 1802 +-1186 -2461 +0 -3072 +-1900 -1059 +-503 2742 +-591 -2496 +-1984 -6445 +75 -1235 +543 -1090 +-1590 3558 +1148 1324 +2233 -2698 +768 553 +-4808 -3190 +1586 -2578 +3620 780 +-851 -1865 +1906 -3234 +1024 0 +1906 3234 +-851 1865 +3620 -780 +1586 2578 +-4808 3190 +768 -553 +2233 2698 +1148 -1324 +-1590 -3558 +543 1090 +75 1235 +-1984 6445 +-591 2496 +-503 -2742 +-1900 1059 +0 3072 +-1186 2461 +-6961 -1802 +3883 -1574 +2584 -3444 +-1137 -1091 +2678 1718 +-5078 -1513 +-3196 2772 +-679 3727 +1804 4171 +3415 -777 +1910 881 +1336 7494 +2522 -1292 +501 1220 +-3920 7416 +-3561 2911 +-45 -6148 +1466 3523 +3230 2716 +1235 2234 +327 -1195 +835 -1165 +-1024 0 +835 1165 +327 1195 +1235 -2234 +3230 -2716 +1466 -3523 +-45 6148 +-3561 -2911 +-3920 -7416 +-2624 3171 +571 1465 +3087 -2616 +230 -6980 +1013 -235 +-2297 -3417 +-2407 -2192 +1024 2048 +-3112 -5042 +849 144 +790 -1161 +-230 -588 +5719 5936 +877 3917 +1220 -1036 +1872 -1272 +-2395 762 +1493 -89 +-695 -635 +-3230 -419 +-1030 -631 +-1775 -3934 +458 -1997 +3072 0 +458 1997 +-1775 3934 +-1030 631 +-3230 419 +-695 635 +1493 89 +-2395 -762 +1872 1272 +1220 1036 +877 -3917 +5719 -5936 +-230 588 +790 1161 +849 -144 +-3112 5042 +1024 -2048 +-2407 2192 +-2297 3417 +1013 235 +230 6980 +3087 2616 +571 -1465 +-2624 -3171 +-3920 7416 +-3561 2911 +-45 -6148 +1466 3523 +3230 2716 +1235 2234 +327 -1195 +835 -1165 +-300 300 +-3535 -799 +3157 -1976 +2149 -4392 +1390 -3478 +417 -3628 +807 -2457 +2518 -1228 +-2048 0 +2518 1228 +807 2457 +417 3628 +1390 3478 +2149 4392 +3157 1976 +-3535 799 +-300 -300 +2182 -1232 +599 2399 +1608 4814 +2624 1200 +-1681 -6783 +-2244 -5566 +51 -1436 +1024 3072 +2579 1766 +-3209 -3794 +1594 5035 +273 1800 +-3695 -2289 +1070 -721 +-362 -5173 +-1748 1748 +-2069 -3014 +3863 -4040 +-1629 3394 +-4286 -18 +1238 1774 +-4043 1334 +-1364 1167 +4096 0 +-1364 -1167 +-4043 -1334 +1238 -1774 +-4286 18 +-1629 -3394 +3863 4040 +-2069 3014 +-1748 -1748 +-362 5173 +1070 721 +-3695 2289 +273 -1800 +1594 -5035 +-3209 3794 +2579 -1766 +1024 -3072 +51 1436 +-2244 5566 +-1681 6783 +2624 -1200 +1608 -4814 +599 -2399 +2182 1232 +-300 300 +-3535 -799 +3157 -1976 +2149 -4392 +1390 -3478 +417 -3628 +807 -2457 +2518 -1228 +1148 -724 +3743 -1396 +1190 -3343 +194 -456 +4346 -2634 +-4920 -1057 +-1570 3062 +3841 -1683 +-1024 0 +3841 1683 +-1570 -3062 +-4920 1057 +4346 2634 +194 456 +1190 3343 +3743 1396 +1148 724 +-1995 1899 +-513 -126 +8835 1532 +-1441 -1860 +-1538 -4299 +3258 3580 +-425 3268 +2048 -1024 +-3079 466 +-1026 -1744 +-889 -3506 +-8 -2708 +348 -726 +-2827 4309 +-3966 4425 +-3196 724 +2866 -1742 +-746 -3310 +-241 -1490 +-2898 -2310 +-1789 -6029 +2235 -3090 +-986 1410 +1024 0 +-986 -1410 +2235 3090 +-1789 6029 +-2898 2310 +-241 1490 +-746 3310 +2866 1742 +-3196 -724 +-3966 -4425 +-2827 -4309 +348 726 +-8 2708 +-889 3506 +-1026 1744 +-3079 -466 +2048 1024 +-425 -3268 +3258 -3580 +-1538 4299 +-1441 1860 +8835 -1532 +-513 126 +-1995 -1899 +1148 -724 +3743 -1396 +1190 -3343 +194 -456 +4346 -2634 +-4920 -1057 +-1570 3062 +3841 -1683 +1148 300 +1087 -700 +-131 -305 +1937 -1058 +3308 -452 +1266 5074 +-387 -307 +324 1755 +0 0 +324 -1755 +-387 307 +1266 -5074 +3308 452 +1937 1058 +-131 305 +1087 700 +1148 -300 +-1470 -582 +2522 -3524 +2092 -1369 +862 2114 +-1409 728 +-5107 946 +3768 3555 +3072 -7168 +-3768 -4526 +-2972 1729 +1409 -1409 +1186 3562 +-2092 -2830 +5895 -1631 +1470 3554 +-3196 1748 +-1087 2179 +-6589 2197 +-1937 1374 +-1260 1900 +-1266 6150 +-1423 1090 +-324 -909 +6144 0 +-324 909 +-1423 -1090 +-1266 -6150 +-1260 -1900 +-1937 -1374 +-6589 -2197 +-1087 -2179 +-3196 -1748 +1470 -3554 +5895 1631 +-2092 2830 +1186 -3562 +1409 1409 +-2972 -1729 +-3768 4526 +3072 7168 +3768 -3555 +-5107 -946 +-1409 -728 +862 -2114 +2092 1369 +2522 3524 +-1470 582 +1148 300 +1087 -700 +-131 -305 +1937 -1058 +3308 -452 +1266 5074 +-387 -307 +324 1755 +300 -1148 +2590 4906 +716 -440 +-339 -1362 +2394 971 +-5583 4155 +-214 6829 +3820 3735 +-4096 0 +3820 -3735 +-214 -6829 +-5583 -4155 +2394 -971 +-339 1362 +716 440 +2590 -4906 +300 1148 +-463 639 +-272 -3106 +3411 1588 +-1056 3212 +-4421 2365 +-1983 535 +-563 1821 +1024 1024 +-695 3649 +3431 -441 +2662 863 +-1840 6708 +3496 729 +-1176 -134 +-4904 -3425 +1748 3196 +1209 2045 +-2164 -1883 +-2784 2408 +502 -1571 +3559 4440 +1662 3283 +-994 917 +-2048 0 +-994 -917 +1662 -3283 +3559 -4440 +502 1571 +-2784 -2408 +-2164 1883 +1209 -2045 +1748 -3196 +-4904 3425 +-1176 134 +3496 -729 +-1840 -6708 +2662 -863 +3431 441 +-695 -3649 +1024 -1024 +-563 -1821 +-1983 -535 +-4421 -2365 +-1056 -3212 +3411 -1588 +-272 3106 +-463 -639 +300 -1148 +2590 4906 +716 -440 +-339 -1362 +2394 971 +-5583 4155 +-214 6829 +3820 3735 +300 -300 +241 -2007 +4488 5686 +908 5495 +2310 2335 +-291 3368 +-4026 2487 +571 -942 +-2048 0 +571 942 +-4026 -2487 +-291 -3368 +2310 -2335 +908 -5495 +4488 -5686 +241 2007 +300 300 +-2513 -4765 +1398 -1694 +-541 -2228 +-2708 112 +-658 608 +-4258 -329 +2884 2125 +3072 -3072 +1156 793 +-687 1654 +-1117 -3339 +1860 4456 +2647 -1592 +-550 -6795 +-7021 -1082 +1748 -1748 +3941 3472 +-3640 3198 +-797 492 +2634 2010 +-151 -1962 +-918 -2903 +741 -2608 +0 0 +741 2608 +-918 2903 +-151 1962 +2634 -2010 +-797 -492 +-3640 -3198 +3941 -3472 +1748 1748 +-7021 1082 +-550 6795 +2647 1592 +1860 -4456 +-1117 3339 +-687 -1654 +1156 -793 +3072 3072 +2884 -2125 +-4258 329 +-658 -608 +-2708 -112 +-541 2228 +1398 1694 +-2513 4765 +300 -300 +241 -2007 +4488 5686 +908 5495 +2310 2335 +-291 3368 +-4026 2487 +571 -942 +724 -2772 +179 3866 +-1874 1444 +-1860 -432 +92 -2080 +79 -411 +131 5644 +1436 -1788 +2048 0 +1436 1788 +131 -5644 +79 411 +92 2080 +-1860 432 +-1874 -1444 +179 -3866 +724 2772 +863 -4606 +-1122 248 +-4280 6453 +-2694 -522 +-1997 5172 +-4843 359 +-2382 -3775 +1024 -3072 +-236 611 +-65 2215 +-638 -1605 +-802 -1370 +5196 76 +5571 3064 +-1163 -4937 +-724 -1324 +1450 2146 +322 173 +1833 1992 +-692 -2864 +-4125 3969 +1880 3203 +5645 -1468 +4096 0 +5645 1468 +1880 -3203 +-4125 -3969 +-692 2864 +1833 -1992 +322 -173 +1450 -2146 +-724 1324 +-1163 4937 +5571 -3064 +5196 -76 +-802 1370 +-638 1605 +-65 -2215 +-236 -611 +1024 3072 +-2382 3775 +-4843 -359 +-1997 -5172 +-2694 522 +-4280 -6453 +-1122 -248 +863 4606 +724 -2772 +179 3866 +-1874 1444 +-1860 -432 +92 -2080 +79 -411 +131 5644 +1436 -1788 +2596 124 +-704 2412 +-3142 -4326 +-579 -3368 +-1404 -3972 +-1046 -7263 +-865 -1038 +-1501 -1279 +1024 0 +-1501 1279 +-865 1038 +-1046 7263 +-1404 3972 +-579 3368 +-3142 4326 +-704 -2412 +2596 -124 +-1975 2484 +-2790 -497 +2026 -3367 +703 -1306 +1393 2534 +-4701 -399 +-2351 152 +4096 1024 +-1388 -2067 +642 -998 +409 584 +1945 -2154 +-1497 -2720 +2294 2999 +4427 -967 +-4644 -4220 +-861 3035 +-3354 829 +4514 -8 +6948 -972 +573 -4503 +3725 1638 +-1439 2165 +-5120 0 +-1439 -2165 +3725 -1638 +573 4503 +6948 972 +4514 8 +-3354 -829 +-861 -3035 +-4644 4220 +4427 967 +2294 -2999 +-1497 2720 +1945 2154 +409 -584 +642 998 +-1388 2067 +4096 -1024 +-2351 -152 +-4701 399 +1393 -2534 +703 1306 +2026 3367 +-2790 497 +-1975 -2484 +2596 124 +-704 2412 +-3142 -4326 +-579 -3368 +-1404 -3972 +-1046 -7263 +-865 -1038 +-1501 -1279 +4220 2596 +130 -3926 +4246 338 +-549 5496 +-282 -1670 +857 737 +-2273 42 +475 -2043 +-1024 0 +475 2043 +-2273 -42 +857 -737 +-282 1670 +-549 -5496 +4246 -338 +130 3926 +4220 -2596 +569 -549 +-2958 -2053 +239 -472 +52 332 +-181 -3106 +-313 -1423 +3232 868 +4096 1024 +-3393 -3098 +-9745 -2688 +-4108 -754 +-2948 -1116 +-1122 959 +1351 1887 +-1529 -3873 +-124 -4644 +3726 3498 +4850 -494 +-1464 -1169 +3178 222 +535 -1282 +-3350 2789 +2582 -4819 +1024 0 +2582 4819 +-3350 -2789 +535 1282 +3178 -222 +-1464 1169 +4850 494 +3726 -3498 +-124 4644 +-1529 3873 +1351 -1887 +-1122 -959 +-2948 1116 +-4108 754 +-9745 2688 +-3393 3098 +4096 -1024 +3232 -868 +-313 1423 +-181 3106 +52 -332 +239 472 +-2958 2053 +569 549 +4220 2596 +130 -3926 +4246 338 +-549 5496 +-282 -1670 +857 737 +-2273 42 +475 -2043 +-2348 300 +-1221 1183 +-2887 788 +-59 2307 +1141 3008 +-957 -1879 +1005 -6096 +230 -1474 +-2048 0 +230 1474 +1005 6096 +-957 1879 +1141 -3008 +-59 -2307 +-2887 -788 +-1221 -1183 +-2348 -300 +-1290 130 +-719 3535 +-381 847 +1150 -886 +-2209 105 +1758 -2500 +2273 2721 +-1024 -3072 +4475 -1963 +290 3709 +-6556 699 +-6094 562 +-1164 -1399 +2767 443 +-2664 -2146 +-3796 1748 +-177 1892 +4935 -1480 +3821 -2337 +-292 -1560 +7506 4690 +1043 -1680 +-1627 2142 +8192 0 +-1627 -2142 +1043 1680 +7506 -4690 +-292 1560 +3821 2337 +4935 1480 +-177 -1892 +-3796 -1748 +-2664 2146 +2767 -443 +-1164 1399 +-6094 -562 +-6556 -699 +290 -3709 +4475 1963 +-1024 3072 +2273 -2721 +1758 2500 +-2209 -105 +1150 886 +-381 -847 +-719 -3535 +-1290 -130 +-2348 300 +-1221 1183 +-2887 788 +-59 2307 +1141 3008 +-957 -1879 +1005 -6096 +230 -1474 +4220 -3021 +3879 -2097 +-1184 -5564 +2953 972 +2878 3418 +-2445 67 +666 -936 +1317 586 +-2048 0 +1317 -586 +666 936 +-2445 -67 +2878 -3418 +2953 -972 +-1184 5564 +3879 2097 +4220 3021 +-2762 2122 +-1685 -1578 +-506 -819 +-4696 -32 +-3616 6321 +-422 2524 +27 -2962 +1024 1024 +761 294 +-22 -3428 +182 2762 +-1696 816 +-2596 -2379 +-547 -298 +186 -3891 +-124 7117 +26 2428 +519 -149 +1037 5404 +-582 1526 +-801 6710 +2674 -3816 +2358 -4972 +0 0 +2358 4972 +2674 3816 +-801 -6710 +-582 -1526 +1037 -5404 +519 149 +26 -2428 +-124 -7117 +186 3891 +-547 298 +-2596 2379 +-1696 -816 +182 -2762 +-22 3428 +761 -294 +1024 -1024 +27 2962 +-422 -2524 +-3616 -6321 +-4696 32 +-506 819 +-1685 1578 +-2762 -2122 +4220 -3021 +3879 -2097 +-1184 -5564 +2953 972 +2878 3418 +-2445 67 +666 -936 +1317 586 +-2048 -3072 +2518 -1024 +505 1394 +-747 -3930 +-868 -2746 +1844 1001 +2345 -971 +878 -1128 +4096 0 +878 1128 +2345 971 +1844 -1001 +-868 2746 +-747 3930 +505 -1394 +2518 1024 +-2048 3072 +1095 -949 +5253 232 +898 -1413 +240 -3870 +230 3156 +-4870 4684 +-3949 914 +-4096 2048 +829 -778 +5639 -3380 +-1354 -694 +1808 -374 +-2671 1164 +1547 507 +4921 -5450 +-2048 -3072 +3498 1507 +-4408 -2318 +-1026 -2053 +2916 2146 +-2967 574 +-6010 -100 +-3998 2020 +4096 0 +-3998 -2020 +-6010 100 +-2967 -574 +2916 -2146 +-1026 2053 +-4408 2318 +3498 -1507 +-2048 3072 +4921 5450 +1547 -507 +-2671 -1164 +1808 374 +-1354 694 +5639 3380 +829 778 +-4096 -2048 +-3949 -914 +-4870 -4684 +230 -3156 +240 3870 +898 1413 +5253 -232 +1095 949 +-2048 -3072 +2518 -1024 +505 1394 +-747 -3930 +-868 -2746 +1844 1001 +2345 -971 +878 -1128 +-2472 -2472 +1566 -199 +3033 295 +-2250 -4587 +3940 -2146 +-2443 719 +-3337 -50 +-503 1835 +-5120 0 +-503 -1835 +-3337 50 +-2443 -719 +3940 2146 +-2250 4587 +3033 -295 +1566 199 +-2472 2472 +1249 -17 +-2895 1807 +3857 1947 +2832 -374 +1199 2627 +712 1902 +-3300 -933 +1024 0 +1315 -1700 +1144 -1698 +-3133 -1349 +1264 -3870 +2605 2909 +78 8763 +1025 1980 +424 424 +5057 3084 +-1416 -331 +-188 1084 +156 2746 +-5438 -3366 +-5511 -2351 +-617 4079 +7168 0 +-617 -4079 +-5511 2351 +-5438 3366 +156 -2746 +-188 -1084 +-1416 331 +5057 -3084 +424 -424 +1025 -1980 +78 -8763 +2605 -2909 +1264 3870 +-3133 1349 +1144 1698 +1315 1700 +1024 0 +-3300 933 +712 -1902 +1199 -2627 +2832 374 +3857 -1947 +-2895 -1807 +1249 17 +-2472 -2472 +1566 -199 +3033 295 +-2250 -4587 +3940 -2146 +-2443 719 +-3337 -50 +-503 1835 +1872 -3496 +1031 2811 +1403 3455 +2598 1551 +834 -868 +-2699 -670 +623 -2887 +4678 -472 +0 0 +4678 472 +623 2887 +-2699 670 +834 868 +2598 -1551 +1403 -3455 +1031 -2811 +1872 3496 +-7728 4572 +-2579 -2627 +1554 917 +-1618 240 +-621 -1418 +-2993 -2578 +2545 -5114 +4096 -2048 +-723 -5773 +-1456 -2238 +-535 1518 +-2726 -1808 +-1064 1724 +568 1497 +-951 -3002 +-3920 -600 +-1488 3287 +3505 -2227 +-2439 -616 +3510 2916 +3207 342 +929 331 +2637 -6291 +-4096 0 +2637 6291 +929 -331 +3207 -342 +3510 -2916 +-2439 616 +3505 2227 +-1488 -3287 +-3920 600 +-951 3002 +568 -1497 +-1064 -1724 +-2726 1808 +-535 -1518 +-1456 2238 +-723 5773 +4096 2048 +2545 5114 +-2993 2578 +-621 1418 +-1618 -240 +1554 -917 +-2579 2627 +-7728 -4572 +1872 -3496 +1031 2811 +1403 3455 +2598 1551 +834 -868 +-2699 -670 +623 -2887 +4678 -472 +4820 -4396 +895 -2876 +-3111 -2318 +5040 -5689 +3022 6394 +708 4321 +1066 -2247 +-998 -2546 +1024 0 +-998 2546 +1066 2247 +708 -4321 +3022 -6394 +5040 5689 +-3111 2318 +895 2876 +4820 4396 +1080 -544 +1385 1207 +1525 -1948 +-4213 607 +-5668 1242 +-168 -1275 +-2023 -1659 +0 1024 +1653 2360 +1276 617 +2121 1266 +-2780 -2040 +-153 -3641 +1291 424 +3740 -388 +3372 -5844 +-3498 1777 +435 1534 +-1061 -2317 +-4222 -850 +-2513 -2417 +-2174 4139 +-849 -2883 +-1024 0 +-849 2883 +-2174 -4139 +-2513 2417 +-4222 850 +-1061 2317 +435 -1534 +-3498 -1777 +3372 5844 +3740 388 +1291 -424 +-153 3641 +-2780 2040 +2121 -1266 +1276 -617 +1653 -2360 +0 -1024 +-2023 1659 +-168 1275 +-5668 -1242 +-4213 -607 +1525 1948 +1385 -1207 +1080 544 +4820 -4396 +895 -2876 +-3111 -2318 +5040 -5689 +3022 6394 +708 4321 +1066 -2247 +-998 -2546 +-3920 -1448 +-6001 4942 +14 3044 +3321 -2448 +724 -2243 +2076 -206 +5029 -3774 +-84 301 +-4096 0 +-84 -301 +5029 3774 +2076 206 +724 2243 +3321 2448 +14 -3044 +-6001 -4942 +-3920 1448 +734 -1233 +-3181 -1663 +-932 1478 +-724 -2806 +-1920 1928 +5075 400 +1319 3481 +-2048 4096 +-302 511 +2101 4355 +534 -2283 +-724 -3654 +4404 2338 +-1007 4198 +470 3143 +1872 1448 +-1883 -1895 +-418 4016 +-5464 4195 +724 -2702 +3773 2675 +579 737 +-45 1192 +-4096 0 +-45 -1192 +579 -737 +3773 -2675 +724 2702 +-5464 -4195 +-418 -4016 +-1883 1895 +1872 -1448 +470 -3143 +-1007 -4198 +4404 -2338 +-724 3654 +534 2283 +2101 -4355 +-302 -511 +-2048 -4096 +1319 -3481 +5075 -400 +-1920 -1928 +-724 2806 +-932 -1478 +-3181 1663 +734 1233 +-3920 -1448 +-6001 4942 +14 3044 +3321 -2448 +724 -2243 +2076 -206 +5029 -3774 +-84 301 +0 -8440 +1563 -2741 +-321 760 +488 -1044 +640 -314 +-2731 643 +409 -610 +554 265 +-1024 0 +554 -265 +409 610 +-2731 -643 +640 314 +488 1044 +-321 -760 +1563 2741 +0 8440 +2568 6373 +1274 -633 +-1069 -861 +-2376 1578 +-825 -952 +-1441 3718 +-2163 6557 +1024 -4096 +436 -3880 +1166 2269 +2788 -1999 +2976 -470 +-2258 1278 +-202 -2081 +-1508 252 +0 248 +1161 -37 +-7744 688 +4407 -1960 +2856 2362 +-800 4752 +-1333 2058 +-2612 4226 +7168 0 +-2612 -4226 +-1333 -2058 +-800 -4752 +2856 -2362 +4407 1960 +-7744 -688 +1161 37 +0 -248 +-1508 -252 +-202 2081 +-2258 -1278 +2976 470 +2788 1999 +1166 -2269 +436 3880 +1024 4096 +-2163 -6557 +-1441 -3718 +-825 952 +-2376 -1578 +-1069 861 +1274 633 +2568 -6373 +0 -8440 +1563 -2741 +-321 760 +488 -1044 +640 -314 +-2731 643 +409 -610 +554 265 +1024 -1872 +-1392 328 +-2191 -1566 +517 -6264 +3544 -495 +6822 -309 +-1692 3138 +-4064 -5321 +3072 0 +-4064 5321 +-1692 -3138 +6822 309 +3544 495 +517 6264 +-2191 1566 +-1392 -328 +1024 1872 +3734 -3927 +1924 -2401 +-4474 -3079 +-1349 -2506 +-2313 -3163 +-4029 796 +1780 695 +-1024 -2048 +-2826 -2293 +1353 -3144 +1426 -1478 +-699 -3954 +-209 1527 +-815 431 +-1746 -457 +1024 3920 +-357 1206 +1082 302 +-506 -3691 +-5592 -954 +4530 1418 +4367 -2982 +-921 -2002 +3072 0 +-921 2002 +4367 2982 +4530 -1418 +-5592 954 +-506 3691 +1082 -302 +-357 -1206 +1024 -3920 +-1746 457 +-815 -431 +-209 -1527 +-699 3954 +1426 1478 +1353 3144 +-2826 2293 +-1024 2048 +1780 -695 +-4029 -796 +-2313 3163 +-1349 2506 +-4474 3079 +1924 2401 +3734 3927 +1024 -1872 +-1392 328 +-2191 -1566 +517 -6264 +3544 -495 +6822 -309 +-1692 3138 +-4064 -5321 +-2896 -1448 +5029 -3864 +139 -534 +3735 466 +2846 2676 +-4166 522 +423 -4736 +-2609 2998 +-5120 0 +-2609 -2998 +423 4736 +-4166 -522 +2846 -2676 +3735 -466 +139 534 +5029 3864 +-2896 1448 +-731 1426 +-372 1139 +-333 -1480 +-3170 -1108 +-3183 627 +7145 2594 +-1354 1084 +-5120 2048 +-1304 2477 +5043 858 +6376 1588 +1722 -1108 +2079 -1641 +-1909 658 +626 2309 +2896 1448 +-252 1850 +445 4150 +551 -3524 +-1398 -2676 +735 3334 +-2723 -1096 +-5198 1291 +-1024 0 +-5198 -1291 +-2723 1096 +735 -3334 +-1398 2676 +551 3524 +445 -4150 +-252 -1850 +2896 -1448 +626 -2309 +-1909 -658 +2079 1641 +1722 1108 +6376 -1588 +5043 -858 +-1304 -2477 +-5120 -2048 +-1354 -1084 +7145 -2594 +-3183 -627 +-3170 1108 +-333 1480 +-372 -1139 +-731 -1426 +-2896 -1448 +5029 -3864 +139 -534 +3735 466 +2846 2676 +-4166 522 +423 -4736 +-2609 2998 +-2048 0 +1204 -2030 +922 -1686 +-949 1638 +1858 -2953 +-233 -3849 +-1674 -1560 +1638 -77 +3072 0 +1638 77 +-1674 1560 +-233 3849 +1858 2953 +-949 -1638 +922 1686 +1204 2030 +-2048 0 +784 -54 +-795 -272 +-4511 -4624 +-594 -5408 +1037 787 +-1434 -987 +-3684 3243 +-1024 4096 +6052 -4812 +4553 -4262 +-993 2242 +-1702 -2160 +-838 -1726 +1919 2115 +311 -4437 +-2048 0 +2166 -5562 +851 -2918 +-382 892 +4534 -6088 +1077 2537 +-4342 -516 +-2677 2798 +-1024 0 +-2677 -2798 +-4342 516 +1077 -2537 +4534 6088 +-382 -892 +851 2918 +2166 5562 +-2048 0 +311 4437 +1919 -2115 +-838 1726 +-1702 2160 +-993 -2242 +4553 4262 +6052 4812 +-1024 -4096 +-3684 -3243 +-1434 987 +1037 -787 +-594 5408 +-4511 4624 +-795 272 +784 54 +-2048 0 +1204 -2030 +922 -1686 +-949 1638 +1858 -2953 +-233 -3849 +-1674 -1560 +1638 -77 +-724 3796 +289 -3486 +6179 -150 +2121 -666 +-972 -3092 +512 -2104 +-1672 380 +-1189 6699 +1024 0 +-1189 -6699 +-1672 -380 +512 2104 +-972 3092 +2121 666 +6179 150 +289 3486 +-724 -3796 +724 -1046 +-3353 1859 +-1015 -244 +2154 -765 +2767 -486 +2421 3106 +1098 -228 +4096 3072 +1120 -4784 +-2302 -4070 +1006 5008 +-1306 -4262 +-1603 -2807 +1017 -2330 +1585 393 +724 2348 +-3927 1716 +-946 555 +-390 -2265 +-3972 3692 +2396 4831 +-1344 5229 +-5492 -479 +-1024 0 +-5492 479 +-1344 -5229 +2396 -4831 +-3972 -3692 +-390 2265 +-946 -555 +-3927 -1716 +724 -2348 +1585 -393 +1017 2330 +-1603 2807 +-1306 4262 +1006 -5008 +-2302 4070 +1120 4784 +4096 -3072 +1098 228 +2421 -3106 +2767 486 +2154 765 +-1015 244 +-3353 -1859 +724 1046 +-724 3796 +289 -3486 +6179 -150 +2121 -666 +-972 -3092 +512 -2104 +-1672 380 +-1189 6699 +724 -3796 +1064 2650 +-3485 2069 +-1746 578 +2838 -1826 +-1917 -3851 +-553 548 +1931 -514 +-1024 0 +1931 514 +-553 -548 +-1917 3851 +2838 1826 +-1746 -578 +-3485 -2069 +1064 -2650 +724 3796 +-2220 -3668 +-3113 -3533 +252 989 +1176 -932 +-202 489 +-486 -5131 +1205 -1612 +6144 -1024 +1679 -1302 +-1782 -823 +4074 -71 +-1176 1716 +-3370 -859 +2853 4412 +-475 -3413 +-724 -2348 +4528 501 +5442 -4012 +1967 471 +-2838 -3718 +-4852 394 +-7067 2063 +-1918 -2072 +5120 0 +-1918 2072 +-7067 -2063 +-4852 -394 +-2838 3718 +1967 -471 +5442 4012 +4528 -501 +-724 2348 +-475 3413 +2853 -4412 +-3370 859 +-1176 -1716 +4074 71 +-1782 823 +1679 1302 +6144 1024 +1205 1612 +-486 5131 +-202 -489 +1176 932 +252 -989 +-3113 3533 +-2220 3668 +724 -3796 +1064 2650 +-3485 2069 +-1746 578 +2838 -1826 +-1917 -3851 +-553 548 +1931 -514 +1324 5244 +4161 6127 +4183 -3636 +-1695 -6349 +3732 -2500 +974 -103 +-2523 4408 +1862 -5388 +-1024 0 +1862 5388 +-2523 -4408 +974 103 +3732 2500 +-1695 6349 +4183 3636 +4161 -6127 +1324 -5244 +1000 -382 +-232 3015 +-1808 -1653 +-1610 66 +-3847 -2013 +1408 -5479 +2728 614 +-2048 -3072 +4946 -1193 +1268 197 +-1695 -553 +-1286 5610 +-285 3236 +-876 664 +-3926 573 +2772 900 +-794 1140 +-3075 1285 +213 1790 +-836 -148 +-3441 307 +-153 1269 +1607 1701 +-3072 0 +1607 -1701 +-153 -1269 +-3441 -307 +-836 148 +213 -1790 +-3075 -1285 +-794 -1140 +2772 -900 +-3926 -573 +-876 -664 +-285 -3236 +-1286 -5610 +-1695 553 +1268 -197 +4946 1193 +-2048 3072 +2728 -614 +1408 5479 +-3847 2013 +-1610 -66 +-1808 1653 +-232 -3015 +1000 382 +1324 5244 +4161 6127 +4183 -3636 +-1695 -6349 +3732 -2500 +974 -103 +-2523 4408 +1862 -5388 +1448 8440 +467 -988 +-5520 681 +-2560 265 +529 -424 +668 445 +1426 -2211 +56 2050 +-1024 0 +56 -2050 +1426 2211 +668 -445 +529 424 +-2560 -265 +-5520 -681 +467 988 +1448 -8440 +-598 -2951 +-1174 2116 +-7310 129 +-1482 2472 +2543 -190 +-6565 -1268 +-611 -3378 +5120 2048 +-202 -492 +1398 271 +4034 3239 +4978 -2472 +2342 1715 +-1998 -752 +-1429 979 +-1448 -248 +911 3350 +1699 7539 +-1609 -2463 +70 -424 +1892 -800 +2542 -1545 +1406 -731 +-1024 0 +1406 731 +2542 1545 +1892 800 +70 424 +-1609 2463 +1699 -7539 +911 -3350 +-1448 248 +-1429 -979 +-1998 752 +2342 -1715 +4978 2472 +4034 -3239 +1398 -271 +-202 492 +5120 -2048 +-611 3378 +-6565 1268 +2543 190 +-1482 -2472 +-7310 -129 +-1174 -2116 +-598 2951 +1448 8440 +467 -988 +-5520 681 +-2560 265 +529 -424 +668 445 +1426 -2211 +56 2050 +-1024 -1024 +-3272 -2757 +529 -3192 +945 943 +1338 -1748 +1197 -2183 +-1223 5890 +-1857 1077 +-5120 0 +-1857 -1077 +-1223 -5890 +1197 2183 +1338 1748 +945 -943 +529 3192 +-3272 2757 +-1024 1024 +-505 -1394 +1880 -2040 +-1039 994 +-554 -300 +3339 -3285 +4048 -3055 +2579 -8511 +-3072 -4096 +-526 1177 +336 -2871 +1734 5007 +554 300 +1139 -4486 +3183 3349 +-427 995 +-1024 -1024 +-2477 -1093 +1400 1588 +284 -4176 +-1338 -1748 +-1806 6929 +-1961 -4506 +692 -3420 +-1024 0 +692 3420 +-1961 4506 +-1806 -6929 +-1338 1748 +284 4176 +1400 -1588 +-2477 1093 +-1024 1024 +-427 -995 +3183 -3349 +1139 4486 +554 -300 +1734 -5007 +336 2871 +-526 -1177 +-3072 4096 +2579 8511 +4048 3055 +3339 3285 +-554 300 +-1039 -994 +1880 2040 +-505 1394 +-1024 -1024 +-3272 -2757 +529 -3192 +945 943 +1338 -1748 +1197 -2183 +-1223 5890 +-1857 1077 +300 2772 +523 -266 +4498 204 +164 848 +912 3548 +2428 4039 +-4737 1654 +-550 823 +7168 0 +-550 -823 +-4737 -1654 +2428 -4039 +912 -3548 +164 -848 +4498 -204 +523 266 +300 -2772 +2831 -493 +2004 -3327 +1059 -3749 +-986 3778 +-1201 1481 +-923 -51 +2489 697 +0 3072 +-4592 -262 +546 -1159 +-1308 -1177 +-1311 -318 +641 1559 +-1481 -651 +4388 8791 +1748 1324 +-2391 -1594 +-3325 2472 +-4080 -3549 +5480 548 +2298 -3122 +-4776 -2763 +-2698 -1340 +-3072 0 +-2698 1340 +-4776 2763 +2298 3122 +5480 -548 +-4080 3549 +-3325 -2472 +-2391 1594 +1748 -1324 +4388 -8791 +-1481 651 +641 -1559 +-1311 318 +-1308 1177 +546 1159 +-4592 262 +0 -3072 +2489 -697 +-923 51 +-1201 -1481 +-986 -3778 +1059 3749 +2004 3327 +2831 493 +300 2772 +523 -266 +4498 204 +164 848 +912 3548 +2428 4039 +-4737 1654 +-550 823 +-3372 1148 +1165 7331 +5050 1142 +-142 -3074 +1526 -2369 +3130 -399 +536 -910 +816 -2346 +1024 0 +816 2346 +536 910 +3130 399 +1526 2369 +-142 3074 +5050 -1142 +1165 -7331 +-3372 -1148 +1496 1290 +3539 -642 +1137 1755 +-816 3876 +-3314 1852 +-3786 -3042 +4050 -438 +4096 -1024 +-2884 831 +-1446 -2546 +-1800 -546 +-32 4476 +-396 -3083 +-3658 2218 +-1508 250 +-4820 -3196 +-2041 190 +-2034 2917 +-5063 820 +3418 -1127 +655 2985 +1800 -5586 +4699 -1810 +-1024 0 +4699 1810 +1800 5586 +655 -2985 +3418 1127 +-5063 -820 +-2034 -2917 +-2041 -190 +-4820 3196 +-1508 -250 +-3658 -2218 +-396 3083 +-32 -4476 +-1800 546 +-1446 2546 +-2884 -831 +4096 1024 +4050 438 +-3786 3042 +-3314 -1852 +-816 -3876 +1137 -1755 +3539 642 +1496 -1290 +-3372 1148 +1165 7331 +5050 1142 +-142 -3074 +1526 -2369 +3130 -399 +536 -910 +816 -2346 +1624 -5368 +1576 -1949 +-3476 -1296 +1588 -3277 +1938 808 +178 -495 +2657 -2222 +-2772 -4406 +-11264 0 +-2772 4406 +2657 2222 +178 495 +1938 -808 +1588 3277 +-3476 1296 +1576 1949 +1624 5368 +-3124 321 +2770 -113 +-1892 1145 +2942 928 +127 -3530 +-5082 -2977 +5361 -248 +1024 -2048 +1690 3121 +239 2412 +512 1819 +4050 4424 +-1210 1988 +-1374 -1496 +1941 -2046 +4520 3320 +-1282 910 +-4912 1112 +-2949 3233 +-738 -1408 +-2146 -837 +987 618 +2401 3312 +-3072 0 +2401 -3312 +987 -618 +-2146 837 +-738 1408 +-2949 -3233 +-4912 -1112 +-1282 -910 +4520 -3320 +1941 2046 +-1374 1496 +-1210 -1988 +4050 -4424 +512 -1819 +239 -2412 +1690 -3121 +1024 2048 +5361 248 +-5082 2977 +127 3530 +2942 -928 +-1892 -1145 +2770 113 +-3124 -321 +1624 -5368 +1576 -1949 +-3476 -1296 +1588 -3277 +1938 808 +178 -495 +2657 -2222 +-2772 -4406 +-2596 300 +1265 1532 +-3210 -3057 +-1018 1487 +4267 222 +-3315 -4872 +-4066 314 +282 2939 +4096 0 +282 -2939 +-4066 -314 +-3315 4872 +4267 -222 +-1018 -1487 +-3210 3057 +1265 -1532 +-2596 -300 +4583 2870 +3060 -934 +826 2690 +-4977 1116 +-4684 -4497 +288 2323 +-7620 2111 +1024 -5120 +1572 -3411 +820 -1617 +365 -1442 +-5760 -332 +165 362 +-384 1898 +1388 1389 +4644 1748 +3229 3355 +534 1793 +266 43 +2374 -1670 +1603 -2201 +2958 -158 +1093 307 +-2048 0 +1093 -307 +2958 158 +1603 2201 +2374 1670 +266 -43 +534 -1793 +3229 -3355 +4644 -1748 +1388 -1389 +-384 -1898 +165 -362 +-5760 332 +365 1442 +820 1617 +1572 3411 +1024 5120 +-7620 -2111 +288 -2323 +-4684 4497 +-4977 -1116 +826 -2690 +3060 934 +4583 -2870 +-2596 300 +1265 1532 +-3210 -3057 +-1018 1487 +4267 222 +-3315 -4872 +-4066 314 +282 2939 +4820 724 +2904 -2915 +-628 -2623 +-4366 -861 +-2454 -162 +1475 686 +-1428 1329 +-3649 -1060 +-4096 0 +-3649 1060 +-1428 -1329 +1475 -686 +-2454 162 +-4366 861 +-628 2623 +2904 2915 +4820 -724 +3943 -392 +745 3686 +-1314 16 +2224 -2284 +-208 -3515 +3371 -402 +1322 7188 +-3072 1024 +3583 1122 +-1479 7166 +-985 1939 +-776 -2284 +-445 -4307 +39 551 +-647 2455 +3372 -724 +-407 1666 +-156 -512 +332 633 +1006 162 +-6074 672 +-464 6239 +4536 -909 +-6144 0 +4536 909 +-464 -6239 +-6074 -672 +1006 -162 +332 -633 +-156 512 +-407 -1666 +3372 724 +-647 -2455 +39 -551 +-445 4307 +-776 2284 +-985 -1939 +-1479 -7166 +3583 -1122 +-3072 -1024 +1322 -7188 +3371 402 +-208 3515 +2224 2284 +-1314 -16 +745 -3686 +3943 392 +4820 724 +2904 -2915 +-628 -2623 +-4366 -861 +-2454 -162 +1475 686 +-1428 1329 +-3649 -1060 +1324 -3796 +-77 -413 +-1690 1178 +-3175 998 +1944 776 +3835 -1097 +-4018 -4229 +1335 -5168 +11264 0 +1335 5168 +-4018 4229 +3835 1097 +1944 -776 +-3175 -998 +-1690 -1178 +-77 413 +1324 3796 +2382 782 +-291 3522 +-1755 3417 +3962 2454 +5733 665 +-321 -4272 +-1261 2220 +-2048 -3072 +-3857 -1445 +949 -332 +1037 -3115 +-1065 1006 +-276 -5056 +-2865 690 +884 -2139 +2772 -2348 +-2950 3523 +751 87 +533 994 +-4840 -2224 +-140 1990 +-706 4073 +-2248 1741 +1024 0 +-2248 -1741 +-706 -4073 +-140 -1990 +-4840 2224 +533 -994 +751 -87 +-2950 -3523 +2772 2348 +884 2139 +-2865 -690 +-276 5056 +-1065 -1006 +1037 3115 +949 332 +-3857 1445 +-2048 3072 +-1261 -2220 +-321 4272 +5733 -665 +3962 -2454 +-1755 -3417 +-291 -3522 +2382 -782 +1324 -3796 +-77 -413 +-1690 1178 +-3175 998 +1944 776 +3835 -1097 +-4018 -4229 +1335 -5168 +2596 300 +-689 2732 +-2300 -1763 +4504 1429 +-262 3458 +273 -2170 +2997 2127 +-1087 -1524 +2048 0 +-1087 1524 +2997 -2127 +273 2170 +-262 -3458 +4504 -1429 +-2300 1763 +-689 -2732 +2596 -300 +1808 537 +-1113 3077 +4041 -676 +4756 -5904 +-2124 940 +-3181 3971 +-5816 -1861 +-3072 -1024 +3259 -641 +4509 -5541 +896 370 +188 1336 +83 518 +2001 1904 +-2148 -3626 +-4644 1748 +349 5449 +-4380 2287 +-380 782 +-586 3783 +-1501 5016 +1467 -1750 +-1470 1103 +0 0 +-1470 -1103 +1467 1750 +-1501 -5016 +-586 -3783 +-380 -782 +-4380 -2287 +349 -5449 +-4644 -1748 +-2148 3626 +2001 -1904 +83 -518 +188 -1336 +896 -370 +4509 5541 +3259 641 +-3072 1024 +-5816 1861 +-3181 -3971 +-2124 -940 +4756 5904 +4041 676 +-1113 -3077 +1808 -537 +2596 300 +-689 2732 +-2300 -1763 +4504 1429 +-262 3458 +273 -2170 +2997 2127 +-1087 -1524 +-1148 300 +-1617 2208 +-3009 -3282 +-983 334 +862 2454 +4266 -2131 +469 -2055 +-1583 -3113 +2048 0 +-1583 3113 +469 2055 +4266 2131 +862 -2454 +-983 -334 +-3009 3282 +-1617 -2208 +-1148 -300 +-22 -36 +4798 1190 +-1271 -1967 +-1260 -2224 +3759 3505 +-4587 579 +-3697 2455 +1024 -5120 +-1876 -2517 +-357 1124 +246 -5125 +3308 -776 +3058 -3127 +-3950 -1590 +-199 193 +3196 1748 +1396 -4195 +3857 -5291 +2771 3857 +1186 -1006 +-261 -1147 +-5413 -3193 +-3989 -4076 +0 0 +-3989 4076 +-5413 3193 +-261 1147 +1186 1006 +2771 -3857 +3857 5291 +1396 4195 +3196 -1748 +-199 -193 +-3950 1590 +3058 3127 +3308 776 +246 5125 +-357 -1124 +-1876 2517 +1024 5120 +-3697 -2455 +-4587 -579 +3759 -3505 +-1260 2224 +-1271 1967 +4798 -1190 +-22 36 +-1148 300 +-1617 2208 +-3009 -3282 +-983 334 +862 2454 +4266 -2131 +469 -2055 +-1583 -3113 +-3796 724 +3660 -6149 +395 -5283 +-1909 -4896 +-1450 -2634 +3373 -132 +352 -1857 +-5941 -1150 +-1024 0 +-5941 1150 +352 1857 +3373 132 +-1450 2634 +-1909 4896 +395 5283 +3660 6149 +-3796 -724 +-3895 -4286 +-1215 394 +1177 -1255 +-1456 -1860 +502 1821 +1940 392 +-3621 -2629 +2048 -3072 +-58 1794 +2340 4997 +-104 -41 +-2889 -2708 +4061 -3194 +-77 -2882 +-1382 859 +-2348 -724 +2504 -738 +2097 3207 +1135 -588 +5794 -2310 +-2442 517 +2361 -531 +2940 -666 +-7168 0 +2940 666 +2361 531 +-2442 -517 +5794 2310 +1135 588 +2097 -3207 +2504 738 +-2348 724 +-1382 -859 +-77 2882 +4061 3194 +-2889 2708 +-104 41 +2340 -4997 +-58 -1794 +2048 3072 +-3621 2629 +1940 -392 +502 -1821 +-1456 1860 +1177 1255 +-1215 -394 +-3895 4286 +-3796 724 +3660 -6149 +395 -5283 +-1909 -4896 +-1450 -2634 +3373 -132 +352 -1857 +-5941 -1150 +3796 1148 +-4904 -531 +-5954 1335 +-439 -3888 +-632 -332 +1796 6771 +2236 -1853 +2348 1301 +2048 0 +2348 -1301 +2236 1853 +1796 -6771 +-632 332 +-439 3888 +-5954 -1335 +-4904 531 +3796 -1148 +1544 -1552 +3052 -1578 +-1206 -223 +-1970 -222 +3232 -2340 +4357 -2703 +-2409 -1040 +-5120 1024 +5233 957 +-3028 129 +-3678 -3334 +-78 -1670 +-4650 -1882 +-2164 -1734 +-2270 524 +2348 -3196 +959 3806 +-727 2605 +264 -4763 +-1416 -1116 +-1112 -773 +2228 588 +5293 -504 +4096 0 +5293 504 +2228 -588 +-1112 773 +-1416 1116 +264 4763 +-727 -2605 +959 -3806 +2348 3196 +-2270 -524 +-2164 1734 +-4650 1882 +-78 1670 +-3678 3334 +-3028 -129 +5233 -957 +-5120 -1024 +-2409 1040 +4357 2703 +3232 2340 +-1970 222 +-1206 223 +3052 1578 +1544 1552 +3796 1148 +-4904 -531 +-5954 1335 +-439 -3888 +-632 -332 +1796 6771 +2236 -1853 +2348 1301 +-2048 3496 +2089 -1602 +2316 969 +-1113 2355 +-60 4170 +520 -342 +2299 97 +2793 -4676 +1024 0 +2793 4676 +2299 -97 +520 342 +-60 -4170 +-1113 -2355 +2316 -969 +2089 1602 +-2048 -3496 +380 -5490 +691 518 +-339 -2909 +1168 -398 +-2106 9 +-2638 990 +970 1679 +3072 4096 +5536 439 +3421 -119 +-790 5513 +-2616 -4494 +-3836 2271 +-2583 3194 +-3747 -2736 +-2048 600 +4854 -5092 +-424 1707 +-5856 4704 +1508 -74 +1935 -467 +-3083 -1206 +-1290 2180 +1024 0 +-1290 -2180 +-3083 1206 +1935 467 +1508 74 +-5856 -4704 +-424 -1707 +4854 5092 +-2048 -600 +-3747 2736 +-2583 -3194 +-3836 -2271 +-2616 4494 +-790 -5513 +3421 119 +5536 -439 +3072 -4096 +970 -1679 +-2638 -990 +-2106 -9 +1168 398 +-339 2909 +691 -518 +380 5490 +-2048 3496 +2089 -1602 +2316 969 +-1113 2355 +-60 4170 +520 -342 +2299 97 +2793 -4676 +-2172 3796 +-1080 3421 +3706 1680 +3658 -1339 +-392 1716 +342 776 +1028 -3519 +1504 5344 +3072 0 +1504 -5344 +1028 3519 +342 -776 +-392 -1716 +3658 1339 +3706 -1680 +-1080 -3421 +-2172 -3796 +-432 6108 +1392 -965 +1301 -5903 +946 1826 +91 -1009 +-2842 1351 +-2076 -3773 +0 -1024 +912 4424 +1514 2134 +-2279 -241 +-946 -3718 +2662 1190 +-2280 927 +-791 3596 +2172 2348 +-1273 380 +2975 212 +3524 -1016 +392 932 +2287 -1264 +-5492 4303 +-8349 974 +-3072 0 +-8349 -974 +-5492 -4303 +2287 1264 +392 -932 +3524 1016 +2975 -212 +-1273 -380 +2172 -2348 +-791 -3596 +-2280 -927 +2662 -1190 +-946 3718 +-2279 241 +1514 -2134 +912 -4424 +0 1024 +-2076 3773 +-2842 -1351 +91 1009 +946 -1826 +1301 5903 +1392 965 +-432 -6108 +-2172 3796 +-1080 3421 +3706 1680 +3658 -1339 +-392 1716 +342 776 +1028 -3519 +1504 5344 +124 -300 +-5219 230 +404 -1190 +-189 -322 +586 -1690 +3241 104 +-3694 4318 +-2437 2740 +5120 0 +-2437 -2740 +-3694 -4318 +3241 -104 +586 1690 +-189 322 +404 1190 +-5219 -230 +124 300 +3029 3144 +-1098 -881 +1739 -5248 +-188 -4372 +3327 -848 +-511 4616 +103 4378 +2048 1024 +-2900 2920 +7311 1903 +-1595 -813 +-4756 2021 +225 3143 +-2567 1611 +-853 -180 +-4220 -1748 +1714 1430 +365 2482 +-2663 153 +262 3986 +1708 5356 +-209 -38 +770 -1614 +7168 0 +770 1614 +-209 38 +1708 -5356 +262 -3986 +-2663 -153 +365 -2482 +1714 -1430 +-4220 1748 +-853 180 +-2567 -1611 +225 -3143 +-4756 -2021 +-1595 813 +7311 -1903 +-2900 -2920 +2048 -1024 +103 -4378 +-511 -4616 +3327 848 +-188 4372 +1739 5248 +-1098 881 +3029 -3144 +124 -300 +-5219 230 +404 -1190 +-189 -322 +586 -1690 +3241 104 +-3694 4318 +-2437 2740 +-2896 2048 +-1707 1051 +3745 -3275 +-3839 1842 +-4194 -3072 +-308 -622 +61 6589 +-895 2286 +-7168 0 +-895 -2286 +61 -6589 +-308 622 +-4194 3072 +-3839 -1842 +3745 3275 +-1707 -1051 +-2896 -2048 +-2571 1337 +2375 -3445 +3432 -651 +-2422 -3072 +-581 2150 +1460 6612 +-450 -1951 +1024 0 +5323 -296 +-77 -1608 +-1688 331 +1822 3072 +1432 1980 +-771 -1905 +3613 3424 +2896 2048 +-3119 -532 +1643 -481 +-2593 -2996 +698 -3072 +4145 -4982 +-245 -3721 +-194 -2199 +-3072 0 +-194 2199 +-245 3721 +4145 4982 +698 3072 +-2593 2996 +1643 481 +-3119 532 +2896 -2048 +3613 -3424 +-771 1905 +1432 -1980 +1822 -3072 +-1688 -331 +-77 1608 +5323 296 +1024 0 +-450 1951 +1460 -6612 +-581 -2150 +-2422 3072 +3432 651 +2375 3445 +-2571 -1337 +-2896 2048 +-1707 1051 +3745 -3275 +-3839 1842 +-4194 -3072 +-308 -622 +61 6589 +-895 2286 +1624 -3496 +-3787 -323 +-4276 2476 +-1518 4213 +2302 2832 +2331 2082 +-31 -155 +4155 -6576 +6144 0 +4155 6576 +-31 155 +2331 -2082 +2302 -2832 +-1518 -4213 +-4276 -2476 +-3787 323 +1624 3496 +-151 -3 +-1441 -3041 +-15 -1100 +1638 156 +1074 1621 +-1707 -1454 +-5582 -925 +-4096 2048 +686 -3528 +442 -2563 +-1061 249 +-1038 -3940 +5596 -2905 +-2499 -365 +166 367 +4520 -600 +-7373 -1524 +4120 200 +3946 -667 +1194 1264 +1232 1897 +-2800 -954 +301 1756 +-2048 0 +301 -1756 +-2800 954 +1232 -1897 +1194 -1264 +3946 667 +4120 -200 +-7373 1524 +4520 600 +166 -367 +-2499 365 +5596 2905 +-1038 3940 +-1061 -249 +442 2563 +686 3528 +-4096 -2048 +-5582 925 +-1707 1454 +1074 -1621 +1638 -156 +-15 1100 +-1441 3041 +-151 3 +1624 -3496 +-3787 -323 +-4276 2476 +-1518 4213 +2302 2832 +2331 2082 +-31 -155 +4155 -6576 +-2648 -2896 +-1004 2050 +-644 1520 +1039 -3456 +1388 5278 +-1079 2564 +1384 -48 +2435 -4799 +1024 0 +2435 4799 +1384 48 +-1079 -2564 +1388 -5278 +1039 3456 +-644 -1520 +-1004 -2050 +-2648 2896 +2647 -1842 +4230 -3352 +696 -2361 +-280 2278 +-1290 -3826 +3811 609 +2355 4029 +1024 -2048 +4776 -136 +-1438 129 +-928 243 +-4064 -1818 +-6756 2328 +2386 2481 +-4141 906 +-5544 2896 +44 19 +-1876 216 +4342 -103 +2956 -1182 +-1816 -951 +340 3663 +-1317 5746 +-3072 0 +-1317 -5746 +340 -3663 +-1816 951 +2956 1182 +4342 103 +-1876 -216 +44 -19 +-5544 -2896 +-4141 -906 +2386 -2481 +-6756 -2328 +-4064 1818 +-928 -243 +-1438 -129 +4776 136 +1024 2048 +2355 -4029 +3811 -609 +-1290 3826 +-280 -2278 +696 2361 +4230 3352 +2647 1842 +-2648 -2896 +-1004 2050 +-644 1520 +1039 -3456 +1388 5278 +-1079 2564 +1384 -48 +2435 -4799 +-5968 0 +-2735 -534 +-727 -364 +-2065 -679 +-2192 3726 +-4003 -1391 +1133 -5691 +1837 -956 +-4096 0 +1837 956 +1133 5691 +-4003 1391 +-2192 -3726 +-2065 679 +-727 364 +-2735 534 +-5968 0 +-3746 915 +3174 4770 +3829 3184 +-2532 -2630 +1658 -4067 +2052 -3131 +-94 -2079 +6144 2048 +2676 -4058 +-788 2073 +474 2320 +-964 -3830 +-1277 5122 +766 -2001 +4616 -1497 +-176 0 +-3487 339 +882 1785 +1730 -2735 +1592 3267 +-345 2427 +1698 2704 +933 1193 +-4096 0 +933 -1193 +1698 -2704 +-345 -2427 +1592 -3267 +1730 2735 +882 -1785 +-3487 -339 +-176 0 +4616 1497 +766 2001 +-1277 -5122 +-964 3830 +474 -2320 +-788 -2073 +2676 4058 +6144 -2048 +-94 2079 +2052 3131 +1658 4067 +-2532 2630 +3829 -3184 +3174 -4770 +-3746 -915 +-5968 0 +-2735 -534 +-727 -364 +-2065 -679 +-2192 3726 +-4003 -1391 +1133 -5691 +1837 -956 +3072 -848 +685 -1074 +2859 1128 +1876 821 +-1278 3856 +1097 -2510 +1590 -2659 +145 -1363 +0 0 +145 1363 +1590 2659 +1097 2510 +-1278 -3856 +1876 -821 +2859 -1128 +685 1074 +3072 848 +-3541 1839 +-6304 -205 +3920 -6687 +-614 1180 +-4107 -400 +2043 -1253 +-1611 -3173 +0 0 +1040 3314 +-4076 -3329 +423 570 +2062 -4964 +-2023 -7381 +-4436 -4810 +-2237 180 +3072 4944 +629 -1473 +889 1260 +2908 2948 +-170 2288 +1700 2152 +-757 -617 +-903 1170 +4096 0 +-903 -1170 +-757 617 +1700 -2152 +-170 -2288 +2908 -2948 +889 -1260 +629 1473 +3072 -4944 +-2237 -180 +-4436 4810 +-2023 7381 +2062 4964 +423 -570 +-4076 3329 +1040 -3314 +0 0 +-1611 3173 +2043 1253 +-4107 400 +-614 -1180 +3920 6687 +-6304 205 +-3541 -1839 +3072 -848 +685 -1074 +2859 1128 +1876 821 +-1278 3856 +1097 -2510 +1590 -2659 +145 -1363 +724 -4045 +-2974 1592 +-2739 4969 +-3433 1800 +-4996 2564 +1383 630 +234 3734 +443 1524 +3072 0 +443 -1524 +234 -3734 +1383 -630 +-4996 -2564 +-3433 -1800 +-2739 -4969 +-2974 -1592 +724 4045 +-330 -2978 +2844 -136 +-3980 -709 +-2330 -3118 +3672 2958 +1226 609 +-231 -1626 +-4096 3072 +-537 1037 +1931 -3331 +6274 1345 +1130 1226 +-2026 1571 +1880 2696 +-3029 1217 +-724 6093 +-997 -293 +2111 -6234 +1632 -2416 +-1996 1780 +2270 652 +705 -3578 +1863 4035 +5120 0 +1863 -4035 +705 3578 +2270 -652 +-1996 -1780 +1632 2416 +2111 6234 +-997 293 +-724 -6093 +-3029 -1217 +1880 -2696 +-2026 -1571 +1130 -1226 +6274 -1345 +1931 3331 +-537 -1037 +-4096 -3072 +-231 1626 +1226 -609 +3672 -2958 +-2330 3118 +-3980 709 +2844 136 +-330 2978 +724 -4045 +-2974 1592 +-2739 4969 +-3433 1800 +-4996 2564 +1383 630 +234 3734 +443 1524 +424 3496 +1968 3428 +0 -4917 +-3948 2642 +-5428 4494 +20 -4824 +3002 1389 +43 4175 +2048 0 +43 -4175 +3002 -1389 +20 4824 +-5428 -4494 +-3948 -2642 +0 4917 +1968 -3428 +424 -3496 +3377 2052 +1254 251 +4319 3687 +4488 4170 +2241 -3096 +3480 -335 +-755 -406 +-2048 0 +-1216 2961 +2248 -3010 +-168 -1003 +704 74 +-578 1219 +-3995 -857 +-1172 -5622 +-2472 600 +1179 42 +1044 3809 +-2010 2900 +-3861 -398 +124 3342 +1159 -4065 +-3424 -1249 +-2048 0 +-3424 1249 +1159 4065 +124 -3342 +-3861 398 +-2010 -2900 +1044 -3809 +1179 -42 +-2472 -600 +-1172 5622 +-3995 857 +-578 -1219 +704 -74 +-168 1003 +2248 3010 +-1216 -2961 +-2048 0 +-755 406 +3480 335 +2241 3096 +4488 -4170 +4319 -3687 +1254 -251 +3377 -2052 +424 3496 +1968 3428 +0 -4917 +-3948 2642 +-5428 4494 +20 -4824 +3002 1389 +43 4175 +7292 2772 +-724 -2701 +-3137 2145 +-3241 2117 +66 -926 +4746 3726 +-410 -1886 +-3642 3310 +-5120 0 +-3642 -3310 +-410 1886 +4746 -3726 +66 926 +-3241 -2117 +-3137 -2145 +-724 2701 +7292 -2772 +976 1111 +-1269 -198 +-125 648 +-148 4312 +-1383 1529 +-2492 2652 +2271 5004 +2048 5120 +3167 -1539 +3156 95 +723 2988 +-2500 -3528 +2581 -2421 +1712 1030 +-2774 1637 +2948 1324 +-1262 698 +-203 1979 +-782 2694 +-5610 -2818 +-2519 -2579 +2642 2225 +1988 -4190 +-3072 0 +1988 4190 +2642 -2225 +-2519 2579 +-5610 2818 +-782 -2694 +-203 -1979 +-1262 -698 +2948 -1324 +-2774 -1637 +1712 -1030 +2581 2421 +-2500 3528 +723 -2988 +3156 -95 +3167 1539 +2048 -5120 +2271 -5004 +-2492 -2652 +-1383 -1529 +-148 -4312 +-125 -648 +-1269 198 +976 -1111 +7292 2772 +-724 -2701 +-3137 2145 +-3241 2117 +66 -926 +4746 3726 +-410 -1886 +-3642 3310 +-1448 -2472 +2918 2242 +-2412 -357 +-260 3621 +6016 4138 +-2004 3574 +-1036 7468 +3211 1020 +0 0 +3211 -1020 +-1036 -7468 +-2004 -3574 +6016 -4138 +-260 -3621 +-2412 357 +2918 -2242 +-1448 2472 +-2245 1853 +1979 2087 +1183 -1781 +-1773 -5883 +-1640 2051 +-1938 705 +-2469 157 +2048 0 +860 2647 +-3414 -3287 +-1201 -5484 +-1124 2558 +-618 -2379 +238 -172 +-163 -2162 +1448 424 +-510 974 +195 -4302 +-305 -747 +-3120 -3890 +4844 555 +6387 2525 +-1602 508 +-4096 0 +-1602 -508 +6387 -2525 +4844 -555 +-3120 3890 +-305 747 +195 4302 +-510 -974 +1448 -424 +-163 2162 +238 172 +-618 2379 +-1124 -2558 +-1201 5484 +-3414 3287 +860 -2647 +2048 0 +-2469 -157 +-1938 -705 +-1640 -2051 +-1773 5883 +1183 1781 +1979 -2087 +-2245 -1853 +-1448 -2472 +2918 2242 +-2412 -357 +-260 3621 +6016 4138 +-2004 3574 +-1036 7468 +3211 1020 +2048 -3072 +-5939 1601 +-3366 1207 +35 -4551 +-3120 -614 +2835 2455 +796 4524 +-1281 -3386 +0 0 +-1281 3386 +796 -4524 +2835 -2455 +-3120 614 +35 4551 +-3366 -1207 +-5939 -1601 +2048 3072 +-5138 -3256 +-126 1684 +3957 2314 +-1124 -170 +-2162 650 +-2750 408 +2210 4730 +4096 2048 +4534 -570 +702 -2424 +-1014 -139 +-1773 1278 +-1902 -2624 +-1922 1840 +-1097 -176 +2048 -3072 +-1426 -1418 +1318 -5147 +5239 -3283 +6016 2062 +-1196 -349 +-2844 -3260 +2344 983 +0 0 +2344 -983 +-2844 3260 +-1196 349 +6016 -2062 +5239 3283 +1318 5147 +-1426 1418 +2048 3072 +-1097 176 +-1922 -1840 +-1902 2624 +-1773 -1278 +-1014 139 +702 2424 +4534 570 +4096 -2048 +2210 -4730 +-2750 -408 +-2162 -650 +-1124 170 +3957 -2314 +-126 -1684 +-5138 3256 +2048 -3072 +-5939 1601 +-3366 1207 +35 -4551 +-3120 -614 +2835 2455 +796 4524 +-1281 -3386 +1024 -1024 +-1518 -3700 +5752 -502 +-168 469 +1448 -854 +-3017 -1041 +-6791 1377 +686 -3303 +-1024 0 +686 3303 +-6791 -1377 +-3017 1041 +1448 854 +-168 -469 +5752 502 +-1518 3700 +1024 1024 +1817 -61 +-6665 6732 +-3736 180 +-1448 -3086 +-1728 2180 +3312 2917 +258 -450 +-1024 -2048 +5269 721 +-517 -4887 +-1256 -5001 +-1448 410 +-1248 1779 +3221 -613 +2899 -6439 +1024 -1024 +-1190 2986 +589 1846 +-2209 819 +1448 254 +1776 1741 +1100 -492 +3364 1945 +-1024 0 +3364 -1945 +1100 492 +1776 -1741 +1448 -254 +-2209 -819 +589 -1846 +-1190 -2986 +1024 1024 +2899 6439 +3221 613 +-1248 -1779 +-1448 -410 +-1256 5001 +-517 4887 +5269 -721 +-1024 2048 +258 450 +3312 -2917 +-1728 -2180 +-1448 3086 +-3736 -180 +-6665 -6732 +1817 61 +1024 -1024 +-1518 -3700 +5752 -502 +-168 469 +1448 -854 +-3017 -1041 +-6791 1377 +686 -3303 +124 -2172 +-1296 -1901 +-2257 339 +2213 4436 +2030 -3094 +-40 -5261 +-1526 3771 +66 -6668 +0 0 +66 6668 +-1526 -3771 +-40 5261 +2030 3094 +2213 -4436 +-2257 -339 +-1296 1901 +124 2172 +-5233 -3398 +-124 -2975 +-475 3823 +248 4600 +-258 1172 +-561 3553 +1572 2884 +-1024 -3072 +4860 -2376 +2114 -1051 +3823 2780 +3248 3752 +-326 2024 +5031 300 +487 -1080 +-4220 2172 +-5990 -764 +245 1737 +2133 2323 +-1430 -1851 +-1278 1444 +-2923 -1384 +-258 -1176 +2048 0 +-258 1176 +-2923 1384 +-1278 -1444 +-1430 1851 +2133 -2323 +245 -1737 +-5990 764 +-4220 -2172 +487 1080 +5031 -300 +-326 -2024 +3248 -3752 +3823 -2780 +2114 1051 +4860 2376 +-1024 3072 +1572 -2884 +-561 -3553 +-258 -1172 +248 -4600 +-475 -3823 +-124 2975 +-5233 3398 +124 -2172 +-1296 -1901 +-2257 339 +2213 4436 +2030 -3094 +-40 -5261 +-1526 3771 +66 -6668 +-724 -1572 +-4109 938 +-573 -7855 +2887 1014 +3682 4286 +-316 962 +1365 2124 +2772 -1556 +-2048 0 +2772 1556 +1365 -2124 +-316 -962 +3682 -4286 +2887 -1014 +-573 7855 +-4109 -938 +-724 1572 +145 1776 +1766 -734 +2317 2809 +-1885 -273 +-1612 4406 +-2130 -1984 +-3322 -2325 +-7168 3072 +-1808 796 +2286 1081 +-2928 1569 +3333 2624 +3078 -3723 +-502 -1142 +1957 4277 +724 5668 +1328 2243 +3405 -2441 +-33 702 +-5130 -1390 +2400 -1343 +2575 -756 +-2755 -436 +0 0 +-2755 436 +2575 756 +2400 1343 +-5130 1390 +-33 -702 +3405 2441 +1328 -2243 +724 -5668 +1957 -4277 +-502 1142 +3078 3723 +3333 -2624 +-2928 -1569 +2286 -1081 +-1808 -796 +-7168 -3072 +-3322 2325 +-2130 1984 +-1612 -4406 +-1885 273 +2317 -2809 +1766 734 +145 -1776 +-724 -1572 +-4109 938 +-573 -7855 +2887 1014 +3682 4286 +-316 962 +1365 2124 +2772 -1556 +4344 1448 +1578 2641 +48 1735 +-5368 -551 +-1168 -1846 +-1321 -1119 +-4671 1047 +1414 -2209 +5120 0 +1414 2209 +-4671 -1047 +-1321 1119 +-1168 1846 +-5368 551 +48 -1735 +1578 -2641 +4344 -1448 +3065 61 +-4192 -1463 +-119 192 +-1508 1374 +-3178 2015 +3001 2771 +243 -247 +-3072 4096 +-658 -1112 +-650 -1234 +-2160 -2177 +60 -5618 +-203 4545 +-1484 -1683 +-1439 -4468 +-4344 -1448 +2589 4494 +5628 3837 +-102 -2327 +2616 3046 +866 -2112 +2320 741 +4794 4816 +1024 0 +4794 -4816 +2320 -741 +866 2112 +2616 -3046 +-102 2327 +5628 -3837 +2589 -4494 +-4344 1448 +-1439 4468 +-1484 1683 +-203 -4545 +60 5618 +-2160 2177 +-650 1234 +-658 1112 +-3072 -4096 +243 247 +3001 -2771 +-3178 -2015 +-1508 -1374 +-119 -192 +-4192 1463 +3065 -61 +4344 1448 +1578 2641 +48 1735 +-5368 -551 +-1168 -1846 +-1321 -1119 +-4671 1047 +1414 -2209 +2348 -2348 +-2065 1101 +492 -2301 +-1443 -2327 +-3104 1631 +-1786 -157 +544 544 +3105 -2781 +-2048 0 +3105 2781 +544 -544 +-1786 157 +-3104 -1631 +-1443 2327 +492 2301 +-2065 -1101 +2348 2348 +-5471 -1441 +-2350 -402 +15 7 +-1546 174 +2215 7911 +-3247 1959 +871 -1310 +1024 -1024 +-2558 -3591 +-877 5299 +1848 2527 +346 -7418 +2113 -3078 +4907 262 +-698 -4179 +3796 -3796 +4450 1824 +-152 69 +-2253 -1069 +-3888 3064 +-709 2654 +684 -100 +2366 -2030 +4096 0 +2366 2030 +684 100 +-709 -2654 +-3888 -3064 +-2253 1069 +-152 -69 +4450 -1824 +3796 3796 +-698 4179 +4907 -262 +2113 3078 +346 7418 +1848 -2527 +-877 -5299 +-2558 3591 +1024 1024 +871 1310 +-3247 -1959 +2215 -7911 +-1546 -174 +15 -7 +-2350 402 +-5471 1441 +2348 -2348 +-2065 1101 +492 -2301 +-1443 -2327 +-3104 1631 +-1786 -157 +544 544 +3105 -2781 +600 -2896 +-38 -2422 +-2200 2409 +-1214 2551 +300 874 +875 3586 +1423 -2321 +2033 6408 +3072 0 +2033 -6408 +1423 2321 +875 -3586 +300 -874 +-1214 -2551 +-2200 -2409 +-38 2422 +600 2896 +-519 1070 +-5157 3334 +-3889 3313 +1748 -7490 +-1120 -1041 +1345 704 +2545 -339 +-1024 2048 +1050 415 +168 3572 +1504 213 +1748 3247 +1097 3524 +657 -422 +-2510 592 +3496 2896 +-717 3512 +-6085 -870 +2439 1443 +300 5767 +309 1453 +1657 -5899 +-1844 -4087 +-1024 0 +-1844 4087 +1657 5899 +309 -1453 +300 -5767 +2439 -1443 +-6085 870 +-717 -3512 +3496 -2896 +-2510 -592 +657 422 +1097 -3524 +1748 -3247 +1504 -213 +168 -3572 +1050 -415 +-1024 -2048 +2545 339 +1345 -704 +-1120 1041 +1748 7490 +-3889 -3313 +-5157 -3334 +-519 -1070 +600 -2896 +-38 -2422 +-2200 2409 +-1214 2551 +300 874 +875 3586 +1423 -2321 +2033 6408 +424 -848 +1619 1091 +1000 4975 +284 2613 +144 4170 +-3018 539 +-1209 1141 +923 245 +-2048 0 +923 -245 +-1209 -1141 +-3018 -539 +144 -4170 +284 -2613 +1000 -4975 +1619 -1091 +424 848 +-2739 -3798 +1354 -19 +5351 2980 +484 -398 +-2508 -2489 +1154 -775 +2554 -5704 +-2048 2048 +-52 3644 +110 -4780 +-167 -1232 +-1084 -4494 +-3954 -4198 +2586 -240 +-2417 3077 +-2472 4944 +3536 4008 +-844 597 +-1681 -863 +-3640 -74 +5694 -1940 +4040 647 +-3425 -4258 +2048 0 +-3425 4258 +4040 -647 +5694 1940 +-3640 74 +-1681 863 +-844 -597 +3536 -4008 +-2472 -4944 +-2417 -3077 +2586 240 +-3954 4198 +-1084 4494 +-167 1232 +110 4780 +-52 -3644 +-2048 -2048 +2554 5704 +1154 775 +-2508 2489 +484 398 +5351 -2980 +1354 19 +-2739 3798 +424 -848 +1619 1091 +1000 4975 +284 2613 +144 4170 +-3018 539 +-1209 1141 +923 245 +-1024 -6392 +1960 804 +-3482 3556 +-1073 302 +-834 6412 +1540 -2365 +-301 -3216 +-2044 4445 +4096 0 +-2044 -4445 +-301 3216 +1540 2365 +-834 -6412 +-1073 -302 +-3482 -3556 +1960 -804 +-1024 6392 +-945 2583 +132 1988 +-3211 296 +1618 2408 +292 5651 +2381 568 +3196 -5315 +0 2048 +-1971 2642 +-3505 -568 +3303 -587 +2726 -840 +2457 3836 +5885 -1988 +2129 1628 +-1024 2296 +-1576 -1447 +362 3556 +-1957 709 +-3510 2628 +-1351 1791 +-1472 -3216 +-748 -4368 +0 0 +-748 4368 +-1472 3216 +-1351 -1791 +-3510 -2628 +-1957 -709 +362 -3556 +-1576 1447 +-1024 -2296 +2129 -1628 +5885 1988 +2457 -3836 +2726 840 +3303 587 +-3505 568 +-1971 -2642 +0 -2048 +3196 5315 +2381 -568 +292 -5651 +1618 -2408 +-3211 -296 +132 -1988 +-945 -2583 +-1024 -6392 +1960 804 +-3482 3556 +-1073 302 +-834 6412 +1540 -2365 +-301 -3216 +-2044 4445 +3196 -2772 +2864 3177 +-3508 -112 +-1293 -1007 +66 2154 +1458 -1351 +5327 -1215 +1147 1195 +1024 0 +1147 -1195 +5327 1215 +1458 1351 +66 -2154 +-1293 1007 +-3508 112 +2864 -3177 +3196 2772 +4518 1517 +411 -781 +990 696 +-148 -3972 +-1072 -201 +-2259 4389 +-5609 -286 +4096 -1024 +978 -131 +927 -843 +-1724 -1466 +-2500 972 +3781 3528 +-7106 -662 +-3426 -2423 +-1148 -1324 +-5524 763 +1515 3128 +305 -1825 +-5610 -1306 +-2446 2615 +4694 -1121 +5051 -1350 +3072 0 +5051 1350 +4694 1121 +-2446 -2615 +-5610 1306 +305 1825 +1515 -3128 +-5524 -763 +-1148 1324 +-3426 2423 +-7106 662 +3781 -3528 +-2500 -972 +-1724 1466 +927 843 +978 131 +4096 1024 +-5609 286 +-2259 -4389 +-1072 201 +-148 3972 +990 -696 +411 781 +4518 -1517 +3196 -2772 +2864 3177 +-3508 -112 +-1293 -1007 +66 2154 +1458 -1351 +5327 -1215 +1147 1195 +1448 -1024 +2985 201 +1378 -51 +-3570 62 +784 -1278 +168 -813 +-1468 2287 +1217 -1477 +2048 0 +1217 1477 +-1468 -2287 +168 813 +784 1278 +-3570 -62 +1378 51 +2985 -201 +1448 1024 +-3505 -4038 +-7931 -1421 +475 5283 +-1892 -614 +628 -242 +363 887 +-4200 -1614 +0 2048 +-1193 -1886 +-1012 -2425 +-5036 1941 +1892 -2062 +1658 530 +-1205 4567 +4881 2193 +-1448 -1024 +3208 -5634 +7757 -2153 +4573 2416 +-784 -170 +1105 2035 +2117 2593 +-3392 -2268 +-2048 0 +-3392 2268 +2117 -2593 +1105 -2035 +-784 170 +4573 -2416 +7757 2153 +3208 5634 +-1448 1024 +4881 -2193 +-1205 -4567 +1658 -530 +1892 2062 +-5036 -1941 +-1012 2425 +-1193 1886 +0 -2048 +-4200 1614 +363 -887 +628 242 +-1892 614 +475 -5283 +-7931 1421 +-3505 4038 +1448 -1024 +2985 201 +1378 -51 +-3570 62 +784 -1278 +168 -813 +-1468 2287 +1217 -1477 +1448 -2896 +2594 -3251 +-6055 -478 +-1884 -2519 +568 -2002 +-1484 1828 +2893 3395 +1146 1673 +-3072 0 +1146 -1673 +2893 -3395 +-1484 -1828 +568 2002 +-1884 2519 +-6055 478 +2594 3251 +1448 2896 +-3157 3233 +3597 2414 +3121 2022 +-1988 110 +235 -1643 +4892 3774 +1542 1578 +-3072 2048 +-1452 325 +1486 -62 +2113 -1153 +-3556 -2786 +-964 2434 +2798 -1110 +-2669 2702 +-1448 2896 +-5015 2040 +-2037 -1349 +4840 -5317 +-3216 -894 +-185 -3794 +618 2657 +1219 2187 +9216 0 +1219 -2187 +618 -2657 +-185 3794 +-3216 894 +4840 5317 +-2037 1349 +-5015 -2040 +-1448 -2896 +-2669 -2702 +2798 1110 +-964 -2434 +-3556 2786 +2113 1153 +1486 62 +-1452 -325 +-3072 -2048 +1542 -1578 +4892 -3774 +235 1643 +-1988 -110 +3121 -2022 +3597 -2414 +-3157 -3233 +1448 -2896 +2594 -3251 +-6055 -478 +-1884 -2519 +568 -2002 +-1484 1828 +2893 3395 +1146 1673 +6692 -300 +1278 246 +-242 -2476 +1573 -1260 +-1316 -5296 +-1501 -3983 +1077 -700 +2197 -3193 +2048 0 +2197 3193 +1077 700 +-1501 3983 +-1316 5296 +1573 1260 +-242 2476 +1278 -246 +6692 300 +2678 3820 +1701 2581 +-1991 -4227 +-7118 -4078 +1706 2763 +488 2631 +-1096 1335 +3072 -5120 +-1760 -1749 +960 -489 +1086 -2047 +126 618 +2087 -1489 +-3149 3704 +-9 -1564 +-548 -1748 +-5514 2251 +-1206 703 +2116 -1666 +117 -2296 +-5075 1702 +371 -5316 +2226 -203 +-4096 0 +2226 203 +371 5316 +-5075 -1702 +117 2296 +2116 1666 +-1206 -703 +-5514 -2251 +-548 1748 +-9 1564 +-3149 -3704 +2087 1489 +126 -618 +1086 2047 +960 489 +-1760 1749 +3072 5120 +-1096 -1335 +488 -2631 +1706 -2763 +-7118 4078 +-1991 4227 +1701 -2581 +2678 -3820 +6692 -300 +1278 246 +-242 -2476 +1573 -1260 +-1316 -5296 +-1501 -3983 +1077 -700 +2197 -3193 +-2472 4096 +2953 3306 +-944 -3676 +-3287 4654 +-190 4568 +-4185 -2594 +2330 -1844 +6244 5707 +2048 0 +6244 -5707 +2330 1844 +-4185 2594 +-190 -4568 +-3287 -4654 +-944 3676 +2953 -3306 +-2472 -4096 +65 -745 +-848 -3277 +-2448 1438 +-2642 -325 +-2487 -693 +859 -707 +-15 -1968 +4096 -4096 +899 -2270 +1033 142 +3476 -479 +-3750 -325 +2015 -2734 +1632 1668 +151 1629 +424 4096 +-5624 3219 +161 -1268 +3041 383 +2486 -4568 +-1918 -1968 +-4222 996 +1121 542 +2048 0 +1121 -542 +-4222 -996 +-1918 1968 +2486 4568 +3041 -383 +161 1268 +-5624 -3219 +424 -4096 +151 -1629 +1632 -1668 +2015 2734 +-3750 325 +3476 479 +1033 -142 +899 2270 +4096 4096 +-15 1968 +859 707 +-2487 693 +-2642 325 +-2448 -1438 +-848 3277 +65 745 +-2472 4096 +2953 3306 +-944 -3676 +-3287 4654 +-190 4568 +-4185 -2594 +2330 -1844 +6244 5707 +0 600 +-3123 -1451 +2880 -1703 +-2930 -1624 +1508 894 +-238 -517 +-3186 627 +1943 856 +-3072 0 +1943 -856 +-3186 -627 +-238 517 +1508 -894 +-2930 1624 +2880 1703 +-3123 1451 +0 -600 +1648 -7647 +-3028 -2319 +-2695 189 +-2616 -2786 +-5307 -18 +456 -1997 +4667 639 +-5120 4096 +1334 2000 +3484 -1149 +-129 -4940 +1168 110 +1451 4787 +5860 2625 +2539 1492 +0 3496 +-3519 2342 +-1616 -3241 +3494 1109 +-60 2002 +561 -3726 +3341 -1475 +305 -1951 +-3072 0 +305 1951 +3341 1475 +561 3726 +-60 -2002 +3494 -1109 +-1616 3241 +-3519 -2342 +0 -3496 +2539 -1492 +5860 -2625 +1451 -4787 +1168 -110 +-129 4940 +3484 1149 +1334 -2000 +-5120 -4096 +4667 -639 +456 1997 +-5307 18 +-2616 2786 +-2695 -189 +-3028 2319 +1648 7647 +0 600 +-3123 -1451 +2880 -1703 +-2930 -1624 +1508 894 +-238 -517 +-3186 627 +1943 856 +848 3072 +306 714 +3013 -464 +2564 2265 +444 -1553 +1871 -4597 +98 -4628 +-1277 -2425 +2048 0 +-1277 2425 +98 4628 +1871 4597 +444 1553 +2564 -2265 +3013 464 +306 -714 +848 -3072 +1893 -1243 +-1239 -2632 +-228 3290 +2232 458 +1115 -6570 +-5686 700 +754 -1124 +2048 -2048 +-4967 -1290 +4578 -4976 +3140 4290 +664 6002 +-2983 -422 +-1437 -281 +1089 346 +-4944 3072 +2064 4789 +-337 2815 +-1570 -1440 +-3340 -1094 +-3910 217 +1010 -1049 +139 2779 +2048 0 +139 -2779 +1010 1049 +-3910 -217 +-3340 1094 +-1570 1440 +-337 -2815 +2064 -4789 +-4944 -3072 +1089 -346 +-1437 281 +-2983 422 +664 -6002 +3140 -4290 +4578 4976 +-4967 1290 +2048 2048 +754 1124 +-5686 -700 +1115 6570 +2232 -458 +-228 -3290 +-1239 2632 +1893 1243 +848 3072 +306 714 +3013 -464 +2564 2265 +444 -1553 +1871 -4597 +98 -4628 +-1277 -2425 +3796 5244 +1973 928 +3160 4112 +-5085 597 +-1186 -5166 +-414 2112 +-4928 4582 +-25 2092 +-2048 0 +-25 -2092 +-4928 -4582 +-414 -2112 +-1186 5166 +-5085 -597 +3160 -4112 +1973 -928 +3796 -5244 +-229 -4004 +-74 -51 +-170 1122 +-3308 -268 +-1510 -1270 +-2013 2348 +-93 2681 +-3072 3072 +-617 -721 +1792 -2921 +2087 2570 +1260 -516 +315 -921 +1862 3669 +2492 3038 +2348 900 +-7133 -878 +845 1304 +7837 -1441 +-862 -3274 +2733 529 +-644 38 +-2161 1498 +4096 0 +-2161 -1498 +-644 -38 +2733 -529 +-862 3274 +7837 1441 +845 -1304 +-7133 878 +2348 -900 +2492 -3038 +1862 -3669 +315 921 +1260 516 +2087 -2570 +1792 2921 +-617 721 +-3072 -3072 +-93 -2681 +-2013 -2348 +-1510 1270 +-3308 268 +-170 -1122 +-74 51 +-229 4004 +3796 5244 +1973 928 +3160 4112 +-5085 597 +-1186 -5166 +-414 2112 +-4928 4582 +-25 2092 +1324 -1148 +-1747 -1847 +-4645 297 +-1401 -1714 +1860 -4696 +1301 -1965 +1053 1199 +37 -4237 +-5120 0 +37 4237 +1053 -1199 +1301 1965 +1860 4696 +-1401 1714 +-4645 -297 +-1747 1847 +1324 1148 +-4793 -927 +344 1834 +1881 1018 +2310 -582 +2691 -534 +-232 -84 +6178 -141 +2048 3072 +-6506 2583 +-1935 -748 +6638 2793 +2634 -2878 +2005 -2513 +-56 2277 +-3278 799 +2772 3196 +-1976 -210 +-2636 3044 +-3612 -249 +-2708 -1696 +2081 6860 +-85 1033 +499 3177 +1024 0 +499 -3177 +-85 -1033 +2081 -6860 +-2708 1696 +-3612 249 +-2636 -3044 +-1976 210 +2772 -3196 +-3278 -799 +-56 -2277 +2005 2513 +2634 2878 +6638 -2793 +-1935 748 +-6506 -2583 +2048 -3072 +6178 141 +-232 84 +2691 534 +2310 582 +1881 -1018 +344 -1834 +-4793 927 +1324 -1148 +-1747 -1847 +-4645 297 +-1401 -1714 +1860 -4696 +1301 -1965 +1053 1199 +37 -4237 +-900 -2348 +305 -722 +-2042 1219 +-1516 752 +2624 756 +800 -1220 +1763 503 +-444 2892 +-4096 0 +-444 -2892 +1763 -503 +800 1220 +2624 -756 +-1516 -752 +-2042 -1219 +305 722 +-900 2348 +761 -487 +1838 -1758 +1025 -2987 +-4286 -2250 +1810 -4551 +3154 -4254 +-4051 1179 +-1024 -5120 +2070 -6582 +1451 -1394 +-896 -98 +1390 4142 +-3792 4494 +1438 4739 +2885 -769 +-5244 -3796 +2729 3545 +-34 -1715 +2954 -3086 +273 1540 +-6179 1650 +625 3556 +1537 -1756 +2048 0 +1537 1756 +625 -3556 +-6179 -1650 +273 -1540 +2954 3086 +-34 1715 +2729 -3545 +-5244 3796 +2885 769 +1438 -4739 +-3792 -4494 +1390 -4142 +-896 98 +1451 1394 +2070 6582 +-1024 5120 +-4051 -1179 +3154 4254 +1810 4551 +-4286 2250 +1025 2987 +1838 1758 +761 487 +-900 -2348 +305 -722 +-2042 1219 +-1516 752 +2624 756 +800 -1220 +1763 503 +-444 2892 +-4520 -1872 +-4240 1711 +4373 1724 +972 2565 +-1892 2523 +1172 -657 +-316 -707 +-757 959 +1024 0 +-757 -959 +-316 707 +1172 657 +-1892 -2523 +972 -2565 +4373 -1724 +-4240 -1711 +-4520 1872 +4390 2487 +2270 6749 +7 4030 +-784 -150 +-3932 -2473 +1221 -5554 +2326 2375 +-1024 -2048 +2181 763 +-622 942 +-3426 -2888 +784 5043 +1236 1284 +1226 2690 +-319 -412 +-1624 3920 +1498 5205 +-876 -4583 +-1327 3586 +1892 6766 +-495 3347 +916 211 +713 1452 +-3072 0 +713 -1452 +916 -211 +-495 -3347 +1892 -6766 +-1327 -3586 +-876 4583 +1498 -5205 +-1624 -3920 +-319 412 +1226 -2690 +1236 -1284 +784 -5043 +-3426 2888 +-622 -942 +2181 -763 +-1024 2048 +2326 -2375 +1221 5554 +-3932 2473 +-784 150 +7 -4030 +2270 -6749 +4390 -2487 +-4520 -1872 +-4240 1711 +4373 1724 +972 2565 +-1892 2523 +1172 -657 +-316 -707 +-757 959 +-1024 0 +-1751 82 +-83 -1836 +-4799 -1265 +1278 -1208 +-2073 -3153 +2097 -268 +5472 -2913 +-6144 0 +5472 2913 +2097 268 +-2073 3153 +1278 1208 +-4799 1265 +-83 1836 +-1751 -82 +-1024 0 +3450 4072 +1049 4993 +-539 -1496 +614 4364 +-1187 5270 +821 -599 +2677 1929 +-2048 0 +-1039 -2116 +562 -2675 +922 -958 +-2062 -580 +315 921 +555 388 +-2756 -5324 +-1024 0 +170 2553 +5471 4223 +559 7228 +170 360 +1009 -5322 +-2281 -3007 +-429 5107 +-2048 0 +-429 -5107 +-2281 3007 +1009 5322 +170 -360 +559 -7228 +5471 -4223 +170 -2553 +-1024 0 +-2756 5324 +555 -388 +315 -921 +-2062 580 +922 958 +562 2675 +-1039 2116 +-2048 0 +2677 -1929 +821 599 +-1187 -5270 +614 -4364 +-539 1496 +1049 -4993 +3450 -4072 +-1024 0 +-1751 82 +-83 -1836 +-4799 -1265 +1278 -1208 +-2073 -3153 +2097 -268 +5472 -2913 +2348 1748 +-1565 -706 +2542 -1752 +-1146 3792 +-3972 332 +667 2266 +-2671 5544 +-2929 -3087 +-2048 0 +-2929 3087 +-2671 -5544 +667 -2266 +-3972 -332 +-1146 -3792 +2542 1752 +-1565 706 +2348 -1748 +308 -3676 +106 -2532 +-1700 2702 +-1306 222 +2926 2297 +2295 -699 +343 -1102 +-3072 3072 +-2046 -2525 +-2295 -1075 +1865 -251 +2154 1670 +-525 -1279 +-106 -3056 +3994 5778 +3796 300 +-7850 951 +-2542 2925 +916 -1221 +-972 1116 +2790 -661 +2671 3968 +3954 6440 +4096 0 +3954 -6440 +2671 -3968 +2790 661 +-972 -1116 +916 1221 +-2542 -2925 +-7850 -951 +3796 -300 +3994 -5778 +-106 3056 +-525 1279 +2154 -1670 +1865 251 +-2295 1075 +-2046 2525 +-3072 -3072 +343 1102 +2295 699 +2926 -2297 +-1306 -222 +-1700 -2702 +106 2532 +308 3676 +2348 1748 +-1565 -706 +2542 -1752 +-1146 3792 +-3972 332 +667 2266 +-2671 5544 +-2929 -3087 +1748 -1748 +593 -1548 +-62 1871 +2392 -1628 +751 1441 +-2096 572 +1365 -3194 +516 645 +0 0 +516 -645 +1365 3194 +-2096 -572 +751 -1441 +2392 1628 +-62 -1871 +593 1548 +1748 1748 +1872 -471 +-4732 2508 +-137 1344 +-366 2898 +600 1277 +3141 -98 +-6141 -1991 +1024 -3072 +2995 1987 +-4289 2106 +-294 5694 +5310 4346 +-1401 134 +-7352 -805 +-3974 -2739 +300 -300 +1509 -720 +-3536 3009 +-855 419 +-1600 8 +1790 3845 +7272 -2794 +2631 3333 +2048 0 +2631 -3333 +7272 2794 +1790 -3845 +-1600 -8 +-855 -419 +-3536 -3009 +1509 720 +300 300 +-3974 2739 +-7352 805 +-1401 -134 +5310 -4346 +-294 -5694 +-4289 -2106 +2995 -1987 +1024 3072 +-6141 1991 +3141 98 +600 -1277 +-366 -2898 +-137 -1344 +-4732 -2508 +1872 471 +1748 -1748 +593 -1548 +-62 1871 +2392 -1628 +751 1441 +-2096 572 +1365 -3194 +516 645 +300 1748 +-3476 539 +713 -3532 +-3881 804 +1766 3019 +5222 -3037 +-1283 -2219 +510 -2153 +0 0 +510 2153 +-1283 2219 +5222 3037 +1766 -3019 +-3881 -804 +713 3532 +-3476 -539 +300 -1748 +-365 1682 +-4492 -674 +-1831 -1455 +2100 5260 +2669 -1138 +1488 -1842 +2453 1131 +1024 -3072 +2174 1087 +-521 -1658 +-4054 2231 +-900 4660 +4025 -416 +-2789 4714 +-4696 350 +1748 300 +-361 4809 +-425 1928 +-2335 1564 +5226 477 +5978 1943 +-884 3603 +-2032 2997 +-6144 0 +-2032 -2997 +-884 -3603 +5978 -1943 +5226 -477 +-2335 -1564 +-425 -1928 +-361 -4809 +1748 -300 +-4696 -350 +-2789 -4714 +4025 416 +-900 -4660 +-4054 -2231 +-521 1658 +2174 -1087 +1024 3072 +2453 -1131 +1488 1842 +2669 1138 +2100 -5260 +-1831 1455 +-4492 674 +-365 -1682 +300 1748 +-3476 539 +713 -3532 +-3881 804 +1766 3019 +5222 -3037 +-1283 -2219 +510 -2153 +3372 -3620 +-201 3173 +179 2739 +4548 3026 +176 3732 +-338 2768 +792 1616 +2508 1743 +4096 0 +2508 -1743 +792 -1616 +-338 -2768 +176 -3732 +4548 -3026 +179 -2739 +-201 -3173 +3372 3620 +-2682 5564 +-2254 -1663 +-1028 524 +-1042 -1610 +-810 -3285 +3202 3622 +1719 -720 +-3072 -3072 +-181 -1066 +1366 -382 +1710 3209 +-4502 1286 +1737 -2139 +1929 -1883 +-1697 4676 +4820 3620 +-772 -2804 +146 2833 +-3040 624 +-2824 -836 +-2779 1847 +-5360 172 +1305 7359 +2048 0 +1305 -7359 +-5360 -172 +-2779 -1847 +-2824 836 +-3040 -624 +146 -2833 +-772 2804 +4820 -3620 +-1697 -4676 +1929 1883 +1737 2139 +-4502 -1286 +1710 -3209 +1366 382 +-181 1066 +-3072 3072 +1719 720 +3202 -3622 +-810 3285 +-1042 1610 +-1028 -524 +-2254 1663 +-2682 -5564 +3372 -3620 +-201 3173 +179 2739 +4548 3026 +176 3732 +-338 2768 +792 1616 +2508 1743 +-1872 -1872 +-6931 903 +-1862 3181 +-1289 -3802 +-3784 -1075 +-2900 228 +1124 -1463 +5731 -1817 +3072 0 +5731 1817 +1124 1463 +-2900 -228 +-3784 1075 +-1289 3802 +-1862 -3181 +-6931 -903 +-1872 1872 +-2702 -409 +979 6291 +1308 3016 +-1567 -1298 +598 -3963 +-1285 -1368 +-276 5761 +-1024 -2048 +1438 -2596 +2733 4280 +-124 1137 +1567 -3595 +3034 -2227 +-2427 -2712 +409 -1463 +3920 3920 +88 -2269 +414 -1096 +-2403 1088 +3784 -5318 +1776 -792 +325 1816 +2243 1340 +-5120 0 +2243 -1340 +325 -1816 +1776 792 +3784 5318 +-2403 -1088 +414 1096 +88 2269 +3920 -3920 +409 1463 +-2427 2712 +3034 2227 +1567 3595 +-124 -1137 +2733 -4280 +1438 2596 +-1024 2048 +-276 -5761 +-1285 1368 +598 3963 +-1567 1298 +1308 -3016 +979 -6291 +-2702 409 +-1872 -1872 +-6931 903 +-1862 3181 +-1289 -3802 +-3784 -1075 +-2900 228 +1124 -1463 +5731 -1817 +1872 -1024 +6488 2584 +2604 1778 +2743 -2681 +1808 -954 +-2571 -2505 +994 980 +202 34 +-1024 0 +202 -34 +994 -980 +-2571 2505 +1808 954 +2743 2681 +2604 -1778 +6488 -2584 +1872 1024 +2664 -3309 +942 -1601 +1106 691 +-868 3954 +-2925 552 +450 1284 +-1189 3599 +-1024 -6144 +-2756 -463 +-4234 20 +-2931 -2892 +2916 2506 +-422 -199 +-2510 2339 +160 -1612 +-3920 -1024 +1153 7881 +-1036 -1934 +-3189 -8337 +240 -495 +2396 2918 +2790 1851 +-929 2272 +-1024 0 +-929 -2272 +2790 -1851 +2396 -2918 +240 495 +-3189 8337 +-1036 1934 +1153 -7881 +-3920 1024 +160 1612 +-2510 -2339 +-422 199 +2916 -2506 +-2931 2892 +-4234 -20 +-2756 463 +-1024 6144 +-1189 -3599 +450 -1284 +-2925 -552 +-868 -3954 +1106 -691 +942 1601 +2664 3309 +1872 -1024 +6488 2584 +2604 1778 +2743 -2681 +1808 -954 +-2571 -2505 +994 980 +202 34 +1748 -2596 +459 -1868 +994 3683 +-3243 -165 +1610 -3478 +608 129 +-846 1961 +4586 2359 +0 0 +4586 -2359 +-846 -1961 +608 -129 +1610 3478 +-3243 165 +994 -3683 +459 1868 +1748 2596 +-3550 1419 +809 4706 +1023 2119 +836 1200 +3019 3642 +-7394 258 +-367 -2652 +-1024 -1024 +-4293 1436 +3270 -2195 +-1130 -6201 +-3732 1800 +673 5438 +1748 -422 +1832 1822 +300 4644 +-2524 2142 +-654 -122 +-21 -2620 +1286 -18 +-929 3701 +2074 4276 +3859 4993 +-2048 0 +3859 -4993 +2074 -4276 +-929 -3701 +1286 18 +-21 2620 +-654 122 +-2524 -2142 +300 -4644 +1832 -1822 +1748 422 +673 -5438 +-3732 -1800 +-1130 6201 +3270 2195 +-4293 -1436 +-1024 1024 +-367 2652 +-7394 -258 +3019 -3642 +836 -1200 +1023 -2119 +809 -4706 +-3550 -1419 +1748 -2596 +459 -1868 +994 3683 +-3243 -165 +1610 -3478 +608 129 +-846 1961 +4586 2359 +600 600 +-375 1106 +919 606 +-500 5371 +-2636 -1578 +-3728 -6513 +-2215 2308 +595 1808 +-1024 0 +595 -1808 +-2215 -2308 +-3728 6513 +-2636 1578 +-500 -5371 +919 -606 +-375 -1106 +600 -600 +-136 704 +3282 1026 +1907 1254 +-4764 -2362 +-2865 456 +1850 -511 +-2595 -5098 +-1024 0 +1676 -815 +-2034 -3068 +1373 -141 +-1629 -314 +1994 2720 +2106 2253 +490 -1172 +3496 3496 +-1547 6595 +685 3518 +384 320 +4932 -470 +1436 -1443 +3599 -1968 +1891 467 +-13312 0 +1891 -467 +3599 1968 +1436 1443 +4932 470 +384 -320 +685 -3518 +-1547 -6595 +3496 -3496 +490 1172 +2106 -2253 +1994 -2720 +-1629 314 +1373 141 +-2034 3068 +1676 815 +-1024 0 +-2595 5098 +1850 511 +-2865 -456 +-4764 2362 +1907 -1254 +3282 -1026 +-136 -704 +600 600 +-375 1106 +919 606 +-500 5371 +-2636 -1578 +-3728 -6513 +-2215 2308 +595 1808 +-4644 1572 +-1788 805 +-453 -2627 +-826 -2411 +2224 1076 +73 1295 +-360 -948 +1880 366 +-1024 0 +1880 -366 +-360 948 +73 -1295 +2224 -1076 +-826 2411 +-453 2627 +-1788 -805 +-4644 -1572 +-2173 -187 +3544 -4048 +2015 -2700 +1006 4202 +1683 2865 +3518 -2153 +1140 -4984 +-4096 -1024 +-3702 2263 +-1026 144 +210 4164 +-2454 -742 +4369 -6838 +736 2345 +-900 -984 +2596 -5668 +-1819 -273 +3165 -3765 +-4230 -2615 +-776 -1924 +2497 -6676 +-933 -1348 +1570 2417 +-3072 0 +1570 -2417 +-933 1348 +2497 6676 +-776 1924 +-4230 2615 +3165 3765 +-1819 273 +2596 5668 +-900 984 +736 -2345 +4369 6838 +-2454 742 +210 -4164 +-1026 -144 +-3702 -2263 +-4096 1024 +1140 4984 +3518 2153 +1683 -2865 +1006 -4202 +2015 2700 +3544 4048 +-2173 187 +-4644 1572 +-1788 805 +-453 -2627 +-826 -2411 +2224 1076 +73 1295 +-360 -948 +1880 366 +-1324 1748 +4277 8916 +-663 -847 +-25 -4899 +946 -2454 +283 319 +3735 8058 +1565 -6012 +1024 0 +1565 6012 +3735 -8058 +283 -319 +946 2454 +-25 4899 +-663 847 +4277 -8916 +-1324 -1748 +-1977 -2939 +962 -2877 +2240 1969 +392 2224 +926 1262 +-2021 431 +-3858 -34 +4096 -3072 +-664 -168 +-3551 -205 +-1623 -1563 +-392 776 +631 1774 +3043 4219 +2050 1965 +-2772 300 +3219 84 +2451 -249 +290 608 +-946 1006 +-2722 952 +-3955 -503 +-4610 1782 +-1024 0 +-4610 -1782 +-3955 503 +-2722 -952 +-946 -1006 +290 -608 +2451 249 +3219 -84 +-2772 -300 +2050 -1965 +3043 -4219 +631 -1774 +-392 -776 +-1623 1563 +-3551 205 +-664 168 +4096 3072 +-3858 34 +-2021 -431 +926 -1262 +392 -2224 +2240 -1969 +962 2877 +-1977 2939 +-1324 1748 +4277 8916 +-663 -847 +-25 -4899 +946 -2454 +283 319 +3735 8058 +1565 -6012 +1748 900 +5687 -4298 +4644 -2992 +314 5179 +1730 802 +1036 -3617 +590 -3638 +1936 -2550 +-2048 0 +1936 2550 +590 3638 +1036 3617 +1730 -802 +314 -5179 +4644 2992 +5687 4298 +1748 -900 +-967 80 +2824 -532 +-3174 526 +-1500 -92 +3239 -1769 +-3331 -1804 +-5438 586 +-3072 1024 +1508 -652 +-2122 -31 +-1041 -2025 +1500 -692 +-256 -3992 +-1155 -3651 +-352 3391 +300 5244 +-2801 5522 +2376 -3025 +-669 1758 +-1730 2694 +550 -4960 +-3826 2515 +427 -4169 +4096 0 +427 4169 +-3826 -2515 +550 4960 +-1730 -2694 +-669 -1758 +2376 3025 +-2801 -5522 +300 -5244 +-352 -3391 +-1155 3651 +-256 3992 +1500 692 +-1041 2025 +-2122 31 +1508 652 +-3072 -1024 +-5438 -586 +-3331 1804 +3239 1769 +-1500 92 +-3174 -526 +2824 532 +-967 -80 +1748 900 +5687 -4298 +4644 -2992 +314 5179 +1730 802 +1036 -3617 +590 -3638 +1936 -2550 +3196 1748 +-1922 -1362 +-2313 -4456 +-1505 37 +2100 -2612 +-2214 -5699 +-903 5422 +3723 -2273 +0 0 +3723 2273 +-903 -5422 +-2214 5699 +2100 2612 +-1505 -37 +-2313 4456 +-1922 1362 +3196 -1748 +-1045 2026 +-5228 3706 +4316 577 +5226 5067 +-204 4418 +-1823 1852 +-55 -744 +-1024 -1024 +-1347 227 +114 -1320 +631 -2175 +1766 -2525 +328 -1010 +-944 -262 +-4898 2329 +-1148 300 +3402 778 +1492 -712 +3542 2840 +-900 7308 +899 -2223 +1411 -1601 +-3650 -3437 +-2048 0 +-3650 3437 +1411 1601 +899 2223 +-900 -7308 +3542 -2840 +1492 712 +3402 -778 +-1148 -300 +-4898 -2329 +-944 262 +328 1010 +1766 2525 +631 2175 +114 1320 +-1347 -227 +-1024 1024 +-55 744 +-1823 -1852 +-204 -4418 +5226 -5067 +4316 -577 +-5228 -3706 +-1045 -2026 +3196 1748 +-1922 -1362 +-2313 -4456 +-1505 37 +2100 -2612 +-2214 -5699 +-903 5422 +3723 -2273 +0 -1872 +-2550 -2793 +5785 -5463 +134 -1635 +-3340 6786 +-2667 2167 +-403 -3173 +2352 -345 +-2048 0 +2352 345 +-403 3173 +-2667 -2167 +-3340 -6786 +134 1635 +5785 5463 +-2550 2793 +0 1872 +4620 3343 +298 1135 +148 -2056 +664 -339 +-3332 -2951 +1564 -300 +-426 -1664 +-6144 -6144 +1874 -1236 +-845 -1803 +1884 -1520 +2232 -2986 +-1596 -1511 +863 403 +-3171 -623 +0 3920 +3998 -4070 +2944 -5157 +-1582 -716 +444 -1242 +1219 2161 +-2012 -27 +-904 3670 +-2048 0 +-904 -3670 +-2012 27 +1219 -2161 +444 1242 +-1582 716 +2944 5157 +3998 4070 +0 -3920 +-3171 623 +863 -403 +-1596 1511 +2232 2986 +1884 1520 +-845 1803 +1874 1236 +-6144 6144 +-426 1664 +1564 300 +-3332 2951 +664 339 +148 2056 +298 -1135 +4620 -3343 +0 -1872 +-2550 -2793 +5785 -5463 +134 -1635 +-3340 6786 +-2667 2167 +-403 -3173 +2352 -345 +-1324 2772 +-938 -454 +331 643 +1946 -50 +-5140 -4912 +-1893 898 +4085 329 +-1803 -3345 +-6144 0 +-1803 3345 +4085 -329 +-1893 -898 +-5140 4912 +1946 50 +331 -643 +-938 454 +-1324 -2772 +-2450 -890 +3664 3346 +49 2566 +-2813 -678 +1569 -1273 +-2803 425 +-1772 2412 +1024 -1024 +-1305 1331 +-56 306 +-1036 117 +2214 2570 +5445 1349 +2832 1010 +3619 -3448 +-2772 1324 +-7591 -5888 +165 -5876 +2137 401 +1644 -4128 +3369 2060 +-26 -3344 +655 -1521 +4096 0 +655 1521 +-26 3344 +3369 -2060 +1644 4128 +2137 -401 +165 5876 +-7591 5888 +-2772 -1324 +3619 3448 +2832 -1010 +5445 -1349 +2214 -2570 +-1036 -117 +-56 -306 +-1305 -1331 +1024 1024 +-1772 -2412 +-2803 -425 +1569 1273 +-2813 678 +49 -2566 +3664 -3346 +-2450 890 +-1324 2772 +-938 -454 +331 643 +1946 -50 +-5140 -4912 +-1893 898 +4085 329 +-1803 -3345 +-1324 724 +2384 1517 +-22 -2682 +102 -462 +4880 596 +2542 1928 +-1184 1555 +1825 -2268 +6144 0 +1825 2268 +-1184 -1555 +2542 -1928 +4880 -596 +102 462 +-22 2682 +2384 -1517 +-1324 -724 +-3081 1671 +2674 -3372 +5074 -1378 +-4807 -1630 +-1496 -644 +-547 2258 +-2459 -2536 +5120 3072 +2109 4890 +-1685 550 +-3976 954 +-4482 -2479 +-7182 2651 +666 2800 +2875 -3144 +-2772 -724 +4502 -907 +-422 1862 +678 -493 +312 -5540 +-1533 -2914 +519 -2063 +-2363 1135 +0 0 +-2363 -1135 +519 2063 +-1533 2914 +312 5540 +678 493 +-422 -1862 +4502 907 +-2772 724 +2875 3144 +666 -2800 +-7182 -2651 +-4482 2479 +-3976 -954 +-1685 -550 +2109 -4890 +5120 -3072 +-2459 2536 +-547 -2258 +-1496 644 +-4807 1630 +5074 1378 +2674 3372 +-3081 -1671 +-1324 724 +2384 1517 +-22 -2682 +102 -462 +4880 596 +2542 1928 +-1184 1555 +1825 -2268 +-3620 900 +337 -3510 +980 -2917 +-4873 -3061 +3308 2584 +-343 1951 +-3023 -3912 +2029 -5056 +-1024 0 +2029 5056 +-3023 3912 +-343 -1951 +3308 -2584 +-4873 3061 +980 2917 +337 3510 +-3620 -900 +-406 -161 +-2135 2333 +-1908 2253 +862 1586 +2173 -3285 +4149 2360 +4777 -1814 +2048 -1024 +5261 3586 +603 128 +-6128 1151 +1186 -1910 +-540 -169 +-3578 -1007 +366 4241 +3620 5244 +1711 -1223 +-2260 2473 +-39 -10 +-1260 -1984 +73 1835 +-2929 4577 +-2489 1320 +5120 0 +-2489 -1320 +-2929 -4577 +73 -1835 +-1260 1984 +-39 10 +-2260 -2473 +1711 1223 +3620 -5244 +366 -4241 +-3578 1007 +-540 169 +1186 1910 +-6128 -1151 +603 -128 +5261 -3586 +2048 1024 +4777 1814 +4149 -2360 +2173 3285 +862 -1586 +-1908 -2253 +-2135 -2333 +-406 161 +-3620 900 +337 -3510 +980 -2917 +-4873 -3061 +3308 2584 +-343 1951 +-3023 -3912 +2029 -5056 +-7841 600 +83 -1298 +637 -28 +2810 4824 +3870 -1872 +664 -1596 +1573 4290 +-2352 -4240 +-3072 0 +-2352 4240 +1573 -4290 +664 1596 +3870 1872 +2810 -4824 +637 28 +83 1298 +-7841 -600 +-1173 -2497 +-598 -2274 +-1495 2307 +-2146 3920 +-2954 4656 +-1212 -394 +-3420 -3493 +1024 2048 +-4031 -222 +-341 -1215 +3453 981 +2746 -3920 +2895 -3911 +-4310 -566 +4364 2407 +3745 3496 +-2386 -999 +1375 537 +254 2870 +-374 -1872 +166 -2152 +2876 1882 +3123 2710 +1024 0 +3123 -2710 +2876 -1882 +166 2152 +-374 1872 +254 -2870 +1375 -537 +-2386 999 +3745 -3496 +4364 -2407 +-4310 566 +2895 3911 +2746 3920 +3453 -981 +-341 1215 +-4031 222 +1024 -2048 +-3420 3493 +-1212 394 +-2954 -4656 +-2146 -3920 +-1495 -2307 +-598 2274 +-1173 2497 +-7841 600 +83 -1298 +637 -28 +2810 4824 +3870 -1872 +664 -1596 +1573 4290 +-2352 -4240 +2172 300 +3542 -1568 +4118 3129 +-2362 1322 +-586 -1910 +2247 2114 +-586 -1468 +475 4501 +1024 0 +475 -4501 +-586 1468 +2247 -2114 +-586 1910 +-2362 -1322 +4118 -3129 +3542 1568 +2172 -300 +2274 -7713 +760 -6220 +-3200 2578 +188 -2584 +3063 -1190 +-1361 4626 +3436 4616 +-2048 3072 +-6185 430 +-1196 -83 +-640 758 +4756 -1984 +55 -1357 +-1987 3412 +-1077 -1454 +-2172 1748 +5077 2402 +6 -489 +-3390 -274 +-262 -1586 +-1566 2418 +246 -2041 +-1749 -127 +-5120 0 +-1749 127 +246 2041 +-1566 -2418 +-262 1586 +-3390 274 +6 489 +5077 -2402 +-2172 -1748 +-1077 1454 +-1987 -3412 +55 1357 +4756 1984 +-640 -758 +-1196 83 +-6185 -430 +-2048 -3072 +3436 -4616 +-1361 -4626 +3063 1190 +188 2584 +-3200 -2578 +760 6220 +2274 7713 +2172 300 +3542 -1568 +4118 3129 +-2362 1322 +-586 -1910 +2247 2114 +-586 -1468 +475 4501 +2772 300 +2665 -3538 +-4419 2872 +-3143 -195 +2504 1272 +-221 3588 +5227 -2303 +3042 -518 +-7168 0 +3042 518 +5227 2303 +-221 -3588 +2504 -1272 +-3143 195 +-4419 -2872 +2665 3538 +2772 -300 +-2587 -708 +-1236 -368 +1604 -3679 +-1950 -406 +-736 -2422 +282 -1420 +2868 -1111 +6144 1024 +-353 2126 +-3218 -156 +-4662 -4464 +-3842 -3054 +-1379 15 +-5276 -4308 +-1049 3017 +1324 1748 +-1484 -985 +1042 -2716 +2239 -1224 +3288 4272 +506 -4951 +-595 -529 +2691 1299 +3072 0 +2691 -1299 +-595 529 +506 4951 +3288 -4272 +2239 1224 +1042 2716 +-1484 985 +1324 -1748 +-1049 -3017 +-5276 4308 +-1379 -15 +-3842 3054 +-4662 4464 +-3218 156 +-353 -2126 +6144 -1024 +2868 1111 +282 1420 +-736 2422 +-1950 406 +1604 3679 +-1236 368 +-2587 708 +2772 300 +2665 -3538 +-4419 2872 +-3143 -195 +2504 1272 +-221 3588 +5227 -2303 +3042 -518 +-3796 -724 +1430 3568 +-2793 1359 +1439 -5593 +4826 -5022 +-781 -1835 +2654 -133 +-2620 -3308 +-9216 0 +-2620 3308 +2654 133 +-781 1835 +4826 5022 +1439 5593 +-2793 -1359 +1430 -3568 +-3796 724 +308 -1724 +1628 -2983 +2065 262 +4392 216 +84 -3331 +-2010 -1048 +1180 1046 +2048 3072 +-2673 1470 +-1 -6281 +-2006 -2922 +-1744 568 +421 1362 +-75 -2864 +-425 -4597 +-2348 724 +2471 -3913 +-1655 1657 +-2358 -1188 +718 -6914 +1136 2556 +2254 -2203 +328 -1932 +1024 0 +328 1932 +2254 2203 +1136 -2556 +718 6914 +-2358 1188 +-1655 -1657 +2471 3913 +-2348 -724 +-425 4597 +-75 2864 +421 -1362 +-1744 -568 +-2006 2922 +-1 6281 +-2673 -1470 +2048 -3072 +1180 -1046 +-2010 1048 +84 3331 +4392 -216 +2065 -262 +1628 2983 +308 1724 +-3796 -724 +1430 3568 +-2793 1359 +1439 -5593 +4826 -5022 +-781 -1835 +2654 -133 +-2620 -3308 +7292 300 +421 3645 +1242 1855 +-805 -672 +346 3322 +3145 762 +962 -3330 +538 2518 +-4096 0 +538 -2518 +962 3330 +3145 -762 +346 -3322 +-805 672 +1242 -1855 +421 -3645 +7292 -300 +-703 -2878 +1792 -4019 +4257 1290 +-3104 -2465 +-3530 -1964 +-3953 3931 +2012 2503 +1024 3072 +1612 -2214 +2505 -518 +-770 7819 +-3888 1032 +-3974 -2156 +-344 -2007 +485 -480 +2948 1748 +-1770 -1558 +206 3053 +4306 -246 +-1546 -3922 +-2629 3357 +-2410 1778 +-2595 645 +-2048 0 +-2595 -645 +-2410 -1778 +-2629 -3357 +-1546 3922 +4306 246 +206 -3053 +-1770 1558 +2948 -1748 +485 480 +-344 2007 +-3974 2156 +-3888 -1032 +-770 -7819 +2505 518 +1612 2214 +1024 -3072 +2012 -2503 +-3953 -3931 +-3530 1964 +-3104 2465 +4257 -1290 +1792 4019 +-703 2878 +7292 300 +421 3645 +1242 1855 +-805 -672 +346 3322 +3145 762 +962 -3330 +538 2518 +-3496 -3072 +-2763 3918 +2196 1249 +887 1170 +1494 -1324 +586 -381 +-890 797 +2977 -4618 +2048 0 +2977 4618 +-890 -797 +586 381 +1494 1324 +887 -1170 +2196 -1249 +-2763 -3918 +-3496 3072 +-1906 6322 +2021 54 +454 -2447 +710 -2772 +1792 3123 +-347 -59 +-2765 190 +-2048 4096 +1465 -267 +-6334 4509 +318 1249 +3386 2772 +-5971 7432 +-693 379 +2407 491 +-600 -3072 +46 -3437 +2268 -925 +-723 -739 +2602 -1324 +2655 -2749 +1778 3771 +539 2281 +-6144 0 +539 -2281 +1778 -3771 +2655 2749 +2602 1324 +-723 739 +2268 925 +46 3437 +-600 3072 +2407 -491 +-693 -379 +-5971 -7432 +3386 -2772 +318 -1249 +-6334 -4509 +1465 267 +-2048 -4096 +-2765 -190 +-347 59 +1792 -3123 +710 2772 +454 2447 +2021 -54 +-1906 -6322 +-3496 -3072 +-2763 3918 +2196 1249 +887 1170 +1494 -1324 +586 -381 +-890 797 +2977 -4618 +3796 -900 +-76 361 +-2092 3320 +-6219 850 +-2154 -2270 +1852 -169 +-4253 823 +-1483 1263 +2048 0 +-1483 -1263 +-4253 -823 +1852 169 +-2154 2270 +-6219 -850 +-2092 -3320 +-76 -361 +3796 900 +2355 -3156 +-506 -414 +-3238 2080 +3972 -3164 +2661 853 +-2710 -940 +491 -3431 +-3072 -1024 +2949 -3197 +662 1411 +-4491 691 +972 2380 +74 6500 +-1542 5263 +2274 2094 +2348 -5244 +-976 -463 +44 2356 +-1762 1354 +1306 -378 +-464 -2210 +2205 1528 +6052 -6380 +0 0 +6052 6380 +2205 -1528 +-464 2210 +1306 378 +-1762 -1354 +44 -2356 +-976 463 +2348 5244 +2274 -2094 +-1542 -5263 +74 -6500 +972 -2380 +-4491 -691 +662 -1411 +2949 3197 +-3072 1024 +491 3431 +-2710 940 +2661 -853 +3972 3164 +-3238 -2080 +-506 414 +2355 3156 +3796 -900 +-76 361 +-2092 3320 +-6219 850 +-2154 -2270 +1852 -169 +-4253 823 +-1483 1263 +1272 1024 +4704 2999 +1975 -1879 +-1354 1410 +2472 834 +-540 2705 +-533 -652 +1438 -567 +-1024 0 +1438 567 +-533 652 +-540 -2705 +2472 -834 +-1354 -1410 +1975 1879 +4704 -2999 +1272 -1024 +596 2187 +-837 -3014 +812 -2451 +-424 -1618 +-1708 2693 +2542 -4430 +-277 1378 +1024 6144 +4228 -3445 +134 -1690 +1632 -1079 +-424 2726 +-2257 102 +-272 1146 +-8376 3022 +-7416 1024 +621 4387 +-866 -3849 +2120 -3633 +2472 3510 +-4496 2731 +-2142 1696 +2859 -103 +3072 0 +2859 103 +-2142 -1696 +-4496 -2731 +2472 -3510 +2120 3633 +-866 3849 +621 -4387 +-7416 -1024 +-8376 -3022 +-272 -1146 +-2257 -102 +-424 -2726 +1632 1079 +134 1690 +4228 3445 +1024 -6144 +-277 -1378 +2542 4430 +-1708 -2693 +-424 1618 +812 2451 +-837 3014 +596 -2187 +1272 1024 +4704 2999 +1975 -1879 +-1354 1410 +2472 834 +-540 2705 +-533 -652 +1438 -567 +5968 -5544 +1207 -590 +-5172 -844 +-3933 1505 +-2506 1872 +2167 -5192 +-2514 -2562 +-1982 -473 +4096 0 +-1982 473 +-2514 2562 +2167 5192 +-2506 -1872 +-3933 -1505 +-5172 844 +1207 590 +5968 5544 +-1773 -1292 +-799 -5532 +-406 -1934 +-954 -3920 +-3131 -2581 +-1072 -2253 +1438 -3354 +0 2048 +2302 5071 +-532 -1285 +1329 -1373 +-495 3920 +-123 -217 +983 1749 +-680 3437 +176 -2648 +358 -921 +3788 1132 +-2 2459 +3954 1872 +-1694 2854 +-2874 4729 +4922 -3134 +0 0 +4922 3134 +-2874 -4729 +-1694 -2854 +3954 -1872 +-2 -2459 +3788 -1132 +358 921 +176 2648 +-680 -3437 +983 -1749 +-123 217 +-495 -3920 +1329 1373 +-532 1285 +2302 -5071 +0 -2048 +1438 3354 +-1072 2253 +-3131 2581 +-954 3920 +-406 1934 +-799 5532 +-1773 1292 +5968 -5544 +1207 -590 +-5172 -844 +-3933 1505 +-2506 1872 +2167 -5192 +-2514 -2562 +-1982 -473 +-3920 -5544 +-416 -5068 +1936 5325 +-2418 1317 +-529 -784 +99 1983 +-2099 277 +568 1597 +2048 0 +568 -1597 +-2099 -277 +99 -1983 +-529 784 +-2418 -1317 +1936 -5325 +-416 5068 +-3920 5544 +-3225 -577 +-849 -3738 +1316 -716 +1482 1892 +3822 -1193 +-126 3797 +933 3739 +6144 6144 +2304 2918 +3586 -3364 +-1780 515 +-4978 1892 +2378 -208 +-2152 765 +-499 5595 +1872 -2648 +-4107 -1104 +1065 875 +3836 -1826 +-70 784 +-1462 -3691 +-1361 2451 +-1349 -777 +-2048 0 +-1349 777 +-1361 -2451 +-1462 3691 +-70 -784 +3836 1826 +1065 -875 +-4107 1104 +1872 2648 +-499 -5595 +-2152 -765 +2378 208 +-4978 -1892 +-1780 -515 +3586 3364 +2304 -2918 +6144 -6144 +933 -3739 +-126 -3797 +3822 1193 +1482 -1892 +1316 716 +-849 3738 +-3225 577 +-3920 -5544 +-416 -5068 +1936 5325 +-2418 1317 +-529 -784 +99 1983 +-2099 277 +568 1597 +-424 -848 +2193 1630 +-470 951 +-1216 4728 +-2412 4170 +-2662 -1283 +-356 2939 +1461 -559 +2048 0 +1461 559 +-356 -2939 +-2662 1283 +-2412 -4170 +-1216 -4728 +-470 -951 +2193 -1630 +-424 848 +-5068 2350 +-1828 -679 +-84 -2858 +-744 -398 +-1461 3138 +-4894 879 +960 -444 +6144 2048 +-2098 -4023 +-3051 658 +3470 -141 +3040 -4494 +-316 54 +-784 -2467 +3365 6626 +2472 4944 +-490 2647 +4778 3054 +1616 -1815 +-3980 -74 +654 -1996 +-1588 2633 +-324 -3020 +6144 0 +-324 3020 +-1588 -2633 +654 1996 +-3980 74 +1616 1815 +4778 -3054 +-490 -2647 +2472 -4944 +3365 -6626 +-784 2467 +-316 -54 +3040 4494 +3470 141 +-3051 -658 +-2098 4023 +6144 -2048 +960 444 +-4894 -879 +-1461 -3138 +-744 398 +-84 2858 +-1828 679 +-5068 -2350 +-424 -848 +2193 1630 +-470 951 +-1216 4728 +-2412 4170 +-2662 -1283 +-356 2939 +1461 -559 +-724 2172 +-383 -1059 +-2616 -463 +-979 1110 +-3008 1684 +1422 2819 +-228 -4427 +-3341 3611 +2048 0 +-3341 -3611 +-228 4427 +1422 -2819 +-3008 -1684 +-979 -1110 +-2616 463 +-383 1059 +-724 -2172 +-984 59 +1239 -3022 +1854 -8342 +886 -3658 +-4763 4705 +-1969 -1376 +1232 89 +-1024 5120 +-95 446 +2753 412 +2755 2678 +562 3334 +-1454 4956 +-3131 2550 +2687 -6211 +724 -2172 +-1320 661 +4509 242 +580 -835 +1560 -2884 +587 1907 +-556 422 +2204 6011 +0 0 +2204 -6011 +-556 -422 +587 -1907 +1560 2884 +580 835 +4509 -242 +-1320 -661 +724 2172 +2687 6211 +-3131 -2550 +-1454 -4956 +562 -3334 +2755 -2678 +2753 -412 +-95 -446 +-1024 -5120 +1232 -89 +-1969 1376 +-4763 -4705 +886 3658 +1854 8342 +1239 3022 +-984 -59 +-724 2172 +-383 -1059 +-2616 -463 +-979 1110 +-3008 1684 +1422 2819 +-228 -4427 +-3341 3611 +0 2472 +-3220 733 +-1522 3269 +876 2855 +1808 -254 +-87 2487 +2090 4694 +-2057 1462 +-8192 0 +-2057 -1462 +2090 -4694 +-87 -2487 +1808 254 +876 -2855 +-1522 -3269 +-3220 -733 +0 -2472 +787 -2656 +-813 1070 +3356 1371 +-868 410 +1145 1882 +552 1686 +1110 217 +4096 0 +-1248 -1105 +1117 -3702 +-1275 -5515 +2916 -3086 +-874 2576 +-2423 2454 +4091 -2337 +0 -424 +5023 2354 +-3931 -3085 +-4687 -4859 +240 854 +-4248 892 +4930 -3090 +1307 -2416 +-8192 0 +1307 2416 +4930 3090 +-4248 -892 +240 -854 +-4687 4859 +-3931 3085 +5023 -2354 +0 424 +4091 2337 +-2423 -2454 +-874 -2576 +2916 3086 +-1275 5515 +1117 3702 +-1248 1105 +4096 0 +1110 -217 +552 -1686 +1145 -1882 +-868 -410 +3356 -1371 +-813 -1070 +787 2656 +0 2472 +-3220 733 +-1522 3269 +876 2855 +1808 -254 +-87 2487 +2090 4694 +-2057 1462 +-1448 -424 +-1384 -3242 +-3640 1486 +-2185 1411 +4364 410 +4539 4123 +484 2151 +2779 -1444 +6144 0 +2779 1444 +484 -2151 +4539 -4123 +4364 -410 +-2185 -1411 +-3640 -1486 +-1384 3242 +-1448 424 +-42 -963 +144 -3509 +-1959 585 +360 854 +1079 -5397 +-1084 68 +3350 -709 +4096 0 +-3026 -1267 +-1084 3500 +3489 5056 +-1208 254 +-2609 2703 +144 -7161 +-283 -777 +1448 2472 +1059 1861 +-3640 5950 +-2383 -3077 +580 3086 +29 2545 +484 -4015 +-2454 -682 +-6144 0 +-2454 682 +484 4015 +29 -2545 +580 -3086 +-2383 3077 +-3640 -5950 +1059 -1861 +1448 -2472 +-283 777 +144 7161 +-2609 -2703 +-1208 -254 +3489 -5056 +-1084 -3500 +-3026 1267 +4096 0 +3350 709 +-1084 -68 +1079 5397 +360 -854 +-1959 -585 +144 3509 +-42 963 +-1448 -424 +-1384 -3242 +-3640 1486 +-2185 1411 +4364 410 +4539 4123 +484 2151 +2779 -1444 +-1024 1624 +-2428 -2660 +-746 -4526 +-2575 694 +-3470 1168 +-1260 -2308 +1418 -5446 +1237 -1280 +1024 0 +1237 1280 +1418 5446 +-1260 2308 +-3470 -1168 +-2575 -694 +-746 4526 +-2428 2660 +-1024 -1624 +-2721 4670 +-3803 1253 +3533 -2405 +-3146 1508 +682 -1379 +5313 -746 +-393 3323 +3072 2048 +-1055 -4866 +-2416 -4834 +766 1747 +1098 60 +-2084 -2020 +906 -4904 +1272 -2799 +-1024 4520 +980 1561 +-2151 2465 +4023 3549 +1422 -2616 +2708 -4692 +1479 -2738 +-2685 1859 +5120 0 +-2685 -1859 +1479 2738 +2708 4692 +1422 2616 +4023 -3549 +-2151 -2465 +980 -1561 +-1024 -4520 +1272 2799 +906 4904 +-2084 2020 +1098 -60 +766 -1747 +-2416 4834 +-1055 4866 +3072 -2048 +-393 -3323 +5313 746 +682 1379 +-3146 -1508 +3533 2405 +-3803 -1253 +-2721 -4670 +-1024 1624 +-2428 -2660 +-746 -4526 +-2575 694 +-3470 1168 +-1260 -2308 +1418 -5446 +1237 -1280 +-1448 1448 +1537 -2258 +-4451 -1947 +-3815 5071 +1064 -2002 +-1803 1236 +-230 338 +-1126 237 +-5120 0 +-1126 -237 +-230 -338 +-1803 -1236 +1064 2002 +-3815 -5071 +-4451 1947 +1537 2258 +-1448 -1448 +2635 3264 +6410 1386 +-486 3041 +-4848 110 +-3316 2904 +5747 2797 +2395 1387 +-5120 0 +756 -3166 +631 -1927 +1468 -5475 +504 -2786 +-2971 -1398 +-15 2326 +-2325 2839 +1448 -1448 +1049 2072 +-3641 -1209 +4376 -4837 +3281 -894 +754 850 +3741 -966 +872 1241 +-1024 0 +872 -1241 +3741 966 +754 -850 +3281 894 +4376 4837 +-3641 1209 +1049 -2072 +1448 1448 +-2325 -2839 +-15 -2326 +-2971 1398 +504 2786 +1468 5475 +631 1927 +756 3166 +-5120 0 +2395 -1387 +5747 -2797 +-3316 -2904 +-4848 -110 +-486 -3041 +6410 -1386 +2635 -3264 +-1448 1448 +1537 -2258 +-4451 -1947 +-3815 5071 +1064 -2002 +-1803 1236 +-230 338 +-1126 237 +-2772 -2348 +1452 -684 +-580 -2479 +440 -2044 +5984 -2140 +-3652 1809 +-3688 -3876 +2337 -6068 +-1024 0 +2337 6068 +-3688 3876 +-3652 -1809 +5984 2140 +440 2044 +-580 2479 +1452 684 +-2772 2348 +694 1892 +3139 -3412 +-2813 -1745 +-247 646 +2015 643 +-1283 -5762 +-860 -2164 +-2048 -1024 +1555 -4671 +-624 -1262 +-2209 992 +2295 1246 +1154 -1193 +4770 -332 +277 -6243 +-1324 -3796 +-1093 3325 +-4432 966 +2107 -171 +-3936 -1356 +-2835 -1828 +2699 -4408 +1432 353 +5120 0 +1432 -353 +2699 4408 +-2835 1828 +-3936 1356 +2107 171 +-4432 -966 +-1093 -3325 +-1324 3796 +277 6243 +4770 332 +1154 1193 +2295 -1246 +-2209 -992 +-624 1262 +1555 4671 +-2048 1024 +-860 2164 +-1283 5762 +2015 -643 +-247 -646 +-2813 1745 +3139 3412 +694 -1892 +-2772 -2348 +1452 -684 +-580 -2479 +440 -2044 +5984 -2140 +-3652 1809 +-3688 -3876 +2337 -6068 +-600 0 +282 171 +1274 927 +3478 -483 +588 4070 +-3642 -5714 +-896 -4635 +-866 41 +-3072 0 +-866 -41 +-896 4635 +-3642 5714 +588 -4070 +3478 483 +1274 -927 +282 -171 +-600 0 +2596 766 +1085 5798 +-1306 565 +2716 6642 +591 4258 +-512 971 +-2893 2166 +-3072 -2048 +4581 -1264 +1896 943 +-607 1895 +-419 -2398 +-2216 -754 +520 -855 +-500 -1431 +-3496 0 +-3945 1415 +4114 3509 +3829 -2403 +-6980 -822 +-127 1783 +713 -689 +746 314 +9216 0 +746 -314 +713 689 +-127 -1783 +-6980 822 +3829 2403 +4114 -3509 +-3945 -1415 +-3496 0 +-500 1431 +520 855 +-2216 754 +-419 2398 +-607 -1895 +1896 -943 +4581 1264 +-3072 2048 +-2893 -2166 +-512 -971 +591 -4258 +2716 -6642 +-1306 -565 +1085 -5798 +2596 -766 +-600 0 +282 171 +1274 927 +3478 -483 +588 4070 +-3642 -5714 +-896 -4635 +-866 41 +548 -300 +-2937 -2840 +1277 -2786 +7663 1632 +2624 -536 +1563 -2771 +1140 3510 +-5 -799 +2048 0 +-5 799 +1140 -3510 +1563 2771 +2624 536 +7663 -1632 +1277 2786 +-2937 2840 +548 300 +-454 -118 +1814 -2058 +563 -2012 +-4286 462 +-1047 1337 +2017 1080 +1073 -433 +-1024 3072 +-2842 -1636 +-2526 -3420 +777 -807 +1390 -138 +-3775 -626 +-994 -5138 +702 -247 +-6692 -1748 +-1775 1823 +4895 4299 +2230 4218 +273 4032 +-2180 -701 +568 4775 +445 -7085 +-4096 0 +445 7085 +568 -4775 +-2180 701 +273 -4032 +2230 -4218 +4895 -4299 +-1775 -1823 +-6692 1748 +702 247 +-994 5138 +-3775 626 +1390 138 +777 807 +-2526 3420 +-2842 1636 +-1024 -3072 +1073 433 +2017 -1080 +-1047 -1337 +-4286 -462 +563 2012 +1814 2058 +-454 118 +548 -300 +-2937 -2840 +1277 -2786 +7663 1632 +2624 -536 +1563 -2771 +1140 3510 +-5 -799 +-1748 -1748 +-1415 -1583 +2435 -4607 +1492 6559 +-2394 1910 +-3735 -3408 +-4204 3554 +-1260 3630 +0 0 +-1260 -3630 +-4204 -3554 +-3735 3408 +-2394 -1910 +1492 -6559 +2435 4607 +-1415 1583 +-1748 1748 +-5008 1777 +-799 -487 +1679 6175 +1056 2584 +3538 -3016 +-1289 -4014 +1606 -457 +1024 -5120 +49 -753 +2516 3110 +-1719 -4877 +1840 1984 +951 2514 +1138 -1390 +2921 -4468 +-300 -300 +1935 3954 +121 807 +-338 5670 +-502 1586 +-1869 -2341 +80 674 +1173 1296 +2048 0 +1173 -1296 +80 -674 +-1869 2341 +-502 -1586 +-338 -5670 +121 -807 +1935 -3954 +-300 300 +2921 4468 +1138 1390 +951 -2514 +1840 -1984 +-1719 4877 +2516 -3110 +49 753 +1024 5120 +1606 457 +-1289 4014 +3538 3016 +1056 -2584 +1679 -6175 +-799 487 +-5008 -1777 +-1748 -1748 +-1415 -1583 +2435 -4607 +1492 6559 +-2394 1910 +-3735 -3408 +-4204 3554 +-1260 3630 +1748 124 +86 2439 +-3427 -1240 +3286 -1704 +4937 -136 +-2380 -848 +-1881 -107 +-2668 894 +-3072 0 +-2668 -894 +-1881 107 +-2380 848 +4937 136 +3286 1704 +-3427 1240 +86 -2439 +1748 -124 +2789 -836 +-2577 2428 +1725 2564 +3498 -4830 +-2005 4190 +2302 -482 +3873 -7168 +0 1024 +-2984 -1653 +-1010 1447 +-4173 405 +-3746 -3981 +-3025 -2641 +-136 -1956 +4233 41 +300 -4220 +1789 -2451 +-853 -1945 +2037 962 +3504 5081 +-1258 -4266 +-611 -4956 +-1325 -2060 +-1024 0 +-1325 2060 +-611 4956 +-1258 4266 +3504 -5081 +2037 -962 +-853 1945 +1789 2451 +300 4220 +4233 -41 +-136 1956 +-3025 2641 +-3746 3981 +-4173 -405 +-1010 -1447 +-2984 1653 +0 -1024 +3873 7168 +2302 482 +-2005 -4190 +3498 4830 +1725 -2564 +-2577 -2428 +2789 836 +1748 124 +86 2439 +-3427 -1240 +3286 -1704 +4937 -136 +-2380 -848 +-1881 -107 +-2668 894 +-124 -2772 +-3298 -2445 +437 787 +-597 -4931 +-1586 -2754 +2270 899 +2424 2067 +-1395 -401 +-2048 0 +-1395 401 +2424 -2067 +2270 -899 +-1586 2754 +-597 4931 +437 -787 +-3298 2445 +-124 2772 +5731 682 +-1602 556 +-527 -1950 +1984 476 +-4488 -987 +-1780 841 +2207 471 +3072 7168 +-970 8165 +228 -1547 +1314 2284 +-2584 2524 +-6 347 +-3305 -1520 +1045 -3066 +4220 -1324 +987 -1230 +1575 -4062 +-5551 27 +-1910 706 +1792 -2429 +2025 2538 +1487 -5817 +-4096 0 +1487 5817 +2025 -2538 +1792 2429 +-1910 -706 +-5551 -27 +1575 4062 +987 1230 +4220 1324 +1045 3066 +-3305 1520 +-6 -347 +-2584 -2524 +1314 -2284 +228 1547 +-970 -8165 +3072 -7168 +2207 -471 +-1780 -841 +-4488 987 +1984 -476 +-527 1950 +-1602 -556 +5731 -682 +-124 -2772 +-3298 -2445 +437 787 +-597 -4931 +-1586 -2754 +2270 899 +2424 2067 +-1395 -401 +124 -724 +3370 -3117 +2629 -846 +98 -792 +-4383 -1390 +-3367 1586 +3388 550 +1663 5101 +0 0 +1663 -5101 +3388 -550 +-3367 -1586 +-4383 1390 +98 792 +2629 846 +3370 3117 +124 724 +-609 562 +-3195 952 +-1835 1709 +-2160 -2624 +426 -5082 +751 -2009 +720 3053 +3072 3072 +-974 -642 +2760 2715 +-1474 -1817 +2408 273 +2395 6827 +-4897 12 +3195 -1469 +-4220 724 +-3060 5759 +3767 4003 +-3555 -121 +-4058 4286 +1519 1441 +2989 78 +1488 1669 +2048 0 +1488 -1669 +2989 -78 +1519 -1441 +-4058 -4286 +-3555 121 +3767 -4003 +-3060 -5759 +-4220 -724 +3195 1469 +-4897 -12 +2395 -6827 +2408 -273 +-1474 1817 +2760 -2715 +-974 642 +3072 -3072 +720 -3053 +751 2009 +426 5082 +-2160 2624 +-1835 -1709 +-3195 -952 +-609 -562 +124 -724 +3370 -3117 +2629 -846 +98 -792 +-4383 -1390 +-3367 1586 +3388 550 +1663 5101 +2772 -2348 +2993 -835 +-5791 65 +-3545 -1058 +2440 -148 +684 4297 +560 -561 +1525 -2105 +1024 0 +1525 2105 +560 561 +684 -4297 +2440 148 +-3545 1058 +-5791 -65 +2993 835 +2772 2348 +-800 2521 +357 1716 +-825 -1704 +1102 -5610 +-1133 -2331 +-3103 1970 +1109 3083 +-2048 -1024 +-769 -3182 +1055 -4646 +-2991 1398 +2994 -66 +2052 -4544 +-2405 3439 +3356 -3322 +1324 -3796 +-437 -2553 +3743 -2437 +4773 -2461 +1656 -2500 +-4808 4545 +-2608 -1958 +-1185 4087 +-5120 0 +-1185 -4087 +-2608 1958 +-4808 -4545 +1656 2500 +4773 2461 +3743 2437 +-437 2553 +1324 3796 +3356 3322 +-2405 -3439 +2052 4544 +2994 66 +-2991 -1398 +1055 4646 +-769 3182 +-2048 1024 +1109 -3083 +-3103 -1970 +-1133 2331 +1102 5610 +-825 1704 +357 -1716 +-800 -2521 +2772 -2348 +2993 -835 +-5791 65 +-3545 -1058 +2440 -148 +684 4297 +560 -561 +1525 -2105 +2472 -2472 +4230 1917 +-1319 464 +1086 11 +-3182 724 +-3325 344 +-1647 -1061 +-2313 -728 +5120 0 +-2313 728 +-1647 1061 +-3325 -344 +-3182 -724 +1086 -11 +-1319 -464 +4230 -1917 +2472 2472 +2452 1977 +2812 -4010 +3203 1469 +-2178 -724 +-3471 -4891 +2476 2504 +-1409 1728 +1024 2048 +-1546 4097 +-1536 2180 +-1009 2366 +-1070 724 +3452 824 +-3440 558 +-3947 2117 +-424 424 +1698 -1777 +6043 4104 +2963 -655 +-5858 724 +-2898 6914 +4804 736 +835 3097 +-3072 0 +835 -3097 +4804 -736 +-2898 -6914 +-5858 -724 +2963 655 +6043 -4104 +1698 1777 +-424 -424 +-3947 -2117 +-3440 -558 +3452 -824 +-1070 -724 +-1009 -2366 +-1536 -2180 +-1546 -4097 +1024 -2048 +-1409 -1728 +2476 -2504 +-3471 4891 +-2178 724 +3203 -1469 +2812 4010 +2452 -1977 +2472 -2472 +4230 1917 +-1319 464 +1086 11 +-3182 724 +-3325 344 +-1647 -1061 +-2313 -728 +-300 -1748 +950 1377 +1477 3248 +4113 1890 +-182 1670 +-1191 -2351 +1691 -3308 +-1054 -1775 +-2048 0 +-1054 1775 +1691 3308 +-1191 2351 +-182 -1670 +4113 -1890 +1477 -3248 +950 -1377 +-300 1748 +-3279 -33 +3512 1431 +4602 -1404 +-6988 -332 +-937 950 +2189 -7419 +-856 -4942 +1024 -1024 +-558 613 +79 1465 +-3841 -3356 +-853 1116 +-5073 1581 +-3252 -552 +2850 3546 +-1748 -300 +1695 -3149 +-3433 -2832 +1709 -1123 +3927 -222 +618 -4172 +5929 -1792 +252 1979 +-4096 0 +252 -1979 +5929 1792 +618 4172 +3927 222 +1709 1123 +-3433 2832 +1695 3149 +-1748 300 +2850 -3546 +-3252 552 +-5073 -1581 +-853 -1116 +-3841 3356 +79 -1465 +-558 -613 +1024 1024 +-856 4942 +2189 7419 +-937 -950 +-6988 332 +4602 1404 +3512 -1431 +-3279 33 +-300 -1748 +950 1377 +1477 3248 +4113 1890 +-182 1670 +-1191 -2351 +1691 -3308 +-1054 -1775 +2596 2172 +2455 -1610 +4977 -3849 +-2135 814 +-2584 -1176 +3985 -3930 +-2723 -4008 +-4601 -602 +-1024 0 +-4601 602 +-2723 4008 +3985 3930 +-2584 1176 +-2135 -814 +4977 3849 +2455 1610 +2596 -2172 +78 -1736 +772 3323 +-239 3289 +-1586 2838 +3470 4923 +454 -3883 +609 2455 +-2048 1024 +-2320 -1686 +2157 5326 +-1890 404 +-1910 2838 +-1575 -619 +1172 -3229 +-2251 -1672 +-4644 -2172 +5071 -542 +2968 -303 +1732 631 +1984 1176 +-3348 4762 +-1585 -768 +960 -5756 +1024 0 +960 5756 +-1585 768 +-3348 -4762 +1984 -1176 +1732 -631 +2968 303 +5071 542 +-4644 2172 +-2251 1672 +1172 3229 +-1575 619 +-1910 -2838 +-1890 -404 +2157 -5326 +-2320 1686 +-2048 -1024 +609 -2455 +454 3883 +3470 -4923 +-1586 -2838 +-239 -3289 +772 -3323 +78 1736 +2596 2172 +2455 -1610 +4977 -3849 +-2135 814 +-2584 -1176 +3985 -3930 +-2723 -4008 +-4601 -602 +2772 -5244 +-2464 -266 +1023 -2092 +-1640 381 +-4358 -2694 +1987 -3153 +1913 1906 +1561 -569 +1024 0 +1561 569 +1913 -1906 +1987 3153 +-4358 2694 +-1640 -381 +1023 2092 +-2464 266 +2772 5244 +-1372 5173 +-3114 1598 +-1977 4945 +660 -692 +4224 -416 +2953 131 +-540 -1476 +-4096 1024 +2237 888 +3219 575 +-1502 -6049 +-3908 -92 +2229 3196 +2606 -634 +-2500 6360 +1324 -900 +335 -2331 +685 2757 +-5531 -562 +-4682 -802 +2211 -913 +-1093 1434 +2743 -852 +7168 0 +2743 852 +-1093 -1434 +2211 913 +-4682 802 +-5531 562 +685 -2757 +335 2331 +1324 900 +-2500 -6360 +2606 634 +2229 -3196 +-3908 92 +-1502 6049 +3219 -575 +2237 -888 +-4096 -1024 +-540 1476 +2953 -131 +4224 416 +660 692 +-1977 -4945 +-3114 -1598 +-1372 -5173 +2772 -5244 +-2464 -266 +1023 -2092 +-1640 381 +-4358 -2694 +1987 -3153 +1913 1906 +1561 -569 +3496 -2048 +1729 3225 +-6182 4248 +-2388 497 +3750 -1024 +4371 1013 +-1275 315 +-1678 1439 +1024 0 +-1678 -1439 +-1275 -315 +4371 -1013 +3750 1024 +-2388 -497 +-6182 -4248 +1729 -3225 +3496 2048 +708 2349 +4887 -2877 +-1436 902 +190 -1024 +-82 -3460 +-4085 1856 +-1551 -3783 +-1024 0 +2972 4316 +-195 -3597 +-3984 258 +-2486 1024 +1616 -3958 +-3595 -4546 +-3305 -3998 +600 -2048 +-2915 -2212 +3690 2772 +640 4220 +2642 -1024 +1262 697 +-1437 2921 +4042 2436 +1024 0 +4042 -2436 +-1437 -2921 +1262 -697 +2642 1024 +640 -4220 +3690 -2772 +-2915 2212 +600 2048 +-3305 3998 +-3595 4546 +1616 3958 +-2486 -1024 +-3984 -258 +-195 3597 +2972 -4316 +-1024 0 +-1551 3783 +-4085 -1856 +-82 3460 +190 1024 +-1436 -902 +4887 2877 +708 -2349 +3496 -2048 +1729 3225 +-6182 4248 +-2388 497 +3750 -1024 +4371 1013 +-1275 315 +-1678 1439 +-848 2048 +101 -6928 +-1649 1534 +-3527 6171 +364 -2362 +2571 7224 +-494 -13 +1446 211 +5120 0 +1446 -211 +-494 13 +2571 -7224 +364 2362 +-3527 -6171 +-1649 -1534 +101 6928 +-848 -2048 +-816 1561 +-198 1041 +-34 1507 +-1304 -470 +-2515 -3869 +804 -65 +3125 4692 +-1024 2048 +-1322 2493 +3645 3211 +950 -3081 +-5088 1578 +-2907 850 +2210 -1347 +4751 2847 +4944 2048 +428 572 +-3258 3071 +-213 3508 +1932 314 +-118 1500 +-1059 2089 +-1919 3933 +-3072 0 +-1919 -3933 +-1059 -2089 +-118 -1500 +1932 -314 +-213 -3508 +-3258 -3071 +428 -572 +4944 -2048 +4751 -2847 +2210 1347 +-2907 -850 +-5088 -1578 +950 3081 +3645 -3211 +-1322 -2493 +-1024 -2048 +3125 -4692 +804 65 +-2515 3869 +-1304 470 +-34 -1507 +-198 -1041 +-816 -1561 +-848 2048 +101 -6928 +-1649 1534 +-3527 6171 +364 -2362 +2571 7224 +-494 -13 +1446 211 +1324 -300 +-4496 -171 +-455 1638 +-3164 -2019 +-5854 -3238 +2416 2804 +-1224 207 +-2867 2160 +3072 0 +-2867 -2160 +-1224 -207 +2416 -2804 +-5854 3238 +-3164 2019 +-455 -1638 +-4496 171 +1324 300 +2042 722 +-1875 665 +2299 2827 +4057 4116 +2479 -1210 +-20 1817 +-2214 7025 +0 -3072 +201 -4757 +-2028 -4798 +-2640 -998 +-1160 2668 +1557 488 +-173 2388 +-649 -7231 +2772 -1748 +207 24 +-1593 -4011 +2204 1218 +2957 1789 +641 4738 +-824 -2727 +1984 -342 +5120 0 +1984 342 +-824 2727 +641 -4738 +2957 -1789 +2204 -1218 +-1593 4011 +207 -24 +2772 1748 +-649 7231 +-173 -2388 +1557 -488 +-1160 -2668 +-2640 998 +-2028 4798 +201 4757 +0 3072 +-2214 -7025 +-20 -1817 +2479 1210 +4057 -4116 +2299 -2827 +-1875 -665 +2042 -722 +1324 -300 +-4496 -171 +-455 1638 +-3164 -2019 +-5854 -3238 +2416 2804 +-1224 207 +-2867 2160 +-4944 1024 +3371 129 +879 -3037 +-562 2710 +1374 5892 +-3089 699 +-3054 -1279 +-3314 2755 +-8192 0 +-3314 -2755 +-3054 1279 +-3089 -699 +1374 -5892 +-562 -2710 +879 3037 +3371 -129 +-4944 -1024 +-3364 2248 +2939 290 +-2449 1880 +3046 2447 +2454 2126 +679 447 +4473 -5267 +2048 0 +347 3044 +-2467 -1445 +1525 -3994 +-1846 -3097 +-1098 3927 +2633 1074 +1517 -1194 +848 1024 +-3741 -1691 +-658 3821 +-1243 593 +5618 -3244 +4461 2628 +-951 -613 +710 -756 +-4096 0 +710 756 +-951 613 +4461 -2628 +5618 3244 +-1243 -593 +-658 -3821 +-3741 1691 +848 -1024 +1517 1194 +2633 -1074 +-1098 -3927 +-1846 3097 +1525 3994 +-2467 1445 +347 -3044 +2048 0 +4473 5267 +679 -447 +2454 -2126 +3046 -2447 +-2449 -1880 +2939 -290 +-3364 -2248 +-4944 1024 +3371 129 +879 -3037 +-562 2710 +1374 5892 +-3089 699 +-3054 -1279 +-3314 2755 +1748 300 +1993 -4872 +2256 -3812 +-3927 3373 +-152 6320 +-2725 -3775 +-2392 610 +2357 112 +0 0 +2357 -112 +-2392 -610 +-2725 3775 +-152 -6320 +-3927 -3373 +2256 3812 +1993 4872 +1748 -300 +2026 2240 +-4629 6902 +2271 -957 +3862 5102 +493 3164 +2142 -378 +-1840 2342 +1024 1024 +1331 -1463 +-4190 -2729 +-2590 1228 +-1814 -1642 +-1150 1357 +2581 1226 +3145 -2044 +300 1748 +-1373 588 +-4304 -1864 +-2987 -1058 +2200 3320 +-971 1838 +344 -2961 +3945 -3917 +2048 0 +3945 3917 +344 2961 +-971 -1838 +2200 -3320 +-2987 1058 +-4304 1864 +-1373 -588 +300 -1748 +3145 2044 +2581 -1226 +-1150 -1357 +-1814 1642 +-2590 -1228 +-4190 2729 +1331 1463 +1024 -1024 +-1840 -2342 +2142 378 +493 -3164 +3862 -5102 +2271 957 +-4629 -6902 +2026 -2240 +1748 300 +1993 -4872 +2256 -3812 +-3927 3373 +-152 6320 +-2725 -3775 +-2392 610 +2357 112 +-848 -3496 +-58 -2952 +4573 -1722 +2988 -674 +808 26 +-4176 -161 +-2364 246 +1124 1004 +-3072 0 +1124 -1004 +-2364 -246 +-4176 161 +808 -26 +2988 674 +4573 1722 +-58 2952 +-848 3496 +2702 1643 +1381 3 +-2165 -114 +928 -2546 +1052 -4436 +-4821 -718 +-4814 876 +3072 -4096 +909 -4590 +-1415 1211 +2050 2018 +-4424 -1698 +5411 -3259 +2180 -4381 +-3490 -4099 +4944 -600 +-3618 -206 +555 -1463 +446 2953 +-1408 4918 +186 -1944 +-88 -5309 +1452 -1485 +-3072 0 +1452 1485 +-88 5309 +186 1944 +-1408 -4918 +446 -2953 +555 1463 +-3618 206 +4944 600 +-3490 4099 +2180 4381 +5411 3259 +-4424 1698 +2050 -2018 +-1415 -1211 +909 4590 +3072 4096 +-4814 -876 +-4821 718 +1052 4436 +928 2546 +-2165 114 +1381 -3 +2702 -1643 +-848 -3496 +-58 -2952 +4573 -1722 +2988 -674 +808 26 +-4176 -161 +-2364 246 +1124 1004 +-1024 -3920 +4198 -4248 +-2924 -943 +-1424 345 +5232 -2302 +739 -1377 +-1132 -1603 +-92 -4465 +3072 0 +-92 4465 +-1132 1603 +739 1377 +5232 2302 +-1424 -345 +-2924 943 +4198 4248 +-1024 3920 +-485 2494 +-822 -517 +3999 -3090 +119 -1638 +-3860 -47 +-2670 637 +-6656 127 +3072 -2048 +-1699 962 +-827 4917 +2483 791 +-3016 -1038 +2815 2509 +222 776 +796 -846 +-1024 1872 +-3622 2237 +2325 3435 +-926 4367 +-2336 -1194 +1967 -4465 +-2364 -1110 +1767 836 +11264 0 +1767 -836 +-2364 1110 +1967 4465 +-2336 1194 +-926 -4367 +2325 -3435 +-3622 -2237 +-1024 -1872 +796 846 +222 -776 +2815 -2509 +-3016 1038 +2483 -791 +-827 -4917 +-1699 -962 +3072 2048 +-6656 -127 +-2670 -637 +-3860 47 +119 1638 +3999 3090 +-822 517 +-485 -2494 +-1024 -3920 +4198 -4248 +-2924 -943 +-1424 345 +5232 -2302 +739 -1377 +-1132 -1603 +-92 -4465 +-2472 -4096 +1481 1834 +4045 -290 +5447 -6591 +3510 2316 +-429 -3040 +1139 -371 +792 -3037 +-2048 0 +792 3037 +1139 371 +-429 3040 +3510 -2316 +5447 6591 +4045 290 +1481 -1834 +-2472 4096 +-1554 -2961 +60 3393 +-2421 1331 +-2726 -1688 +-1923 -247 +170 -1007 +2985 -1261 +0 0 +-498 2710 +2950 -1035 +-369 -2441 +-1618 3256 +2304 4277 +1063 -3260 +-368 -1855 +424 -4096 +-1127 -3552 +-2273 4725 +-1546 -560 +834 -1468 +-1063 -1059 +-7155 -4953 +-1711 -4008 +6144 0 +-1711 4008 +-7155 4953 +-1063 1059 +834 1468 +-1546 560 +-2273 -4725 +-1127 3552 +424 4096 +-368 1855 +1063 3260 +2304 -4277 +-1618 -3256 +-369 2441 +2950 1035 +-498 -2710 +0 0 +2985 1261 +170 1007 +-1923 247 +-2726 1688 +-2421 -1331 +60 -3393 +-1554 2961 +-2472 -4096 +1481 1834 +4045 -290 +5447 -6591 +3510 2316 +-429 -3040 +1139 -371 +792 -3037 +-7716 -1748 +942 755 +1814 357 +2340 -1576 +2284 8 +4178 -4322 +3021 3590 +-1048 -1585 +-1024 0 +-1048 1585 +3021 -3590 +4178 4322 +2284 -8 +2340 1576 +1814 -357 +942 -755 +-7716 1748 +-6360 -2473 +3935 -679 +1312 -4246 +-162 -4346 +-1908 -1203 +-5289 -644 +-1161 -3511 +2048 1024 +-593 643 +-3586 -800 +1254 6038 +162 -2898 +-3010 -1510 +4334 585 +3375 -4057 +-476 -300 +-853 -2577 +-195 -3189 +2255 -361 +-2284 1441 +-627 1099 +4159 350 +-95 -293 +-3072 0 +-95 293 +4159 -350 +-627 -1099 +-2284 -1441 +2255 361 +-195 3189 +-853 2577 +-476 300 +3375 4057 +4334 -585 +-3010 1510 +162 2898 +1254 -6038 +-3586 800 +-593 -643 +2048 -1024 +-1161 3511 +-5289 644 +-1908 1203 +-162 4346 +1312 4246 +3935 679 +-6360 2473 +-7716 -1748 +942 755 +1814 357 +2340 -1576 +2284 8 +4178 -4322 +3021 3590 +-1048 -1585 +-124 -476 +2475 -660 +2356 -7330 +-3422 1664 +202 3574 +-163 245 +-1670 2744 +1330 1554 +-2048 0 +1330 -1554 +-1670 -2744 +-163 -245 +202 -3574 +-3422 -1664 +2356 7330 +2475 660 +-124 476 +-1422 4119 +419 -2558 +-1757 -83 +-3588 1232 +-4048 -252 +-1814 -1989 +986 -2017 +-1024 1024 +-393 1001 +-417 87 +2551 -4846 +-2804 -2016 +-310 1771 +2921 2046 +-3133 -1698 +4220 -7716 +1192 3402 +-2800 4942 +1025 2775 +2094 5466 +330 144 +1005 531 +4757 -3961 +4096 0 +4757 3961 +1005 -531 +330 -144 +2094 -5466 +1025 -2775 +-2800 -4942 +1192 -3402 +4220 7716 +-3133 1698 +2921 -2046 +-310 -1771 +-2804 2016 +2551 4846 +-417 -87 +-393 -1001 +-1024 -1024 +986 2017 +-1814 1989 +-4048 252 +-3588 -1232 +-1757 83 +419 2558 +-1422 -4119 +-124 -476 +2475 -660 +2356 -7330 +-3422 1664 +202 3574 +-163 245 +-1670 2744 +1330 1554 +2648 424 +1983 1538 +986 -4808 +280 -4542 +-1734 4424 +1644 -4907 +2999 1740 +-1632 1076 +-4096 0 +-1632 -1076 +2999 -1740 +1644 4907 +-1734 -4424 +280 4542 +986 4808 +1983 -1538 +2648 -424 +-435 -868 +302 9323 +-437 3359 +-3626 -808 +-2350 2204 +-2866 -1358 +-1984 -1876 +0 2048 +-647 2220 +3686 1303 +2437 1655 +-2518 -1408 +2524 2677 +1407 1740 +-1384 -1354 +5544 -2472 +3621 -3592 +-1494 121 +-799 76 +-4410 -928 +-3299 574 +3173 3817 +479 1452 +-4096 0 +479 -1452 +3173 -3817 +-3299 -574 +-4410 928 +-799 -76 +-1494 -121 +3621 3592 +5544 2472 +-1384 1354 +1407 -1740 +2524 -2677 +-2518 1408 +2437 -1655 +3686 -1303 +-647 -2220 +0 -2048 +-1984 1876 +-2866 1358 +-2350 -2204 +-3626 808 +-437 -3359 +302 -9323 +-435 868 +2648 424 +1983 1538 +986 -4808 +280 -4542 +-1734 4424 +1644 -4907 +2999 1740 +-1632 1076 +-724 -3796 +3488 741 +-4305 572 +-238 3698 +162 2454 +-1728 3498 +2651 3303 +224 2235 +-1024 0 +224 -2235 +2651 -3303 +-1728 -3498 +162 -2454 +-238 -3698 +-4305 -572 +3488 -741 +-724 3796 +451 2431 +2602 28 +-1166 2428 +2284 -2224 +-4247 1732 +-520 -879 +1920 235 +-4096 3072 +2369 -4357 +3897 -3711 +391 1176 +-2284 -776 +-1891 -5495 +334 184 +1562 537 +724 -2348 +-2605 -2396 +-327 -4512 +399 -6950 +-162 -1006 +2688 6411 +3861 -2039 +-1617 -795 +-7168 0 +-1617 795 +3861 2039 +2688 -6411 +-162 1006 +399 6950 +-327 4512 +-2605 2396 +724 2348 +1562 -537 +334 -184 +-1891 5495 +-2284 776 +391 -1176 +3897 3711 +2369 4357 +-4096 -3072 +1920 -235 +-520 879 +-4247 -1732 +2284 2224 +-1166 -2428 +2602 -28 +451 -2431 +-724 -3796 +3488 741 +-4305 572 +-238 3698 +162 2454 +-1728 3498 +2651 3303 +224 2235 +-2596 1324 +1664 347 +2340 647 +1428 4337 +692 5300 +2261 -295 +-2828 -4218 +-1952 -2198 +5120 0 +-1952 2198 +-2828 4218 +2261 295 +692 -5300 +1428 -4337 +2340 -647 +1664 -347 +-2596 -1324 +-3332 -3971 +-1159 2373 +-1375 -1495 +802 -5395 +-913 2053 +1313 -5116 +3399 -1819 +-2048 1024 +-2269 -2320 +-2798 1876 +85 2218 +2694 -2498 +-2048 -3606 +-993 1173 +1724 -455 +4644 2772 +5297 953 +1508 553 +-222 -1096 +-92 -2403 +784 5812 +-5575 -2774 +-4529 -520 +3072 0 +-4529 520 +-5575 2774 +784 -5812 +-92 2403 +-222 1096 +1508 -553 +5297 -953 +4644 -2772 +1724 455 +-993 -1173 +-2048 3606 +2694 2498 +85 -2218 +-2798 -1876 +-2269 2320 +-2048 -1024 +3399 1819 +1313 5116 +-913 -2053 +802 5395 +-1375 1495 +-1159 -2373 +-3332 3971 +-2596 1324 +1664 347 +2340 647 +1428 4337 +692 5300 +2261 -295 +-2828 -4218 +-1952 -2198 +-300 124 +-958 -2775 +3190 3313 +-308 -726 +-1441 -6324 +414 -1280 +-4255 -3377 +-363 6712 +3072 0 +-363 -6712 +-4255 3377 +414 1280 +-1441 6324 +-308 726 +3190 -3313 +-958 2775 +-300 -124 +-4085 3555 +-1753 190 +80 -339 +-2898 4371 +-2667 -1192 +-207 -4980 +-1429 -2975 +-2048 1024 +3721 -354 +-678 564 +2313 3925 +4346 3522 +839 -261 +3097 -2458 +185 573 +-1748 -4220 +1074 -5871 +4155 -665 +-2179 1116 +-8 1379 +1507 -1484 +-3549 -2167 +1855 -1563 +5120 0 +1855 1563 +-3549 2167 +1507 1484 +-8 -1379 +-2179 -1116 +4155 665 +1074 5871 +-1748 4220 +185 -573 +3097 2458 +839 261 +4346 -3522 +2313 -3925 +-678 -564 +3721 354 +-2048 -1024 +-1429 2975 +-207 4980 +-2667 1192 +-2898 -4371 +80 339 +-1753 -190 +-4085 -3555 +-300 124 +-958 -2775 +3190 3313 +-308 -726 +-1441 -6324 +414 -1280 +-4255 -3377 +-363 6712 +1748 724 +1048 -1799 +-1655 -5906 +-438 -4040 +1006 1645 +-2429 -550 +2254 587 +1599 -5018 +-5120 0 +1599 5018 +2254 -587 +-2429 550 +1006 -1645 +-438 4040 +-1655 5906 +1048 1799 +1748 -724 +1450 2752 +-75 827 +-554 -3773 +-776 -3152 +897 -8767 +-1 3215 +-4047 2697 +0 -3072 +23 1447 +-2010 -4785 +4541 -4073 +2224 -5200 +-1432 2410 +1628 4155 +777 -4070 +300 -724 +-1707 -279 +-2793 -1855 +-1360 -3008 +-2454 403 +775 204 +2654 -3290 +857 322 +1024 0 +857 -322 +2654 3290 +775 -204 +-2454 -403 +-1360 3008 +-2793 1855 +-1707 279 +300 724 +777 4070 +1628 -4155 +-1432 -2410 +2224 5200 +4541 4073 +-2010 4785 +23 -1447 +0 3072 +-4047 -2697 +-1 -3215 +897 8767 +-776 3152 +-554 3773 +-75 -827 +1450 -2752 +1748 724 +1048 -1799 +-1655 -5906 +-438 -4040 +1006 1645 +-2429 -550 +2254 587 +1599 -5018 +-2596 3196 +224 -930 +-2582 -7945 +2415 -2182 +1370 1670 +-2017 3996 +-760 -275 +1221 -759 +6144 0 +1221 759 +-760 275 +-2017 -3996 +1370 -1670 +2415 2182 +-2582 7945 +224 930 +-2596 -3196 +-6191 1788 +-3437 -4190 +687 -313 +-2080 -332 +1716 -1094 +2053 1858 +-3749 -4795 +-1024 -1024 +-3491 2765 +843 1922 +509 -1168 +-2864 1116 +-203 6228 +541 -1138 +4055 59 +4644 -1148 +1233 -4951 +-314 1109 +5348 -486 +-522 -222 +-2663 706 +3657 -1357 +906 1767 +0 0 +906 -1767 +3657 1357 +-2663 -706 +-522 222 +5348 486 +-314 -1109 +1233 4951 +4644 1148 +4055 -59 +541 1138 +-203 -6228 +-2864 -1116 +509 1168 +843 -1922 +-3491 -2765 +-1024 1024 +-3749 4795 +2053 -1858 +1716 1094 +-2080 332 +687 313 +-3437 4190 +-6191 -1788 +-2596 3196 +224 -930 +-2582 -7945 +2415 -2182 +1370 1670 +-2017 3996 +-760 -275 +1221 -759 +300 724 +2131 -9727 +3407 -3221 +603 1657 +-92 -4397 +3445 1763 +268 -3068 +311 -5521 +1024 0 +311 5521 +268 3068 +3445 -1763 +-92 4397 +603 -1657 +3407 3221 +2131 9727 +300 -724 +-5456 -111 +-522 -1401 +-250 -468 +2694 1167 +3716 -2592 +-1384 -726 +3938 743 +2048 -1024 +-1865 -2451 +-3885 2170 +-569 -2205 +802 -4626 +-3132 3104 +-3197 1495 +-502 1012 +1748 -724 +1610 -295 +2010 325 +-2574 -6094 +692 -1396 +-1241 2199 +-4887 172 +-167 2768 +-1024 0 +-167 -2768 +-4887 -172 +-1241 -2199 +692 1396 +-2574 6094 +2010 -325 +1610 295 +1748 724 +-502 -1012 +-3197 -1495 +-3132 -3104 +802 4626 +-569 2205 +-3885 -2170 +-1865 2451 +2048 1024 +3938 -743 +-1384 726 +3716 2592 +2694 -1167 +-250 468 +-522 1401 +-5456 111 +300 724 +2131 -9727 +3407 -3221 +603 1657 +-92 -4397 +3445 1763 +268 -3068 +311 -5521 +-424 848 +1067 -20 +1460 2686 +6137 -2239 +-2172 2842 +-960 -1071 +3286 -3939 +806 3711 +4096 0 +806 -3711 +3286 3939 +-960 1071 +-2172 -2842 +6137 2239 +1460 -2686 +1067 20 +-424 -848 +-222 -3143 +3228 -2780 +3151 -3128 +2172 6302 +-2786 1969 +-1188 -1005 +-4492 1710 +-4096 2048 +-946 1261 +-4828 -665 +3446 1709 +2172 158 +-5608 -1258 +-1456 1344 +2020 3323 +2472 -4944 +919 -4970 +-337 -1458 +-2113 -1372 +-2172 3302 +-1267 -1276 +-166 1382 +848 4161 +0 0 +848 -4161 +-166 -1382 +-1267 1276 +-2172 -3302 +-2113 1372 +-337 1458 +919 4970 +2472 4944 +2020 -3323 +-1456 -1344 +-5608 1258 +2172 -158 +3446 -1709 +-4828 665 +-946 -1261 +-4096 -2048 +-4492 -1710 +-1188 1005 +-2786 -1969 +2172 -6302 +3151 3128 +3228 2780 +-222 3143 +-424 848 +1067 -20 +1460 2686 +6137 -2239 +-2172 2842 +-960 -1071 +3286 -3939 +806 3711 +5244 -1148 +-158 -4314 +-128 2443 +786 530 +-273 -1540 +999 3092 +-347 3604 +861 2696 +4096 0 +861 -2696 +-347 -3604 +999 -3092 +-273 1540 +786 -530 +-128 -2443 +-158 4314 +5244 1148 +3086 -1706 +-1026 -1675 +1239 1745 +-1390 4142 +-2336 3863 +1473 2971 +-1047 -4209 +-1024 3072 +1147 2693 +-2073 -109 +1171 -504 +4286 -2250 +-2171 1373 +-2470 -9960 +1986 -1738 +900 3196 +-451 -2399 +-3368 2058 +-6535 -2230 +-2624 -756 +1055 -2995 +-253 -2091 +368 3319 +2048 0 +368 -3319 +-253 2091 +1055 2995 +-2624 756 +-6535 2230 +-3368 -2058 +-451 2399 +900 -3196 +1986 1738 +-2470 9960 +-2171 -1373 +4286 2250 +1171 504 +-2073 109 +1147 -2693 +-1024 -3072 +-1047 4209 +1473 -2971 +-2336 -3863 +-1390 -4142 +1239 -1745 +-1026 1675 +3086 1706 +5244 -1148 +-158 -4314 +-128 2443 +786 530 +-273 -1540 +999 3092 +-347 3604 +861 2696 +-724 -1324 +-2955 -1168 +-1984 3312 +3621 -6168 +92 -2996 +2976 4774 +3391 -1684 +-2206 -3000 +-2048 0 +-2206 3000 +3391 1684 +2976 -4774 +92 2996 +3621 6168 +-1984 -3312 +-2955 1168 +-724 1324 +-1910 262 +1945 2868 +843 2640 +-2694 1667 +-3079 1053 +-1221 4370 +-523 1371 +-1024 3072 +2954 549 +3934 246 +1276 2937 +-802 -4477 +327 -4130 +547 311 +-2343 2082 +724 -2772 +-152 -796 +691 -2973 +4785 964 +-692 9140 +836 -1323 +889 457 +-4450 -1606 +-4096 0 +-4450 1606 +889 -457 +836 1323 +-692 -9140 +4785 -964 +691 2973 +-152 796 +724 2772 +-2343 -2082 +547 -311 +327 4130 +-802 4477 +1276 -2937 +3934 -246 +2954 -549 +-1024 -3072 +-523 -1371 +-1221 -4370 +-3079 -1053 +-2694 -1667 +843 -2640 +1945 -2868 +-1910 -262 +-724 -1324 +-2955 -1168 +-1984 3312 +3621 -6168 +92 -2996 +2976 4774 +3391 -1684 +-2206 -3000 +-600 -1872 +3702 -678 +-1048 -2282 +-181 -744 +738 -999 +-2558 -4052 +-1636 4113 +382 1661 +4096 0 +382 -1661 +-1636 -4113 +-2558 4052 +738 999 +-181 744 +-1048 2282 +3702 678 +-600 1872 +394 -361 +1742 -904 +-393 -1812 +-4050 1796 +-1511 1299 +189 -56 +-1177 -4019 +4096 -8192 +2082 5086 +1859 2464 +-1004 -21 +-2942 7340 +-787 -581 +306 1469 +-678 4917 +-3496 3920 +1695 3500 +3096 559 +3817 2654 +-1938 -1649 +-3175 -2381 +3684 2503 +-607 -803 +-4096 0 +-607 803 +3684 -2503 +-3175 2381 +-1938 1649 +3817 -2654 +3096 -559 +1695 -3500 +-3496 -3920 +-678 -4917 +306 -1469 +-787 581 +-2942 -7340 +-1004 21 +1859 -2464 +2082 -5086 +4096 8192 +-1177 4019 +189 56 +-1511 -1299 +-4050 -1796 +-393 1812 +1742 904 +394 361 +-600 -1872 +3702 -678 +-1048 -2282 +-181 -744 +738 -999 +-2558 -4052 +-1636 4113 +382 1661 +-1148 -4820 +-134 -2917 +2691 2696 +871 -7438 +-2030 -1574 +-1977 -95 +502 -2701 +1985 4188 +3072 0 +1985 -4188 +502 2701 +-1977 95 +-2030 1574 +871 7438 +2691 -2696 +-134 2917 +-1148 4820 +3667 -1790 +1625 -1452 +1716 -2225 +-248 2765 +-5912 -1088 +-2194 -767 +862 3911 +0 3072 +-5937 -694 +-2594 -936 +885 2187 +-3248 -1331 +2391 -149 +487 1852 +765 598 +3196 -3372 +814 529 +989 4704 +-2523 5362 +1430 5670 +-1243 -3181 +-1506 -1563 +3769 416 +1024 0 +3769 -416 +-1506 1563 +-1243 3181 +1430 -5670 +-2523 -5362 +989 -4704 +814 -529 +3196 3372 +765 -598 +487 -1852 +2391 149 +-3248 1331 +885 -2187 +-2594 936 +-5937 694 +0 -3072 +862 -3911 +-2194 767 +-5912 1088 +-248 -2765 +1716 2225 +1625 1452 +3667 1790 +-1148 -4820 +-134 -2917 +2691 2696 +871 -7438 +-2030 -1574 +-1977 -95 +502 -2701 +1985 4188 +-1148 3796 +3120 2002 +-1574 -778 +-6597 -176 +-78 2778 +-1035 3771 +-1036 -1214 +1630 2518 +0 0 +1630 -2518 +-1036 1214 +-1035 -3771 +-78 -2778 +-6597 176 +-1574 778 +3120 -2002 +-1148 -3796 +2237 2349 +1691 5733 +-2937 922 +-632 2344 +1295 4436 +2979 -951 +6616 308 +3072 1024 +-5865 3319 +-1870 1422 +4753 1572 +-1416 3792 +-1558 -576 +985 -883 +-524 -2086 +3196 2348 +1199 -2103 +-1102 -1742 +626 -745 +-1970 -1330 +-340 7926 +-72 -509 +-2620 2429 +-2048 0 +-2620 -2429 +-72 509 +-340 -7926 +-1970 1330 +626 745 +-1102 1742 +1199 2103 +3196 -2348 +-524 2086 +985 883 +-1558 576 +-1416 -3792 +4753 -1572 +-1870 -1422 +-5865 -3319 +3072 -1024 +6616 -308 +2979 951 +1295 -4436 +-632 -2344 +-2937 -922 +1691 -5733 +2237 -2349 +-1148 3796 +3120 2002 +-1574 -778 +-6597 -176 +-78 2778 +-1035 3771 +-1036 -1214 +1630 2518 +3920 -5544 +2538 -242 +1219 1690 +-2051 -108 +1352 -1134 +1701 2056 +-3661 -662 +-4557 1325 +-6144 0 +-4557 -1325 +-3661 662 +1701 -2056 +1352 1134 +-2051 108 +1219 -1690 +2538 242 +3920 5544 +-3054 865 +-2589 -2073 +-2452 3768 +-3880 -130 +6657 1455 +1038 2671 +-454 1042 +0 0 +-2916 1222 +4810 -1933 +-1308 -5299 +-1664 -978 +1609 -3063 +-2487 1202 +687 1767 +-1872 -2648 +-1499 -2402 +5057 386 +2006 -484 +-4000 -3810 +-369 3222 +4806 3050 +3463 1102 +2048 0 +3463 -1102 +4806 -3050 +-369 -3222 +-4000 3810 +2006 484 +5057 -386 +-1499 2402 +-1872 2648 +687 -1767 +-2487 -1202 +1609 3063 +-1664 978 +-1308 5299 +4810 1933 +-2916 -1222 +0 0 +-454 -1042 +1038 -2671 +6657 -1455 +-3880 130 +-2452 -3768 +-2589 2073 +-3054 -865 +3920 -5544 +2538 -242 +1219 1690 +-2051 -108 +1352 -1134 +1701 2056 +-3661 -662 +-4557 1325 +-724 2772 +2483 -629 +-999 1395 +-945 2788 +1644 632 +-1806 3888 +-1324 -2463 +264 -646 +0 0 +264 646 +-1324 2463 +-1806 -3888 +1644 -632 +-945 -2788 +-999 -1395 +2483 629 +-724 -2772 +-249 -272 +-383 -2836 +1019 -6258 +2214 1970 +-252 2484 +481 -6743 +399 1065 +1024 -1024 +-1602 -4439 +1751 2326 +2395 1239 +-2813 -78 +367 -3218 +-2957 568 +377 14 +724 1324 +-3500 3682 +1443 -2583 +-4905 -2956 +-5140 1416 +-1666 5644 +1988 -1254 +7620 -4491 +6144 0 +7620 4491 +1988 1254 +-1666 -5644 +-5140 -1416 +-4905 2956 +1443 2583 +-3500 -3682 +724 -1324 +377 -14 +-2957 -568 +367 3218 +-2813 78 +2395 -1239 +1751 -2326 +-1602 4439 +1024 1024 +399 -1065 +481 6743 +-252 -2484 +2214 -1970 +1019 6258 +-383 2836 +-249 272 +-724 2772 +2483 -629 +-999 1395 +-945 2788 +1644 632 +-1806 3888 +-1324 -2463 +264 -646 +-1924 -300 +-1633 -1046 +4459 4628 +558 -776 +3094 -3597 +-64 2997 +937 609 +3466 -2968 +-7168 0 +3466 2968 +937 -609 +-64 -2997 +3094 3597 +558 776 +4459 -4628 +-1633 1046 +-1924 300 +-5922 -3318 +-1645 -838 +1566 2993 +-4600 3536 +-901 -1548 +-670 -887 +-77 2157 +2048 1024 +3907 737 +162 -2659 +1447 109 +3752 7033 +62 4783 +2466 2282 +-2357 -155 +-6268 -1748 +776 425 +1713 1388 +-1537 4134 +1851 2997 +-1131 228 +771 515 +1840 -2236 +-5120 0 +1840 2236 +771 -515 +-1131 -228 +1851 -2997 +-1537 -4134 +1713 -1388 +776 -425 +-6268 1748 +-2357 155 +2466 -2282 +62 -4783 +3752 -7033 +1447 -109 +162 2659 +3907 -737 +2048 -1024 +-77 -2157 +-670 887 +-901 1548 +-4600 -3536 +1566 -2993 +-1645 838 +-5922 3318 +-1924 -300 +-1633 -1046 +4459 4628 +558 -776 +3094 -3597 +-64 2997 +937 609 +3466 -2968 +-5069 1148 +-1544 1048 +-3495 -1259 +-4433 3972 +-3418 -802 +-239 -4166 +2756 556 +-825 -6728 +1024 0 +-825 6728 +2756 -556 +-239 4166 +-3418 802 +-4433 -3972 +-3495 1259 +-1544 -1048 +-5069 -1148 +3750 485 +-1132 -851 +-2494 -653 +32 92 +-3081 -119 +2393 555 +588 2309 +4096 -1024 +1920 -1248 +384 1627 +2481 1888 +816 692 +4403 -4462 +571 74 +-286 3942 +5069 -3196 +2544 -2575 +-4633 984 +-4156 779 +-1526 -2694 +1727 748 +3156 7508 +-354 587 +-1024 0 +-354 -587 +3156 -7508 +1727 -748 +-1526 2694 +-4156 -779 +-4633 -984 +2544 2575 +5069 3196 +-286 -3942 +571 -74 +4403 4462 +816 -692 +2481 -1888 +384 -1627 +1920 1248 +4096 1024 +588 -2309 +2393 -555 +-3081 119 +32 -92 +-2494 653 +-1132 851 +3750 -485 +-5069 1148 +-1544 1048 +-3495 -1259 +-4433 3972 +-3418 -802 +-239 -4166 +2756 556 +-825 -6728 +-1748 2172 +268 2517 +748 416 +-354 -1479 +-3348 3308 +51 7205 +-297 -4068 +-873 6135 +3072 0 +-873 -6135 +-297 4068 +51 -7205 +-3348 -3308 +-354 1479 +748 -416 +268 -2517 +-1748 -2172 +-1501 304 +1033 -4860 +2165 -4391 +5010 862 +2828 5587 +2277 -852 +22 -1878 +-4096 -3072 +1161 -3777 +-1834 2163 +-5858 -2923 +-666 -1186 +1429 394 +1199 372 +-1292 -698 +-300 -2172 +957 1703 +-84 1920 +543 2376 +-997 -1260 +-805 175 +-3044 4187 +1257 581 +9216 0 +1257 -581 +-3044 -4187 +-805 -175 +-997 1260 +543 -2376 +-84 -1920 +957 -1703 +-300 2172 +-1292 698 +1199 -372 +1429 -394 +-666 1186 +-5858 2923 +-1834 -2163 +1161 3777 +-4096 3072 +22 1878 +2277 852 +2828 -5587 +5010 -862 +2165 4391 +1033 4860 +-1501 -304 +-1748 2172 +268 2517 +748 416 +-354 -1479 +-3348 3308 +51 7205 +-297 -4068 +-873 6135 +3796 -724 +-3114 1037 +-1152 5526 +-432 -3686 +-1670 -1526 +946 2079 +-1008 4208 +-97 -2740 +3072 0 +-97 2740 +-1008 -4208 +946 -2079 +-1670 1526 +-432 3686 +-1152 -5526 +-3114 -1037 +3796 724 +-678 -1951 +-5467 4729 +1713 -76 +332 816 +-1689 -4150 +-1195 -4266 +-1434 3163 +0 3072 +-211 1084 +-2405 -990 +-5701 1072 +1116 -32 +3713 2793 +-1489 2341 +2913 710 +2348 724 +2208 -623 +1116 -921 +-4106 -5476 +222 -3418 +-236 -3097 +3409 -2132 +6206 4207 +1024 0 +6206 -4207 +3409 2132 +-236 3097 +222 3418 +-4106 5476 +1116 921 +2208 623 +2348 -724 +2913 -710 +-1489 -2341 +3713 -2793 +1116 32 +-5701 -1072 +-2405 990 +-211 -1084 +0 -3072 +-1434 -3163 +-1195 4266 +-1689 4150 +332 -816 +1713 76 +-5467 -4729 +-678 1951 +3796 -724 +-3114 1037 +-1152 5526 +-432 -3686 +-1670 -1526 +946 2079 +-1008 4208 +-97 -2740 +-4820 5244 +4122 8702 +-284 -612 +1512 1736 +-3732 -8 +-4213 -1090 +4551 128 +-478 55 +-5120 0 +-478 -55 +4551 -128 +-4213 1090 +-3732 8 +1512 -1736 +-284 612 +4122 -8702 +-4820 -5244 +-5323 507 +1128 -3359 +-770 2189 +1610 4346 +-2939 2770 +-2221 -3469 +-488 -869 +2048 1024 +5177 -1132 +-1083 2259 +1991 2638 +1286 2898 +-1978 -1073 +3137 -619 +1255 1232 +-3372 900 +-2509 271 +115 1656 +557 115 +836 -1441 +47 279 +2848 -4289 +4037 2137 +1024 0 +4037 -2137 +2848 4289 +47 -279 +836 1441 +557 -115 +115 -1656 +-2509 -271 +-3372 -900 +1255 -1232 +3137 619 +-1978 1073 +1286 -2898 +1991 -2638 +-1083 -2259 +5177 1132 +2048 -1024 +-488 869 +-2221 3469 +-2939 -2770 +1610 -4346 +-770 -2189 +1128 3359 +-5323 -507 +-4820 5244 +4122 8702 +-284 -612 +1512 1736 +-3732 -8 +-4213 -1090 +4551 128 +-478 55 +-724 300 +-4779 -1434 +1401 -3293 +2037 -2542 +-162 562 +792 6929 +-2170 1430 +-1076 1212 +-1024 0 +-1076 -1212 +-2170 -1430 +792 -6929 +-162 -562 +2037 2542 +1401 3293 +-4779 1434 +-724 -300 +-2650 5814 +-325 2144 +2345 -2894 +-2284 -3008 +-5435 4448 +-3068 3592 +-1794 -2766 +0 3072 +6745 -630 +172 -1655 +310 -293 +2284 -1560 +-438 -1530 +3221 -6428 +3379 2356 +724 1748 +-1303 -5937 +1495 513 +-1728 -630 +162 886 +2117 3570 +-726 -885 +1476 404 +1024 0 +1476 -404 +-726 885 +2117 -3570 +162 -886 +-1728 630 +1495 -513 +-1303 5937 +724 -1748 +3379 -2356 +3221 6428 +-438 1530 +2284 1560 +310 293 +172 1655 +6745 630 +0 -3072 +-1794 2766 +-3068 -3592 +-5435 -4448 +-2284 3008 +2345 2894 +-325 -2144 +-2650 -5814 +-724 300 +-4779 -1434 +1401 -3293 +2037 -2542 +-162 562 +792 6929 +-2170 1430 +-1076 1212 +1148 -1148 +-2477 1346 +-1117 870 +697 5215 +3602 -886 +84 724 +-4397 799 +-1035 -1414 +0 0 +-1035 1414 +-4397 -799 +84 -724 +3602 886 +697 -5215 +-1117 -870 +-2477 -1346 +1148 1148 +205 3131 +3103 -2656 +2790 1372 +-5421 -1560 +-2327 -458 +-802 -173 +-746 -4358 +1024 -3072 +3349 -3000 +4846 831 +955 3339 +-2420 -3008 +-985 -2233 +6084 1024 +166 -4144 +-3196 3196 +4324 2523 +1818 -2982 +2850 -811 +143 -562 +-4063 -504 +-1344 -5588 +-3785 2331 +-6144 0 +-3785 -2331 +-1344 5588 +-4063 504 +143 562 +2850 811 +1818 2982 +4324 -2523 +-3196 -3196 +166 4144 +6084 -1024 +-985 2233 +-2420 3008 +955 -3339 +4846 -831 +3349 3000 +1024 3072 +-746 4358 +-802 173 +-2327 458 +-5421 1560 +2790 -1372 +3103 2656 +205 -3131 +1148 -1148 +-2477 1346 +-1117 870 +697 5215 +3602 -886 +84 724 +-4397 799 +-1035 -1414 +-4096 0 +2140 -168 +985 7460 +1288 2874 +-2062 -848 +2584 -831 +4437 859 +1225 590 +5120 0 +1225 -590 +4437 -859 +2584 831 +-2062 848 +1288 -2874 +985 -7460 +2140 168 +-4096 0 +-487 297 +4669 397 +-157 -1870 +1278 4944 +-1009 -1027 +579 3568 +-73 5798 +-3072 -4096 +-2819 1509 +-3320 4287 +2363 -4884 +170 -4944 +-1940 1187 +-508 -763 +-4201 2310 +-4096 0 +-348 -715 +4743 1269 +3706 -2713 +614 -848 +-1043 -129 +-3393 1557 +-1230 -1982 +1024 0 +-1230 1982 +-3393 -1557 +-1043 129 +614 848 +3706 2713 +4743 -1269 +-348 715 +-4096 0 +-4201 -2310 +-508 763 +-1940 -1187 +170 4944 +2363 4884 +-3320 -4287 +-2819 -1509 +-3072 4096 +-73 -5798 +579 -3568 +-1009 1027 +1278 -4944 +-157 1870 +4669 -397 +-487 -297 +-4096 0 +2140 -168 +985 7460 +1288 2874 +-2062 -848 +2584 -831 +4437 859 +1225 590 +2472 -3496 +2862 -206 +-1458 931 +97 919 +-2976 -46 +493 -1425 +4285 -1990 +669 -3103 +4096 0 +669 3103 +4285 1990 +493 1425 +-2976 46 +97 -919 +-1458 -931 +2862 206 +2472 3496 +261 97 +-2216 -3235 +1435 147 +-640 -2158 +2091 -3889 +5563 -2298 +-3139 -3656 +0 0 +-1328 -348 +793 -2778 +1115 5965 +-2856 4834 +-4085 -3285 +-3681 2598 +5307 5216 +-424 -600 +-182 -1227 +1562 805 +-2559 425 +2376 -1154 +-4378 2069 +-4848 5606 +1343 2258 +0 0 +1343 -2258 +-4848 -5606 +-4378 -2069 +2376 1154 +-2559 -425 +1562 -805 +-182 1227 +-424 600 +5307 -5216 +-3681 -2598 +-4085 3285 +-2856 -4834 +1115 -5965 +793 2778 +-1328 348 +0 0 +-3139 3656 +5563 2298 +2091 3889 +-640 2158 +1435 -147 +-2216 3235 +261 -97 +2472 -3496 +2862 -206 +-1458 931 +97 919 +-2976 -46 +493 -1425 +4285 -1990 +669 -3103 +-2048 -2472 +-2203 3065 +-3028 3436 +1847 -809 +-470 300 +-4280 2409 +1337 272 +400 -2796 +-2048 0 +400 2796 +1337 -272 +-4280 -2409 +-470 -300 +1847 809 +-3028 -3436 +-2203 -3065 +-2048 2472 +2431 1548 +4315 406 +980 926 +314 1748 +-112 2638 +-1203 -2520 +3800 -4719 +0 -6144 +-2515 -7512 +5349 911 +-1615 2449 +-2362 -1748 +3023 -2104 +5420 -3246 +301 1164 +-2048 424 +119 -1881 +-5508 4001 +3286 1564 +-1578 300 +-3129 1186 +1510 -2136 +-2334 1571 +2048 0 +-2334 -1571 +1510 2136 +-3129 -1186 +-1578 -300 +3286 -1564 +-5508 -4001 +119 1881 +-2048 -424 +301 -1164 +5420 3246 +3023 2104 +-2362 1748 +-1615 -2449 +5349 -911 +-2515 7512 +0 6144 +3800 4719 +-1203 2520 +-112 -2638 +314 -1748 +980 -926 +4315 -406 +2431 -1548 +-2048 -2472 +-2203 3065 +-3028 3436 +1847 -809 +-470 300 +-4280 2409 +1337 272 +400 -2796 +-3072 -1448 +4784 -2313 +-3843 -1685 +-4663 3909 +2950 1808 +4067 2053 +2634 -163 +-27 -2547 +2048 0 +-27 2547 +2634 163 +4067 -2053 +2950 -1808 +-4663 -3909 +-3843 1685 +4784 2313 +-3072 1448 +-2065 2190 +-1100 -2556 +-179 1879 +3186 -868 +217 -2850 +1604 3549 +2549 1304 +2048 -2048 +-525 -2258 +168 901 +1102 -2385 +1159 -2916 +2831 3065 +4220 2988 +-769 3855 +-3072 1448 +-383 -3902 +-2173 -3859 +-1773 2940 +-7294 240 +-1602 -4116 +-1510 2811 +-3564 -703 +6144 0 +-3564 703 +-1510 -2811 +-1602 4116 +-7294 -240 +-1773 -2940 +-2173 3859 +-383 3902 +-3072 -1448 +-769 -3855 +4220 -2988 +2831 -3065 +1159 2916 +1102 2385 +168 -901 +-525 2258 +2048 2048 +2549 -1304 +1604 -3549 +217 2850 +3186 868 +-179 -1879 +-1100 2556 +-2065 -2190 +-3072 -1448 +4784 -2313 +-3843 -1685 +-4663 3909 +2950 1808 +4067 2053 +2634 -163 +-27 -2547 +-1024 6392 +1682 5232 +-1462 221 +-159 -1981 +3170 1808 +3281 367 +2307 -14 +1229 -3894 +-2048 0 +1229 3894 +2307 14 +3281 -367 +3170 -1808 +-159 1981 +-1462 -221 +1682 -5232 +-1024 -6392 +-3022 -4836 +3127 2560 +-3629 -1371 +1398 -868 +3863 3176 +-2269 -948 +2913 1455 +2048 2048 +1790 640 +-1531 3488 +-803 2879 +-2846 -2916 +-1839 -1079 +3998 2588 +-1117 -482 +-1024 -2296 +-3545 -566 +-2766 5103 +-1292 -256 +-1722 240 +578 5000 +-1404 -6638 +69 -4802 +2048 0 +69 4802 +-1404 6638 +578 -5000 +-1722 -240 +-1292 256 +-2766 -5103 +-3545 566 +-1024 2296 +-1117 482 +3998 -2588 +-1839 1079 +-2846 2916 +-803 -2879 +-1531 -3488 +1790 -640 +2048 -2048 +2913 -1455 +-2269 948 +3863 -3176 +1398 868 +-3629 1371 +3127 -2560 +-3022 4836 +-1024 6392 +1682 5232 +-1462 221 +-159 -1981 +3170 1808 +3281 367 +2307 -14 +1229 -3894 +-1448 -1448 +2357 1029 +2322 1717 +2186 -2293 +1832 2002 +-1922 4571 +-1955 6212 +-438 -2140 +1024 0 +-438 2140 +-1955 -6212 +-1922 -4571 +1832 -2002 +2186 2293 +2322 -1717 +2357 -1029 +-1448 1448 +5078 1098 +4120 1819 +-307 -4248 +1952 -110 +520 1523 +502 -2379 +-2334 -4505 +1024 -4096 +-711 2321 +-4182 5281 +1088 2121 +-3400 2786 +-822 -1397 +668 -5632 +-1655 4872 +1448 1448 +-3563 1490 +-1318 4817 +4295 -1169 +-384 894 +-5038 -2094 +-158 -952 +1267 -482 +-3072 0 +1267 482 +-158 952 +-5038 2094 +-384 -894 +4295 1169 +-1318 -4817 +-3563 -1490 +1448 -1448 +-1655 -4872 +668 5632 +-822 1397 +-3400 -2786 +1088 -2121 +-4182 -5281 +-711 -2321 +1024 4096 +-2334 4505 +502 2379 +520 -1523 +1952 110 +-307 4248 +4120 -1819 +5078 -1098 +-1448 -1448 +2357 1029 +2322 1717 +2186 -2293 +1832 2002 +-1922 4571 +-1955 6212 +-438 -2140 +0 0 +982 8406 +-3059 2491 +-4588 -2358 +300 -1578 +4922 -4347 +-1363 2187 +-5124 -4877 +1024 0 +-5124 4877 +-1363 -2187 +4922 4347 +300 1578 +-4588 2358 +-3059 -2491 +982 -8406 +0 0 +-304 -3233 +-3278 1331 +1840 4313 +1748 -2362 +-2105 500 +-1646 1541 +-1564 -1674 +3072 -2048 +72 -40 +-846 -1236 +183 1127 +1748 -314 +647 -4726 +-1002 771 +188 1177 +0 0 +2919 3364 +347 5638 +3669 2895 +300 -470 +-4568 2965 +2655 3725 +2833 2285 +1024 0 +2833 -2285 +2655 -3725 +-4568 -2965 +300 470 +3669 -2895 +347 -5638 +2919 -3364 +0 0 +188 -1177 +-1002 -771 +647 4726 +1748 314 +183 -1127 +-846 1236 +72 40 +3072 2048 +-1564 1674 +-1646 -1541 +-2105 -500 +1748 2362 +1840 -4313 +-3278 -1331 +-304 3233 +0 0 +982 8406 +-3059 2491 +-4588 -2358 +300 -1578 +4922 -4347 +-1363 2187 +-5124 -4877 +4944 1024 +602 -1722 +3981 611 +3111 2919 +-3700 854 +-2296 -5105 +-4264 -4382 +-815 3005 +2048 0 +-815 -3005 +-4264 4382 +-2296 5105 +-3700 -854 +3111 -2919 +3981 -611 +602 1722 +4944 -1024 +-3171 -3414 +1408 -2942 +2035 -576 +84 3086 +629 -330 +-1312 -295 +4323 6665 +-2048 2048 +11 -859 +-1924 2876 +-5506 -2319 +-2132 -410 +-1897 6067 +5613 1027 +688 -773 +-848 1024 +3209 1154 +-2312 4557 +-2362 3355 +1652 -254 +493 349 +-1189 561 +945 248 +2048 0 +945 -248 +-1189 -561 +493 -349 +1652 254 +-2362 -3355 +-2312 -4557 +3209 -1154 +-848 -1024 +688 773 +5613 -1027 +-1897 -6067 +-2132 410 +-5506 2319 +-1924 -2876 +11 859 +-2048 -2048 +4323 -6665 +-1312 295 +629 330 +84 -3086 +2035 576 +1408 2942 +-3171 3414 +4944 1024 +602 -1722 +3981 611 +3111 2919 +-3700 854 +-2296 -5105 +-4264 -4382 +-815 3005 +1624 -1024 +-4536 1993 +-950 1031 +2117 -2965 +600 410 +-589 15 +-1666 -1069 +1473 -372 +3072 0 +1473 372 +-1666 1069 +-589 -15 +600 -410 +2117 2965 +-950 -1031 +-4536 -1993 +1624 1024 +1473 -3319 +-1659 -1353 +4716 996 +3496 854 +-7295 -258 +-128 -1905 +-1048 4039 +-7168 2048 +-1719 1736 +-693 5051 +8388 -1658 +3496 254 +-1070 1826 +-50 1047 +-558 4132 +4520 -1024 +-842 -1222 +1458 2569 +918 2466 +600 3086 +-1392 3049 +-4506 1033 +-35 -2819 +-1024 0 +-35 2819 +-4506 -1033 +-1392 -3049 +600 -3086 +918 -2466 +1458 -2569 +-842 1222 +4520 1024 +-558 -4132 +-50 -1047 +-1070 -1826 +3496 -254 +8388 1658 +-693 -5051 +-1719 -1736 +-7168 -2048 +-1048 -4039 +-128 1905 +-7295 258 +3496 -854 +4716 -996 +-1659 1353 +1473 3319 +1624 -1024 +-4536 1993 +-950 1031 +2117 -2965 +600 410 +-589 15 +-1666 -1069 +1473 -372 +2048 -424 +3740 446 +556 -1194 +-1248 -5731 +-894 -724 +552 -575 +1113 3970 +-2343 -4104 +-4096 0 +-2343 4104 +1113 -3970 +552 575 +-894 724 +-1248 5731 +556 1194 +3740 -446 +2048 424 +4720 -5917 +2484 -941 +-1500 2180 +2786 724 +-3333 -4916 +264 -597 +7070 4048 +-2048 2048 +1813 -1588 +3741 -2489 +-2277 2313 +110 -724 +-1468 1241 +-2704 -158 +844 200 +2048 2472 +-3511 -1560 +-6128 1978 +-1577 1319 +-2002 -724 +-734 -3860 +675 -5862 +-748 -570 +0 0 +-748 570 +675 5862 +-734 3860 +-2002 724 +-1577 -1319 +-6128 -1978 +-3511 1560 +2048 -2472 +844 -200 +-2704 158 +-1468 -1241 +110 724 +-2277 -2313 +3741 2489 +1813 1588 +-2048 -2048 +7070 -4048 +264 597 +-3333 4916 +2786 -724 +-1500 -2180 +2484 941 +4720 5917 +2048 -424 +3740 446 +556 -1194 +-1248 -5731 +-894 -724 +552 -575 +1113 3970 +-2343 -4104 +-2348 -3796 +-1063 -3659 +238 -2099 +808 178 +-3548 1984 +-1422 -1406 +-1917 2172 +-3726 699 +2048 0 +-3726 -699 +-1917 -2172 +-1422 1406 +-3548 -1984 +808 -178 +238 2099 +-1063 3659 +-2348 3796 +-1765 2282 +-536 1710 +823 -6842 +-3778 -1910 +2314 -835 +3593 -3859 +-5131 4525 +3072 1024 +5307 -213 +3687 -2787 +1591 -4001 +-318 1586 +1574 545 +2704 2635 +-508 -837 +-3796 -2348 +2008 1428 +-1206 1824 +-4093 2745 +-548 -2584 +4197 -432 +1629 5892 +-914 1244 +4096 0 +-914 -1244 +1629 -5892 +4197 432 +-548 2584 +-4093 -2745 +-1206 -1824 +2008 -1428 +-3796 2348 +-508 837 +2704 -2635 +1574 -545 +-318 -1586 +1591 4001 +3687 2787 +5307 213 +3072 -1024 +-5131 -4525 +3593 3859 +2314 835 +-3778 1910 +823 6842 +-536 -1710 +-1765 -2282 +-2348 -3796 +-1063 -3659 +238 -2099 +808 178 +-3548 1984 +-1422 -1406 +-1917 2172 +-3726 699 +2772 1148 +-2508 -7049 +-3264 -2903 +-2057 -2813 +3178 -2114 +-1773 1819 +-3249 -5259 +4661 307 +3072 0 +4661 -307 +-3249 5259 +-1773 -1819 +3178 2114 +-2057 2813 +-3264 2903 +-2508 7049 +2772 -1148 +493 1050 +760 5544 +-265 -1889 +-2948 -1900 +-279 4782 +-474 -711 +1888 -3241 +2048 3072 +-1034 1842 +1102 1661 +5953 935 +52 -452 +-3244 -2174 +-3916 -1072 +-3088 2172 +1324 -3196 +431 -2294 +2324 383 +-465 2767 +-282 3562 +-3663 364 +-1475 3536 +4949 104 +1024 0 +4949 -104 +-1475 -3536 +-3663 -364 +-282 -3562 +-465 -2767 +2324 -383 +431 2294 +1324 3196 +-3088 -2172 +-3916 1072 +-3244 2174 +52 452 +5953 -935 +1102 -1661 +-1034 -1842 +2048 -3072 +1888 3241 +-474 711 +-279 -4782 +-2948 1900 +-265 1889 +760 -5544 +493 -1050 +2772 1148 +-2508 -7049 +-3264 -2903 +-2057 -2813 +3178 -2114 +-1773 1819 +-3249 -5259 +4661 307 +0 3496 +-2041 2706 +-4991 -686 +2839 -954 +-834 -784 +-4005 958 +1585 4587 +6367 -1402 +13312 0 +6367 1402 +1585 -4587 +-4005 -958 +-834 784 +2839 954 +-4991 686 +-2041 -2706 +0 -3496 +1257 -250 +-475 -491 +679 -1068 +1618 1892 +-2482 -4008 +-121 1173 +2175 2785 +1024 -6144 +-1096 2929 +185 1801 +1534 -1822 +2726 1892 +-2149 1196 +-2577 2665 +938 -827 +0 600 +-393 -387 +-1845 -254 +3302 6113 +-3510 784 +-5511 -1668 +47 137 +-1414 -1350 +1024 0 +-1414 1350 +47 -137 +-5511 1668 +-3510 -784 +3302 -6113 +-1845 254 +-393 387 +0 -600 +938 827 +-2577 -2665 +-2149 -1196 +2726 -1892 +1534 1822 +185 -1801 +-1096 -2929 +1024 6144 +2175 -2785 +-121 -1173 +-2482 4008 +1618 -1892 +679 1068 +-475 491 +1257 250 +0 3496 +-2041 2706 +-4991 -686 +2839 -954 +-834 -784 +-4005 958 +1585 4587 +6367 -1402 +3372 -3196 +-260 -8383 +-444 -2719 +5458 -1286 +-5336 -1116 +-2686 -1770 +879 -2012 +-3187 4444 +5120 0 +-3187 -4444 +879 2012 +-2686 1770 +-5336 1116 +5458 1286 +-444 2719 +-260 8383 +3372 3196 +6441 -2179 +-1648 993 +-2809 1629 +1794 1670 +-3084 1141 +597 1114 +-394 1265 +-4096 -1024 +-4189 -1183 +2300 330 +3792 2051 +-98 222 +971 -2122 +-1248 -899 +1361 -2140 +4820 1148 +27 4326 +-2452 827 +-485 1631 +-4552 -332 +-1157 -1416 +2017 1228 +202 -2796 +3072 0 +202 2796 +2017 -1228 +-1157 1416 +-4552 332 +-485 -1631 +-2452 -827 +27 -4326 +4820 -1148 +1361 2140 +-1248 899 +971 2122 +-98 -222 +3792 -2051 +2300 -330 +-4189 1183 +-4096 1024 +-394 -1265 +597 -1114 +-3084 -1141 +1794 -1670 +-2809 -1629 +-1648 -993 +6441 2179 +3372 -3196 +-260 -8383 +-444 -2719 +5458 -1286 +-5336 -1116 +-2686 -1770 +879 -2012 +-3187 4444 +-2472 1448 +3808 -2386 +1084 492 +107 1362 +-568 -914 +-2993 1446 +-2611 -3992 +-2933 47 +0 0 +-2933 -47 +-2611 3992 +-2993 -1446 +-568 914 +107 -1362 +1084 -492 +3808 2386 +-2472 -1448 +2177 64 +1539 177 +-2746 2307 +1988 -1918 +1684 1999 +143 9942 +5886 1397 +4096 0 +-3018 -1706 +41 -2911 +-1475 2370 +3556 3026 +-493 1125 +-6928 -1660 +2434 -575 +424 -1448 +-1738 418 +-2688 2263 +1802 -1656 +3216 1762 +-1680 5606 +1227 3924 +-823 1315 +-4096 0 +-823 -1315 +1227 -3924 +-1680 -5606 +3216 -1762 +1802 1656 +-2688 -2263 +-1738 -418 +424 1448 +2434 575 +-6928 1660 +-493 -1125 +3556 -3026 +-1475 -2370 +41 2911 +-3018 1706 +4096 0 +5886 -1397 +143 -9942 +1684 -1999 +1988 1918 +-2746 -2307 +1539 -177 +2177 -64 +-2472 1448 +3808 -2386 +1084 492 +107 1362 +-568 -914 +-2993 1446 +-2611 -3992 +-2933 47 +-300 5668 +-1117 809 +-291 -213 +-1029 -1399 +-1486 -4202 +-2284 4318 +-4235 3729 +398 -369 +7168 0 +398 369 +-4235 -3729 +-2284 -4318 +-1486 4202 +-1029 1399 +-291 213 +-1117 -809 +-300 -5668 +-261 5302 +-1706 2527 +-1534 -4693 +-5056 1924 +509 -1737 +767 -2265 +1423 -480 +4096 -3072 +-2041 -2498 +4140 -2146 +4130 1449 +-488 1076 +-4469 1112 +-2742 4863 +213 929 +-1748 -1572 +2732 2699 +1844 5446 +3248 1540 +-1162 -742 +1429 1396 +2223 -713 +-1347 -1961 +5120 0 +-1347 1961 +2223 713 +1429 -1396 +-1162 742 +3248 -1540 +1844 -5446 +2732 -2699 +-1748 1572 +213 -929 +-2742 -4863 +-4469 -1112 +-488 -1076 +4130 -1449 +4140 2146 +-2041 2498 +4096 3072 +1423 480 +767 2265 +509 1737 +-5056 -1924 +-1534 4693 +-1706 -2527 +-261 -5302 +-300 5668 +-1117 809 +-291 -213 +-1029 -1399 +-1486 -4202 +-2284 4318 +-4235 3729 +398 -369 +3196 -1148 +-469 -2993 +-4271 -1745 +-806 -5944 +1886 -646 +-3189 -2623 +-1176 -4290 +307 417 +-4096 0 +307 -417 +-1176 4290 +-3189 2623 +1886 646 +-806 5944 +-4271 1745 +-469 2993 +3196 1148 +3117 -2243 +-480 -114 +-5497 558 +-236 1356 +490 -2597 +-3374 2199 +-306 -1703 +-3072 -3072 +3841 4185 +3883 -1860 +-3092 -5095 +4332 -2140 +2230 25 +-340 381 +-1582 4235 +-1148 3196 +262 -344 +-1901 -4751 +4961 -3487 +2210 1246 +-890 -2979 +-532 1430 +621 1449 +6144 0 +621 -1449 +-532 -1430 +-890 2979 +2210 -1246 +4961 3487 +-1901 4751 +262 344 +-1148 -3196 +-1582 -4235 +-340 -381 +2230 -25 +4332 2140 +-3092 5095 +3883 1860 +3841 -4185 +-3072 3072 +-306 1703 +-3374 -2199 +490 2597 +-236 -1356 +-5497 -558 +-480 114 +3117 2243 +3196 -1148 +-469 -2993 +-4271 -1745 +-806 -5944 +1886 -646 +-3189 -2623 +-1176 -4290 +307 417 +1148 1748 +124 3960 +-3459 4717 +-1497 3132 +-1056 -2584 +1178 -2008 +1537 -1252 +8 -1513 +4096 0 +8 1513 +1537 1252 +1178 2008 +-1056 2584 +-1497 -3132 +-3459 -4717 +124 -3960 +1148 -1748 +-2651 626 +-3393 359 +6528 2007 +502 -1586 +-4251 -586 +3828 4110 +-167 2105 +-1024 -1024 +1184 2026 +516 7230 +-1526 4671 +2394 1910 +1178 -2859 +-952 -764 +691 2735 +-3196 300 +269 3629 +-885 -2944 +-2425 323 +-1840 1984 +815 -4192 +2807 7268 +542 3521 +2048 0 +542 -3521 +2807 -7268 +815 4192 +-1840 -1984 +-2425 -323 +-885 2944 +269 -3629 +-3196 -300 +691 -2735 +-952 764 +1178 2859 +2394 -1910 +-1526 -4671 +516 -7230 +1184 -2026 +-1024 1024 +-167 -2105 +3828 -4110 +-4251 586 +502 1586 +6528 -2007 +-3393 -359 +-2651 -626 +1148 1748 +124 3960 +-3459 4717 +-1497 3132 +-1056 -2584 +1178 -2008 +1537 -1252 +8 -1513 +-2472 -2472 +755 865 +2545 -1246 +-3926 -1702 +-2122 144 +-1042 -74 +-3004 1356 +-862 -1928 +-3072 0 +-862 1928 +-3004 -1356 +-1042 74 +-2122 -144 +-3926 1702 +2545 1246 +755 -865 +-2472 2472 +-740 3565 +3632 646 +2319 -951 +2446 484 +-1395 1733 +-779 2140 +3098 -3522 +-3072 -2048 +-67 2204 +-1517 -2140 +-4221 3180 +-2446 1084 +1530 -5208 +2761 -646 +-2613 3766 +424 424 +3927 -7344 +3848 -1246 +964 -3884 +2122 -3640 +-22 5985 +708 1356 +2295 6765 +-3072 0 +2295 -6765 +708 -1356 +-22 -5985 +2122 3640 +964 3884 +3848 1246 +3927 7344 +424 -424 +-2613 -3766 +2761 646 +1530 5208 +-2446 -1084 +-4221 -3180 +-1517 2140 +-67 -2204 +-3072 2048 +3098 3522 +-779 -2140 +-1395 -1733 +2446 -484 +2319 951 +3632 -646 +-740 -3565 +-2472 -2472 +755 865 +2545 -1246 +-3926 -1702 +-2122 144 +-1042 -74 +-3004 1356 +-862 -1928 +600 -3072 +2468 -4272 +2978 -2996 +-3734 -2725 +-8064 -1278 +-1972 433 +2100 558 +-470 -4234 +-4096 0 +-470 4234 +2100 -558 +-1972 -433 +-8064 1278 +-3734 2725 +2978 2996 +2468 4272 +600 3072 +-646 -3196 +608 -1732 +-461 -1401 +-275 -614 +649 762 +3094 -2893 +-1333 3216 +-2048 2048 +254 -3451 +-2155 3631 +4346 2155 +-924 -2062 +536 1891 +-1235 861 +-5623 -5603 +3496 -3072 +-1313 1185 +1746 -4299 +1203 -2231 +1072 -170 +5225 -1495 +1056 4270 +869 2680 +0 0 +869 -2680 +1056 -4270 +5225 1495 +1072 170 +1203 2231 +1746 4299 +-1313 -1185 +3496 3072 +-5623 5603 +-1235 -861 +536 -1891 +-924 2062 +4346 -2155 +-2155 -3631 +254 3451 +-2048 -2048 +-1333 -3216 +3094 2893 +649 -762 +-275 614 +-461 1401 +608 1732 +-646 3196 +600 -3072 +2468 -4272 +2978 -2996 +-3734 -2725 +-8064 -1278 +-1972 433 +2100 558 +-470 -4234 +4220 -1148 +610 4117 +-2527 419 +3199 194 +3602 -1402 +-3814 -3212 +68 -964 +5115 -427 +3072 0 +5115 427 +68 964 +-3814 3212 +3602 1402 +3199 -194 +-2527 -419 +610 -4117 +4220 1148 +1012 -352 +-83 -3981 +-3188 -1209 +-5421 -3404 +-93 -4133 +669 -727 +2417 3884 +0 1024 +-2460 1792 +6130 -543 +770 1285 +-2420 4188 +2833 -2218 +-3582 1407 +-3754 364 +-124 3196 +3460 -816 +3295 -2023 +-1956 4222 +143 -3294 +-3544 70 +-3971 2348 +-607 3319 +-3072 0 +-607 -3319 +-3971 -2348 +-3544 -70 +143 3294 +-1956 -4222 +3295 2023 +3460 816 +-124 -3196 +-3754 -364 +-3582 -1407 +2833 2218 +-2420 -4188 +770 -1285 +6130 543 +-2460 -1792 +0 -1024 +2417 -3884 +669 727 +-93 4133 +-5421 3404 +-3188 1209 +-83 3981 +1012 352 +4220 -1148 +610 4117 +-2527 419 +3199 194 +3602 -1402 +-3814 -3212 +68 -964 +5115 -427 +4220 900 +5775 996 +981 -2734 +-2001 -2950 +2754 138 +-699 -1982 +-4404 -1366 +-2069 -898 +-5120 0 +-2069 898 +-4404 1366 +-699 1982 +2754 -138 +-2001 2950 +981 2734 +5775 -996 +4220 -900 +-1965 2208 +-953 4247 +-2416 328 +-476 -536 +2669 -220 +1752 312 +-2546 1875 +-4096 1024 +6184 -1991 +2152 -1072 +-51 380 +2524 -4032 +-492 5878 +185 5851 +-252 -2725 +-124 5244 +907 -946 +2684 -2654 +-1772 -59 +-706 462 +-1032 8007 +-2396 1182 +-240 -383 +-3072 0 +-240 383 +-2396 -1182 +-1032 -8007 +-706 -462 +-1772 59 +2684 2654 +907 946 +-124 -5244 +-252 2725 +185 -5851 +-492 -5878 +2524 4032 +-51 -380 +2152 1072 +6184 1991 +-4096 -1024 +-2546 -1875 +1752 -312 +2669 220 +-476 536 +-2416 -328 +-953 -4247 +-1965 -2208 +4220 900 +5775 996 +981 -2734 +-2001 -2950 +2754 138 +-699 -1982 +-4404 -1366 +-2069 -898 +-724 1324 +1319 1178 +2558 3957 +-217 -4227 +-3902 -4406 +-4300 896 +-1472 -4853 +-932 2328 +-2048 0 +-932 -2328 +-1472 4853 +-4300 -896 +-3902 4406 +-217 4227 +2558 -3957 +1319 -1178 +-724 -1324 +1716 -1294 +3768 2897 +2791 2029 +3672 2609 +2002 -61 +-1923 -1424 +481 4706 +1024 -1024 +-3958 -889 +-1757 2893 +2580 4591 +672 2609 +530 -1866 +1021 589 +-467 -3225 +724 2772 +-351 -1853 +-1553 -3866 +2248 6645 +-442 4406 +-5633 1876 +-640 -4815 +2193 1525 +0 0 +2193 -1525 +-640 4815 +-5633 -1876 +-442 -4406 +2248 -6645 +-1553 3866 +-351 1853 +724 -2772 +-467 3225 +1021 -589 +530 1866 +672 -2609 +2580 -4591 +-1757 -2893 +-3958 889 +1024 1024 +481 -4706 +-1923 1424 +2002 61 +3672 -2609 +2791 -2029 +3768 -2897 +1716 1294 +-724 1324 +1319 1178 +2558 3957 +-217 -4227 +-3902 -4406 +-4300 896 +-1472 -4853 +-932 2328 +-724 124 +-840 969 +-3284 -1348 +-3597 278 +-6708 2901 +-951 -463 +3556 5190 +-457 80 +0 0 +-457 -80 +3556 -5190 +-951 463 +-6708 -2901 +-3597 -278 +-3284 1348 +-840 -969 +-724 -124 +1551 2364 +-773 2081 +-3076 -2448 +971 2230 +-2155 957 +2020 -1573 +3051 693 +-3072 1024 +1808 -894 +6045 -1912 +1983 -362 +-1571 1879 +-1878 1482 +1048 -2043 +6240 -1065 +724 -4220 +409 -614 +4208 121 +-1026 2084 +3212 9036 +-885 -2423 +-4628 -2633 +-178 2118 +-2048 0 +-178 -2118 +-4628 2633 +-885 2423 +3212 -9036 +-1026 -2084 +4208 -121 +409 614 +724 4220 +6240 1065 +1048 2043 +-1878 -1482 +-1571 -1879 +1983 362 +6045 1912 +1808 894 +-3072 -1024 +3051 -693 +2020 1573 +-2155 -957 +971 -2230 +-3076 2448 +-773 -2081 +1551 -2364 +-724 124 +-840 969 +-3284 -1348 +-3597 278 +-6708 2901 +-951 -463 +3556 5190 +-457 80 +424 600 +-2054 -1296 +-824 -537 +1633 3294 +1867 -2002 +5159 1737 +-5425 2022 +-3447 -725 +8192 0 +-3447 725 +-5425 -2022 +5159 -1737 +1867 2002 +1633 -3294 +-824 537 +-2054 1296 +424 -600 +-3437 2968 +5218 3561 +4549 1636 +-2036 110 +2879 1258 +1924 -4081 +3588 -932 +0 0 +-4476 -2500 +-2760 -297 +1720 -2526 +5532 -2786 +-515 -2148 +1766 1994 +940 1401 +-2472 3496 +-1242 2864 +-4463 -1030 +126 490 +-1268 -894 +-3966 2047 +-3628 1762 +-1458 2293 +4096 0 +-1458 -2293 +-3628 -1762 +-3966 -2047 +-1268 894 +126 -490 +-4463 1030 +-1242 -2864 +-2472 -3496 +940 -1401 +1766 -1994 +-515 2148 +5532 2786 +1720 2526 +-2760 297 +-4476 2500 +0 0 +3588 932 +1924 4081 +2879 -1258 +-2036 -110 +4549 -1636 +5218 -3561 +-3437 -2968 +424 600 +-2054 -1296 +-824 -537 +1633 3294 +1867 -2002 +5159 1737 +-5425 2022 +-3447 -725 +2472 -424 +2058 458 +1867 2443 +222 -167 +-894 -2532 +-5838 -6068 +-669 -840 +729 -1909 +-9216 0 +729 1909 +-669 840 +-5838 6068 +-894 2532 +222 167 +1867 -2443 +2058 -458 +2472 424 +74 -2981 +542 2356 +281 -2189 +2786 1592 +5197 6134 +3494 979 +-2587 3499 +-5120 -2048 +2794 198 +-218 3471 +-1930 -2353 +110 2192 +3798 3528 +1846 -1924 +-3610 -1957 +-424 2472 +-2987 1455 +2738 269 +2380 1420 +-2002 -964 +1683 -1091 +-1407 2133 +-2265 5288 +-1024 0 +-2265 -5288 +-1407 -2133 +1683 1091 +-2002 964 +2380 -1420 +2738 -269 +-2987 -1455 +-424 -2472 +-3610 1957 +1846 1924 +3798 -3528 +110 -2192 +-1930 2353 +-218 -3471 +2794 -198 +-5120 2048 +-2587 -3499 +3494 -979 +5197 -6134 +2786 -1592 +281 2189 +542 -2356 +74 2981 +2472 -424 +2058 458 +1867 2443 +222 -167 +-894 -2532 +-5838 -6068 +-669 -840 +729 -1909 +-2596 2348 +-1979 3860 +2587 5572 +-516 6 +52 -3248 +3407 714 +6500 -2616 +1153 -724 +-6144 0 +1153 724 +6500 2616 +3407 -714 +52 3248 +-516 -6 +2587 -5572 +-1979 -3860 +-2596 -2348 +4064 -451 +-350 2168 +-749 -571 +3178 -2030 +-693 59 +-313 922 +-221 2060 +-3072 -3072 +-844 227 +25 1418 +-2660 -2143 +-282 -1430 +3780 687 +-617 5028 +-215 2222 +4644 3796 +-982 -2516 +-419 -1512 +1949 2141 +-2948 -248 +1275 3764 +780 -3881 +-6769 3356 +-8192 0 +-6769 -3356 +780 3881 +1275 -3764 +-2948 248 +1949 -2141 +-419 1512 +-982 2516 +4644 -3796 +-215 -2222 +-617 -5028 +3780 -687 +-282 1430 +-2660 2143 +25 -1418 +-844 -227 +-3072 3072 +-221 -2060 +-313 -922 +-693 -59 +3178 2030 +-749 571 +-350 -2168 +4064 451 +-2596 2348 +-1979 3860 +2587 5572 +-516 6 +52 -3248 +3407 714 +6500 -2616 +1153 -724 +-424 -2048 +641 1091 +-2156 512 +-2910 6176 +-2282 2916 +-2724 1697 +818 1113 +988 -4184 +0 0 +988 4184 +818 -1113 +-2724 -1697 +-2282 -2916 +-2910 -6176 +-2156 -512 +641 -1091 +-424 2048 +4113 2017 +585 2151 +-259 5289 +3066 1808 +-1119 3116 +2383 -2395 +-722 337 +-2048 4096 +1412 2381 +-151 1729 +2467 -219 +4175 -240 +3595 -3485 +-3925 4707 +-1351 2797 +2472 -2048 +-4970 736 +2600 -852 +3358 4074 +-4958 -868 +-2408 -5784 +-154 115 +-111 3882 +0 0 +-111 -3882 +-154 -115 +-2408 5784 +-4958 868 +3358 -4074 +2600 852 +-4970 -736 +2472 2048 +-1351 -2797 +-3925 -4707 +3595 3485 +4175 240 +2467 219 +-151 -1729 +1412 -2381 +-2048 -4096 +-722 -337 +2383 2395 +-1119 -3116 +3066 -1808 +-259 -5289 +585 -2151 +4113 -2017 +-424 -2048 +641 1091 +-2156 512 +-2910 6176 +-2282 2916 +-2724 1697 +818 1113 +988 -4184 +-3496 -424 +-1874 -1195 +618 -1497 +-1954 -4715 +360 -410 +-490 -332 +-4951 -2941 +2223 -2035 +6144 0 +2223 2035 +-4951 2941 +-490 332 +360 410 +-1954 4715 +618 1497 +-1874 1195 +-3496 424 +-2557 -2910 +4597 38 +2878 -655 +580 -854 +-407 -806 +-3105 1975 +-456 5616 +0 0 +1206 -3382 +-131 3579 +4741 6301 +4364 -254 +933 2778 +2423 222 +754 -1810 +-600 2472 +-3003 -6264 +1051 2881 +-528 5564 +-1208 -3086 +620 2455 +-502 -2447 +-2085 -1538 +-6144 0 +-2085 1538 +-502 2447 +620 -2455 +-1208 3086 +-528 -5564 +1051 -2881 +-3003 6264 +-600 -2472 +754 1810 +2423 -222 +933 -2778 +4364 254 +4741 -6301 +-131 -3579 +1206 3382 +0 0 +-456 -5616 +-3105 -1975 +-407 806 +580 854 +2878 655 +4597 -38 +-2557 2910 +-3496 -424 +-1874 -1195 +618 -1497 +-1954 -4715 +360 -410 +-490 -332 +-4951 -2941 +2223 -2035 +2472 -600 +-3805 1612 +-1103 -465 +-5518 -1184 +3759 110 +4566 -515 +-1043 1907 +4485 30 +2048 0 +4485 -30 +-1043 -1907 +4566 515 +3759 -110 +-5518 1184 +-1103 465 +-3805 -1612 +2472 600 +3430 -792 +-711 -57 +-614 -726 +-1252 -894 +-242 1316 +2879 -2523 +4138 -3726 +2048 -4096 +2851 -1317 +-6819 2829 +-182 751 +4749 2002 +-8538 2114 +-2121 2160 +2664 817 +-424 -3496 +-930 -3 +-161 2682 +-1827 4025 +-3160 2786 +769 -50 +887 3445 +-1248 2378 +-2048 0 +-1248 -2378 +887 -3445 +769 50 +-3160 -2786 +-1827 -4025 +-161 -2682 +-930 3 +-424 3496 +2664 -817 +-2121 -2160 +-8538 -2114 +4749 -2002 +-182 -751 +-6819 -2829 +2851 1317 +2048 4096 +4138 3726 +2879 2523 +-242 -1316 +-1252 894 +-614 726 +-711 57 +3430 792 +2472 -600 +-3805 1612 +-1103 -465 +-5518 -1184 +3759 110 +4566 -515 +-1043 1907 +4485 30 +4520 3496 +-1569 3325 +1971 2364 +-516 -1794 +2506 580 +658 -2192 +-4717 -822 +-1013 -3324 +0 0 +-1013 3324 +-4717 822 +658 2192 +2506 -580 +-516 1794 +1971 -2364 +-1569 -3325 +4520 -3496 +4874 -2911 +-3280 2670 +282 -1681 +954 -1208 +-2516 -3767 +-4644 -2924 +-1751 4156 +2048 -2048 +908 -7583 +-745 -2325 +1422 -3731 +495 -360 +-2649 7010 +1897 -827 +475 -925 +1624 600 +2901 1765 +-1787 1132 +1554 669 +-3954 4364 +-4027 -1795 +3113 222 +968 482 +0 0 +968 -482 +3113 -222 +-4027 1795 +-3954 -4364 +1554 -669 +-1787 -1132 +2901 -1765 +1624 -600 +475 925 +1897 827 +-2649 -7010 +495 360 +1422 3731 +-745 2325 +908 7583 +2048 2048 +-1751 -4156 +-4644 2924 +-2516 3767 +954 1208 +282 1681 +-3280 -2670 +4874 2911 +4520 3496 +-1569 3325 +1971 2364 +-516 -1794 +2506 580 +658 -2192 +-4717 -822 +-1013 -3324 +1748 -1572 +-587 2340 +-3528 2739 +-3514 83 +2030 3622 +1122 3970 +-368 234 +-28 -4145 +-5120 0 +-28 4145 +-368 -234 +1122 -3970 +2030 -3622 +-3514 -83 +-3528 -2739 +-587 -2340 +1748 1572 +-2208 -1137 +-2491 -2844 +-1925 -2314 +248 -717 +-412 -498 +1661 1226 +2115 2703 +-4096 3072 +2214 1434 +452 -1931 +-1345 -1647 +3248 -717 +4324 7302 +1487 1880 +-3008 -3707 +300 5668 +1131 -3710 +-1260 -2111 +-4916 2540 +-1430 -3622 +873 1874 +4048 705 +6164 1677 +1024 0 +6164 -1677 +4048 -705 +873 -1874 +-1430 3622 +-4916 -2540 +-1260 2111 +1131 3710 +300 -5668 +-3008 3707 +1487 -1880 +4324 -7302 +3248 717 +-1345 1647 +452 1931 +2214 -1434 +-4096 -3072 +2115 -2703 +1661 -1226 +-412 498 +248 717 +-1925 2314 +-2491 2844 +-2208 1137 +1748 -1572 +-587 2340 +-3528 2739 +-3514 83 +2030 3622 +1122 3970 +-368 234 +-28 -4145 +2048 -3920 +-1362 -3941 +-481 4393 +7145 3360 +1918 1084 +1661 2618 +4631 -1076 +-100 -2576 +0 0 +-100 2576 +4631 1076 +1661 -2618 +1918 -1084 +7145 -3360 +-481 -4393 +-1362 3941 +2048 3920 +481 1563 +-358 3104 +-876 -1770 +-1762 -144 +-1535 1465 +-2474 -5173 +1281 -1825 +-2048 0 +-3849 -2507 +306 4939 +-4898 3993 +914 -3640 +-114 -1283 +646 781 +4693 1014 +2048 1872 +2188 -392 +-6799 -1421 +764 -1306 +3026 -484 +-2147 1478 +-3663 100 +-3333 -1889 +4096 0 +-3333 1889 +-3663 -100 +-2147 -1478 +3026 484 +764 1306 +-6799 1421 +2188 392 +2048 -1872 +4693 -1014 +646 -781 +-114 1283 +914 3640 +-4898 -3993 +306 -4939 +-3849 2507 +-2048 0 +1281 1825 +-2474 5173 +-1535 -1465 +-1762 144 +-876 1770 +-358 -3104 +481 -1563 +2048 -3920 +-1362 -3941 +-481 4393 +7145 3360 +1918 1084 +1661 2618 +4631 -1076 +-100 -2576 +-900 1324 +-4222 4794 +-2247 3227 +1810 -2147 +2694 -1102 +1140 4266 +424 -4468 +121 2535 +-1024 0 +121 -2535 +424 4468 +1140 -4266 +2694 1102 +1810 2147 +-2247 -3227 +-4222 -4794 +-900 -1324 +-1334 1474 +-617 1501 +1062 1772 +692 -1656 +3113 6439 +-1534 961 +-2106 -4063 +2048 -1024 +63 -4271 +2318 -1234 +1411 -513 +-92 2440 +-281 -1960 +-1275 -5439 +-85 169 +-5244 2772 +528 451 +4139 -6072 +-5047 -6361 +802 -2994 +2584 -2009 +-1207 -1823 +1242 1409 +1024 0 +1242 -1409 +-1207 1823 +2584 2009 +802 2994 +-5047 6361 +4139 6072 +528 -451 +-5244 -2772 +-85 -169 +-1275 5439 +-281 1960 +-92 -2440 +1411 513 +2318 1234 +63 4271 +2048 1024 +-2106 4063 +-1534 -961 +3113 -6439 +692 1656 +1062 -1772 +-617 -1501 +-1334 -1474 +-900 1324 +-4222 4794 +-2247 3227 +1810 -2147 +2694 -1102 +1140 4266 +424 -4468 +121 2535 +-124 -6268 +1490 88 +2261 3276 +-2051 3947 +-332 -1840 +3639 -2612 +-2009 -3045 +-712 -7053 +2048 0 +-712 7053 +-2009 3045 +3639 2612 +-332 1840 +-2051 -3947 +2261 -3276 +1490 -88 +-124 6268 +-2257 4726 +2005 -553 +-1182 2955 +-222 2394 +-1821 5306 +-3916 2579 +3674 -3884 +-3072 -1024 +-2536 466 +1804 1471 +-188 -967 +1670 -502 +1582 46 +-1001 2123 +3960 3825 +4220 -1924 +-3192 1332 +2528 -601 +1651 -2024 +-1116 -1056 +-1630 -2224 +-1671 1937 +-426 -6054 +-4096 0 +-426 6054 +-1671 -1937 +-1630 2224 +-1116 1056 +1651 2024 +2528 601 +-3192 -1332 +4220 1924 +3960 -3825 +-1001 -2123 +1582 -46 +1670 502 +-188 967 +1804 -1471 +-2536 -466 +-3072 1024 +3674 3884 +-3916 -2579 +-1821 -5306 +-222 -2394 +-1182 -2955 +2005 553 +-2257 -4726 +-124 -6268 +1490 88 +2261 3276 +-2051 3947 +-332 -1840 +3639 -2612 +-2009 -3045 +-712 -7053 +-3796 1748 +2563 1343 +895 511 +-192 -1418 +-2394 2694 +513 -1796 +5308 -3333 +2727 24 +4096 0 +2727 -24 +5308 3333 +513 1796 +-2394 -2694 +-192 1418 +895 -511 +2563 -1343 +-3796 -1748 +-3727 -4181 +-4461 767 +-1079 196 +1056 692 +-887 -3092 +1127 -5240 +-633 1023 +1024 1024 +-4036 2876 +-5031 -480 +3955 -3531 +1840 92 +-1047 -1498 +5230 -3773 +-1303 -640 +-2348 300 +4035 -5683 +-4560 244 +-1466 5388 +-502 802 +202 -1171 +1492 -2995 +374 2140 +6144 0 +374 -2140 +1492 2995 +202 1171 +-502 -802 +-1466 -5388 +-4560 -244 +4035 5683 +-2348 -300 +-1303 640 +5230 3773 +-1047 1498 +1840 -92 +3955 3531 +-5031 480 +-4036 -2876 +1024 -1024 +-633 -1023 +1127 5240 +-887 3092 +1056 -692 +-1079 -196 +-4461 -767 +-3727 4181 +-3796 1748 +2563 1343 +895 511 +-192 -1418 +-2394 2694 +513 -1796 +5308 -3333 +2727 24 +300 -4644 +3147 1033 +1074 5077 +-2930 1590 +632 3452 +-3352 2497 +-749 1013 +6706 -3860 +2048 0 +6706 3860 +-749 -1013 +-3352 -2497 +632 -3452 +-2930 -1590 +1074 -5077 +3147 -1033 +300 4644 +-4751 -1035 +1143 4206 +4361 6281 +1970 1345 +-1846 -907 +-7224 295 +-1030 3384 +1024 1024 +-3584 2484 +-1504 2279 +2714 -1880 +78 -103 +531 2467 +-1863 -894 +-1463 473 +1748 2596 +-2285 -1742 +1341 3807 +256 -52 +1416 -4900 +268 1882 +-411 -1429 +3260 743 +0 0 +3260 -743 +-411 1429 +268 -1882 +1416 4900 +256 52 +1341 -3807 +-2285 1742 +1748 -2596 +-1463 -473 +-1863 894 +531 -2467 +78 103 +2714 1880 +-1504 -2279 +-3584 -2484 +1024 -1024 +-1030 -3384 +-7224 -295 +-1846 907 +1970 -1345 +4361 -6281 +1143 -4206 +-4751 1035 +300 -4644 +3147 1033 +1074 5077 +-2930 1590 +632 3452 +-3352 2497 +-749 1013 +6706 -3860 +-724 -4820 +72 7478 +-3154 6640 +-3084 223 +-332 -52 +1114 2555 +2381 -1180 +2623 2918 +2048 0 +2623 -2918 +2381 1180 +1114 -2555 +-332 52 +-3084 -223 +-3154 -6640 +72 -7478 +-724 4820 +-2577 681 +341 -4646 +1226 482 +-222 -3178 +1827 2882 +742 -3642 +-3529 447 +-1024 5120 +1826 -6492 +-1526 -3183 +-1427 -5150 +1670 -282 +783 4513 +1551 1814 +3715 -111 +724 -3372 +-1210 737 +1262 -180 +1075 -1647 +-1116 2948 +-1514 3735 +-1597 1639 +-920 2544 +0 0 +-920 -2544 +-1597 -1639 +-1514 -3735 +-1116 -2948 +1075 1647 +1262 180 +-1210 -737 +724 3372 +3715 111 +1551 -1814 +783 -4513 +1670 282 +-1427 5150 +-1526 3183 +1826 6492 +-1024 -5120 +-3529 -447 +742 3642 +1827 -2882 +-222 3178 +1226 -482 +341 4646 +-2577 -681 +-724 -4820 +72 7478 +-3154 6640 +-3084 223 +-332 -52 +1114 2555 +2381 -1180 +2623 2918 +0 1624 +-1801 -2660 +3220 -2426 +2121 3167 +182 1148 +-1047 3110 +-536 3029 +1828 2446 +-2048 0 +1828 -2446 +-536 -3029 +-1047 -3110 +182 -1148 +2121 -3167 +3220 2426 +-1801 2660 +0 -1624 +-2854 4128 +430 2736 +2417 -2195 +-1282 -3196 +-1438 898 +-2361 428 +-1344 -1453 +0 2048 +1563 273 +-4031 1980 +-2063 2861 +4529 3196 +1883 1132 +1866 -2171 +4910 5188 +0 4520 +-1824 -64 +-924 415 +-2638 1727 +8859 1148 +764 421 +-5857 1420 +-479 -4503 +-6144 0 +-479 4503 +-5857 -1420 +764 -421 +8859 -1148 +-2638 -1727 +-924 -415 +-1824 64 +0 -4520 +4910 -5188 +1866 2171 +1883 -1132 +4529 -3196 +-2063 -2861 +-4031 -1980 +1563 -273 +0 -2048 +-1344 1453 +-2361 -428 +-1438 -898 +-1282 3196 +2417 2195 +430 -2736 +-2854 -4128 +0 1624 +-1801 -2660 +3220 -2426 +2121 3167 +182 1148 +-1047 3110 +-536 3029 +1828 2446 +300 -2348 +-4457 1393 +9 -1012 +2786 391 +-7782 462 +-5432 -1682 +1316 5365 +3678 2313 +4096 0 +3678 -2313 +1316 -5365 +-5432 1682 +-7782 -462 +2786 -391 +9 1012 +-4457 -1393 +300 2348 +-53 3346 +2232 1183 +-4483 1524 +-327 4032 +913 1678 +-4667 1387 +-478 -579 +-3072 -3072 +2280 -387 +2591 -4001 +1569 360 +2024 536 +149 -2823 +2372 2567 +-85 -3940 +1748 -3796 +3708 3353 +2379 1195 +-2915 -1192 +-2106 138 +1622 -1883 +1960 -3761 +1200 4119 +-2048 0 +1200 -4119 +1960 3761 +1622 1883 +-2106 -138 +-2915 1192 +2379 -1195 +3708 -3353 +1748 3796 +-85 3940 +2372 -2567 +149 2823 +2024 -536 +1569 -360 +2591 4001 +2280 387 +-3072 3072 +-478 579 +-4667 -1387 +913 -1678 +-327 -4032 +-4483 -1524 +2232 -1183 +-53 -3346 +300 -2348 +-4457 1393 +9 -1012 +2786 391 +-7782 462 +-5432 -1682 +1316 5365 +3678 2313 +-1148 2596 +-2224 3239 +247 3542 +2969 1352 +-1480 -2224 +-3615 2606 +-1254 -3066 +1678 1625 +4096 0 +1678 -1625 +-1254 3066 +-3615 -2606 +-1480 2224 +2969 -1352 +247 -3542 +-2224 -3239 +-1148 -2596 +-2143 255 +-4230 671 +33 2535 +2974 -1006 +733 1557 +3845 -2972 +1398 -714 +1024 3072 +3143 -3725 +-5893 -1877 +-2343 -2134 +4866 -2454 +4184 -4796 +2182 34 +-3874 1928 +3196 -4644 +5106 1569 +-2295 4013 +383 -1114 +-2264 776 +-2343 1272 +-794 -4031 +-3084 -1501 +-2048 0 +-3084 1501 +-794 4031 +-2343 -1272 +-2264 -776 +383 1114 +-2295 -4013 +5106 -1569 +3196 4644 +-3874 -1928 +2182 -34 +4184 4796 +4866 2454 +-2343 2134 +-5893 1877 +3143 3725 +1024 -3072 +1398 714 +3845 2972 +733 -1557 +2974 1006 +33 -2535 +-4230 -671 +-2143 -255 +-1148 2596 +-2224 3239 +247 3542 +2969 1352 +-1480 -2224 +-3615 2606 +-1254 -3066 +1678 1625 +-4944 1024 +-1492 -1291 +221 1627 +4667 -263 +868 -1038 +268 -3281 +-15 1545 +-1941 2769 +2048 0 +-1941 -2769 +-15 -1545 +268 3281 +868 1038 +4667 263 +221 -1627 +-1492 1291 +-4944 -1024 +575 -1440 +5212 3333 +-78 1043 +-240 2302 +2574 853 +4899 175 +-1319 -1072 +2048 -2048 +3392 -4667 +-3191 -2277 +3385 1237 +-1808 -1194 +-4804 3836 +960 -1795 +1157 -4470 +848 1024 +-4465 2045 +599 1933 +2223 1488 +-2916 1638 +3350 2097 +-494 4692 +-7493 -2580 +-6144 0 +-7493 2580 +-494 -4692 +3350 -2097 +-2916 -1638 +2223 -1488 +599 -1933 +-4465 -2045 +848 -1024 +1157 4470 +960 1795 +-4804 -3836 +-1808 1194 +3385 -1237 +-3191 2277 +3392 4667 +2048 2048 +-1319 1072 +4899 -175 +2574 -853 +-240 -2302 +-78 -1043 +5212 -3333 +575 1440 +-4944 1024 +-1492 -1291 +221 1627 +4667 -263 +868 -1038 +268 -3281 +-15 1545 +-1941 2769 +1748 -300 +-861 -1739 +1073 -248 +-1502 2043 +-1186 2490 +692 -66 +1558 -2969 +580 -10 +-6144 0 +580 10 +1558 2969 +692 66 +-1186 -2490 +-1502 -2043 +1073 248 +-861 1739 +1748 300 +-119 2449 +1164 2284 +-1475 879 +-3308 1376 +-8384 -1471 +-3554 -859 +9106 -2660 +-3072 -3072 +-2302 3298 +251 -1643 +-870 -3441 +1260 1624 +-1357 -2268 +3101 392 +-1504 2593 +300 -1748 +2925 -334 +-1242 -1645 +758 162 +-862 5950 +552 3448 +5842 2186 +3761 3751 +0 0 +3761 -3751 +5842 -2186 +552 -3448 +-862 -5950 +758 -162 +-1242 1645 +2925 334 +300 1748 +-1504 -2593 +3101 -392 +-1357 2268 +1260 -1624 +-870 3441 +251 1643 +-2302 -3298 +-3072 3072 +9106 2660 +-3554 859 +-8384 1471 +-3308 -1376 +-1475 -879 +1164 -2284 +-119 -2449 +1748 -300 +-861 -1739 +1073 -248 +-1502 2043 +-1186 2490 +692 -66 +1558 -2969 +580 -10 +-1624 1024 +3057 -3871 +1162 -157 +-2270 1344 +-5932 -1398 +-536 904 +4835 2269 +-438 -2750 +1024 0 +-438 2750 +4835 -2269 +-536 -904 +-5932 1398 +-2270 -1344 +1162 157 +3057 3871 +-1624 -1024 +4997 -3548 +-779 679 +-3051 -716 +3425 1722 +4001 1722 +5358 -1653 +-1291 1999 +3072 -2048 +4794 -2851 +1153 1347 +1336 1017 +-2576 3170 +-6602 -244 +-3854 -2781 +-965 -2584 +-4520 1024 +1839 4836 +1774 -3303 +-1437 -873 +987 2846 +-3026 -1609 +-1458 732 +-407 -2099 +-3072 0 +-407 2099 +-1458 -732 +-3026 1609 +987 -2846 +-1437 873 +1774 3303 +1839 -4836 +-4520 -1024 +-965 2584 +-3854 2781 +-6602 244 +-2576 -3170 +1336 -1017 +1153 -1347 +4794 2851 +3072 2048 +-1291 -1999 +5358 1653 +4001 -1722 +3425 -1722 +-3051 716 +-779 -679 +4997 3548 +-1624 1024 +3057 -3871 +1162 -157 +-2270 1344 +-5932 -1398 +-536 904 +4835 2269 +-438 -2750 +5069 2596 +384 -536 +-1708 -766 +-3116 -86 +-751 -4032 +-2085 -2375 +-317 902 +4954 -1359 +5120 0 +4954 1359 +-317 -902 +-2085 2375 +-751 4032 +-3116 86 +-1708 766 +384 536 +5069 -2596 +4179 4563 +-945 937 +809 -1898 +366 -138 +1716 -3399 +2047 5730 +-512 2270 +0 -1024 +447 2744 +3185 -1446 +-1581 -4044 +-5310 462 +977 2908 +1064 -3252 +-2347 -2434 +-5069 -4644 +-3104 -1035 +-1308 1171 +-3133 -91 +1600 536 +621 -854 +-2019 4707 +1792 -6726 +3072 0 +1792 6726 +-2019 -4707 +621 854 +1600 -536 +-3133 91 +-1308 -1171 +-3104 1035 +-5069 4644 +-2347 2434 +1064 3252 +977 -2908 +-5310 -462 +-1581 4044 +3185 1446 +447 -2744 +0 1024 +-512 -2270 +2047 -5730 +1716 3399 +366 138 +809 1898 +-945 -937 +4179 -4563 +5069 2596 +384 -536 +-1708 -766 +-3116 -86 +-751 -4032 +-2085 -2375 +-317 902 +4954 -1359 +300 -2772 +370 -3301 +5114 -331 +-181 1316 +-5850 2838 +4606 4760 +6232 -4463 +3413 -2228 +5120 0 +3413 2228 +6232 4463 +4606 -4760 +-5850 -2838 +-181 -1316 +5114 331 +370 3301 +300 2772 +-3164 -1625 +-658 -3370 +-946 1308 +-5416 1176 +-1100 653 +1093 147 +1594 1514 +0 -1024 +1176 -524 +-717 -1850 +-3248 -2329 +720 1176 +611 -2905 +134 3770 +-3057 1586 +1748 -1324 +500 4295 +-6287 1678 +2733 -177 +-1742 -2838 +-2475 -2390 +3281 -3326 +-831 -2026 +-1024 0 +-831 2026 +3281 3326 +-2475 2390 +-1742 2838 +2733 177 +-6287 -1678 +500 -4295 +1748 1324 +-3057 -1586 +134 -3770 +611 2905 +720 -1176 +-3248 2329 +-717 1850 +1176 524 +0 1024 +1594 -1514 +1093 -147 +-1100 -653 +-5416 -1176 +-946 -1308 +-658 3370 +-3164 1625 +300 -2772 +370 -3301 +5114 -331 +-181 1316 +-5850 2838 +4606 4760 +6232 -4463 +3413 -2228 +-724 -3196 +1307 2728 +205 -456 +3090 22 +-2394 -378 +2705 -32 +-397 -3421 +-7317 -1860 +-1024 0 +-7317 1860 +-397 3421 +2705 32 +-2394 378 +3090 -22 +205 456 +1307 -2728 +-724 3196 +-544 6987 +2525 2546 +95 864 +1056 -2380 +-3270 2129 +2778 103 +5350 -688 +-4096 1024 +-556 -3619 +1946 -3837 +-1338 2069 +1840 3164 +4955 316 +-1586 5378 +-3986 1725 +724 1148 +2543 258 +2952 -809 +108 4543 +-502 -2270 +-553 -707 +-231 3577 +-2591 1385 +-7168 0 +-2591 -1385 +-231 -3577 +-553 707 +-502 2270 +108 -4543 +2952 809 +2543 -258 +724 -1148 +-3986 -1725 +-1586 -5378 +4955 -316 +1840 -3164 +-1338 -2069 +1946 3837 +-556 3619 +-4096 -1024 +5350 688 +2778 -103 +-3270 -2129 +1056 2380 +95 -864 +2525 -2546 +-544 -6987 +-724 -3196 +1307 2728 +205 -456 +3090 22 +-2394 -378 +2705 -32 +-397 -3421 +-7317 -1860 +-548 -2172 +-2209 155 +-191 553 +-1681 -228 +1900 660 +-2542 218 +-3811 -1723 +1562 1079 +1024 0 +1562 -1079 +-3811 1723 +-2542 -218 +1900 -660 +-1681 228 +-191 -553 +-2209 -155 +-548 2172 +2087 2855 +-1473 6772 +-851 1071 +-3562 -4682 +676 -502 +1242 484 +-5721 3782 +2048 -1024 +734 -552 +-5522 -3159 +-100 -5380 +2114 4358 +3805 933 +2765 -1617 +1826 373 +6692 2172 +4976 -3966 +-2301 -1949 +-2601 978 +-452 -3908 +-2498 1585 +1099 -3120 +2538 -950 +-1024 0 +2538 950 +1099 3120 +-2498 -1585 +-452 3908 +-2601 -978 +-2301 1949 +4976 3966 +6692 -2172 +1826 -373 +2765 1617 +3805 -933 +2114 -4358 +-100 5380 +-5522 3159 +734 552 +2048 1024 +-5721 -3782 +1242 -484 +676 502 +-3562 4682 +-851 -1071 +-1473 -6772 +2087 -2855 +-548 -2172 +-2209 155 +-191 553 +-1681 -228 +1900 660 +-2542 218 +-3811 -1723 +1562 1079 +1448 4520 +5545 757 +-565 2296 +-36 -59 +1182 60 +5700 1002 +3480 3391 +-2582 -647 +0 0 +-2582 647 +3480 -3391 +5700 -1002 +1182 -60 +-36 59 +-565 -2296 +5545 -757 +1448 -4520 +-2504 -1775 +-1447 3551 +-1182 -4234 +-1818 -1168 +-4098 4370 +2967 2913 +1667 415 +0 2048 +524 -3475 +-2486 -4144 +863 1652 +-2278 -2616 +4427 526 +7279 1698 +-2397 2247 +-1448 1624 +-3541 369 +-1171 3340 +-312 1923 +-5278 -1508 +432 -824 +135 5234 +-2506 -346 +0 0 +-2506 346 +135 -5234 +432 824 +-5278 1508 +-312 -1923 +-1171 -3340 +-3541 -369 +-1448 -1624 +-2397 -2247 +7279 -1698 +4427 -526 +-2278 2616 +863 -1652 +-2486 4144 +524 3475 +0 -2048 +1667 -415 +2967 -2913 +-4098 -4370 +-1818 1168 +-1182 4234 +-1447 -3551 +-2504 1775 +1448 4520 +5545 757 +-565 2296 +-36 -59 +1182 60 +5700 1002 +3480 3391 +-2582 -647 +-2472 -424 +-3325 2221 +997 -1597 +1791 448 +-1698 1388 +1834 3463 +-2350 783 +-5919 963 +-1024 0 +-5919 -963 +-2350 -783 +1834 -3463 +-1698 -1388 +1791 -448 +997 1597 +-3325 -2221 +-2472 424 +1744 959 +2249 -6265 +-150 -3891 +-26 -280 +84 3337 +-3642 1499 +120 1704 +7168 2048 +-830 830 +-363 -2441 +493 -703 +-4918 4064 +295 -5622 +-2028 -3433 +520 2338 +424 2472 +-1834 3493 +4576 332 +960 -1603 +2546 2956 +485 7058 +562 -627 +3733 99 +-1024 0 +3733 -99 +562 627 +485 -7058 +2546 -2956 +960 1603 +4576 -332 +-1834 -3493 +424 -2472 +520 -2338 +-2028 3433 +295 5622 +-4918 -4064 +493 703 +-363 2441 +-830 -830 +7168 -2048 +120 -1704 +-3642 -1499 +84 -3337 +-26 280 +-150 3891 +2249 6265 +1744 -959 +-2472 -424 +-3325 2221 +997 -1597 +1791 448 +-1698 1388 +1834 3463 +-2350 783 +-5919 963 +-6692 2596 +-1608 2118 +6472 -1530 +-1009 -3056 +-3178 1402 +-1031 -3758 +1635 -3678 +237 908 +-4096 0 +237 -908 +1635 3678 +-1031 3758 +-3178 -1402 +-1009 3056 +6472 1530 +-1608 -2118 +-6692 -2596 +2284 -3336 +-1705 -1554 +-1906 984 +2948 3404 +-1019 645 +2233 -6653 +3245 -6493 +1024 1024 +4545 -1687 +2831 1411 +787 674 +-52 -4188 +-2500 158 +-1479 -1829 +246 -1559 +548 -4644 +-1809 988 +-2088 2454 +951 1342 +282 3294 +-66 -2894 +293 4750 +-1346 -566 +-2048 0 +-1346 566 +293 -4750 +-66 2894 +282 -3294 +951 -1342 +-2088 -2454 +-1809 -988 +548 4644 +246 1559 +-1479 1829 +-2500 -158 +-52 4188 +787 -674 +2831 -1411 +4545 1687 +1024 -1024 +3245 6493 +2233 6653 +-1019 -645 +2948 -3404 +-1906 -984 +-1705 1554 +2284 3336 +-6692 2596 +-1608 2118 +6472 -1530 +-1009 -3056 +-3178 1402 +-1031 -3758 +1635 -3678 +237 908 +-2172 300 +245 -198 +4480 3152 +1553 6246 +816 8312 +-2280 2877 +-1844 -4263 +94 4806 +1024 0 +94 -4806 +-1844 4263 +-2280 -2877 +816 -8312 +1553 -6246 +4480 -3152 +245 198 +-2172 -300 +1615 -2578 +-4151 -1931 +600 2815 +-3418 -1155 +-1655 -1794 +6627 1839 +217 -714 +2048 -3072 +-2198 -883 +-936 3443 +2570 -3252 +-1526 -2954 +-3367 1155 +-2189 -1747 +2032 2882 +2172 1748 +2790 233 +-1036 -1769 +-115 -2922 +32 2177 +-3098 -1751 +-951 -1125 +999 3585 +3072 0 +999 -3585 +-951 1125 +-3098 1751 +32 -2177 +-115 2922 +-1036 1769 +2790 -233 +2172 -1748 +2032 -2882 +-2189 1747 +-3367 -1155 +-1526 2954 +2570 3252 +-936 -3443 +-2198 883 +2048 3072 +217 714 +6627 -1839 +-1655 1794 +-3418 1155 +600 -2815 +-4151 1931 +1615 2578 +-2172 300 +245 -198 +4480 3152 +1553 6246 +816 8312 +-2280 2877 +-1844 -4263 +94 4806 +-4344 2048 +237 -961 +1800 -2384 +926 -4235 +-3980 -978 +-3266 -2952 +18 -4123 +-2678 -2533 +-3072 0 +-2678 2533 +18 4123 +-3266 2952 +-3980 978 +926 4235 +1800 2384 +237 961 +-4344 -2048 +-643 -512 +-1200 -1760 +-2795 -5074 +3040 1134 +2125 -489 +3478 -2391 +1187 809 +-3072 6144 +-761 -2412 +3478 -4727 +3745 1183 +-744 -3810 +-1365 2391 +-1200 1256 +5844 2060 +4344 2048 +-3870 -1611 +1800 2504 +-550 -3229 +-2412 130 +1179 1280 +18 -1109 +685 5754 +1024 0 +685 -5754 +18 1109 +1179 -1280 +-2412 -130 +-550 3229 +1800 -2504 +-3870 1611 +4344 -2048 +5844 -2060 +-1200 -1256 +-1365 -2391 +-744 3810 +3745 -1183 +3478 4727 +-761 2412 +-3072 -6144 +1187 -809 +3478 2391 +2125 489 +3040 -1134 +-2795 5074 +-1200 1760 +-643 512 +-4344 2048 +237 -961 +1800 -2384 +926 -4235 +-3980 -978 +-3266 -2952 +18 -4123 +-2678 -2533 +1148 -1148 +-2251 2085 +-1566 1189 +36 312 +2080 1051 +4171 -4138 +1554 553 +469 -3320 +2048 0 +469 3320 +1554 -553 +4171 4138 +2080 -1051 +36 -312 +-1566 -1189 +-2251 -2085 +1148 1148 +1170 2148 +-2901 852 +-2140 -581 +522 1382 +-2737 -22 +-6050 5157 +532 922 +5120 3072 +-2941 5827 +-4246 -740 +2172 1172 +-1370 -7058 +-701 -2339 +5966 1416 +439 -1147 +-3196 3196 +642 412 +198 5167 +2805 3722 +2864 -1300 +-3606 -461 +-1146 -657 +1940 2433 +0 0 +1940 -2433 +-1146 657 +-3606 461 +2864 1300 +2805 -3722 +198 -5167 +642 -412 +-3196 -3196 +439 1147 +5966 -1416 +-701 2339 +-1370 7058 +2172 -1172 +-4246 740 +-2941 -5827 +5120 -3072 +532 -922 +-6050 -5157 +-2737 22 +522 -1382 +-2140 581 +-2901 -852 +1170 -2148 +1148 -1148 +-2251 2085 +-1566 1189 +36 312 +2080 1051 +4171 -4138 +1554 553 +469 -3320 +5069 -1324 +2897 -1740 +-3743 -601 +5645 518 +3054 946 +-4957 2687 +988 5272 +-1099 2440 +-4096 0 +-1099 -2440 +988 -5272 +-4957 -2687 +3054 -946 +5645 -518 +-3743 601 +2897 1740 +5069 1324 +1804 1938 +-31 -2612 +2009 -5870 +1272 392 +-2424 1123 +-2138 4316 +2118 1710 +3072 -3072 +-2415 2844 +-694 1159 +473 1589 +4272 392 +930 -476 +-125 2111 +2566 -1557 +-5069 -2772 +62 -4651 +-197 1229 +-777 1979 +-406 -946 +-6693 676 +-2252 -4333 +-141 1590 +-2048 0 +-141 -1590 +-2252 4333 +-6693 -676 +-406 946 +-777 -1979 +-197 -1229 +62 4651 +-5069 2772 +2566 1557 +-125 -2111 +930 476 +4272 -392 +473 -1589 +-694 -1159 +-2415 -2844 +3072 3072 +2118 -1710 +-2138 -4316 +-2424 -1123 +1272 -392 +2009 5870 +-31 2612 +1804 -1938 +5069 -1324 +2897 -1740 +-3743 -601 +5645 518 +3054 946 +-4957 2687 +988 5272 +-1099 2440 +5120 2896 +249 -1186 +537 -2796 +1337 -190 +-5004 -3470 +-2301 -648 +1120 1936 +1116 267 +0 0 +1116 -267 +1120 -1936 +-2301 648 +-5004 3470 +1337 190 +537 2796 +249 1186 +5120 -2896 +464 -2605 +1820 -6 +741 3767 +2016 -3146 +252 -2685 +2265 111 +7654 397 +-2048 2048 +-348 -4885 +2643 1559 +1435 4661 +-1768 -1098 +-4404 1336 +-6269 1442 +-4232 1026 +5120 -2896 +-265 -846 +1016 1348 +758 -1930 +-3436 1422 +2181 114 +-3131 -3384 +-4639 -3020 +0 0 +-4639 3020 +-3131 3384 +2181 -114 +-3436 -1422 +758 1930 +1016 -1348 +-265 846 +5120 2896 +-4232 -1026 +-6269 -1442 +-4404 -1336 +-1768 1098 +1435 -4661 +2643 -1559 +-348 4885 +-2048 -2048 +7654 -397 +2265 -111 +252 2685 +2016 3146 +741 -3767 +1820 6 +464 2605 +5120 2896 +249 -1186 +537 -2796 +1337 -190 +-5004 -3470 +-2301 -648 +1120 1936 +1116 267 +-548 724 +-1199 -3983 +2056 1799 +2955 5301 +-1116 2708 +349 -2962 +-3276 -2858 +-1881 899 +3072 0 +-1881 -899 +-3276 2858 +349 2962 +-1116 -2708 +2955 -5301 +2056 -1799 +-1199 3983 +-548 -724 +-5493 -3532 +-5563 3861 +-2329 -1100 +1670 -2634 +-188 1093 +-337 -6526 +-60 -5041 +0 3072 +2207 4254 +-808 -354 +2021 -1410 +-222 2310 +985 1355 +-713 4369 +1015 2500 +6692 -724 +-1004 3776 +3021 -91 +-282 -599 +-332 -1860 +2283 -3087 +-2571 2038 +622 -3636 +1024 0 +622 3636 +-2571 -2038 +2283 3087 +-332 1860 +-282 599 +3021 91 +-1004 -3776 +6692 724 +1015 -2500 +-713 -4369 +985 -1355 +-222 -2310 +2021 1410 +-808 354 +2207 -4254 +0 -3072 +-60 5041 +-337 6526 +-188 -1093 +1670 2634 +-2329 1100 +-5563 -3861 +-5493 3532 +-548 724 +-1199 -3983 +2056 1799 +2955 5301 +-1116 2708 +349 -2962 +-3276 -2858 +-1881 899 +-1748 -2596 +272 -4409 +-1556 -7499 +-2004 1829 +1167 -802 +5634 -626 +-492 -183 +-1561 -753 +6144 0 +-1561 753 +-492 183 +5634 626 +1167 802 +-2004 -1829 +-1556 7499 +272 4409 +-1748 2596 +25 3366 +570 -4547 +-580 583 +-1396 92 +-616 -2664 +5531 -2829 +-609 -110 +-5120 3072 +-2494 18 +-927 1360 +245 3185 +-4397 692 +-602 2727 +2706 1062 +614 -4518 +-300 4644 +-2239 3205 +-520 323 +2299 1644 +4626 -2694 +1418 2012 +2880 -222 +200 1770 +-8192 0 +200 -1770 +2880 222 +1418 -2012 +4626 2694 +2299 -1644 +-520 -323 +-2239 -3205 +-300 -4644 +614 4518 +2706 -1062 +-602 -2727 +-4397 -692 +245 -3185 +-927 -1360 +-2494 -18 +-5120 -3072 +-609 110 +5531 2829 +-616 2664 +-1396 -92 +-580 -583 +570 4547 +25 -3366 +-1748 -2596 +272 -4409 +-1556 -7499 +-2004 1829 +1167 -802 +5634 -626 +-492 -183 +-1561 -753 +-1024 1448 +1220 77 +2766 3069 +1413 1960 +1363 -1228 +-4019 1501 +-119 -310 +5421 -894 +0 0 +5421 894 +-119 310 +-4019 -1501 +1363 1228 +1413 -1960 +2766 -3069 +1220 -77 +-1024 -1448 +1082 -1415 +2866 1531 +-2394 2263 +2266 -340 +112 1822 +-4092 2807 +3070 -389 +0 -6144 +1364 -6877 +2460 -1198 +-2108 -728 +-5762 2557 +206 224 +3971 1310 +-2565 4769 +-1024 -1448 +704 3890 +286 2504 +-2802 1353 +-1962 4124 +-1995 1301 +54 2098 +1289 -7811 +-4096 0 +1289 7811 +54 -2098 +-1995 -1301 +-1962 -4124 +-2802 -1353 +286 -2504 +704 -3890 +-1024 1448 +-2565 -4769 +3971 -1310 +206 -224 +-5762 -2557 +-2108 728 +2460 1198 +1364 6877 +0 6144 +3070 389 +-4092 -2807 +112 -1822 +2266 340 +-2394 -2263 +2866 -1531 +1082 1415 +-1024 1448 +1220 77 +2766 3069 +1413 1960 +1363 -1228 +-4019 1501 +-119 -310 +5421 -894 +-2472 600 +-2695 619 +1388 1972 +-2526 2007 +-4305 1154 +3998 1986 +4223 -81 +-4094 4312 +-6144 0 +-4094 -4312 +4223 81 +3998 -1986 +-4305 -1154 +-2526 -2007 +1388 -1972 +-2695 -619 +-2472 -600 +-680 5757 +-1099 1862 +2028 1608 +-1528 4834 +2153 -1988 +2730 3138 +-934 1763 +-2048 -4096 +-4804 3537 +322 1571 +-896 -3529 +3824 -2158 +3871 -1674 +-534 -1923 +1292 108 +424 3496 +1643 -916 +-1453 -5757 +203 -3073 +-2088 46 +2754 -1310 +2614 -1486 +-1313 2813 +6144 0 +-1313 -2813 +2614 1486 +2754 1310 +-2088 -46 +203 3073 +-1453 5757 +1643 916 +424 -3496 +1292 -108 +-534 1923 +3871 1674 +3824 2158 +-896 3529 +322 -1571 +-4804 -3537 +-2048 4096 +-934 -1763 +2730 -3138 +2153 1988 +-1528 -4834 +2028 -1608 +-1099 -1862 +-680 -5757 +-2472 600 +-2695 619 +1388 1972 +-2526 2007 +-4305 1154 +3998 1986 +4223 -81 +-4094 4312 +-424 1872 +-1037 3987 +3238 1751 +5601 1934 +4364 -170 +999 2058 +-5880 6027 +-1379 -862 +5120 0 +-1379 862 +-5880 -6027 +999 -2058 +4364 170 +5601 -1934 +3238 -1751 +-1037 -3987 +-424 -1872 +403 1838 +-2084 -892 +-5170 -2201 +360 2062 +-853 -4 +-1166 -1565 +-488 3231 +-1024 2048 +2028 -888 +-2210 -3990 +556 -1019 +-1208 614 +-1955 -6856 +-852 633 +663 2402 +2472 -3920 +-2245 1929 +1395 -2627 +-3827 -4372 +580 -1278 +4650 -856 +-631 5533 +2055 2094 +1024 0 +2055 -2094 +-631 -5533 +4650 856 +580 1278 +-3827 4372 +1395 2627 +-2245 -1929 +2472 3920 +663 -2402 +-852 -633 +-1955 6856 +-1208 -614 +556 1019 +-2210 3990 +2028 888 +-1024 -2048 +-488 -3231 +-1166 1565 +-853 4 +360 -2062 +-5170 2201 +-2084 892 +403 -1838 +-424 1872 +-1037 3987 +3238 1751 +5601 1934 +4364 -170 +999 2058 +-5880 6027 +-1379 -862 +-424 -3496 +1236 -2617 +-3057 10 +-2118 4307 +1084 -230 +1250 -1285 +-2119 -5824 +-3229 -1251 +0 0 +-3229 1251 +-2119 5824 +1250 1285 +1084 230 +-2118 -4307 +-3057 -10 +1236 2617 +-424 3496 +-594 4259 +-294 -1968 +-233 2060 +-144 3230 +1052 1414 +809 -112 +-6682 -2252 +0 0 +515 936 +-3641 -6572 +6029 -4905 +3640 3230 +1354 -751 +138 -1509 +403 -1819 +2472 -600 +-3702 -326 +-883 449 +-779 449 +-484 230 +5031 2535 +854 -636 +468 7575 +4096 0 +468 -7575 +854 636 +5031 -2535 +-484 -230 +-779 -449 +-883 -449 +-3702 326 +2472 600 +403 1819 +138 1509 +1354 751 +3640 -3230 +6029 4905 +-3641 6572 +515 -936 +0 0 +-6682 2252 +809 112 +1052 -1414 +-144 -3230 +-233 -2060 +-294 1968 +-594 -4259 +-424 -3496 +1236 -2617 +-3057 10 +-2118 4307 +1084 -230 +1250 -1285 +-2119 -5824 +-3229 -1251 +2896 1200 +-1265 -229 +1572 2156 +-2210 -976 +-254 240 +-638 527 +-1757 1181 +-983 -2057 +-5120 0 +-983 2057 +-1757 -1181 +-638 -527 +-254 -240 +-2210 976 +1572 -2156 +-1265 229 +2896 -1200 +4431 -872 +564 2832 +465 -946 +410 2916 +188 4490 +-3054 739 +2515 -1674 +-1024 4096 +-4356 3494 +3498 -541 +82 1318 +3086 868 +4025 2875 +1668 -1920 +1584 3096 +-2896 6992 +1931 -3739 +-908 -8108 +-3610 -2844 +854 1808 +-4096 2644 +-1584 4532 +1936 -3111 +-1024 0 +1936 3111 +-1584 -4532 +-4096 -2644 +854 -1808 +-3610 2844 +-908 8108 +1931 3739 +-2896 -6992 +1584 -3096 +1668 1920 +4025 -2875 +3086 -868 +82 -1318 +3498 540 +-4356 -3494 +-1024 -4096 +2515 1674 +-3054 -739 +188 -4490 +410 -2916 +465 946 +564 -2832 +4431 872 +2896 1200 +-1265 -229 +1572 2156 +-2210 -976 +-254 240 +-638 527 +-1757 1181 +-983 -2057 +1448 -2472 +370 -95 +1019 3564 +-2802 1800 +-2002 -540 +-4545 2898 +569 2656 +5325 3084 +0 0 +5325 -3084 +569 -2656 +-4545 -2898 +-2002 540 +-2802 -1800 +1019 -3564 +370 95 +1448 2472 +1511 -1182 +-497 869 +18 -3970 +110 -4664 +2473 6992 +-1185 -2906 +-2214 -2692 +0 -2048 +-2164 -7933 +-1491 -2343 +3082 -214 +2786 880 +-511 -4465 +1605 974 +-1770 5507 +-1448 424 +-110 30 +-2127 2333 +3295 3577 +-894 -2108 +-1009 -839 +2107 3700 +-947 4698 +0 0 +-947 -4698 +2107 -3700 +-1009 839 +-894 2108 +3295 -3577 +-2127 -2333 +-110 -30 +-1448 -424 +-1770 -5507 +1605 -974 +-511 4465 +2786 -880 +3082 214 +-1491 2343 +-2164 7933 +0 2048 +-2214 2692 +-1185 2906 +2473 -6992 +110 4664 +18 3970 +-497 -869 +1511 1182 +1448 -2472 +370 -95 +1019 3564 +-2802 1800 +-2002 -540 +-4545 2898 +569 2656 +5325 3084 +1148 -900 +-106 -1125 +1701 -2140 +-347 3869 +1794 -1402 +5612 -679 +-422 -559 +-2563 3519 +0 0 +-2563 -3519 +-422 559 +5612 679 +1794 1402 +-347 -3869 +1701 2140 +-106 1125 +1148 900 +101 3100 +-25 -1996 +-471 527 +-4552 -3404 +-552 2593 +-1320 2486 +-3520 -2269 +1024 3072 +2011 2136 +1920 2669 +-3454 5530 +-5336 4188 +104 -608 +3521 3393 +2563 -1205 +-3196 -5244 +1905 3500 +1795 536 +-5966 -3676 +-98 -3294 +-719 -848 +1022 1943 +5402 1774 +2048 0 +5402 -1774 +1022 -1943 +-719 848 +-98 3294 +-5966 3676 +1795 -536 +1905 -3500 +-3196 5244 +2563 1205 +3521 -3393 +104 608 +-5336 -4188 +-3454 -5530 +1920 -2669 +2011 -2136 +1024 -3072 +-3520 2269 +-1320 -2486 +-552 -2593 +-4552 3404 +-471 -527 +-25 1996 +101 -3100 +1148 -900 +-106 -1125 +1701 -2140 +-347 3869 +1794 -1402 +5612 -679 +-422 -559 +-2563 3519 +1148 4644 +-3862 -2126 +-2039 7328 +675 747 +-1056 -248 +46 4642 +2603 -3295 +404 1025 +-2048 0 +404 -1025 +2603 3295 +46 -4642 +-1056 248 +675 -747 +-2039 -7328 +-3862 2126 +1148 -4644 +2941 -5362 +673 774 +1496 1435 +502 1430 +-2014 -4465 +-928 -2565 +-180 -3317 +1024 -1024 +1936 -2377 +-3196 -242 +-4634 5432 +2394 2030 +4907 3353 +1883 -202 +-1190 851 +-3196 -2596 +543 -2676 +2378 2784 +-3294 -735 +-1840 -3248 +2818 -4842 +-1375 323 +-592 -2908 +4096 0 +-592 2908 +-1375 -323 +2818 4842 +-1840 3248 +-3294 735 +2378 -2784 +543 2676 +-3196 2596 +-1190 -851 +1883 202 +4907 -3353 +2394 -2030 +-4634 -5432 +-3196 242 +1936 2377 +1024 1024 +-180 3317 +-928 2565 +-2014 4465 +502 -1430 +1496 -1435 +673 -774 +2941 5362 +1148 4644 +-3862 -2126 +-2039 7328 +675 747 +-1056 -248 +46 4642 +2603 -3295 +404 1025 +2596 300 +-1457 -5797 +2523 -3231 +-2 -5223 +-3345 -3792 +-546 3611 +-505 2422 +-1605 -1018 +-2048 0 +-1605 1018 +-505 -2422 +-546 -3611 +-3345 3792 +-2 5223 +2523 3231 +-1457 5797 +2596 -300 +1958 347 +-86 -198 +1000 -605 +-4462 2778 +529 -4015 +678 -2921 +-2140 -789 +7168 1024 +2446 1916 +-2634 2755 +1573 1778 +1214 1330 +2146 3579 +2355 -2549 +-421 212 +-4644 1748 +-80 2527 +5097 880 +-3145 1838 +-5696 2344 +-1557 -5386 +765 3254 +1299 588 +0 0 +1299 -588 +765 -3254 +-1557 5386 +-5696 -2344 +-3145 -1838 +5097 -880 +-80 -2527 +-4644 -1748 +-421 -212 +2355 2549 +2146 -3579 +1214 -1330 +1573 -1778 +-2634 -2755 +2446 -1916 +7168 -1024 +-2140 789 +678 2921 +529 4015 +-4462 -2778 +1000 605 +-86 198 +1958 -347 +2596 300 +-1457 -5797 +2523 -3231 +-2 -5223 +-3345 -3792 +-546 3611 +-505 2422 +-1605 -1018 +-724 -724 +7541 1411 +1273 -2727 +-1421 -4294 +776 -3288 +-27 4409 +2149 -4399 +9 -441 +-4096 0 +9 441 +2149 4399 +-27 -4409 +776 3288 +-1421 4294 +1273 2727 +7541 -1411 +-724 724 +-2764 4038 +4946 -5756 +1959 2159 +2454 3842 +1483 -1285 +-4473 -2763 +-402 -1761 +1024 1024 +857 719 +1013 3030 +-3109 -360 +-1006 -1950 +-1544 -242 +-1945 36 +3086 -184 +724 724 +-2071 -1537 +-4274 -3066 +-4787 -2204 +-2224 -2504 +-4140 612 +1311 -1393 +5328 -1174 +2048 0 +5328 1174 +1311 1393 +-4140 -612 +-2224 2504 +-4787 2204 +-4274 3066 +-2071 1537 +724 -724 +3086 184 +-1945 -36 +-1544 242 +-1006 1950 +-3109 360 +1013 -3030 +857 -719 +1024 -1024 +-402 1761 +-4473 2763 +1483 1285 +2454 -3842 +1959 -2159 +4946 5756 +-2764 -4038 +-724 -724 +7541 1411 +1273 -2727 +-1421 -4294 +776 -3288 +-27 4409 +2149 -4399 +9 -441 +2472 -1448 +230 -1348 +-4080 -1238 +-2130 -3847 +-3290 1024 +-519 -1500 +2018 -2593 +-824 992 +0 0 +-824 -992 +2018 2593 +-519 1500 +-3290 -1024 +-2130 3847 +-4080 1238 +230 1348 +2472 1448 +2680 -1444 +407 -5858 +-1453 -5593 +938 1024 +1998 1781 +-354 4126 +-7562 -1380 +-2048 -2048 +1929 899 +-1657 1790 +600 -392 +-2387 -1024 +32 8603 +1145 -2843 +-2861 -4560 +-424 1448 +1518 -2815 +-369 1358 +-234 -982 +4738 1024 +1706 80 +2889 -2639 +4889 3633 +0 0 +4889 -3633 +2889 2639 +1706 -80 +4738 -1024 +-234 982 +-369 -1358 +1518 2815 +-424 -1448 +-2861 4560 +1145 2843 +32 -8603 +-2387 1024 +600 392 +-1657 -1790 +1929 -899 +-2048 2048 +-7562 1380 +-354 -4126 +1998 -1781 +938 -1024 +-1453 5593 +407 5858 +2680 1444 +2472 -1448 +230 -1348 +-4080 -1238 +-2130 -3847 +-3290 1024 +-519 -1500 +2018 -2593 +-824 992 +424 -2472 +3232 2201 +743 -1515 +2040 -5297 +-3496 2302 +-5090 -1303 +-936 -1084 +-710 4222 +3072 0 +-710 -4222 +-936 1084 +-5090 1303 +-3496 -2302 +2040 5297 +743 1515 +3232 -2201 +424 2472 +1345 2233 +2587 4754 +2427 2100 +-600 1638 +1861 2780 +4283 928 +-5095 1489 +-3072 0 +-91 -2557 +-2575 -3132 +-3002 3080 +-600 1038 +3784 -517 +3585 5250 +-3505 1318 +-2472 424 +2032 -2228 +77 -4982 +1565 -1784 +-3496 1194 +2208 2932 +428 -1776 +-3000 -1587 +7168 0 +-3000 1587 +428 1776 +2208 -2932 +-3496 -1194 +1565 1784 +77 4982 +2032 2228 +-2472 -424 +-3505 -1318 +3585 -5250 +3784 517 +-600 -1038 +-3002 -3080 +-2575 3132 +-91 2557 +-3072 0 +-5095 -1489 +4283 -928 +1861 -2780 +-600 -1638 +2427 -2100 +2587 -4754 +1345 -2233 +424 -2472 +3232 2201 +743 -1515 +2040 -5297 +-3496 2302 +-5090 -1303 +-936 -1084 +-710 4222 +1024 600 +-1349 -1813 +-7195 -2943 +-1839 -454 +-964 2002 +-743 -5194 +3433 1922 +-126 1497 +-4096 0 +-126 -1497 +3433 -1922 +-743 5194 +-964 -2002 +-1839 454 +-7195 2943 +-1349 1813 +1024 -600 +-2790 -713 +1731 -585 +2787 -6018 +-2192 -110 +-449 -3050 +4691 -2877 +1275 -820 +-2048 0 +-3772 3155 +-4774 -2877 +2207 169 +1592 2786 +1812 607 +4205 -585 +-2115 2464 +1024 3496 +462 1854 +-438 2943 +3032 -489 +-2532 894 +4777 845 +6539 -1922 +-3170 -657 +-4096 0 +-3170 657 +6539 1922 +4777 -845 +-2532 -894 +3032 489 +-438 -2943 +462 -1854 +1024 -3496 +-2115 -2464 +4205 585 +1812 -607 +1592 -2786 +2207 -169 +-4774 2877 +-3772 -3155 +-2048 0 +1275 820 +4691 2877 +-449 3050 +-2192 110 +2787 6018 +1731 585 +-2790 713 +1024 600 +-1349 -1813 +-7195 -2943 +-1839 -454 +-964 2002 +-743 -5194 +3433 1922 +-126 1497 +2596 2772 +7253 -5814 +-84 -5232 +-2443 3326 +1560 4912 +-4772 902 +-3044 -1613 +3397 -1055 +3072 0 +3397 1055 +-3044 1613 +-4772 -902 +1560 -4912 +-2443 -3326 +-84 5232 +7253 5814 +2596 -2772 +2102 501 +1199 1642 +-4054 1388 +562 678 +1698 -870 +-1834 -278 +1474 -4700 +2048 3072 +372 -2580 +2277 -3293 +3017 4412 +886 -2570 +-395 -644 +1033 -3590 +-1428 1035 +-4644 1324 +-567 -1532 +748 2896 +-2684 304 +-3008 4128 +-1953 1851 +-297 1494 +-1017 3486 +-3072 0 +-1017 -3486 +-297 -1494 +-1953 -1851 +-3008 -4128 +-2684 -304 +748 -2896 +-567 1532 +-4644 -1324 +-1428 -1035 +1033 3590 +-395 644 +886 2570 +3017 -4412 +2277 3293 +372 2580 +2048 -3072 +1474 4700 +-1834 278 +1698 870 +562 -678 +-4054 -1388 +1199 -1642 +2102 -501 +2596 2772 +7253 -5814 +-84 -5232 +-2443 3326 +1560 4912 +-4772 902 +-3044 -1613 +3397 -1055 +-6392 -1448 +2141 -144 +3198 -1161 +642 3862 +-3086 424 +-2808 1794 +494 845 +124 -122 +1024 0 +124 122 +494 -845 +-2808 -1794 +-3086 -424 +642 -3862 +3198 1161 +2141 144 +-6392 1448 +-1067 2707 +732 418 +-6386 5172 +254 -2472 +5430 -2458 +2959 -1329 +-1586 -4118 +-1024 2048 +-1635 -36 +-2463 -2556 +582 4666 +-854 2472 +-1422 -1963 +-3591 758 +648 -2842 +2296 1448 +-2372 1956 +861 -1395 +-1970 -1421 +-410 424 +5932 3321 +6002 -4969 +3747 -20 +1024 0 +3747 20 +6002 4969 +5932 -3321 +-410 -424 +-1970 1421 +861 1395 +-2372 -1956 +2296 -1448 +648 2842 +-3591 -758 +-1422 1963 +-854 -2472 +582 -4666 +-2463 2556 +-1635 36 +-1024 -2048 +-1586 4118 +2959 1329 +5430 2458 +254 2472 +-6386 -5172 +732 -418 +-1067 -2707 +-6392 -1448 +2141 -144 +3198 -1161 +642 3862 +-3086 424 +-2808 1794 +494 845 +124 -122 +-5368 1024 +-1097 -5841 +2237 130 +2874 2185 +-470 -2772 +289 7223 +899 1868 +1164 -124 +5120 0 +1164 124 +899 -1868 +289 -7223 +-470 2772 +2874 -2185 +2237 -130 +-1097 5841 +-5368 -1024 +163 1196 +2185 -2233 +-161 -6408 +314 -1324 +-3209 654 +-1131 -330 +-1333 -574 +-3072 -4096 +-2922 2229 +-825 1562 +839 -4706 +-2362 1324 +1300 -1104 +83 -3016 +-1157 -142 +3320 1024 +4308 -3506 +5383 -914 +1340 2678 +-1578 -2772 +-3271 -1438 +-639 24 +874 6502 +-3072 0 +874 -6502 +-639 -24 +-3271 1438 +-1578 2772 +1340 -2678 +5383 914 +4308 3506 +3320 -1024 +-1157 142 +83 3016 +1300 1104 +-2362 -1324 +839 4706 +-825 -1562 +-2922 -2229 +-3072 4096 +-1333 574 +-1131 330 +-3209 -654 +314 1324 +-161 6408 +2185 2233 +163 -1196 +-5368 1024 +-1097 -5841 +2237 130 +2874 2185 +-470 -2772 +289 7223 +899 1868 +1164 -124 +-1448 -6392 +2762 374 +2486 696 +-464 -815 +3086 905 +2632 622 +-2976 -1529 +46 2973 +3072 0 +46 -2973 +-2976 1529 +2632 -622 +3086 -905 +-464 815 +2486 -696 +2762 -374 +-1448 6392 +-4840 1621 +575 -1007 +336 2162 +-254 3360 +341 6276 +-6057 -1928 +1125 460 +1024 -2048 +-986 -5331 +2237 -480 +-4602 -1230 +854 4208 +1415 -351 +-5743 442 +-3201 3898 +1448 2296 +3711 3030 +1482 -2144 +2497 -1489 +410 4040 +-2155 3666 +-196 80 +1383 3948 +3072 0 +1383 -3948 +-196 -80 +-2155 -3666 +410 -4040 +2497 1489 +1482 2144 +3711 -3030 +1448 -2296 +-3201 -3898 +-5743 -442 +1415 351 +854 -4208 +-4602 1230 +2237 480 +-986 5331 +1024 2048 +1125 -460 +-6057 1928 +341 -6276 +-254 -3360 +336 -2162 +575 1007 +-4840 -1621 +-1448 -6392 +2762 374 +2486 696 +-464 -815 +3086 905 +2632 622 +-2976 -1529 +46 2973 +-1748 2348 +-2471 -1204 +2579 2778 +-1863 442 +-1102 1246 +612 3729 +2914 -6493 +7231 430 +4096 0 +7231 -430 +2914 6493 +612 -3729 +-1102 -1246 +-1863 -442 +2579 -2778 +-2471 1204 +-1748 -2348 +2902 -2202 +2737 -1107 +-2047 819 +-1656 2140 +-416 3244 +28 -1303 +1284 -2106 +1024 7168 +756 -265 +-3212 -2375 +1863 3514 +-2440 -1356 +-5701 -3672 +-809 -2032 +-2845 3813 +-300 3796 +-690 539 +2485 -2503 +-204 -6262 +-2994 -646 +1965 1005 +1470 -1572 +-374 523 +-2048 0 +-374 -523 +1470 1572 +1965 -1005 +-2994 646 +-204 6262 +2485 2503 +-690 -539 +-300 -3796 +-2845 -3813 +-809 2032 +-5701 3672 +-2440 1356 +1863 -3514 +-3212 2375 +756 265 +1024 -7168 +1284 2106 +28 1303 +-416 -3244 +-1656 -2140 +-2047 -819 +2737 1107 +2902 2202 +-1748 2348 +-2471 -1204 +2579 2778 +-1863 442 +-1102 1246 +612 3729 +2914 -6493 +7231 430 +4220 -2172 +5134 -3883 +-255 -2648 +-3004 1962 +-1116 2284 +764 -2040 +2616 -4071 +1894 2384 +2048 0 +1894 -2384 +2616 4071 +764 2040 +-1116 -2284 +-3004 -1962 +-255 2648 +5134 3883 +4220 2172 +4757 -2675 +-1371 812 +-1444 1354 +1670 -162 +-5399 4995 +-4705 -2642 +-2367 337 +3072 -1024 +973 -1235 +-3699 4519 +2313 -4378 +-222 -162 +2998 1171 +5220 -3691 +199 -1962 +-124 2172 +-1842 -516 +-1897 -3552 +-3663 310 +-332 -2284 +1643 915 +-4101 1343 +-2957 -3276 +0 0 +-2957 3276 +-4101 -1343 +1643 -915 +-332 2284 +-3663 -310 +-1897 3552 +-1842 516 +-124 -2172 +199 1962 +5220 3691 +2998 -1171 +-222 162 +2313 4378 +-3699 -4519 +973 1235 +3072 1024 +-2367 -337 +-4705 2642 +-5399 -4995 +1670 162 +-1444 -1354 +-1371 -812 +4757 2675 +4220 -2172 +5134 -3883 +-255 -2648 +-3004 1962 +-1116 2284 +764 -2040 +2616 -4071 +1894 2384 +-4220 4820 +-2083 2384 +-1845 705 +1513 -4685 +1116 -2948 +-1771 -2352 +1868 -2844 +2681 4445 +0 0 +2681 -4445 +1868 2844 +-1771 2352 +1116 2948 +1513 4685 +-1845 -705 +-2083 -2384 +-4220 -4820 +-4272 -1390 +248 1226 +-1169 983 +-1670 -282 +3206 163 +-808 2739 +-6217 -1997 +-1024 -1024 +1223 -5968 +-1712 2111 +-315 6168 +222 -3178 +-624 4636 +2124 -1931 +2730 -621 +124 3372 +729 -78 +3569 234 +3176 -5261 +332 52 +1776 551 +4748 -1880 +-584 -1086 +-6144 0 +-584 1086 +4748 1880 +1776 -551 +332 -52 +3176 5261 +3569 -234 +729 78 +124 -3372 +2730 621 +2124 1931 +-624 -4636 +222 3178 +-315 -6168 +-1712 -2111 +1223 5968 +-1024 1024 +-6217 1997 +-808 -2739 +3206 -163 +-1670 282 +-1169 -983 +248 -1226 +-4272 1390 +-4220 4820 +-2083 2384 +-1845 705 +1513 -4685 +1116 -2948 +-1771 -2352 +1868 -2844 +2681 4445 +424 -3920 +-2092 290 +3966 4545 +-1896 1844 +2566 -144 +7999 1476 +-3597 111 +-2191 166 +1024 0 +-2191 -166 +-3597 -111 +7999 -1476 +2566 144 +-1896 -1844 +3966 -4545 +-2092 -290 +424 3920 +-2337 1818 +1066 -350 +3291 1258 +-214 -484 +-1608 1732 +-4110 2169 +3307 -3051 +1024 0 +-366 -1214 +2442 -1171 +2707 1410 +3111 -1084 +3764 4222 +2170 -1014 +-4367 -5557 +-2472 1872 +-782 -2002 +1486 -2313 +-934 808 +-5462 3640 +-1737 6082 +-3424 -555 +-2758 -857 +1024 0 +-2758 857 +-3424 555 +-1737 -6082 +-5462 -3640 +-934 -808 +1486 2313 +-782 2002 +-2472 -1872 +-4367 5557 +2170 1014 +3764 -4222 +3111 1084 +2707 -1410 +2442 1171 +-366 1214 +1024 0 +3307 3051 +-4110 -2169 +-1608 -1732 +-214 484 +3291 -1258 +1066 350 +-2337 -1818 +424 -3920 +-2092 290 +3966 4545 +-1896 1844 +2566 -144 +7999 1476 +-3597 111 +-2191 166 +5668 -6692 +637 -2230 +-1399 2552 +-1139 -1122 +816 2648 +4646 131 +-1225 1556 +-360 1415 +3072 0 +-360 -1415 +-1225 -1556 +4646 -131 +816 -2648 +-1139 1122 +-1399 -2552 +637 2230 +5668 6692 +-2637 -3995 +-1363 1948 +-1168 2795 +-3418 -1466 +-1620 -52 +399 296 +3837 1094 +0 -3072 +-568 3869 +-4523 5684 +-5032 1272 +-1526 4926 +1604 511 +3919 565 +-521 5207 +-1572 548 +1633 -4697 +1739 -2736 +-3760 -612 +32 -352 +677 -656 +2453 -3160 +3772 -784 +-3072 0 +3772 784 +2453 3160 +677 656 +32 352 +-3760 612 +1739 2736 +1633 4697 +-1572 -548 +-521 -5207 +3919 -565 +1604 -511 +-1526 -4926 +-5032 -1272 +-4523 -5684 +-568 -3869 +0 3072 +3837 -1094 +399 -296 +-1620 52 +-3418 1466 +-1168 -2795 +-1363 -1948 +-2637 3995 +5668 -6692 +637 -2230 +-1399 2552 +-1139 -1122 +816 2648 +4646 131 +-1225 1556 +-360 1415 +1148 -2348 +797 2386 +-2405 -5100 +293 -5584 +-4092 2188 +-1204 2725 +-448 -2338 +-940 2840 +6144 0 +-940 -2840 +-448 2338 +-1204 -2725 +-4092 -2188 +293 5584 +-2405 5100 +797 -2386 +1148 2348 +1566 720 +2345 -3234 +364 591 +1030 -2595 +-722 -1222 +4654 -2759 +1318 362 +-3072 -1024 +-15 -4184 +-1498 2029 +1461 822 +-3078 53 +-4075 -1403 +2378 -1122 +-695 -1204 +-3196 -3796 +-1668 -2534 +1777 1420 +3419 -5338 +2044 -7732 +466 1977 +1388 1334 +-363 5976 +-4096 0 +-363 -5976 +1388 -1334 +466 -1977 +2044 7732 +3419 5338 +1777 -1420 +-1668 2534 +-3196 3796 +-695 1204 +2378 1122 +-4075 1403 +-3078 -53 +1461 -822 +-1498 -2029 +-15 4184 +-3072 1024 +1318 -362 +4654 2759 +-722 1222 +1030 2595 +364 -591 +2345 3234 +1566 -720 +1148 -2348 +797 2386 +-2405 -5100 +293 -5584 +-4092 2188 +-1204 2725 +-448 -2338 +-940 2840 +2596 5420 +769 -2302 +1046 -908 +3351 1427 +-148 -4092 +2326 -155 +2208 489 +-782 -1188 +3072 0 +-782 1188 +2208 -489 +2326 155 +-148 4092 +3351 -1427 +1046 908 +769 2302 +2596 -5420 +-1856 -5950 +-1650 -3670 +3312 364 +-5610 1030 +-1441 3004 +1571 1582 +-3227 -3917 +2048 -3072 +-1816 -1536 +2709 2091 +2505 1010 +66 3078 +929 3440 +358 -2849 +3437 3777 +-4644 6868 +-1463 -2 +1446 -5264 +-3127 -1896 +-2500 2044 +-2061 407 +505 1219 +-856 -2670 +-3072 0 +-856 2670 +505 -1219 +-2061 -407 +-2500 -2044 +-3127 1896 +1446 5264 +-1463 2 +-4644 -6868 +3437 -3777 +358 2849 +929 -3440 +66 -3078 +2505 -1010 +2709 -2091 +-1816 1536 +2048 3072 +-3227 3917 +1571 -1582 +-1441 -3004 +-5610 -1030 +3312 -364 +-1650 3670 +-1856 5950 +2596 5420 +769 -2302 +1046 -908 +3351 1427 +-148 -4092 +2326 -155 +2208 489 +-782 -1188 +-2048 -2472 +3204 -790 +3669 4724 +-1455 6570 +2806 -3316 +-370 -1100 +-1543 2839 +2112 3206 +0 0 +2112 -3206 +-1543 -2839 +-370 1100 +2806 3316 +-1455 -6570 +3669 -4724 +3204 790 +-2048 2472 +1607 1293 +-1228 -18 +-4866 3589 +2702 3484 +-757 3536 +-5370 539 +1475 1483 +-2048 -2048 +-2653 -1132 +138 5707 +2245 3938 +2243 4084 +-3723 638 +1109 -3189 +-607 -4807 +-2048 424 +260 409 +-654 -903 +3364 3473 +-3654 -181 +-231 511 +3879 1129 +396 2297 +4096 0 +396 -2297 +3879 -1129 +-231 -511 +-3654 181 +3364 -3473 +-654 903 +260 -409 +-2048 -424 +-607 4807 +1109 3189 +-3723 -638 +2243 -4084 +2245 -3938 +138 -5707 +-2653 1132 +-2048 2048 +1475 -1483 +-5370 -539 +-757 -3536 +2702 -3484 +-4866 -3589 +-1228 18 +1607 -1293 +-2048 -2472 +3204 -790 +3669 4724 +-1455 6570 +2806 -3316 +-370 -1100 +-1543 2839 +2112 3206 +-4944 -848 +-5211 4922 +-3096 6065 +3462 -1087 +4664 3386 +-2475 -1527 +-798 1137 +81 2484 +-3072 0 +81 -2484 +-798 -1137 +-2475 1527 +4664 -3386 +3462 1087 +-3096 -6065 +-5211 -4922 +-4944 848 +2777 -653 +-167 3512 +-5659 650 +2108 1494 +-47 -632 +-3981 492 +-1071 -336 +3072 -2048 +3423 373 +-3315 -1464 +475 -55 +540 -2602 +-1204 505 +6691 1244 +1550 1610 +848 4944 +3780 238 +-1732 1555 +504 3838 +880 710 +-849 -792 +-1795 -1397 +463 -4671 +5120 0 +463 4671 +-1795 1397 +-849 792 +880 -710 +504 -3838 +-1732 -1555 +3780 -238 +848 -4944 +1550 -1610 +6691 -1244 +-1204 -505 +540 2602 +475 55 +-3315 1464 +3423 -373 +3072 2048 +-1071 336 +-3981 -492 +-47 632 +2108 -1494 +-5659 -650 +-167 -3512 +2777 653 +-4944 -848 +-5211 4922 +-3096 6065 +3462 -1087 +4664 3386 +-2475 -1527 +-798 1137 +81 2484 +1024 -600 +3113 -1314 +409 -490 +6266 -1541 +2432 2362 +-2538 -3943 +-202 -164 +-2366 261 +-4096 0 +-2366 -261 +-202 164 +-2538 3943 +2432 -2362 +6266 1541 +409 490 +3113 1314 +1024 600 +-1178 -1350 +1166 2341 +-399 -3596 +5448 470 +-1162 4525 +-7744 5218 +-560 1039 +-2048 0 +978 1245 +-321 -3171 +-5819 1257 +96 -1578 +-1331 482 +-1441 7184 +3422 2185 +1024 -3496 +-1811 385 +-1333 4133 +1703 -1061 +216 -314 +-2514 -212 +1274 -1233 +4195 1274 +4096 0 +4195 -1274 +1274 1233 +-2514 212 +216 314 +1703 1061 +-1333 -4133 +-1811 -385 +1024 3496 +3422 -2185 +-1441 -7184 +-1331 -482 +96 1578 +-5819 -1257 +-321 3171 +978 -1245 +-2048 0 +-560 -1039 +-7744 -5218 +-1162 -4525 +5448 -470 +-399 3596 +1166 -2341 +-1178 1350 +1024 -600 +3113 -1314 +409 -490 +6266 -1541 +2432 2362 +-2538 -3943 +-202 -164 +-2366 261 +4096 600 +-5769 2852 +-5614 5432 +5292 4481 +2062 5388 +-2969 -696 +-586 -6417 +3732 -3820 +3072 0 +3732 3820 +-586 6417 +-2969 696 +2062 -5388 +5292 -4481 +-5614 -5432 +-5769 -2852 +4096 -600 +-2600 -1135 +-103 -1109 +-1131 -1503 +-1278 1384 +2133 913 +-1152 352 +2078 -3323 +-1024 -2048 +-1250 1641 +997 -5168 +-656 -880 +-170 184 +1984 -2786 +-1161 -22 +485 134 +4096 3496 +-364 -904 +2782 -249 +-1032 2484 +-614 1604 +2171 1359 +-3355 -3199 +-2105 3672 +-1024 0 +-2105 -3672 +-3355 3199 +2171 -1359 +-614 -1604 +-1032 -2484 +2782 249 +-364 904 +4096 -3496 +485 -134 +-1161 22 +1984 2786 +-170 -184 +-656 880 +997 5168 +-1250 -1641 +-1024 2048 +2078 3323 +-1152 -352 +2133 -913 +-1278 -1384 +-1131 1503 +-103 1109 +-2600 1135 +4096 600 +-5769 2852 +-5614 5432 +5292 4481 +2062 5388 +-2969 -696 +-586 -6417 +3732 -3820 +-1448 -1448 +761 1398 +-3689 -499 +2987 -193 +880 -1338 +1740 28 +805 2047 +-6316 893 +-1024 0 +-6316 -893 +805 -2047 +1740 -28 +880 1338 +2987 193 +-3689 499 +761 -1398 +-1448 1448 +1284 6347 +-456 -1515 +-2230 -3229 +540 554 +-1598 -4864 +2319 -1715 +-1775 1894 +-1024 0 +525 2234 +-3363 -867 +-89 -740 +2108 554 +-5192 -2001 +-5272 3430 +4691 3790 +1448 1448 +-4281 1159 +-471 -4445 +5115 -1034 +4664 1338 +5060 -4152 +1935 -2896 +-682 -1233 +3072 0 +-682 1233 +1935 2896 +5060 4152 +4664 -1338 +5115 1034 +-471 4445 +-4281 -1159 +1448 -1448 +4691 -3790 +-5272 -3430 +-5192 2001 +2108 -554 +-89 740 +-3363 867 +525 -2234 +-1024 0 +-1775 -1894 +2319 1715 +-1598 4864 +540 -554 +-2230 3229 +-456 1515 +1284 -6347 +-1448 -1448 +761 1398 +-3689 -499 +2987 -193 +880 -1338 +1740 28 +805 2047 +-6316 893 +2772 300 +-553 6227 +-2179 563 +679 -2473 +2200 248 +1678 -2389 +-1764 -1693 +1821 2739 +3072 0 +1821 -2739 +-1764 1693 +1678 2389 +2200 -248 +679 2473 +-2179 -563 +-553 -6227 +2772 -300 +-3794 2868 +3089 -268 +-1937 360 +-1814 -1430 +3259 -2801 +-4117 -1375 +1696 -1049 +0 1024 +-1413 -712 +-6299 -3607 +-5316 -1933 +3862 -2030 +1719 -1723 +2312 -3609 +-506 -4996 +1324 1748 +1069 838 +-4422 -1007 +-2028 6831 +-152 3248 +1947 -1886 +5187 2357 +1681 941 +-3072 0 +1681 -941 +5187 -2357 +1947 1886 +-152 -3248 +-2028 -6831 +-4422 1007 +1069 -838 +1324 -1748 +-506 4996 +2312 3609 +1719 1723 +3862 2030 +-5316 1933 +-6299 3607 +-1413 712 +0 -1024 +1696 1049 +-4117 1375 +3259 2801 +-1814 1430 +-1937 -360 +3089 268 +-3794 -2868 +2772 300 +-553 6227 +-2179 563 +679 -2473 +2200 248 +1678 -2389 +-1764 -1693 +1821 2739 +3320 424 +26 2668 +919 -4693 +-338 -1652 +1154 144 +-336 -4138 +-225 6188 +2550 735 +-1024 0 +2550 -735 +-225 -6188 +-336 4138 +1154 -144 +-338 1652 +919 4693 +26 -2668 +3320 -424 +3367 636 +-432 4610 +-2107 4173 +4834 484 +503 -3091 +-5496 -3509 +1450 1896 +3072 -2048 +2998 1053 +-1784 3876 +1509 -1997 +2158 1084 +-2801 1806 +-1735 -3913 +-4919 -4713 +-5368 -2472 +-1578 -1336 +48 3162 +-4570 614 +46 -3640 +2347 768 +513 -3 +1899 -691 +-1024 0 +1899 691 +513 3 +2347 -768 +46 3640 +-4570 -614 +48 -3162 +-1578 1336 +-5368 2472 +-4919 4713 +-1735 3913 +-2801 -1806 +2158 -1084 +1509 1997 +-1784 -3876 +2998 -1053 +3072 2048 +1450 -1896 +-5496 3509 +503 3091 +4834 -484 +-2107 -4173 +-432 -4610 +3367 -636 +3320 424 +26 2668 +919 -4693 +-338 -1652 +1154 144 +-336 -4138 +-225 6188 +2550 735 +2048 -3072 +1721 2459 +4440 4397 +2487 1845 +-2243 -300 +1843 -3994 +4323 -1917 +2227 -849 +0 0 +2227 849 +4323 1917 +1843 3994 +-2243 300 +2487 -1845 +4440 -4397 +1721 -2459 +2048 3072 +-1142 -141 +788 1940 +2199 2557 +-2806 -1748 +-4356 -240 +-322 -1091 +-5161 -661 +-2048 0 +46 -812 +-7806 292 +3480 2566 +3654 1748 +3316 -5268 +1989 336 +-4063 5591 +2048 -3072 +-979 -1711 +1472 991 +-1321 -1111 +-2702 -300 +-1855 1376 +-4883 2101 +1558 -1887 +4096 0 +1558 1887 +-4883 -2101 +-1855 -1376 +-2702 300 +-1321 1111 +1472 -991 +-979 1711 +2048 3072 +-4063 -5591 +1989 -336 +3316 5268 +3654 -1748 +3480 -2566 +-7806 -292 +46 812 +-2048 0 +-5161 661 +-322 1091 +-4356 240 +-2806 1748 +2199 -2557 +788 -1940 +-1142 141 +2048 -3072 +1721 2459 +4440 4397 +2487 1845 +-2243 -300 +1843 -3994 +4323 -1917 +2227 -849 +1324 724 +-2091 3313 +-1233 -5829 +-5215 -780 +-1006 -3334 +3041 -1969 +538 933 +778 -9235 +0 0 +778 9235 +538 -933 +3041 1969 +-1006 3334 +-5215 780 +-1233 5829 +-2091 -3313 +1324 -724 +1374 -229 +-2635 -4769 +-2009 1952 +776 1684 +-266 1771 +-4928 -2496 +2453 -680 +3072 -3072 +-3043 -5219 +-1688 1028 +-2248 505 +-2224 2884 +-2259 575 +911 1284 +937 991 +2772 -724 +5812 802 +-1139 1993 +-982 2300 +2454 -3658 +4144 -2193 +1982 894 +-426 1800 +2048 0 +-426 -1800 +1982 -894 +4144 2193 +2454 3658 +-982 -2300 +-1139 -1993 +5812 -802 +2772 724 +937 -991 +911 -1284 +-2259 -575 +-2224 -2884 +-2248 -505 +-1688 -1028 +-3043 5219 +3072 3072 +2453 680 +-4928 2496 +-266 -1771 +776 -1684 +-2009 -1952 +-2635 4769 +1374 229 +1324 724 +-2091 3313 +-1233 -5829 +-5215 -780 +-1006 -3334 +3041 -1969 +538 933 +778 -9235 +4644 -300 +184 -2308 +-2707 1695 +-3890 -466 +-2708 -8057 +1657 6471 +-1440 3036 +-2053 -435 +2048 0 +-2053 435 +-1440 -3036 +1657 -6471 +-2708 8057 +-3890 466 +-2707 -1695 +184 2308 +4644 300 +2663 1920 +-938 -2017 +1191 -453 +2634 -4621 +-877 -1504 +452 -90 +2211 -3890 +-1024 -1024 +1245 -1914 +-452 -1354 +-2915 -1956 +2310 -1973 +-108 29 +938 1923 +3258 -578 +-2596 -1748 +2144 -1207 +2707 -1851 +-2307 -693 +1860 2512 +1456 5421 +1440 -204 +-3859 -1006 +-12288 0 +-3859 1006 +1440 204 +1456 -5421 +1860 -2512 +-2307 693 +2707 1851 +2144 1207 +-2596 1748 +3258 578 +938 -1923 +-108 -29 +2310 1973 +-2915 1956 +-452 1354 +1245 1914 +-1024 1024 +2211 3890 +452 90 +-877 1504 +2634 4621 +1191 453 +-938 2017 +2663 -1920 +4644 -300 +184 -2308 +-2707 1695 +-3890 -466 +-2708 -8057 +1657 6471 +-1440 3036 +-2053 -435 +-4944 1024 +328 2431 +105 1439 +-3030 1312 +2436 -7691 +-1383 -2167 +-2086 6284 +456 -1371 +-4096 0 +456 1371 +-2086 -6284 +-1383 2167 +2436 7691 +-3030 -1312 +105 -1439 +328 -2431 +-4944 -1024 +-3975 -2115 +967 1802 +-312 2776 +-4024 -3021 +-3569 3497 +733 -1647 +6868 31 +0 2048 +328 -1020 +6547 1473 +2021 -4115 +1976 -1222 +-527 1222 +1201 678 +3370 108 +848 1024 +2285 1359 +-1072 334 +-3491 919 +-4484 -2798 +-1294 -1661 +1798 -268 +1924 1888 +4096 0 +1924 -1888 +1798 268 +-1294 1661 +-4484 2798 +-3491 -919 +-1072 -334 +2285 -1359 +848 -1024 +3370 -108 +1201 -678 +-527 -1222 +1976 1222 +2021 4115 +6547 -1473 +328 1020 +0 -2048 +6868 -31 +733 1647 +-3569 -3497 +-4024 3021 +-312 -2776 +967 -1802 +-3975 2115 +-4944 1024 +328 2431 +105 1439 +-3030 1312 +2436 -7691 +-1383 -2167 +-2086 6284 +456 -1371 +-1748 900 +-354 -242 +-2208 -728 +-3532 -1019 +-1260 -1441 +5444 2712 +1989 -3553 +-1325 177 +4096 0 +-1325 -177 +1989 3553 +5444 -2712 +-1260 1441 +-3532 1019 +-2208 728 +-354 242 +-1748 -900 +3148 3409 +-605 5233 +-6096 2664 +1186 -2898 +-447 4161 +1134 6760 +4028 396 +-1024 -1024 +-1872 1926 +-1134 2672 +-1234 -1361 +862 -4346 +1663 -2223 +605 -924 +3273 -3663 +-300 5244 +-37 3297 +2208 -1332 +-2500 2501 +3308 -8 +909 535 +-1989 -4630 +-1070 -2505 +-6144 0 +-1070 2505 +-1989 4630 +909 -535 +3308 8 +-2500 -2501 +2208 1332 +-37 -3297 +-300 -5244 +3273 3663 +605 924 +1663 2223 +862 4346 +-1234 1361 +-1134 -2672 +-1872 -1926 +-1024 1024 +4028 -396 +1134 -6760 +-447 -4161 +1186 2898 +-6096 -2664 +-605 -5233 +3148 -3409 +-1748 900 +-354 -242 +-2208 -728 +-3532 -1019 +-1260 -1441 +5444 2712 +1989 -3553 +-1325 177 +2596 1748 +80 1911 +-2470 91 +1003 -8541 +548 -3913 +315 302 +1473 -3310 +-2540 5539 +-4096 0 +-2540 -5539 +1473 3310 +315 -302 +548 3913 +1003 8541 +-2470 -91 +80 -1911 +2596 -1748 +-926 -1514 +-128 -1311 +3388 -3176 +318 -2474 +2238 696 +-253 2156 +120 -300 +1024 -1024 +-2661 -1743 +-347 1084 +-3850 2369 +3778 -4770 +2250 -3988 +-3368 -2235 +-2648 -2799 +-4644 300 +4381 -92 +-1026 184 +-2177 -2087 +3548 -2480 +2625 -2652 +-2073 -4754 +-1599 1916 +6144 0 +-1599 -1916 +-2073 4754 +2625 2652 +3548 2480 +-2177 2087 +-1026 -184 +4381 92 +-4644 -300 +-2648 2799 +-3368 2235 +2250 3988 +3778 4770 +-3850 -2369 +-347 -1084 +-2661 1743 +1024 1024 +120 300 +-253 -2156 +2238 -696 +318 2474 +3388 3176 +-128 1311 +-926 1514 +2596 1748 +80 1911 +-2470 91 +1003 -8541 +548 -3913 +315 302 +1473 -3310 +-2540 5539 +2472 -4520 +-386 523 +844 4064 +-836 -711 +-3700 510 +542 3867 +-777 357 +-55 -4097 +5120 0 +-55 4097 +-777 -357 +542 -3867 +-3700 -510 +-836 711 +844 -4064 +-386 -523 +2472 4520 +4903 -1856 +3017 2868 +-1785 -1971 +84 -6186 +1745 703 +-2591 -4826 +-2307 1890 +-1024 0 +209 -2122 +-1414 2010 +-5796 -2462 +-2132 -1842 +-1510 -1418 +-2797 2932 +525 -1687 +-424 -1624 +3207 -1370 +4728 -5696 +-983 -377 +1652 3835 +2830 5311 +-1011 -3409 +-302 -3332 +1024 0 +-302 3332 +-1011 3409 +2830 -5311 +1652 -3835 +-983 377 +4728 5696 +3207 1370 +-424 1624 +525 1687 +-2797 -2932 +-1510 1418 +-2132 1842 +-5796 2462 +-1414 -2010 +209 2122 +-1024 0 +-2307 -1890 +-2591 4826 +1745 -703 +84 6186 +-1785 1971 +3017 -2868 +4903 1856 +2472 -4520 +-386 523 +844 4064 +-836 -711 +-3700 510 +542 3867 +-777 357 +-55 -4097 +3496 -848 +599 -3132 +-3709 -2378 +-1229 374 +-3954 -2268 +-4980 945 +-3974 -1396 +247 965 +7168 0 +247 -965 +-3974 1396 +-4980 -945 +-3954 2268 +-1229 -374 +-3709 2378 +599 3132 +3496 848 +1345 459 +141 -8 +3256 3201 +495 -260 +-4652 -418 +1178 -402 +81 -942 +1024 -4096 +2031 -1652 +-4010 5651 +-2457 -995 +954 -1957 +4494 3346 +-297 -1835 +-4343 -1805 +600 4944 +2161 -2027 +-231 -1146 +-1849 -3125 +2506 -7620 +1623 1374 +2710 -2440 +3673 1221 +-1024 0 +3673 -1221 +2710 2440 +1623 -1374 +2506 7620 +-1849 3125 +-231 1146 +2161 2027 +600 -4944 +-4343 1805 +-297 1835 +4494 -3346 +954 1957 +-2457 995 +-4010 -5651 +2031 1652 +1024 4096 +81 942 +1178 402 +-4652 418 +495 260 +3256 -3201 +141 8 +1345 -459 +3496 -848 +599 -3132 +-3709 -2378 +-1229 374 +-3954 -2268 +-4980 945 +-3974 -1396 +247 965 +-3196 -124 +-320 -2199 +4360 -2732 +1712 3827 +-802 5070 +4226 -151 +-1863 670 +373 3407 +11264 0 +373 -3407 +-1863 -670 +4226 151 +-802 -5070 +1712 -3827 +4360 2732 +-320 2199 +-3196 124 +-1542 -3903 +-1331 646 +-1695 1302 +92 -2165 +-2464 -185 +227 -4062 +2381 1902 +0 1024 +3981 -2095 +3233 882 +1755 579 +-692 732 +-4743 -1409 +-1670 1495 +-2402 3110 +1148 4220 +4944 2 +-1360 1883 +-3522 14 +-2694 -2174 +-1062 5068 +-1596 -5615 +-1621 -2629 +1024 0 +-1621 2629 +-1596 5615 +-1062 -5068 +-2694 2174 +-3522 -14 +-1360 -1883 +4944 -2 +1148 -4220 +-2402 -3110 +-1670 -1495 +-4743 1409 +-692 -732 +1755 -579 +3233 -882 +3981 2095 +0 -1024 +2381 -1902 +227 4062 +-2464 185 +92 2165 +-1695 -1302 +-1331 -646 +-1542 3903 +-3196 -124 +-320 -2199 +4360 -2732 +1712 3827 +-802 5070 +4226 -151 +-1863 670 +373 3407 +3320 -2472 +-2512 -2502 +495 -362 +1283 -229 +894 -364 +4540 -6668 +1734 606 +-317 -1491 +1024 0 +-317 1491 +1734 -606 +4540 6668 +894 364 +1283 229 +495 362 +-2512 2502 +3320 2472 +13 -2573 +-5688 -521 +-2555 502 +-2786 1304 +-301 -4675 +-698 -445 +-2286 1361 +1024 2048 +631 7372 +-291 4463 +-1518 3670 +-110 -5088 +-76 -3451 +676 3927 +2074 1176 +-5368 424 +1992 1029 +7413 1914 +-2437 164 +2002 -1932 +1064 -878 +-3641 1405 +404 -1114 +1024 0 +404 1114 +-3641 -1405 +1064 878 +2002 1932 +-2437 -164 +7413 -1914 +1992 -1029 +-5368 -424 +2074 -1176 +676 -3927 +-76 3451 +-110 5088 +-1518 -3670 +-291 -4463 +631 -7372 +1024 -2048 +-2286 -1361 +-698 445 +-301 4675 +-2786 -1304 +-2555 -502 +-5688 521 +13 2573 +3320 -2472 +-2512 -2502 +495 -362 +1283 -229 +894 -364 +4540 -6668 +1734 606 +-317 -1491 +1748 -2172 +-2452 1406 +1767 703 +2866 3360 +-3562 522 +2014 -6473 +273 920 +-1772 2084 +1024 0 +-1772 -2084 +273 -920 +2014 6473 +-3562 -522 +2866 -3360 +1767 -703 +-2452 -1406 +1748 2172 +31 3549 +536 1784 +-6489 1201 +-452 2864 +6008 -1935 +1092 -1936 +894 912 +-2048 -3072 +334 -3967 +-3324 -6060 +436 -2828 +1900 -2080 +-3494 -3720 +2804 -773 +2524 -665 +300 2172 +-752 -2355 +-2211 -363 +-2019 5391 +2114 -1370 +678 -525 +-937 -2147 +1193 -7092 +-1024 0 +1193 7092 +-937 2147 +678 525 +2114 1370 +-2019 -5391 +-2211 363 +-752 2355 +300 -2172 +2524 665 +2804 773 +-3494 3720 +1900 2080 +436 2828 +-3324 6060 +334 3967 +-2048 3072 +894 -912 +1092 1936 +6008 1935 +-452 -2864 +-6489 -1201 +536 -1784 +31 -3549 +1748 -2172 +-2452 1406 +1767 703 +2866 3360 +-3562 522 +2014 -6473 +273 920 +-1772 2084 +600 600 +1691 -1015 +-2039 226 +-1536 -638 +5762 -3920 +3152 -1762 +464 833 +4455 6374 +3072 0 +4455 -6374 +464 -833 +3152 1762 +5762 3920 +-1536 638 +-2039 -226 +1691 1015 +600 -600 +-901 -1906 +2078 -2585 +103 2647 +-1363 1872 +-5715 -1023 +-103 -2242 +448 -469 +-7168 2048 +2986 -2311 +768 -166 +1811 -2243 +1962 -1872 +-3779 4678 +-1634 2020 +-3088 3677 +3496 3496 +1411 -1962 +-1301 -2614 +748 84 +-2266 -3920 +-577 -4442 +1768 2442 +-1209 -2791 +-5120 0 +-1209 2791 +1768 -2442 +-577 4442 +-2266 3920 +748 -84 +-1301 2614 +1411 1962 +3496 -3496 +-3088 -3677 +-1634 -2020 +-3779 -4678 +1962 1872 +1811 2243 +768 166 +2986 2311 +-7168 -2048 +448 469 +-103 2242 +-5715 1023 +-1363 -1872 +103 -2647 +2078 2585 +-901 1906 +600 600 +1691 -1015 +-2039 226 +-1536 -638 +5762 -3920 +3152 -1762 +464 833 +4455 6374 +1024 1872 +851 7918 +1513 2978 +-864 -1702 +-314 724 +-2269 -1089 +-1600 902 +-386 1986 +-3072 0 +-386 -1986 +-1600 -902 +-2269 1089 +-314 -724 +-864 1702 +1513 -2978 +851 -7918 +1024 -1872 +143 750 +-2058 -647 +356 2806 +1578 -724 +4337 -575 +983 386 +-1683 -5485 +3072 4096 +-921 -1994 +-1684 -4403 +2759 5397 +470 724 +-4132 576 +-1986 -2759 +5246 -2117 +1024 -3920 +-889 -2776 +4227 702 +2423 -86 +2362 724 +-2609 -4083 +-7587 103 +-2362 -2071 +1024 0 +-2362 2071 +-7587 -103 +-2609 4083 +2362 -724 +2423 86 +4227 -702 +-889 2776 +1024 3920 +5246 2117 +-1986 2759 +-4132 -576 +470 -724 +2759 -5397 +-1684 4403 +-921 1994 +3072 -4096 +-1683 5485 +983 -386 +4337 575 +1578 724 +356 -2806 +-2058 647 +143 -750 +1024 1872 +851 7918 +1513 2978 +-864 -1702 +-314 724 +-2269 -1089 +-1600 902 +-386 1986 +-600 -3072 +-1376 1665 +3375 -2103 +2136 2299 +554 -96 +761 -2544 +499 5160 +-3815 1780 +-8192 0 +-3815 -1780 +499 -5160 +761 2544 +554 96 +2136 -2299 +3375 2103 +-1376 -1665 +-600 3072 +3293 4062 +483 1160 +475 -2501 +1338 -2432 +3280 964 +877 -3285 +-7267 2039 +0 0 +4446 -2123 +-2834 -3441 +15 -4344 +-1338 216 +-3847 -2004 +1786 2425 +-1194 -3043 +-3496 -3072 +1732 -522 +4245 -728 +1915 3310 +-554 -5448 +1057 -3444 +-239 -1219 +-1612 -3486 +0 0 +-1612 3486 +-239 1219 +1057 3444 +-554 5448 +1915 -3310 +4245 728 +1732 522 +-3496 3072 +-1194 3043 +1786 -2425 +-3847 2004 +-1338 -216 +15 4344 +-2834 3441 +4446 2123 +0 0 +-7267 -2039 +877 3285 +3280 -964 +1338 2432 +475 2501 +483 -1160 +3293 -4062 +-600 -3072 +-1376 1665 +3375 -2103 +2136 2299 +554 -96 +761 -2544 +499 5160 +-3815 1780 +2472 5968 +2390 1180 +1517 1810 +102 -746 +288 3686 +557 1389 +820 -8395 +60 1467 +-1024 0 +60 -1467 +820 8395 +557 -1389 +288 -3686 +102 746 +1517 -1810 +2390 -1180 +2472 -5968 +1852 -270 +-2870 -2264 +-3922 -3551 +968 3242 +537 -3017 +-925 839 +-62 2924 +-3072 2048 +-2790 251 +-691 -233 +-3334 -870 +-2167 -4350 +417 -1348 +1015 -3189 +3097 2361 +-424 176 +-659 -3013 +7331 -1535 +2074 -3732 +-7280 1010 +-2224 -130 +1997 331 +1904 -2811 +3072 0 +1904 2811 +1997 -331 +-2224 130 +-7280 -1010 +2074 3732 +7331 1535 +-659 3013 +-424 -176 +3097 -2361 +1015 3189 +417 1348 +-2167 4350 +-3334 870 +-691 233 +-2790 -251 +-3072 -2048 +-62 -2924 +-925 -839 +537 3017 +968 -3242 +-3922 3551 +-2870 2264 +1852 270 +2472 5968 +2390 1180 +1517 1810 +102 -746 +288 3686 +557 1389 +820 -8395 +60 1467 +-2472 0 +-4723 649 +-1623 4340 +-264 1356 +-614 5592 +-2146 811 +3643 1109 +4105 243 +-2048 0 +4105 -243 +3643 -1109 +-2146 -811 +-614 -5592 +-264 -1356 +-1623 -4340 +-4723 -649 +-2472 0 +772 -4156 +1356 -451 +2592 -2148 +-170 699 +-481 -1615 +-1179 -931 +1346 -6274 +4096 -4096 +-2694 8487 +735 2973 +4811 1401 +-1278 -1349 +-3469 -1440 +-3587 318 +-1220 -3489 +424 0 +1387 771 +958 -675 +-426 400 +2062 -3544 +-616 -141 +-303 5691 +1027 -4507 +-2048 0 +1027 4507 +-303 -5691 +-616 141 +2062 3544 +-426 -400 +958 675 +1387 -771 +424 0 +-1220 3489 +-3587 -318 +-3469 1440 +-1278 1349 +4811 -1401 +735 -2973 +-2694 -8487 +4096 4096 +1346 6274 +-1179 931 +-481 1615 +-170 -699 +2592 2148 +1356 451 +772 4156 +-2472 0 +-4723 649 +-1623 4340 +-264 1356 +-614 5592 +-2146 811 +3643 1109 +4105 243 +848 -3920 +4386 -1538 +425 1219 +-1218 -2315 +2870 -2856 +5007 2570 +2428 1137 +-3757 -1730 +-4096 0 +-3757 1730 +2428 -1137 +5007 -2570 +2870 2856 +-1218 2315 +425 -1219 +4386 1538 +848 3920 +652 -535 +-2199 967 +-436 2795 +-350 -2976 +2656 688 +3349 -560 +-2085 -943 +2048 4096 +-230 1114 +3043 992 +1466 -2843 +-4594 -2376 +2438 -2099 +-97 -3940 +-9623 2189 +-4944 1872 +359 477 +-2721 -3231 +1225 -1013 +-2022 -640 +448 -4533 +3965 3311 +-1287 3 +0 0 +-1287 -3 +3965 -3311 +448 4533 +-2022 640 +1225 1013 +-2721 3231 +359 -477 +-4944 -1872 +-9623 -2189 +-97 3940 +2438 2099 +-4594 2376 +1466 2843 +3043 -992 +-230 -1114 +2048 -4096 +-2085 943 +3349 560 +2656 -688 +-350 2976 +-436 -2795 +-2199 -967 +652 535 +848 -3920 +4386 -1538 +425 1219 +-1218 -2315 +2870 -2856 +5007 2570 +2428 1137 +-3757 -1730 +124 -1748 +-2939 -1754 +973 2911 +1590 -3723 +392 2380 +6529 2962 +1105 -1244 +-5433 -2647 +-3072 0 +-5433 2647 +1105 1244 +6529 -2962 +392 -2380 +1590 3723 +973 -2911 +-2939 1754 +124 1748 +3459 -781 +3582 3853 +-498 -213 +-946 2270 +2023 -173 +-78 -250 +-1020 -4226 +0 -3072 +1919 2088 +3234 -2990 +1395 1229 +946 -378 +78 -1542 +1141 -307 +1213 2184 +-4220 -300 +-4629 -5746 +-1601 2817 +1727 2632 +-392 3164 +-7051 4470 +-165 200 +1637 4289 +-5120 0 +1637 -4289 +-165 -200 +-7051 -4470 +-392 -3164 +1727 -2632 +-1601 -2817 +-4629 5746 +-4220 300 +1213 -2184 +1141 307 +78 1542 +946 378 +1395 -1229 +3234 2990 +1919 -2088 +0 3072 +-1020 4226 +-78 250 +2023 173 +-946 -2270 +-498 213 +3582 -3853 +3459 781 +124 -1748 +-2939 -1754 +973 2911 +1590 -3723 +392 2380 +6529 2962 +1105 -1244 +-5433 -2647 +724 -724 +-833 1311 +1957 -2852 +-2882 -58 +1586 1526 +2531 -1076 +-2520 2823 +-1621 -1637 +-6144 0 +-1621 1637 +-2520 -2823 +2531 1076 +1586 -1526 +-2882 58 +1957 2852 +-833 -1311 +724 724 +-3685 -2180 +-2494 -3801 +-359 -2599 +-1984 -816 +-628 4260 +1477 1096 +4065 489 +-1024 -1024 +1778 -4150 +-2141 276 +-2712 -270 +2584 32 +-475 -1338 +2050 -2093 +-1914 5752 +-724 724 +5544 -6372 +1384 3361 +-747 9438 +1910 3418 +-520 2266 +288 3349 +2459 -2011 +0 0 +2459 2011 +288 -3349 +-520 -2266 +1910 -3418 +-747 -9438 +1384 -3361 +5544 6372 +-724 -724 +-1914 -5752 +2050 2093 +-475 1338 +2584 -32 +-2712 270 +-2141 -276 +1778 4150 +-1024 1024 +4065 -489 +1477 -1096 +-628 -4260 +-1984 816 +-359 2599 +-2494 3801 +-3685 2180 +724 -724 +-833 1311 +1957 -2852 +-2882 -58 +1586 1526 +2531 -1076 +-2520 2823 +-1621 -1637 +1024 -4520 +-1331 -3679 +36 1050 +-243 -1359 +-2232 -2302 +3784 361 +-1874 835 +-991 -3052 +7168 0 +-991 3052 +-1874 -835 +3784 -361 +-2232 2302 +-243 1359 +36 -1050 +-1331 3679 +1024 4520 +-2150 -610 +-1411 3188 +-624 647 +3340 -1638 +1916 2375 +535 2438 +-1193 6091 +-5120 0 +-1693 -4291 +-2204 2882 +1513 867 +-444 -1038 +-962 -961 +4647 956 +6080 2536 +1024 -1624 +-1032 721 +5417 -386 +-1955 -3513 +-664 -1194 +-3430 -1740 +-5147 2505 +2310 6342 +-1024 0 +2310 -6342 +-5147 -2505 +-3430 1740 +-664 1194 +-1955 3513 +5417 386 +-1032 -721 +1024 1624 +6080 -2536 +4647 -956 +-962 961 +-444 1038 +1513 -867 +-2204 -2882 +-1693 4291 +-5120 0 +-1193 -6091 +535 -2438 +1916 -2375 +3340 1638 +-624 -647 +-1411 -3188 +-2150 610 +1024 -4520 +-1331 -3679 +36 1050 +-243 -1359 +-2232 -2302 +3784 361 +-1874 835 +-991 -3052 +1024 1448 +-1758 3010 +1832 -1402 +-2308 2447 +-1768 -1698 +2270 -804 +2155 276 +176 -1716 +-4096 0 +176 1716 +2155 -276 +2270 804 +-1768 1698 +-2308 -2447 +1832 1402 +-1758 -3010 +1024 -1448 +-271 862 +1774 -4303 +2549 1195 +-5004 -26 +703 -2171 +1003 790 +-1827 2511 +-2048 0 +3064 3604 +7061 5762 +-3877 3207 +-3436 4918 +-3081 2633 +-1499 3198 +7046 -2173 +1024 -1448 +-554 636 +-907 -3882 +-3840 320 +2016 2546 +1791 1718 +-3227 103 +-84 3698 +4096 0 +-84 -3698 +-3227 -103 +1791 -1718 +2016 -2546 +-3840 -320 +-907 3882 +-554 -636 +1024 1448 +7046 2173 +-1499 -3198 +-3081 -2633 +-3436 -4918 +-3877 -3207 +7061 -5762 +3064 -3604 +-2048 0 +-1827 -2511 +1003 -790 +703 2171 +-5004 26 +2549 -1195 +1774 4303 +-271 -862 +1024 1448 +-1758 3010 +1832 -1402 +-2308 2447 +-1768 -1698 +2270 -804 +2155 276 +176 -1716 +300 2348 +-245 -3535 +167 -3482 +-704 2058 +-1251 1006 +3763 507 +1208 -1875 +-583 -1620 +0 0 +-583 1620 +1208 1875 +3763 -507 +-1251 -1006 +-704 -2058 +167 3482 +-245 3535 +300 -2348 +-3213 -2421 +2101 -806 +547 1141 +-256 -776 +-1668 -313 +-4948 -3086 +-2673 -1507 +1024 3072 +3781 1569 +-5348 8475 +4344 1933 +8096 -2224 +-3223 3952 +964 -1681 +2105 2264 +1748 3796 +-863 2867 +-1536 5007 +-1972 -1464 +-2494 -2454 +-1087 -477 +-801 -550 +1692 -657 +2048 0 +1692 657 +-801 550 +-1087 477 +-2494 2454 +-1972 1464 +-1536 -5007 +-863 -2867 +1748 -3796 +2105 -2264 +964 1681 +-3223 -3952 +8096 2224 +4344 -1933 +-5348 -8475 +3781 -1569 +1024 -3072 +-2673 1507 +-4948 3086 +-1668 313 +-256 776 +547 -1141 +2101 806 +-3213 2421 +300 2348 +-245 -3535 +167 -3482 +-704 2058 +-1251 1006 +3763 507 +1208 -1875 +-583 -1620 +2772 -1748 +3933 5880 +416 -355 +-683 -3222 +-2440 1560 +1943 -6022 +1373 360 +-4297 1298 +-3072 0 +-4297 -1298 +1373 -360 +1943 6022 +-2440 -1560 +-683 3222 +416 355 +3933 -5880 +2772 1748 +1686 667 +-83 -2509 +-2127 -2155 +-1102 562 +-1817 726 +2056 750 +3645 1536 +2048 1024 +-1369 -509 +-2056 3102 +-2200 1150 +-2994 -886 +2926 6148 +83 3168 +1719 1817 +1324 -300 +-7339 3799 +-416 6031 +-116 -3274 +-1656 -3008 +2075 -161 +-1373 1991 +2021 -3006 +7168 0 +2021 3006 +-1373 -1991 +2075 161 +-1656 3008 +-116 3274 +-416 -6031 +-7339 -3799 +1324 300 +1719 -1817 +83 -3168 +2926 -6148 +-2994 886 +-2200 -1150 +-2056 -3102 +-1369 509 +2048 -1024 +3645 -1536 +2056 -750 +-1817 -726 +-1102 -562 +-2127 2155 +-83 2509 +1686 -667 +2772 -1748 +3933 5880 +416 -355 +-683 -3222 +-2440 1560 +1943 -6022 +1373 360 +-4297 1298 +0 -600 +-1433 -708 +1835 -86 +4274 -2058 +1553 -4568 +-383 -2093 +-957 1091 +3299 632 +3072 0 +3299 -632 +-957 -1091 +-383 2093 +1553 4568 +4274 2058 +1835 86 +-1433 708 +0 600 +-6320 219 +-465 109 +-1072 45 +-458 325 +-810 -1023 +-4603 -2323 +4283 -173 +-1024 2048 +-3140 3313 +-4909 5297 +1307 3407 +6002 325 +-4917 2810 +1638 2065 +2157 -4440 +0 -3496 +3140 1163 +-1311 346 +1035 2367 +1094 4568 +-5226 -1725 +580 -3359 +3806 2175 +-1024 0 +3806 -2175 +580 3359 +-5226 1725 +1094 -4568 +1035 -2367 +-1311 -346 +3140 -1163 +0 3496 +2157 4440 +1638 -2065 +-4917 -2810 +6002 -325 +1307 -3407 +-4909 -5297 +-3140 -3313 +-1024 -2048 +4283 173 +-4603 2323 +-810 1023 +-458 -325 +-1072 -45 +-465 -109 +-6320 -219 +0 -600 +-1433 -708 +1835 -86 +4274 -2058 +1553 -4568 +-383 -2093 +-957 1091 +3299 632 +3796 -1148 +492 -1845 +-1870 787 +52 3151 +-1206 1090 +3871 -3455 +-4268 -935 +-4263 -2564 +4096 0 +-4263 2564 +-4268 935 +3871 3455 +-1206 -1090 +52 -3151 +-1870 -787 +492 1845 +3796 1148 +-3877 -226 +4706 1810 +-845 -893 +-8012 876 +-916 -1172 +2188 -2639 +2620 859 +-1024 -3072 +240 -2108 +-2528 702 +973 2369 +-1877 1476 +-8479 959 +-582 2474 +2580 1468 +2348 3196 +156 -2124 +643 -3019 +136 -986 +2903 -4586 +5208 -884 +1711 1379 +2053 2125 +2048 0 +2053 -2125 +1711 -1379 +5208 884 +2903 4586 +136 986 +643 3019 +156 2124 +2348 -3196 +2580 -1468 +-582 -2474 +-8479 -959 +-1877 -1476 +973 -2369 +-2528 -702 +240 2108 +-1024 3072 +2620 -859 +2188 2639 +-916 1172 +-8012 -876 +-845 893 +4706 -1810 +-3877 226 +3796 -1148 +492 -1845 +-1870 787 +52 3151 +-1206 1090 +3871 -3455 +-4268 -935 +-4263 -2564 +900 -300 +305 3142 +3139 540 +-554 -2084 +-223 -4372 +1055 302 +-624 -1065 +-2110 -931 +-2048 0 +-2110 931 +-624 1065 +1055 -302 +-223 4372 +-554 2084 +3139 -540 +305 -3142 +900 300 +5693 1929 +-4432 -967 +-3407 1918 +4250 3986 +123 5700 +-3688 -450 +-3512 -278 +3072 3072 +791 4298 +2699 2891 +2007 -681 +-8346 1690 +-896 -500 +-580 -303 +1478 -2173 +5244 -1748 +-557 -1581 +4770 -2772 +-1143 -646 +-3873 -2021 +2816 1197 +-1283 1509 +-2088 2978 +0 0 +-2088 -2978 +-1283 -1509 +2816 -1197 +-3873 2021 +-1143 646 +4770 2772 +-557 1581 +5244 1748 +1478 2173 +-580 303 +-896 500 +-8346 -1690 +2007 681 +2699 -2891 +791 -4298 +3072 -3072 +-3512 278 +-3688 450 +123 -5700 +4250 -3986 +-3407 -1918 +-4432 967 +5693 -1929 +900 -300 +305 3142 +3139 540 +-554 -2084 +-223 -4372 +1055 302 +-624 -1065 +-2110 -931 +2772 -300 +-3695 -7393 +-2780 -2850 +3177 -839 +-1260 -2428 +2053 -1627 +2783 -2630 +-198 788 +1024 0 +-198 -788 +2783 2630 +2053 1627 +-1260 2428 +3177 839 +-2780 2850 +-3695 7393 +2772 300 +2482 500 +1070 491 +-1849 -6757 +1186 -321 +-4139 1359 +-2757 -1309 +580 3244 +-2048 -1024 +207 -1136 +-3415 4927 +5096 1564 +862 -921 +-5487 2448 +-561 4052 +-1894 202 +1324 -1748 +-677 -266 +1072 -2278 +2591 468 +3308 5924 +-1443 3841 +-3603 178 +3195 -943 +3072 0 +3195 943 +-3603 -178 +-1443 -3841 +3308 -5924 +2591 -468 +1072 2278 +-677 266 +1324 1748 +-1894 -202 +-561 -4052 +-5487 -2448 +862 921 +5096 -1564 +-3415 -4927 +207 1136 +-2048 1024 +580 -3244 +-2757 1309 +-4139 -1359 +1186 321 +-1849 6757 +1070 -491 +2482 -500 +2772 -300 +-3695 -7393 +-2780 -2850 +3177 -839 +-1260 -2428 +2053 -1627 +2783 -2630 +-198 788 +-1624 -1024 +56 5718 +-728 1821 +-1011 -619 +3810 880 +-3283 1041 +-971 -3955 +3102 -1268 +1024 0 +3102 1268 +-971 3955 +-3283 -1041 +3810 -880 +-1011 619 +-728 -1821 +56 -5718 +-1624 1024 +2175 5356 +-6746 -2581 +-1753 1432 +-978 540 +-5554 -3082 +-656 2480 +-1217 2232 +1024 0 +-1589 738 +1115 2636 +1736 -985 +130 -2108 +1444 -3360 +286 -3845 +-1721 1121 +-4520 -1024 +4603 2391 +7188 1011 +3776 -3156 +1134 4664 +-1147 4472 +512 15 +385 -1867 +1024 0 +385 1867 +512 -15 +-1147 -4472 +1134 -4664 +3776 3156 +7188 -1011 +4603 -2391 +-4520 1024 +-1721 -1121 +286 3845 +1444 3360 +130 2108 +1736 985 +1115 -2636 +-1589 -738 +1024 0 +-1217 -2232 +-656 -2480 +-5554 3082 +-978 -540 +-1753 -1432 +-6746 2581 +2175 -5356 +-1624 -1024 +56 5718 +-728 1821 +-1011 -619 +3810 880 +-3283 1041 +-971 -3955 +3102 -1268 +2648 -4520 +764 2414 +237 1517 +1188 668 +-8164 1822 +-1966 98 +2913 -457 +-42 4646 +4096 0 +-42 -4646 +2913 457 +-1966 -98 +-8164 -1822 +1188 -668 +237 -1517 +764 -2414 +2648 4520 +581 1513 +810 547 +2572 136 +6765 -4194 +4320 3465 +-705 -719 +-157 937 +2048 4096 +-291 51 +105 -1383 +-963 -2847 +-3020 -698 +364 -4134 +-4306 991 +479 494 +5544 -1624 +-3390 3487 +-3733 1823 +-340 1062 +323 -2422 +-5175 -409 +-3513 2689 +2057 1387 +0 0 +2057 -1387 +-3513 -2689 +-5175 409 +323 2422 +-340 -1062 +-3733 -1823 +-3390 -3487 +5544 1624 +479 -494 +-4306 -991 +364 4134 +-3020 698 +-963 2847 +105 1383 +-291 -51 +2048 -4096 +-157 -937 +-705 719 +4320 -3465 +6765 4194 +2572 -136 +810 -547 +581 -1513 +2648 -4520 +764 2414 +237 1517 +1188 668 +-8164 1822 +-1966 98 +2913 -457 +-42 4646 +1448 -6392 +3407 -1331 +-5132 -363 +-3721 44 +1194 -1468 +612 1257 +3247 2211 +2720 -3696 +3072 0 +2720 3696 +3247 -2211 +612 -1257 +1194 1468 +-3721 -44 +-5132 363 +3407 1331 +1448 6392 +-487 2922 +-2348 508 +-588 3463 +-1038 -3256 +767 -1899 +1274 -1501 +-5023 1848 +1024 6144 +2286 -3118 +-2437 -2949 +-1066 -1686 +1638 1688 +-3729 2013 +-1044 -940 +3203 6179 +-1448 2296 +1238 803 +-4261 1811 +-1539 73 +2302 2316 +-2322 524 +2509 -763 +4242 -5054 +3072 0 +4242 5054 +2509 763 +-2322 -524 +2302 -2316 +-1539 -73 +-4261 -1811 +1238 -803 +-1448 -2296 +3203 -6179 +-1044 940 +-3729 -2013 +1638 -1688 +-1066 1686 +-2437 2949 +2286 3118 +1024 -6144 +-5023 -1848 +1274 1501 +767 1899 +-1038 3256 +-588 -3463 +-2348 -508 +-487 -2922 +1448 -6392 +3407 -1331 +-5132 -363 +-3721 44 +1194 -1468 +612 1257 +3247 2211 +2720 -3696 +-3372 -1748 +-4522 2792 +-185 -347 +-1957 -1952 +2624 -1116 +2835 -6788 +-1197 1766 +1050 -391 +1024 0 +1050 391 +-1197 -1766 +2835 6788 +2624 1116 +-1957 1952 +-185 347 +-4522 -2792 +-3372 1748 +369 -1187 +519 -3136 +-998 -1824 +-4286 1670 +-2541 -511 +-168 -2775 +1103 367 +-2048 1024 +-2628 -1466 +-2248 3841 +-1082 4016 +1390 222 +-882 983 +8209 -4860 +5529 -104 +-4820 -300 +1520 -1947 +1345 2720 +942 88 +273 -332 +-2109 850 +1916 754 +3371 -7474 +3072 0 +3371 7474 +1916 -754 +-2109 -850 +273 332 +942 -88 +1345 -2720 +1520 1947 +-4820 300 +5529 104 +8209 4860 +-882 -983 +1390 -222 +-1082 -4016 +-2248 -3841 +-2628 1466 +-2048 -1024 +1103 -367 +-168 2775 +-2541 511 +-4286 -1670 +-998 1824 +519 3136 +369 1187 +-3372 -1748 +-4522 2792 +-185 -347 +-1957 -1952 +2624 -1116 +2835 -6788 +-1197 1766 +1050 -391 +4520 5968 +-1785 1804 +-440 -3014 +-2362 -291 +-868 -1618 +3750 415 +-86 432 +2432 -2137 +3072 0 +2432 2137 +-86 -432 +3750 -415 +-868 1618 +-2362 291 +-440 3014 +-1785 -1804 +4520 -5968 +-4401 2763 +-2173 2004 +1608 2052 +240 3510 +2571 -3864 +-353 -3379 +3001 2545 +-3072 6144 +-5604 1453 +-4371 1409 +-1198 7123 +1808 -834 +-3413 -45 +1233 4116 +4031 -2145 +1624 176 +-62 1297 +-2716 -666 +-1185 -1364 +2916 -2726 +229 -573 +713 -1436 +2388 -2531 +-1024 0 +2388 2531 +713 1436 +229 573 +2916 2726 +-1185 1364 +-2716 666 +-62 -1297 +1624 -176 +4031 2145 +1233 -4116 +-3413 45 +1808 834 +-1198 -7123 +-4371 -1409 +-5604 -1453 +-3072 -6144 +3001 -2545 +-353 3379 +2571 3864 +240 -3510 +1608 -2052 +-2173 -2004 +-4401 -2763 +4520 5968 +-1785 1804 +-440 -3014 +-2362 -291 +-868 -1618 +3750 415 +-86 432 +2432 -2137 +1324 -724 +-1573 2560 +-480 2432 +-3184 2686 +822 1286 +415 2288 +-3456 5415 +1126 3187 +0 0 +1126 -3187 +-3456 -5415 +415 -2288 +822 -1286 +-3184 -2686 +-480 -2432 +-1573 -2560 +1324 724 +-1822 3908 +-1545 664 +516 -3837 +4612 -3732 +3400 237 +110 1043 +2681 931 +-1024 3072 +2658 -556 +-2942 -2793 +-2894 83 +3828 -836 +2873 -838 +1389 -2860 +-5048 -4594 +2772 724 +196 -2814 +-3461 -4260 +4909 5425 +-1070 1610 +-241 -3121 +2192 638 +-4009 -2219 +-6144 0 +-4009 2219 +2192 -638 +-241 3121 +-1070 -1610 +4909 -5425 +-3461 4260 +196 2814 +2772 -724 +-5048 4594 +1389 2860 +2873 838 +3828 836 +-2894 -83 +-2942 2793 +2658 556 +-1024 -3072 +2681 -931 +110 -1043 +3400 -237 +4612 3732 +516 3837 +-1545 -664 +-1822 -3908 +1324 -724 +-1573 2560 +-480 2432 +-3184 2686 +822 1286 +415 2288 +-3456 5415 +1126 3187 +-300 -1572 +-496 592 +-394 -686 +2050 -1791 +-1246 -1610 +1939 1041 +3638 6392 +1054 2398 +5120 0 +1054 -2398 +3638 -6392 +1939 -1041 +-1246 1610 +2050 1791 +-394 686 +-496 -592 +-300 1572 +-1282 2679 +855 148 +4978 -1869 +-2140 -836 +791 -3955 +4747 -1959 +-2182 2887 +2048 3072 +-475 1979 +-3742 -223 +-3321 -1866 +-1356 -3732 +-1262 3994 +-4535 629 +-4583 -5111 +-1748 5668 +3465 386 +-1719 -2929 +-2870 -2474 +646 -1286 +3487 4905 +1150 -560 +-1292 3062 +3072 0 +-1292 -3062 +1150 560 +3487 -4905 +646 1286 +-2870 2474 +-1719 2929 +3465 -386 +-1748 -5668 +-4583 5111 +-4535 -629 +-1262 -3994 +-1356 3732 +-3321 1866 +-3742 223 +-475 -1979 +2048 -3072 +-2182 -2887 +4747 1959 +791 3955 +-2140 836 +4978 1869 +855 -148 +-1282 -2679 +-300 -1572 +-496 592 +-394 -686 +2050 -1791 +-1246 -1610 +1939 1041 +3638 6392 +1054 2398 +1024 1024 +2085 4754 +-195 -1468 +-2102 -2918 +2446 -300 +3145 -777 +5048 984 +4471 2800 +-3072 0 +4471 -2800 +5048 -984 +3145 777 +2446 300 +-2102 2918 +-195 1468 +2085 -4754 +1024 -1024 +-1632 -454 +196 1253 +-1771 3915 +2122 -1748 +3063 2563 +-1658 -2887 +-2956 -5383 +-5120 4096 +-829 531 +-3094 713 +-3052 -85 +-2122 1748 +604 412 +5517 -5703 +890 2431 +1024 1024 +3121 -2757 +1475 1505 +-3413 3880 +-2446 -300 +-2269 -3199 +904 1417 +643 -3567 +-7168 0 +643 3567 +904 -1417 +-2269 3199 +-2446 300 +-3413 -3880 +1475 -1505 +3121 2757 +1024 -1024 +890 -2431 +5517 5703 +604 -412 +-2122 -1748 +-3052 85 +-3094 -713 +-829 -531 +-5120 -4096 +-2956 5383 +-1658 2887 +3063 -2563 +2122 1748 +-1771 -3915 +196 -1253 +-1632 454 +1024 1024 +2085 4754 +-195 -1468 +-2102 -2918 +2446 -300 +3145 -777 +5048 984 +4471 2800 +-1748 724 +-71 -2146 +-1385 3277 +3070 978 +-432 -4996 +-1043 -6136 +3369 6578 +-1376 2606 +-5120 0 +-1376 -2606 +3369 -6578 +-1043 6136 +-432 4996 +3070 -978 +-1385 -3277 +-71 2146 +-1748 -724 +268 -759 +3986 6366 +549 -2241 +6818 -2330 +2781 -3198 +-1150 -2657 +6559 -1351 +0 -1024 +-1798 2763 +366 2955 +-1788 4388 +-426 -1130 +-4571 -415 +-2094 963 +2451 -694 +-300 -724 +249 -2123 +-507 1208 +-1945 271 +-1865 -1996 +-2846 -839 +-2585 731 +-488 -427 +1024 0 +-488 427 +-2585 -731 +-2846 839 +-1865 1996 +-1945 -271 +-507 -1208 +249 2123 +-300 724 +2451 694 +-2094 -963 +-4571 415 +-426 1130 +-1788 -4388 +366 -2955 +-1798 -2763 +0 1024 +6559 1351 +-1150 2657 +2781 3198 +6818 2330 +549 2241 +3986 -6366 +268 759 +-1748 724 +-71 -2146 +-1385 3277 +3070 978 +-432 -4996 +-1043 -6136 +3369 6578 +-1376 2606 +-3372 -2596 +-1811 -3681 +4277 -3721 +1480 1753 +4312 -248 +-311 -1431 +234 -3368 +2315 -3084 +-5120 0 +2315 3084 +234 3368 +-311 1431 +4312 248 +1480 -1753 +4277 3721 +-1811 3681 +-3372 2596 +-562 -1193 +-282 -812 +-96 4091 +-2818 1430 +2066 2620 +1048 -5021 +-3216 -3276 +-4096 1024 +-714 -3087 +3795 1216 +132 1437 +-926 2030 +-3333 5316 +-1114 2749 +-757 -438 +-4820 4644 +4697 651 +4112 -1407 +-1834 1039 +3528 -3248 +1898 1815 +-3877 916 +48 -511 +5120 0 +48 511 +-3877 -916 +1898 -1815 +3528 3248 +-1834 -1039 +4112 1407 +4697 -651 +-4820 -4644 +-757 438 +-1114 -2749 +-3333 -5316 +-926 -2030 +132 -1437 +3795 -1216 +-714 3087 +-4096 -1024 +-3216 3276 +1048 5021 +2066 -2620 +-2818 -1430 +-96 -4091 +-282 812 +-562 1193 +-3372 -2596 +-1811 -3681 +4277 -3721 +1480 1753 +4312 -248 +-311 -1431 +234 -3368 +2315 -3084 +-5668 1324 +-1142 -3076 +-1300 -4982 +-8 1928 +1116 3732 +1464 -3796 +878 4005 +3621 -3382 +8192 0 +3621 3382 +878 -4005 +1464 3796 +1116 -3732 +-8 -1928 +-1300 4982 +-1142 3076 +-5668 -1324 +-1676 -1628 +2505 4630 +-3940 687 +-1670 -1610 +-1517 682 +-1161 5401 +4426 -175 +1024 -3072 +-918 -112 +-2299 625 +-3702 5448 +222 1286 +2302 2156 +496 478 +-834 255 +1572 2772 +-1699 -4488 +-1700 -1569 +3863 1420 +332 -836 +1538 2248 +2581 5204 +-1778 2189 +-2048 0 +-1778 -2189 +2581 -5204 +1538 -2248 +332 836 +3863 -1420 +-1700 1569 +-1699 4488 +1572 -2772 +-834 -255 +496 -478 +2302 -2156 +222 -1286 +-3702 -5448 +-2299 -625 +-918 112 +1024 3072 +4426 175 +-1161 -5401 +-1517 -682 +-1670 1610 +-3940 -687 +2505 -4630 +-1676 1628 +-5668 1324 +-1142 -3076 +-1300 -4982 +-8 1928 +1116 3732 +1464 -3796 +878 4005 +3621 -3382 +1748 300 +-5689 1920 +-269 3298 +-1503 1233 +-3732 -4541 +-2623 -2790 +-3941 -2424 +-140 -1093 +0 0 +-140 1093 +-3941 2424 +-2623 2790 +-3732 4541 +-1503 -1233 +-269 -3298 +-5689 -1920 +1748 -300 +1672 -401 +-242 -2588 +-283 2602 +1610 683 +3271 -683 +-614 -1099 +1277 -1051 +1024 5120 +2768 479 +3630 1132 +1421 1733 +1286 -5710 +-1333 -2829 +-4990 752 +576 -700 +300 1748 +-2560 3262 +2605 -2854 +-3799 1657 +836 2244 +4851 -6250 +3821 1759 +2097 4711 +-6144 0 +2097 -4711 +3821 -1759 +4851 6250 +836 -2244 +-3799 -1657 +2605 2854 +-2560 -3262 +300 -1748 +576 700 +-4990 -752 +-1333 2829 +1286 5710 +1421 -1733 +3630 -1132 +2768 -479 +1024 -5120 +1277 1051 +-614 1099 +3271 683 +1610 -683 +-283 -2602 +-242 2588 +1672 401 +1748 300 +-5689 1920 +-269 3298 +-1503 1233 +-3732 -4541 +-2623 -2790 +-3941 -2424 +-140 -1093 +2348 -6268 +-2568 -3844 +928 7185 +197 -599 +1090 318 +60 3440 +-47 1192 +3266 75 +1024 0 +3266 -75 +-47 -1192 +60 -3440 +1090 -318 +197 599 +928 -7185 +-2568 3844 +2348 6268 +-3116 -2134 +-3964 -1209 +4611 -158 +876 3548 +2777 463 +-232 -892 +14 -1947 +4096 1024 +721 894 +1644 -2221 +-2450 2871 +-1476 -548 +1920 -1767 +-1084 -321 +1383 -2699 +3796 -1924 +1166 3279 +24 -505 +840 -1010 +-4586 3778 +-7955 -1032 +-5461 3272 +-867 2765 +3072 0 +-867 -2765 +-5461 -3272 +-7955 1032 +-4586 -3778 +840 1010 +24 505 +1166 -3279 +3796 1924 +1383 2699 +-1084 321 +1920 1767 +-1476 548 +-2450 -2871 +1644 2221 +721 -894 +4096 -1024 +14 1947 +-232 892 +2777 -463 +876 -3548 +4611 158 +-3964 1209 +-3116 2134 +2348 -6268 +-2568 -3844 +928 7185 +197 -599 +1090 318 +60 3440 +-47 1192 +3266 75 +-8016 -2472 +-398 -1466 +-638 -601 +-1131 4707 +3026 724 +2138 -254 +407 -2493 +776 -205 +1024 0 +776 205 +407 2493 +2138 254 +3026 -724 +-1131 -4707 +-638 601 +-398 1466 +-8016 2472 +-1039 -1297 +7145 -6972 +-1527 -2146 +914 -724 +4104 4705 +-1620 -2177 +1314 -2187 +-1024 2048 +-3352 -1040 +992 -1173 +-1123 -5793 +-1762 724 +-2686 -1336 +-3989 -3292 +-2172 1280 +-2224 424 +1392 1827 +1577 -1512 +-8 1454 +1918 724 +232 -4893 +4317 -2295 +3479 -864 +-3072 0 +3479 864 +4317 2295 +232 4893 +1918 -724 +-8 -1454 +1577 1512 +1392 -1827 +-2224 -424 +-2172 -1280 +-3989 3292 +-2686 1336 +-1762 -724 +-1123 5793 +992 1173 +-3352 1040 +-1024 -2048 +1314 2187 +-1620 2177 +4104 -4705 +914 724 +-1527 2146 +7145 6972 +-1039 1297 +-8016 -2472 +-398 -1466 +-638 -601 +-1131 4707 +3026 724 +2138 -254 +407 -2493 +776 -205 +-600 -2896 +4017 -4848 +-3132 473 +2280 2445 +3556 3830 +-332 -977 +537 3260 +-548 -1148 +-1024 0 +-548 1148 +537 -3260 +-332 977 +3556 -3830 +2280 -2445 +-3132 -473 +4017 4848 +-600 2896 +-4493 -3163 +3209 -2125 +-384 -2901 +-568 3726 +-266 -3769 +185 -7094 +758 -747 +-5120 2048 +2012 3027 +1927 -634 +-4083 -307 +3216 -3267 +49 -2303 +-4214 -2584 +-1729 -542 +-3496 2896 +-3147 -1979 +-1656 -932 +272 32 +1988 -2630 +2464 -1874 +3143 3200 +3131 3667 +3072 0 +3131 -3667 +3143 -3200 +2464 1874 +1988 2630 +272 -32 +-1656 932 +-3147 1979 +-3496 -2896 +-1729 542 +-4214 2584 +49 2303 +3216 3267 +-4083 307 +1927 634 +2012 -3027 +-5120 -2048 +758 747 +185 7094 +-266 3769 +-568 -3726 +-384 2901 +3209 2125 +-4493 3163 +-600 -2896 +4017 -4848 +-3132 473 +2280 2445 +3556 3830 +-332 -977 +537 3260 +-548 -1148 +-724 476 +5410 6246 +1946 3145 +-843 -1704 +-332 717 +-4396 2552 +1920 1670 +960 -647 +-4096 0 +960 647 +1920 -1670 +-4396 -2552 +-332 -717 +-843 1704 +1946 -3145 +5410 -6246 +-724 -476 +2730 3987 +-2177 -948 +-2784 -1217 +-222 3622 +-252 -1175 +3118 4077 +932 4704 +1024 -3072 +-4495 1358 +-5010 293 +943 1185 +1670 3622 +-1047 2963 +1394 620 +2554 563 +724 7716 +2667 1995 +-1162 -1578 +2016 -1347 +-1116 -717 +-5223 769 +-28 -5455 +827 774 +2048 0 +827 -774 +-28 5455 +-5223 -769 +-1116 717 +2016 1347 +-1162 1578 +2667 -1995 +724 -7716 +2554 -563 +1394 -620 +-1047 -2963 +1670 -3622 +943 -1185 +-5010 -293 +-4495 -1358 +1024 3072 +932 -4704 +3118 -4077 +-252 1175 +-222 -3622 +-2784 1217 +-2177 948 +2730 -3987 +-724 476 +5410 6246 +1946 3145 +-843 -1704 +-332 717 +-4396 2552 +1920 1670 +960 -647 +1148 -724 +-1191 3963 +-472 449 +3058 -648 +-6688 188 +-499 -4844 +-147 147 +-6186 4809 +3072 0 +-6186 -4809 +-147 -147 +-499 4844 +-6688 -188 +3058 648 +-472 -449 +-1191 -3963 +1148 724 +1700 -1003 +1681 -3145 +8032 -1151 +5675 -262 +-1642 -1686 +-1489 -2871 +-3384 2087 +0 1024 +-1807 -982 +825 -314 +2043 -2566 +1566 586 +3173 -1677 +-2125 -4372 +-2067 456 +-3196 724 +1766 -2816 +3812 -4573 +-1551 2651 +-553 4756 +-1029 -1699 +-2084 -487 +-416 2 +1024 0 +-416 -2 +-2084 487 +-1029 1699 +-553 -4756 +-1551 -2651 +3812 4573 +1766 2816 +-3196 -724 +-2067 -456 +-2125 4372 +3173 1677 +1566 -586 +2043 2566 +825 314 +-1807 982 +0 -1024 +-3384 -2087 +-1489 2871 +-1642 1686 +5675 262 +8032 1151 +1681 3145 +1700 1003 +1148 -724 +-1191 3963 +-472 449 +3058 -648 +-6688 188 +-499 -4844 +-147 147 +-6186 4809 +724 -724 +-3978 -3027 +-3170 -1705 +-1156 1872 +332 -273 +3981 -1430 +-3898 2454 +-1688 -1478 +10240 0 +-1688 1478 +-3898 -2454 +3981 1430 +332 273 +-1156 -1872 +-3170 1705 +-3978 3027 +724 724 +-208 -833 +789 3220 +4133 963 +222 -1390 +3741 797 +-1579 -2105 +-4174 -2099 +1024 -3072 +-86 -1080 +2532 1939 +-4569 -3577 +-1670 -4286 +2000 -5263 +623 -5967 +1006 -1478 +-724 724 +2500 3061 +-2338 2406 +3271 150 +1116 -2624 +-5608 -489 +-1151 3286 +835 -2616 +4096 0 +835 2616 +-1151 -3286 +-5608 489 +1116 2624 +3271 -150 +-2338 -2406 +2500 -3061 +-724 -724 +1006 1478 +623 5967 +2000 5263 +-1670 4286 +-4569 3577 +2532 -1939 +-86 1080 +1024 3072 +-4174 2099 +-1579 2105 +3741 -797 +222 1390 +4133 -963 +789 -3220 +-208 833 +724 -724 +-3978 -3027 +-3170 -1705 +-1156 1872 +332 -273 +3981 -1430 +-3898 2454 +-1688 -1478 +0 2048 +665 2137 +-4954 1124 +-719 863 +-410 4040 +779 -878 +5527 2427 +1380 29 +-1024 0 +1380 -29 +5527 -2427 +779 878 +-410 -4040 +-719 -863 +-4954 -1124 +665 -2137 +0 -2048 +330 -2343 +-1011 2733 +-5550 -1085 +-854 -4208 +-541 -4140 +1176 -414 +111 1653 +-1024 0 +6553 -1448 +3325 -1862 +-4451 -3425 +254 -3360 +966 573 +-2069 1285 +6088 4254 +0 2048 +-5075 2893 +3441 325 +-2057 -2990 +-3086 905 +-12 -2191 +2758 -979 +1533 -4698 +-5120 0 +1533 4698 +2758 979 +-12 2191 +-3086 -905 +-2057 2990 +3441 -325 +-5075 -2893 +0 -2048 +6088 -4254 +-2069 -1285 +966 -573 +254 3360 +-4451 3425 +3325 1862 +6553 1448 +-1024 0 +111 -1653 +1176 414 +-541 4140 +-854 4208 +-5550 1085 +-1011 -2733 +330 2343 +0 2048 +665 2137 +-4954 1124 +-719 863 +-410 4040 +779 -878 +5527 2427 +1380 29 +848 -2048 +-4234 2503 +-4723 1671 +-4044 -2256 +-2506 1264 +-1189 1743 +-1536 128 +-1112 554 +-1024 0 +-1112 -554 +-1536 -128 +-1189 -1743 +-2506 -1264 +-4044 2256 +-4723 -1671 +-4234 -2503 +848 2048 +2606 2785 +3064 -1837 +2125 1168 +-954 3940 +1305 603 +143 -2875 +1129 -5650 +3072 4096 +888 -2120 +2857 -3983 +-782 6229 +-495 -156 +2836 -151 +396 839 +1105 -1730 +-4944 -2048 +-4828 5087 +1264 1005 +1300 -2718 +3954 2832 +-1551 -3165 +-1464 -1236 +4446 -4696 +3072 0 +4446 4696 +-1464 1236 +-1551 3165 +3954 -2832 +1300 2718 +1264 -1005 +-4828 -5087 +-4944 2048 +1105 1730 +396 -839 +2836 151 +-495 156 +-782 -6229 +2857 3983 +888 2120 +3072 -4096 +1129 5650 +143 2875 +1305 -603 +-954 -3940 +2125 -1168 +3064 1837 +2606 -2785 +848 -2048 +-4234 2503 +-4723 1671 +-4044 -2256 +-2506 1264 +-1189 1743 +-1536 128 +-1112 554 +2772 -1748 +-2417 -450 +-1030 -128 +-5550 -2487 +78 3322 +-3635 2101 +-1270 -2917 +4957 2742 +-5120 0 +4957 -2742 +-1270 2917 +-3635 -2101 +78 -3322 +-5550 2487 +-1030 128 +-2417 450 +2772 1748 +55 1384 +963 4577 +5706 1770 +632 -2465 +1118 -1903 +172 1007 +1516 6436 +2048 3072 +517 -4073 +337 -2333 +3068 -1009 +1416 1032 +-626 5183 +-1784 3912 +-3650 2049 +1324 -300 +-3123 -893 +-5142 2360 +1119 -1460 +1970 -3922 +-1200 3018 +-438 2473 +2145 -3673 +1024 0 +2145 3673 +-438 -2473 +-1200 -3018 +1970 3922 +1119 1460 +-5142 -2360 +-3123 893 +1324 300 +-3650 -2049 +-1784 -3912 +-626 -5183 +1416 -1032 +3068 1009 +337 2333 +517 4073 +2048 -3072 +1516 -6436 +172 -1007 +1118 1903 +632 2465 +5706 -1770 +963 -4577 +55 -1384 +2772 -1748 +-2417 -450 +-1030 -128 +-5550 -2487 +78 3322 +-3635 2101 +-1270 -2917 +4957 2742 +5968 -2048 +2685 -4638 +-692 5063 +869 668 +-724 1918 +-1497 4894 +-2694 -5095 +-4372 -1751 +-4096 0 +-4372 1751 +-2694 5095 +-1497 -4894 +-724 -1918 +869 -668 +-692 -5063 +2685 4638 +5968 2048 +159 -618 +92 -1868 +2745 -3131 +724 -1762 +1706 -2 +-802 3826 +-2734 -7015 +2048 -2048 +1403 2398 +-802 -3454 +661 -514 +724 -914 +624 1716 +92 299 +684 1193 +176 -2048 +-2641 -5583 +-692 -4096 +225 634 +-724 3026 +458 -3159 +-2694 4807 +-977 4925 +4096 0 +-977 -4925 +-2694 -4807 +458 3159 +-724 -3026 +225 -634 +-692 4096 +-2641 5583 +176 2048 +684 -1193 +92 -299 +624 -1716 +724 914 +661 514 +-802 3454 +1403 -2398 +2048 2048 +-2734 7015 +-802 -3826 +1706 2 +724 1762 +2745 3131 +92 1868 +159 618 +5968 -2048 +2685 -4638 +-692 5063 +869 668 +-724 1918 +-1497 4894 +-2694 -5095 +-4372 -1751 +-3496 -600 +1477 977 +1212 -1552 +-903 3463 +2432 -2002 +1276 -3196 +-4069 841 +2252 19 +7168 0 +2252 -19 +-4069 -841 +1276 3196 +2432 2002 +-903 -3463 +1212 1552 +1477 -977 +-3496 600 +-3853 6959 +3205 631 +1918 993 +5448 110 +2147 -1836 +1801 -319 +4274 1107 +-5120 0 +475 -3681 +91 3465 +-109 548 +96 -2786 +-4191 2355 +-2421 -937 +-4781 -26 +-600 -3496 +-411 -4490 +-1996 -15 +40 -1139 +216 -894 +-180 -1651 +2177 2943 +568 6857 +-5120 0 +568 -6857 +2177 -2943 +-180 1651 +216 894 +40 1139 +-1996 15 +-411 4490 +-600 3496 +-4781 26 +-2421 937 +-4191 -2355 +96 2786 +-109 -548 +91 -3465 +475 3681 +-5120 0 +4274 -1107 +1801 319 +2147 1836 +5448 -110 +1918 -993 +3205 -631 +-3853 -6959 +-3496 -600 +1477 977 +1212 -1552 +-903 3463 +2432 -2002 +1276 -3196 +-4069 841 +2252 19 +1448 -2048 +1675 -2675 +-39 -1460 +-915 -262 +-3880 3386 +269 -3776 +4323 2717 +617 2897 +-1024 0 +617 -2897 +4323 -2717 +269 3776 +-3880 -3386 +-915 262 +-39 1460 +1675 2675 +1448 2048 +2742 1285 +1382 7152 +-4448 -50 +-4000 1494 +-6411 2701 +-1310 89 +5769 1284 +-1024 2048 +-342 807 +2574 349 +546 285 +1352 -2602 +1790 3938 +2558 -468 +974 -5562 +-1448 -2048 +-2495 500 +195 3728 +676 -1781 +-1664 710 +2699 1122 +-1491 -760 +-3147 7091 +3072 0 +-3147 -7091 +-1491 760 +2699 -1122 +-1664 -710 +676 1781 +195 -3728 +-2495 -500 +-1448 2048 +974 5562 +2558 468 +1790 -3938 +1352 2602 +546 -285 +2574 -349 +-342 -807 +-1024 -2048 +5769 -1284 +-1310 -89 +-6411 -2701 +-4000 -1494 +-4448 50 +1382 -7152 +2742 -1285 +1448 -2048 +1675 -2675 +-39 -1460 +-915 -262 +-3880 3386 +269 -3776 +4323 2717 +617 2897 +6992 3072 +1104 1405 +-1342 -749 +1485 475 +314 -2688 +-2988 -2589 +-2945 1508 +1484 2069 +4096 0 +1484 -2069 +-2945 -1508 +-2988 2589 +314 2688 +1485 -475 +-1342 749 +1104 -1405 +6992 -3072 +2334 -3566 +-502 -394 +-467 406 +-1578 328 +1052 1765 +-1488 -854 +2380 1769 +2048 0 +-4396 2829 +6240 -190 +6089 -3130 +-470 5024 +-381 2370 +-5211 -838 +293 -1508 +1200 3072 +-2164 -3132 +63 -2592 +-4421 3056 +-2362 -4904 +-369 -738 +-3006 -3740 +-1036 -4793 +0 0 +-1036 4793 +-3006 3740 +-369 738 +-2362 4904 +-4421 -3056 +63 2592 +-2164 3132 +1200 -3072 +293 1508 +-5211 838 +-381 -2370 +-470 -5024 +6089 3130 +6240 190 +-4396 -2829 +2048 0 +2380 -1769 +-1488 854 +1052 -1765 +-1578 -328 +-467 -406 +-502 394 +2334 3566 +6992 3072 +1104 1405 +-1342 -749 +1485 475 +314 -2688 +-2988 -2589 +-2945 1508 +1484 2069 +1448 -1448 +3935 -609 +-445 -6 +-647 -272 +181 4254 +-608 4140 +-1652 2251 +-1556 -2832 +-3072 0 +-1556 2832 +-1652 -2251 +-608 -4140 +181 -4254 +-647 272 +-445 6 +3935 609 +1448 1448 +-120 -4140 +4955 -5409 +-2969 -4679 +4084 1254 +1713 2383 +-5439 235 +5095 -381 +-3072 -4096 +-4265 -2339 +1419 -2582 +1478 -1464 +-3484 -794 +-4482 208 +3957 3439 +1520 125 +-1448 1448 +-871 2290 +222 1862 +1418 -266 +3316 -2206 +-1695 573 +-3017 -3868 +2055 -4109 +1024 0 +2055 4109 +-3017 3868 +-1695 -573 +3316 2206 +1418 266 +222 -1862 +-871 -2290 +-1448 -1448 +1520 -125 +3957 -3439 +-4482 -208 +-3484 794 +1478 1464 +1419 2582 +-4265 2339 +-3072 4096 +5095 381 +-5439 -235 +1713 -2383 +4084 -1254 +-2969 4679 +4955 5409 +-120 4140 +1448 -1448 +3935 -609 +-445 -6 +-647 -272 +181 4254 +-608 4140 +-1652 2251 +-1556 -2832 +-1448 5968 +-3294 3748 +-2673 1048 +-383 3391 +-684 -1038 +7141 -4516 +1484 -2341 +-3389 -202 +6144 0 +-3389 202 +1484 2341 +7141 4516 +-684 1038 +-383 -3391 +-2673 -1048 +-3294 -3748 +-1448 -5968 +215 -4506 +32 -2805 +-2165 2483 +-5148 2302 +2245 -2286 +3260 -5954 +-2540 771 +0 2048 +308 -3597 +4869 2110 +1095 -886 +204 -1194 +1721 674 +-2809 -3080 +-879 -384 +1448 176 +2630 2959 +-3238 -123 +-61 225 +1533 1638 +-3801 -2644 +-924 3412 +1157 818 +2048 0 +1157 -818 +-924 -3412 +-3801 2644 +1533 -1638 +-61 -225 +-3238 123 +2630 -2959 +1448 -176 +-879 384 +-2809 3080 +1721 -674 +204 1194 +1095 886 +4869 -2110 +308 3597 +0 -2048 +-2540 -771 +3260 5954 +2245 2286 +-5148 -2302 +-2165 -2483 +32 2805 +215 4506 +-1448 5968 +-3294 3748 +-2673 1048 +-383 3391 +-684 -1038 +7141 -4516 +1484 -2341 +-3389 -202 +2172 724 +-2030 4562 +1371 3668 +-4794 -1850 +-4227 438 +3519 1604 +-2702 2244 +-2281 -2033 +2048 0 +-2281 2033 +-2702 -2244 +3519 -1604 +-4227 -438 +-4794 1850 +1371 -3668 +-2030 -4562 +2172 -724 +-5190 4514 +-4329 4544 +4703 -1027 +-895 1212 +1697 -313 +-1649 1100 +-2743 2087 +1024 1024 +552 -2795 +4000 -2904 +2164 -1099 +5240 -5780 +3043 1051 +-1348 4323 +1823 784 +-2172 -724 +-395 3373 +4305 1904 +2841 -3303 +-118 762 +-1588 -1429 +351 -456 +-1320 623 +-4096 0 +-1320 -623 +351 456 +-1588 1429 +-118 -762 +2841 3303 +4305 -1904 +-395 -3373 +-2172 724 +1823 -784 +-1348 -4323 +3043 -1051 +5240 5780 +2164 1099 +4000 2904 +552 2795 +1024 -1024 +-2743 -2087 +-1649 -1100 +1697 313 +-895 -1212 +4703 1027 +-4329 -4544 +-5190 -4514 +2172 724 +-2030 4562 +1371 3668 +-4794 -1850 +-4227 438 +3519 1604 +-2702 2244 +-2281 -2033 +1324 -2172 +1282 -3246 +350 6286 +1495 -849 +-607 -1840 +-48 4548 +679 3598 +12 -3901 +2048 0 +12 3901 +679 -3598 +-48 -4548 +-607 1840 +1495 849 +350 -6286 +1282 3246 +1324 2172 +841 -1974 +-644 4096 +-1366 265 +850 2394 +2986 2475 +-357 -548 +-6967 1402 +-5120 3072 +-1213 -1081 +3189 1279 +-1083 3439 +-6394 -502 +-414 3693 +800 260 +5465 1412 +2772 2172 +660 -1616 +3590 -233 +-4829 -837 +-2040 -1056 +-2535 -2904 +585 -74 +5712 -1039 +0 0 +5712 1039 +585 74 +-2535 2904 +-2040 1056 +-4829 837 +3590 233 +660 1616 +2772 -2172 +5465 -1412 +800 -260 +-414 -3693 +-6394 502 +-1083 -3439 +3189 -1279 +-1213 1081 +-5120 -3072 +-6967 -1402 +-357 548 +2986 -2475 +850 -2394 +-1366 -265 +-644 -4096 +841 1974 +1324 -2172 +1282 -3246 +350 6286 +1495 -849 +-607 -1840 +-48 4548 +679 3598 +12 -3901 +4944 4520 +-2763 1400 +306 -594 +268 -670 +-4834 60 +2428 251 +2742 7686 +-1990 2214 +-2048 0 +-1990 -2214 +2742 -7686 +2428 -251 +-4834 -60 +268 670 +306 594 +-2763 -1400 +4944 -4520 +1593 -6146 +-144 -3500 +2108 -2107 +-46 -1168 +-278 -2807 +-745 1204 +219 3502 +-4096 2048 +-2776 -2672 +1225 827 +-949 2964 +-1154 -2616 +2016 1444 +5976 -4024 +-1933 -2999 +-848 1624 +2424 1068 +-2042 1767 +3856 -4027 +-2158 -1508 +-3655 3065 +874 1826 +-566 -3275 +2048 0 +-566 3275 +874 -1826 +-3655 -3065 +-2158 1508 +3856 4027 +-2042 -1767 +2424 -1068 +-848 -1624 +-1933 2999 +5976 4024 +2016 -1444 +-1154 2616 +-949 -2964 +1225 -827 +-2776 2672 +-4096 -2048 +219 -3502 +-745 -1204 +-278 2807 +-46 1168 +2108 2107 +-144 3500 +1593 6146 +4944 4520 +-2763 1400 +306 -594 +268 -670 +-4834 60 +2428 251 +2742 7686 +-1990 2214 +2648 -2648 +4573 -2534 +-76 3693 +685 -1012 +-3510 -288 +-1645 555 +1035 -3037 +392 -619 +3072 0 +392 619 +1035 3037 +-1645 -555 +-3510 288 +685 1012 +-76 -3693 +4573 2534 +2648 2648 +-1528 -1243 +1068 546 +-1079 2026 +2726 -968 +-105 -2387 +-1706 2921 +7112 -214 +1024 -2048 +-1552 -2533 +1771 964 +-1178 -124 +1618 -2167 +463 2736 +-4120 -1723 +230 -1098 +5544 -5544 +-378 -217 +-6761 3927 +-2965 -1174 +-834 7280 +31 4605 +597 2777 +-3055 1196 +-5120 0 +-3055 -1196 +597 -2777 +31 -4605 +-834 -7280 +-2965 1174 +-6761 -3927 +-378 217 +5544 5544 +230 1098 +-4120 1723 +463 -2736 +1618 2167 +-1178 124 +1771 -964 +-1552 2533 +1024 2048 +7112 214 +-1706 -2921 +-105 2387 +2726 968 +-1079 -2026 +1068 -546 +-1528 1243 +2648 -2648 +4573 -2534 +-76 3693 +685 -1012 +-3510 -288 +-1645 555 +1035 -3037 +392 -619 +2472 -1024 +1899 1474 +648 -24 +1435 1333 +-2186 2532 +-442 992 +2317 -1757 +-1480 -3558 +-3072 0 +-1480 3558 +2317 1757 +-442 -992 +-2186 -2532 +1435 -1333 +648 24 +1899 -1474 +2472 1024 +2684 -870 +1543 -30 +1437 -1258 +5498 -1592 +-3801 76 +-7638 -6817 +-150 1906 +-3072 4096 +-4061 -2028 +-2318 -2317 +754 -3664 +4390 -2192 +57 307 +-2601 3050 +-937 -1343 +-424 -1024 +-2758 -3276 +-788 -1489 +1535 1120 +490 964 +4818 1948 +647 -6527 +-990 4087 +5120 0 +-990 -4087 +647 6527 +4818 -1948 +490 -964 +1535 -1120 +-788 1489 +-2758 3276 +-424 1024 +-937 1343 +-2601 -3050 +57 -307 +4390 2192 +754 3664 +-2318 2317 +-4061 2028 +-3072 -4096 +-150 -1906 +-7638 6817 +-3801 -76 +5498 1592 +1437 1258 +1543 30 +2684 870 +2472 -1024 +1899 1474 +648 -24 +1435 1333 +-2186 2532 +-442 992 +2317 -1757 +-1480 -3558 +2348 -300 +-3558 -363 +195 171 +2677 -1913 +1814 -3054 +-609 2247 +-1306 4920 +2442 -3425 +4096 0 +2442 3425 +-1306 -4920 +-609 -2247 +1814 3054 +2677 1913 +195 -171 +-3558 363 +2348 300 +2918 278 +-517 995 +1531 3083 +152 -1272 +1595 -918 +-1640 3907 +-1526 -2335 +5120 1024 +-1141 -1473 +-3084 -2449 +-1667 3831 +-2200 4272 +1183 2018 +-423 -4902 +3069 1374 +3796 -1748 +-2429 -1676 +-3351 -275 +-5391 -6720 +-3862 406 +681 -5066 +1934 -5484 +226 1153 +-2048 0 +226 -1153 +1934 5484 +681 5066 +-3862 -406 +-5391 6720 +-3351 275 +-2429 1676 +3796 1748 +3069 -1374 +-423 4902 +1183 -2018 +-2200 -4272 +-1667 -3831 +-3084 2449 +-1141 1473 +5120 -1024 +-1526 2335 +-1640 -3907 +1595 918 +152 1272 +1531 -3083 +-517 -995 +2918 -278 +2348 -300 +-3558 -363 +195 171 +2677 -1913 +1814 -3054 +-609 2247 +-1306 4920 +2442 -3425 +-424 -5368 +-1492 -5016 +2434 2777 +4260 -1922 +-2278 -928 +-1091 2188 +1551 -158 +-22 4729 +1024 0 +-22 -4729 +1551 158 +-1091 -2188 +-2278 928 +4260 1922 +2434 -2777 +-1492 5016 +-424 5368 +3493 6084 +1750 1857 +-2528 -4958 +1182 1408 +-2162 -752 +-4314 -2450 +-586 2228 +-3072 2048 +-963 -4489 +2238 42 +103 2469 +-5278 808 +-4689 4366 +2855 -2422 +2327 553 +2472 3320 +2352 2590 +-46 -64 +1628 1431 +-1818 4424 +-1314 -2990 +1724 1451 +683 -2548 +1024 0 +683 2548 +1724 -1451 +-1314 2990 +-1818 -4424 +1628 -1431 +-46 64 +2352 -2590 +2472 -3320 +2327 -553 +2855 2422 +-4689 -4366 +-5278 -808 +103 -2469 +2238 -42 +-963 4489 +-3072 -2048 +-586 -2228 +-4314 2450 +-2162 752 +1182 -1408 +-2528 4958 +1750 -1857 +3493 -6084 +-424 -5368 +-1492 -5016 +2434 2777 +4260 -1922 +-2278 -928 +-1091 2188 +1551 -158 +-22 4729 +2772 -476 +-1740 5072 +-609 878 +3311 1086 +2538 3574 +1206 -2333 +-2282 -2843 +-472 -6247 +4096 0 +-472 6247 +-2282 2843 +1206 2333 +2538 -3574 +3311 -1086 +-609 -878 +-1740 -5072 +2772 476 +246 1885 +-2659 -195 +-945 2876 +-572 1232 +404 -1015 +1388 -880 +2030 -922 +1024 5120 +618 1320 +4628 -1388 +1593 -2705 +-2924 -2016 +1711 1154 +887 -1015 +-1207 2384 +1324 -7716 +-1763 -1366 +-515 5294 +2603 -2439 +-3138 5466 +-4091 3411 +-838 1135 +-3504 -2288 +-6144 0 +-3504 2288 +-838 -1135 +-4091 -3411 +-3138 -5466 +2603 2439 +-515 -5294 +-1763 1366 +1324 7716 +-1207 -2384 +887 1015 +1711 -1154 +-2924 2016 +1593 2705 +4628 1388 +618 -1320 +1024 -5120 +2030 922 +1388 880 +404 1015 +-572 -1232 +-945 -2876 +-2659 195 +246 -1885 +2772 -476 +-1740 5072 +-609 878 +3311 1086 +2538 3574 +1206 -2333 +-2282 -2843 +-472 -6247 +-2896 5968 +-5039 -166 +-372 -4029 +5132 -2423 +0 -1618 +-5394 3608 +-811 -749 +25 854 +-2048 0 +25 -854 +-811 749 +-5394 -3608 +0 1618 +5132 2423 +-372 4029 +-5039 166 +-2896 -5968 +-552 -1432 +-4627 -927 +-1681 -1265 +0 3510 +-1788 3313 +2170 383 +1435 1713 +2048 -6144 +748 835 +3402 5172 +5058 -6316 +0 -834 +2531 604 +622 1186 +3431 2227 +2896 176 +-3191 -88 +-1416 349 +-3764 -245 +0 -2726 +-93 2003 +1032 -255 +3144 -2252 +-2048 0 +3144 2252 +1032 255 +-93 -2003 +0 2726 +-3764 245 +-1416 -349 +-3191 88 +2896 -176 +3431 -2227 +622 -1186 +2531 -604 +0 834 +5058 6316 +3402 -5172 +748 -835 +2048 6144 +1435 -1713 +2170 -383 +-1788 -3313 +0 -3510 +-1681 1265 +-4627 927 +-552 1432 +-2896 5968 +-5039 -166 +-372 -4029 +5132 -2423 +0 -1618 +-5394 3608 +-811 -749 +25 854 +-2296 600 +-1701 -1266 +-4378 2900 +-1330 -784 +1638 -4180 +-676 3943 +-486 -2163 +-4151 -9 +-7168 0 +-4151 9 +-486 2163 +-676 -3943 +1638 4180 +-1330 784 +-4378 -2900 +-1701 1266 +-2296 -600 +3756 2743 +3091 6755 +-834 152 +1194 -5748 +958 1351 +352 -319 +-3463 -2586 +3072 -2048 +1478 -4240 +-6200 -347 +1498 3171 +2302 396 +3061 -2478 +1986 103 +1682 655 +6392 3496 +48 747 +-1898 1536 +670 1937 +-1038 -1964 +-3348 1661 +-659 -3161 +2352 -78 +1024 0 +2352 78 +-659 3161 +-3348 -1661 +-1038 1964 +670 -1937 +-1898 -1536 +48 -747 +6392 -3496 +1682 -655 +1986 -103 +3061 2478 +2302 -396 +1498 -3171 +-6200 347 +1478 4240 +3072 2048 +-3463 2586 +352 319 +958 -1351 +1194 5748 +-834 -152 +3091 -6755 +3756 -2743 +-2296 600 +-1701 -1266 +-4378 2900 +-1330 -784 +1638 -4180 +-676 3943 +-486 -2163 +-4151 -9 +1624 2648 +2875 993 +3207 -3402 +-165 2688 +384 2806 +1334 -964 +-665 2322 +757 -4813 +0 0 +757 4813 +-665 -2322 +1334 964 +384 -2806 +-165 -2688 +3207 3402 +2875 -993 +1624 -2648 +-258 2586 +988 3746 +521 1476 +3400 2702 +-452 -1552 +-1615 -581 +-1266 2268 +-4096 0 +3752 3471 +-617 408 +-1839 -538 +-1952 -2243 +-637 -6355 +2352 -1266 +-1664 3324 +4520 5544 +-2521 -2343 +-3651 -4507 +4065 937 +-1832 -3654 +-2827 -6343 +1 -4230 +-1676 536 +-4096 0 +-1676 -536 +1 4230 +-2827 6343 +-1832 3654 +4065 -937 +-3651 4507 +-2521 2343 +4520 -5544 +-1664 -3324 +2352 1266 +-637 6355 +-1952 2243 +-1839 538 +-617 -408 +3752 -3471 +-4096 0 +-1266 -2268 +-1615 581 +-452 1552 +3400 -2702 +521 -1476 +988 -3746 +-258 -2586 +1624 2648 +2875 993 +3207 -3402 +-165 2688 +384 2806 +1334 -964 +-665 2322 +757 -4813 +-3920 1624 +-3321 1535 +1369 3261 +-2724 1431 +4050 -808 +-94 -4271 +-1261 -6845 +6513 1389 +1024 0 +6513 -1389 +-1261 6845 +-94 4271 +4050 808 +-2724 -1431 +1369 -3261 +-3321 -1535 +-3920 -1624 +-813 665 +562 1318 +-486 824 +1938 -928 +616 -2917 +-4554 -1068 +1140 -1661 +3072 -2048 +2468 -4113 +-4294 -2140 +-2954 1018 +-738 -4424 +-3478 -978 +1055 393 +-697 -2276 +1872 4520 +-1408 2205 +-4186 -2986 +4441 -1904 +2942 1408 +-1113 3742 +3117 -1219 +1912 -2954 +-3072 0 +1912 2954 +3117 1219 +-1113 -3742 +2942 -1408 +4441 1904 +-4186 2986 +-1408 -2205 +1872 -4520 +-697 2276 +1055 -393 +-3478 978 +-738 4424 +-2954 -1018 +-4294 2140 +2468 4113 +3072 2048 +1140 1661 +-4554 1068 +616 2917 +1938 928 +-486 -824 +562 -1318 +-813 -665 +-3920 1624 +-3321 1535 +1369 3261 +-2724 1431 +4050 -808 +-94 -4271 +-1261 -6845 +6513 1389 +4644 -2172 +-2929 -2279 +-154 4459 +-123 2253 +-1606 742 +-382 -530 +-1220 -2318 +2004 2235 +5120 0 +2004 -2235 +-1220 2318 +-382 530 +-1606 -742 +-123 -2253 +-154 -4459 +-2929 2279 +4644 2172 +4752 953 +3023 -2827 +6034 -3119 +-2720 1076 +-2497 -2833 +976 -1727 +-806 -1493 +2048 1024 +-678 -1763 +576 -1387 +-604 5320 +-5720 1924 +275 -905 +1885 1297 +-1557 5823 +-2596 2172 +-1834 3702 +-1857 -3231 +-2402 -5078 +1854 4202 +-300 -4549 +-3229 -238 +1047 2240 +3072 0 +1047 -2240 +-3229 238 +-300 4549 +1854 -4202 +-2402 5078 +-1857 3231 +-1834 -3702 +-2596 -2172 +-1557 -5823 +1885 -1297 +275 905 +-5720 -1924 +-604 -5320 +576 1387 +-678 1763 +2048 -1024 +-806 1493 +976 1727 +-2497 2833 +-2720 -1076 +6034 3119 +3023 2827 +4752 -953 +4644 -2172 +-2929 -2279 +-154 4459 +-123 2253 +-1606 742 +-382 -530 +-1220 -2318 +2004 2235 +-300 -2772 +842 -1421 +-1801 -1116 +2457 -862 +2510 -162 +-1607 -4119 +871 1958 +-801 -4006 +-3072 0 +-801 4006 +871 -1958 +-1607 4119 +2510 162 +2457 862 +-1801 1116 +842 1421 +-300 2772 +863 -2060 +-2938 -261 +-260 -2060 +6080 -2284 +-3871 1394 +-1549 240 +2337 -2132 +-2048 -1024 +-197 -1303 +-1282 4483 +-3531 4308 +1512 -2284 +6852 3027 +2782 4631 +2113 3219 +-1748 -1324 +-6953 3565 +-2139 6009 +-1480 -1619 +2186 162 +1441 -536 +-2135 2286 +1796 1701 +3072 0 +1796 -1701 +-2135 -2286 +1441 536 +2186 -162 +-1480 1619 +-2139 -6009 +-6953 -3565 +-1748 1324 +2113 -3219 +2782 -4631 +6852 -3027 +1512 2284 +-3531 -4308 +-1282 -4483 +-197 1303 +-2048 1024 +2337 2132 +-1549 -240 +-3871 -1394 +6080 2284 +-260 2060 +-2938 261 +863 2060 +-300 -2772 +842 -1421 +-1801 -1116 +2457 -862 +2510 -162 +-1607 -4119 +871 1958 +-801 -4006 +724 -3196 +-654 422 +2092 688 +1085 -521 +-1526 3322 +65 153 +2268 1873 +255 2301 +-5120 0 +255 -2301 +2268 -1873 +65 -153 +-1526 -3322 +1085 521 +2092 -688 +-654 -422 +724 3196 +-2155 -2538 +-5015 -994 +-4030 443 +816 -2465 +-4459 -1413 +-1514 1945 +4986 3167 +-2048 5120 +-159 2978 +117 -5491 +3641 -230 +32 1032 +-1200 -782 +1372 2438 +-6791 -3292 +-724 1148 +464 2608 +2751 -2552 +4794 -2271 +-3418 -3922 +103 -537 +6120 1779 +4053 1294 +1024 0 +4053 -1294 +6120 -1779 +103 537 +-3418 3922 +4794 2271 +2751 2552 +464 -2608 +-724 -1148 +-6791 3292 +1372 -2438 +-1200 782 +32 -1032 +3641 230 +117 5491 +-159 -2978 +-2048 -5120 +4986 -3167 +-1514 -1945 +-4459 1413 +816 2465 +-4030 -443 +-5015 994 +-2155 2538 +724 -3196 +-654 422 +2092 688 +1085 -521 +-1526 3322 +65 153 +2268 1873 +255 2301 +-5244 -3620 +-1108 561 +-421 4796 +1262 2473 +-1800 4672 +-581 3055 +4771 1899 +1719 -2832 +-1024 0 +1719 2832 +4771 -1899 +-581 -3055 +-1800 -4672 +1262 -2473 +-421 -4796 +-1108 -561 +-5244 3620 +-2642 53 +4654 -1444 +1483 -1599 +-3478 -2238 +-1218 -3959 +-958 -678 +597 -2730 +6144 1024 +-2300 1703 +-4458 -898 +1617 2084 +-18 -3438 +526 -2393 +615 -3232 +3780 -2537 +-900 3620 +-30 2642 +5040 -791 +-3271 2526 +1200 2321 +181 -2805 +-1051 3673 +-17 1473 +-7168 0 +-17 -1473 +-1051 -3673 +181 2805 +1200 -2321 +-3271 -2526 +5040 791 +-30 -2642 +-900 -3620 +3780 2537 +615 3232 +526 2393 +-18 3438 +1617 -2084 +-4458 898 +-2300 -1703 +6144 -1024 +597 2730 +-958 678 +-1218 3959 +-3478 2238 +1483 1599 +4654 1444 +-2642 -53 +-5244 -3620 +-1108 561 +-421 4796 +1262 2473 +-1800 4672 +-581 3055 +4771 1899 +1719 -2832 +-848 3072 +-2319 4610 +-965 -2526 +-270 -748 +-1782 -1988 +-476 -586 +676 -422 +3327 1646 +6144 0 +3327 -1646 +676 422 +-476 586 +-1782 1988 +-270 748 +-965 2526 +-2319 -4610 +-848 -3072 +-3832 937 +-6031 1028 +-3052 1599 +-1678 -3216 +1652 3799 +2868 -182 +-2289 883 +0 0 +4005 -3508 +-2020 4866 +-4774 -4478 +-1219 -568 +-129 2278 +1086 -4480 +4214 2716 +4944 3072 +-518 -376 +-3979 1114 +2771 747 +4678 -3556 +-1515 -2577 +172 1375 +3205 2211 +2048 0 +3205 -2211 +172 -1375 +-1515 2577 +4678 3556 +2771 -747 +-3979 -1114 +-518 376 +4944 -3072 +4214 -2716 +1086 4480 +-129 -2278 +-1219 568 +-4774 4478 +-2020 -4866 +4005 3508 +0 0 +-2289 -883 +2868 182 +1652 -3799 +-1678 3216 +-3052 -1599 +-6031 -1028 +-3832 -937 +-848 3072 +-2319 4610 +-965 -2526 +-270 -748 +-1782 -1988 +-476 -586 +676 -422 +3327 1646 +-1324 1748 +-409 -6189 +-552 -3471 +77 3874 +2504 3045 +-621 1001 +89 1554 +1584 -1017 +-1024 0 +1584 1017 +89 -1554 +-621 -1001 +2504 -3045 +77 -3874 +-552 3471 +-409 6189 +-1324 -1748 +-12 -1372 +581 -2006 +-2066 -1298 +-1950 2714 +1486 374 +648 -5 +2610 -2189 +0 3072 +-5940 2585 +-388 -161 +-288 1478 +-3842 2962 +-185 4498 +7039 -741 +7283 2658 +-2772 300 +1387 1972 +2821 640 +-2939 -3191 +3288 5396 +-1257 782 +-2046 2386 +-709 3335 +-7168 0 +-709 -3335 +-2046 -2386 +-1257 -782 +3288 -5396 +-2939 3191 +2821 -640 +1387 -1972 +-2772 -300 +7283 -2658 +7039 741 +-185 -4498 +-3842 -2962 +-288 -1478 +-388 161 +-5940 -2585 +0 -3072 +2610 2189 +648 5 +1486 -374 +-1950 -2714 +-2066 1298 +581 2006 +-12 1372 +-1324 1748 +-409 -6189 +-552 -3471 +77 3874 +2504 3045 +-621 1001 +89 1554 +1584 -1017 +-3196 -2772 +-1912 -2347 +2116 1801 +-1912 -897 +-1376 2080 +-21 1974 +-1187 -2157 +-598 2013 +-1024 0 +-598 -2013 +-1187 2157 +-21 -1974 +-1376 -2080 +-1912 897 +2116 -1801 +-1912 2347 +-3196 2772 +1490 1116 +1297 -2605 +-4202 -900 +-5950 522 +2059 -6863 +1560 -2653 +-356 -225 +2048 1024 +-164 -545 +3828 -486 +-298 3293 +-2490 1370 +5572 67 +87 -2317 +19 -1489 +1148 -1324 +-6277 8639 +-2300 5479 +1872 -2158 +1624 2864 +2725 -1633 +2791 3125 +2007 771 +1024 0 +2007 -771 +2791 -3125 +2725 1633 +1624 -2864 +1872 2158 +-2300 -5479 +-6277 -8639 +1148 1324 +19 1489 +87 2317 +5572 -67 +-2490 -1370 +-298 -3293 +3828 486 +-164 545 +2048 -1024 +-356 225 +1560 2653 +2059 6863 +-5950 -522 +-4202 900 +1297 2605 +1490 -1116 +-3196 -2772 +-1912 -2347 +2116 1801 +-1912 -897 +-1376 2080 +-21 1974 +-1187 -2157 +-598 2013 +1148 -3196 +1075 -1102 +-1684 -5212 +976 -5045 +-1970 5166 +-1848 3331 +966 1643 +-572 613 +2048 0 +-572 -613 +966 -1643 +-1848 -3331 +-1970 -5166 +976 5045 +-1684 5212 +1075 1102 +1148 3196 +-901 5818 +-1095 5583 +1555 -6019 +-1416 268 +2099 4793 +3873 -2043 +-538 3243 +3072 1024 +-910 37 +-976 238 +-651 -640 +-632 516 +-107 -208 +-1801 3284 +-547 934 +-3196 1148 +-2523 -2583 +-1213 -6976 +472 3863 +-78 3274 +3296 -1772 +1930 -1058 +-876 -5018 +4096 0 +-876 5018 +1930 1058 +3296 1772 +-78 -3274 +472 -3863 +-1213 6976 +-2523 2583 +-3196 -1148 +-547 -934 +-1801 -3284 +-107 208 +-632 -516 +-651 640 +-976 -238 +-910 -37 +3072 -1024 +-538 -3243 +3873 2043 +2099 -4793 +-1416 -268 +1555 6019 +-1095 -5583 +-901 -5818 +1148 -3196 +1075 -1102 +-1684 -5212 +976 -5045 +-1970 5166 +-1848 3331 +966 1643 +-572 613 +-1148 -1748 +3411 1808 +5014 5644 +1218 498 +-78 1006 +-2840 3169 +1896 -36 +3741 -7758 +2048 0 +3741 7758 +1896 36 +-2840 -3169 +-78 -1006 +1218 -498 +5014 -5644 +3411 -1808 +-1148 1748 +2563 -1778 +118 3328 +2681 1947 +-632 -776 +-871 1308 +-1996 1277 +-6191 -1575 +1024 -1024 +-3492 4262 +-221 324 +320 -765 +-1416 -2224 +2509 -2567 +-5470 4740 +-3200 1750 +3196 -300 +1899 1144 +338 -137 +-377 -3076 +-1970 -2454 +3153 -908 +320 -5012 +-4523 -966 +0 0 +-4523 966 +320 5012 +3153 908 +-1970 2454 +-377 3076 +338 137 +1899 -1144 +3196 300 +-3200 -1750 +-5470 -4740 +2509 2567 +-1416 2224 +320 765 +-221 -324 +-3492 -4262 +1024 1024 +-6191 1575 +-1996 -1277 +-871 -1308 +-632 776 +2681 -1947 +118 -3328 +2563 1778 +-1148 -1748 +3411 1808 +5014 5644 +1218 498 +-78 1006 +-2840 3169 +1896 -36 +3741 -7758 +-1324 724 +-881 69 +2364 -3587 +3190 -2022 +4032 188 +616 5199 +1917 -2854 +2940 -878 +-2048 0 +2940 878 +1917 2854 +616 -5199 +4032 -188 +3190 2022 +2364 3587 +-881 -69 +-1324 -724 +-2789 6284 +-2669 624 +-1370 -1512 +138 -262 +937 1529 +-2156 1056 +2904 -3335 +1024 -1024 +-1322 2957 +2820 -891 +-713 2316 +462 586 +2433 -5716 +3112 2123 +1152 -2273 +-2772 -724 +-4162 -65 +-5704 -7698 +-2925 401 +-536 4756 +3625 572 +315 -3686 +-3634 1745 +0 0 +-3634 -1745 +315 3686 +3625 -572 +-536 -4756 +-2925 -401 +-5704 7698 +-4162 65 +-2772 724 +1152 2273 +3112 -2123 +2433 5716 +462 -586 +-713 -2316 +2820 891 +-1322 -2957 +1024 1024 +2904 3335 +-2156 -1056 +937 -1529 +138 262 +-1370 1512 +-2669 -624 +-2789 -6284 +-1324 724 +-881 69 +2364 -3587 +3190 -2022 +4032 188 +616 5199 +1917 -2854 +2940 -878 +2348 3796 +1864 2609 +831 1460 +1795 3186 +1490 -7242 +723 -3469 +2614 -2532 +3072 2111 +2048 0 +3072 -2111 +2614 2532 +723 3469 +1490 7242 +1795 -3186 +831 -1460 +1864 -2609 +2348 -3796 +1633 1924 +989 -4077 +-2443 2300 +-4416 4337 +-846 -754 +-272 -4304 +-2918 2280 +-1024 5120 +-718 -1883 +-3512 1424 +-58 -2966 +2368 -2904 +-2954 1730 +-2557 -1337 +4100 2345 +3796 2348 +651 -3829 +737 -416 +-1511 -340 +-3538 2 +-498 2275 +1170 -1628 +-1891 6070 +-4096 0 +-1891 -6070 +1170 1628 +-498 -2275 +-3538 -2 +-1511 340 +737 416 +651 3829 +3796 -2348 +4100 -2345 +-2557 1337 +-2954 -1730 +2368 2904 +-58 2966 +-3512 -1424 +-718 1883 +-1024 -5120 +-2918 -2280 +-272 4304 +-846 754 +-4416 -4337 +-2443 -2300 +989 4077 +1633 -1924 +2348 3796 +1864 2609 +831 1460 +1795 3186 +1490 -7242 +723 -3469 +2614 -2532 +3072 2111 +2048 600 +-3868 4041 +-1069 82 +1466 633 +458 4724 +951 1020 +-476 -250 +4057 1342 +5120 0 +4057 -1342 +-476 250 +951 -1020 +458 -4724 +1466 -633 +-1069 -82 +-3868 -4041 +2048 -600 +-2548 664 +1812 1055 +657 1462 +-1094 940 +-2625 4037 +-8191 -1758 +2221 -6179 +1024 -2048 +-2292 -3096 +-840 -650 +685 -423 +-1553 -3156 +617 1530 +5193 -1620 +-4239 -3322 +2048 3496 +5303 1421 +-143 -2758 +-523 -2444 +-6002 -628 +-1227 833 +3715 1359 +1366 2997 +1024 0 +1366 -2997 +3715 -1359 +-1227 -833 +-6002 628 +-523 2444 +-143 2758 +5303 -1421 +2048 -3496 +-4239 3322 +5193 1620 +617 -1530 +-1553 3156 +685 423 +-840 650 +-2292 3096 +1024 2048 +2221 6179 +-8191 1758 +-2625 -4037 +-1094 -940 +657 -1462 +1812 -1055 +-2548 -664 +2048 600 +-3868 4041 +-1069 82 +1466 633 +458 4724 +951 1020 +-476 -250 +4057 1342 +1748 724 +563 -4029 +-1943 497 +-1419 -1172 +1800 1656 +-1607 -2766 +-4690 -3296 +-2444 837 +-1024 0 +-2444 -837 +-4690 3296 +-1607 2766 +1800 -1656 +-1419 1172 +-1943 -497 +563 4029 +1748 -724 +3580 -2447 +2292 1488 +1150 -736 +3478 2994 +2871 -2876 +-936 3701 +-1961 2213 +2048 -1024 +1350 8341 +-3683 -83 +-548 -3565 +18 -1102 +-2407 5056 +3124 3055 +1827 -3411 +300 -724 +5174 -2286 +-1777 1070 +-5333 4748 +-1200 2440 +-4292 -139 +-579 -488 +3497 -61 +1024 0 +3497 61 +-579 488 +-4292 139 +-1200 -2440 +-5333 -4748 +-1777 -1070 +5174 2286 +300 724 +1827 3411 +3124 -3055 +-2407 -5056 +18 1102 +-548 3565 +-3683 83 +1350 -8341 +2048 1024 +-1961 -2213 +-936 -3701 +2871 2876 +3478 -2994 +1150 736 +2292 -1488 +3580 2447 +1748 724 +563 -4029 +-1943 497 +-1419 -1172 +1800 1656 +-1607 -2766 +-4690 -3296 +-2444 837 +3496 1448 +-2247 710 +5938 -1460 +2139 -2367 +-3640 -2362 +-923 2606 +-4324 -2568 +-4382 -4349 +-3072 0 +-4382 4349 +-4324 2568 +-923 -2606 +-3640 2362 +2139 2367 +5938 1460 +-2247 -710 +3496 -1448 +646 -1598 +-2575 1844 +-916 4956 +-1084 -470 +7875 2107 +-2067 559 +-6311 -972 +1024 0 +-1837 -103 +294 -1297 +119 -1429 +484 1578 +-730 -1585 +-545 -973 +2809 -4647 +600 -1448 +2815 4801 +78 -157 +-3598 -1589 +144 314 +1826 -1158 +3200 -6280 +2715 -206 +1024 0 +2715 206 +3200 6280 +1826 1158 +144 -314 +-3598 1589 +78 157 +2815 -4801 +600 1448 +2809 4647 +-545 973 +-730 1585 +484 -1578 +119 1429 +294 1297 +-1837 103 +1024 0 +-6311 972 +-2067 -559 +7875 -2107 +-1084 470 +-916 -4956 +-2575 -1844 +646 1598 +3496 1448 +-2247 710 +5938 -1460 +2139 -2367 +-3640 -2362 +-923 2606 +-4324 -2568 +-4382 -4349 +1324 -4644 +-1535 -974 +-1451 2391 +2716 410 +-1150 3478 +-2435 3388 +2042 4581 +2640 293 +3072 0 +2640 -293 +2042 -4581 +-2435 -3388 +-1150 -3478 +2716 -410 +-1451 -2391 +-1535 974 +1324 4644 +2653 2237 +-625 836 +-3138 -1416 +292 -1200 +1990 3074 +-1438 9729 +-2441 2452 +0 -1024 +-4126 -1143 +-1838 -603 +-502 3458 +-1141 -1800 +4105 1376 +-1763 1371 +754 -793 +2772 2596 +-3439 1729 +-3154 -1359 +102 815 +6094 18 +-2837 -4571 +34 1967 +5495 -104 +-3072 0 +5495 104 +34 -1967 +-2837 4571 +6094 -18 +102 -815 +-3154 1359 +-3439 -1729 +2772 -2596 +754 793 +-1763 -1371 +4105 -1376 +-1141 1800 +-502 -3458 +-1838 603 +-4126 1143 +0 1024 +-2441 -2452 +-1438 -9729 +1990 -3074 +292 1200 +-3138 1416 +-625 -836 +2653 -2237 +1324 -4644 +-1535 -974 +-1451 2391 +2716 410 +-1150 3478 +-2435 3388 +2042 4581 +2640 293 +0 3496 +-1655 3277 +1795 -2120 +-862 2261 +-2596 -914 +3456 -657 +1207 -2486 +111 348 +5120 0 +111 -348 +1207 2486 +3456 657 +-2596 914 +-862 -2261 +1795 2120 +-1655 -3277 +0 -3496 +6446 158 +446 -1537 +-3289 3560 +4644 -1918 +178 98 +-2295 -1697 +161 72 +-1024 4096 +1552 -4997 +-3094 -3145 +-44 -61 +4644 3026 +-3202 1124 +-1830 -2985 +-757 -893 +0 600 +3326 2590 +-1611 3568 +-2224 1304 +-2596 1762 +-5598 6498 +-2811 3934 +2401 1503 +5120 0 +2401 -1503 +-2811 -3934 +-5598 -6498 +-2596 -1762 +-2224 -1304 +-1611 -3568 +3326 -2590 +0 -600 +-757 893 +-1830 2985 +-3202 -1124 +4644 -3026 +-44 61 +-3094 3145 +1552 4997 +-1024 -4096 +161 -72 +-2295 1697 +178 -98 +4644 1918 +-3289 -3560 +446 1537 +6446 -158 +0 3496 +-1655 3277 +1795 -2120 +-862 2261 +-2596 -914 +3456 -657 +1207 -2486 +111 348 +724 -300 +3824 -1432 +-1841 -1009 +-4055 1794 +2550 -1330 +973 -6162 +-2633 -1734 +-382 -5618 +1024 0 +-382 5618 +-2633 1734 +973 6162 +2550 1330 +-4055 -1794 +-1841 1009 +3824 1432 +724 300 +-1120 -1770 +-1156 1114 +-538 1929 +208 -3792 +-3558 -1375 +-5469 275 +-4426 -4871 +0 1024 +5803 1356 +745 431 +-3878 -1328 +992 -2344 +1757 -110 +216 -150 +-146 6677 +-724 -1748 +339 2072 +-1315 3841 +-61 -5370 +4442 2778 +3566 -1121 +3261 -2206 +1902 -1755 +-1024 0 +1902 1755 +3261 2206 +3566 1121 +4442 -2778 +-61 5370 +-1315 -3841 +339 -2072 +-724 1748 +-146 -6677 +216 150 +1757 110 +992 2344 +-3878 1328 +745 -431 +5803 -1356 +0 -1024 +-4426 4871 +-5469 -275 +-3558 1375 +208 3792 +-538 -1929 +-1156 -1114 +-1120 1770 +724 -300 +3824 -1432 +-1841 -1009 +-4055 1794 +2550 -1330 +973 -6162 +-2633 -1734 +-382 -5618 +-3072 2048 +2138 1294 +-1775 -1960 +-9981 -1815 +-4619 1024 +-420 1262 +3573 -3818 +357 3280 +-4096 0 +357 -3280 +3573 3818 +-420 -1262 +-4619 -1024 +-9981 1815 +-1775 1960 +2138 -1294 +-3072 -2048 +3275 4791 +-1043 2254 +-2082 1437 +51 1024 +1844 -2519 +3435 -1780 +-840 -3246 +0 -4096 +1645 22 +3741 2824 +-2044 -1591 +4294 -1024 +5999 -98 +-3145 -1022 +1326 2189 +-3072 2048 +-2275 2784 +1371 -116 +-616 1609 +274 1024 +1508 -4797 +2036 1431 +167 876 +0 0 +167 -876 +2036 -1431 +1508 4797 +274 -1024 +-616 -1609 +1371 116 +-2275 -2784 +-3072 -2048 +1326 -2189 +-3145 1022 +5999 98 +4294 1024 +-2044 1591 +3741 -2824 +1645 -22 +0 4096 +-840 3246 +3435 1780 +1844 2519 +51 -1024 +-2082 -1437 +-1043 -2254 +3275 -4791 +-3072 2048 +2138 1294 +-1775 -1960 +-9981 -1815 +-4619 1024 +-420 1262 +3573 -3818 +357 3280 +424 4520 +4201 24 +3981 -1290 +-1968 1972 +3784 -254 +3875 5002 +-915 2018 +396 1976 +-1024 0 +396 -1976 +-915 -2018 +3875 -5002 +3784 254 +-1968 -1972 +3981 1290 +4201 -24 +424 -4520 +-4549 -1574 +-4220 -2800 +1366 518 +1567 410 +953 -1895 +-1615 -26 +1365 3300 +1024 0 +-1005 303 +4631 5102 +2061 1008 +-1567 -3086 +1744 689 +-1013 3437 +-7097 -1141 +-2472 1624 +-2132 -2121 +-1645 -1163 +3083 6079 +-3784 854 +471 -2411 +796 -5578 +-2764 691 +3072 0 +-2764 -691 +796 5578 +471 2411 +-3784 -854 +3083 -6079 +-1645 1163 +-2132 2121 +-2472 -1624 +-7097 1141 +-1013 -3437 +1744 -689 +-1567 3086 +2061 -1008 +4631 -5102 +-1005 -303 +1024 0 +1365 -3300 +-1615 26 +953 1895 +1567 -410 +1366 -518 +-4220 2800 +-4549 1574 +424 4520 +4201 24 +3981 -1290 +-1968 1972 +3784 -254 +3875 5002 +-915 2018 +396 1976 +3620 300 +3462 53 +-2097 -5318 +2118 4225 +2080 4372 +-5266 2644 +1322 2479 +3863 -2433 +1024 0 +3863 2433 +1322 -2479 +-5266 -2644 +2080 -4372 +2118 -4225 +-2097 5318 +3462 -53 +3620 -300 +-3043 5714 +-6315 497 +3035 1345 +522 -3986 +-1324 -2923 +-336 879 +-2248 -5364 +2048 -3072 +-4063 -2113 +-1372 -245 +-530 89 +-1370 -1690 +1605 -3044 +143 -5519 +700 331 +-3620 1748 +-470 1925 +1276 2198 +2378 963 +2864 2021 +-2016 -1639 +-813 -706 +1799 1458 +3072 0 +1799 -1458 +-813 706 +-2016 1639 +2864 -2021 +2378 -963 +1276 -2198 +-470 -1925 +-3620 -1748 +700 -331 +143 5519 +1605 3044 +-1370 1690 +-530 -89 +-1372 245 +-4063 2113 +2048 3072 +-2248 5364 +-336 -879 +-1324 2923 +522 3986 +3035 -1345 +-6315 -497 +-3043 -5714 +3620 300 +3462 53 +-2097 -5318 +2118 4225 +2080 4372 +-5266 2644 +1322 2479 +3863 -2433 +-4769 -2472 +539 -927 +693 -343 +-2756 -178 +-3726 1932 +1731 871 +6377 -1734 +1871 3854 +-1024 0 +1871 -3854 +6377 1734 +1731 -871 +-3726 -1932 +-2756 178 +693 343 +539 927 +-4769 2472 +-5133 -2407 +-6484 2246 +239 2102 +2630 -5088 +-3554 1317 +2806 -1023 +-1188 342 +-3072 -2048 +2919 119 +1370 5473 +49 -3726 +-3830 1304 +-1906 2796 +-1164 -1813 +2280 -2142 +6817 424 +1426 -137 +2363 -2517 +-41 1760 +-3267 364 +446 767 +2233 1239 +3078 386 +3072 0 +3078 -386 +2233 -1239 +446 -767 +-3267 -364 +-41 -1760 +2363 2517 +1426 137 +6817 -424 +2280 2142 +-1164 1813 +-1906 -2796 +-3830 -1304 +49 3726 +1370 -5473 +2919 -119 +-3072 2048 +-1188 -342 +2806 1023 +-3554 -1317 +2630 5088 +239 -2102 +-6484 -2246 +-5133 2407 +-4769 -2472 +539 -927 +693 -343 +-2756 -178 +-3726 1932 +1731 871 +6377 -1734 +1871 3854 +124 -2172 +-1661 2440 +802 2647 +-4423 -418 +-2465 2524 +-1284 1391 +2497 -2188 +7240 1465 +0 0 +7240 -1465 +2497 2188 +-1284 -1391 +-2465 -2524 +-4423 418 +802 -2647 +-1661 -2440 +124 2172 +-1482 3424 +2055 283 +-4093 -1688 +-3922 2754 +5799 2712 +-3224 -2551 +1131 -2347 +1024 -1024 +-4212 1954 +1931 4560 +3614 1364 +3322 706 +2149 369 +658 1420 +-640 6925 +-4220 2172 +-2218 -2723 +3478 3785 +-552 4042 +-1032 -476 +-1210 -1173 +-5 -1789 +1841 -949 +-2048 0 +1841 949 +-5 1789 +-1210 1173 +-1032 476 +-552 -4042 +3478 -3785 +-2218 2723 +-4220 -2172 +-640 -6925 +658 -1420 +2149 -369 +3322 -706 +3614 -1364 +1931 -4560 +-4212 -1954 +1024 1024 +1131 2347 +-3224 2551 +5799 -2712 +-3922 -2754 +-4093 1688 +2055 -283 +-1482 -3424 +124 -2172 +-1661 2440 +802 2647 +-4423 -418 +-2465 2524 +-1284 1391 +2497 -2188 +7240 1465 +-1148 -3620 +-844 -2030 +815 -1960 +2850 -2021 +1336 788 +-6 3577 +-1317 3875 +1442 2465 +3072 0 +1442 -2465 +-1317 -3875 +-6 -3577 +1336 -788 +2850 2021 +815 1960 +-844 2030 +-1148 3620 +-1545 2797 +3541 1499 +-3209 3492 +-3458 3234 +3272 -1595 +-14 -3554 +-313 -1244 +-2048 1024 +-5541 2860 +458 1678 +1113 -3127 +-3783 -2910 +5793 2039 +-1309 1380 +-4208 -599 +3196 3620 +-2980 4297 +-150 -1056 +-1208 256 +5904 5356 +2981 2771 +-2023 -1539 +2403 -889 +-3072 0 +2403 889 +-2023 1539 +2981 -2771 +5904 -5356 +-1208 -256 +-150 1056 +-2980 -4297 +3196 -3620 +-4208 599 +-1309 -1380 +5793 -2039 +-3783 2910 +1113 3127 +458 -1678 +-5541 -2860 +-2048 -1024 +-313 1244 +-14 3554 +3272 1595 +-3458 -3234 +-3209 -3492 +3541 -1499 +-1545 -2797 +-1148 -3620 +-844 -2030 +815 -1960 +2850 -2021 +1336 788 +-6 3577 +-1317 3875 +1442 2465 +-1024 1024 +-525 -2458 +1481 537 +3055 1117 +738 4424 +-4723 -3457 +2039 -2329 +5981 1306 +1024 0 +5981 -1306 +2039 2329 +-4723 3457 +738 -4424 +3055 -1117 +1481 -537 +-525 2458 +-1024 -1024 +-2260 153 +-609 2471 +1171 -7356 +-4050 -808 +-2797 -3553 +-2361 -602 +-2272 3266 +3072 -4096 +54 661 +-824 -1674 +-976 -4544 +-2942 -1408 +1447 1407 +2538 1546 +-48 1442 +-1024 1024 +4163 1500 +3583 -262 +-4786 -4386 +-1938 -928 +1815 -3774 +2345 -5735 +701 -365 +-3072 0 +701 365 +2345 5735 +1815 3774 +-1938 928 +-4786 4386 +3583 262 +4163 -1500 +-1024 -1024 +-48 -1442 +2538 -1546 +1447 -1407 +-2942 1408 +-976 4544 +-824 1674 +54 -661 +3072 4096 +-2272 -3266 +-2361 602 +-2797 3553 +-4050 808 +1171 7356 +-609 -2471 +-2260 -153 +-1024 1024 +-525 -2458 +1481 537 +3055 1117 +738 4424 +-4723 -3457 +2039 -2329 +5981 1306 +2048 -4944 +542 -2074 +1009 5562 +-5460 -3650 +2062 0 +2254 1754 +2149 1724 +5036 4644 +-1024 0 +5036 -4644 +2149 -1724 +2254 -1754 +2062 0 +-5460 3650 +1009 -5562 +542 2074 +2048 4944 +-1012 -3343 +-7117 3582 +-1029 5488 +-1278 0 +334 -2053 +202 2759 +451 807 +3072 0 +-2315 1894 +-5251 1690 +-4223 1009 +-170 0 +2154 2181 +1609 -3150 +1190 -922 +2048 848 +2177 1598 +403 3387 +1438 -2553 +-614 0 +-1261 4204 +-1196 4697 +-276 -661 +3072 0 +-276 661 +-1196 -4697 +-1261 -4204 +-614 0 +1438 2553 +403 -3387 +2177 -1598 +2048 -848 +1190 922 +1609 3150 +2154 -2181 +-170 0 +-4223 -1009 +-5251 -1690 +-2315 -1894 +3072 0 +451 -807 +202 -2759 +334 2053 +-1278 0 +-1029 -5488 +-7117 -3582 +-1012 3343 +2048 -4944 +542 -2074 +1009 5562 +-5460 -3650 +2062 0 +2254 1754 +2149 1724 +5036 4644 +-4520 -2896 +787 -4315 +-3260 -1060 +-8188 1469 +1748 5122 +-14 1729 +-302 -195 +1547 -1972 +0 0 +1547 1972 +-302 195 +-14 -1729 +1748 -5122 +-8188 -1469 +-3260 1060 +787 4315 +-4520 2896 +1381 -2451 +-774 1237 +3027 -190 +300 1013 +-2180 3607 +1190 2459 +-4930 1246 +0 -2048 +3372 -184 +3598 -1156 +393 -1691 +300 1013 +1245 2916 +-1339 -499 +334 -3151 +-1624 2896 +394 -2754 +-420 -4772 +1020 -2221 +1748 -5122 +-1096 3100 +1307 676 +2907 -8226 +4096 0 +2907 8226 +1307 -676 +-1096 -3100 +1748 5122 +1020 2221 +-420 4772 +394 2754 +-1624 -2896 +334 3151 +-1339 499 +1245 -2916 +300 -1013 +393 1691 +3598 1156 +3372 184 +0 2048 +-4930 -1246 +1190 -2459 +-2180 -3607 +300 -1013 +3027 190 +-774 -1237 +1381 2451 +-4520 -2896 +787 -4315 +-3260 -1060 +-8188 1469 +1748 5122 +-14 1729 +-302 -195 +1547 -1972 +-300 -1324 +18 -1291 +2422 1140 +758 5880 +1116 -307 +-2952 -3682 +645 994 +3705 764 +-5120 0 +3705 -764 +645 -994 +-2952 3682 +1116 307 +758 -5880 +2422 -1140 +18 1291 +-300 1324 +-1342 3468 +3416 -2526 +1909 -4336 +-1670 2598 +-1857 284 +1681 -4895 +709 -4677 +-6144 -5120 +4293 -2704 +-1865 1277 +-5832 3131 +222 4646 +-1800 1663 +1972 -2017 +-4215 -1574 +-1748 -2772 +1516 -2422 +-818 568 +2237 -770 +332 -1741 +1744 -151 +739 -1814 +1109 -3255 +5120 0 +1109 3255 +739 1814 +1744 151 +332 1741 +2237 770 +-818 -568 +1516 2422 +-1748 2772 +-4215 1574 +1972 2017 +-1800 -1663 +222 -4646 +-5832 -3131 +-1865 -1277 +4293 2704 +-6144 5120 +709 4677 +1681 4895 +-1857 -284 +-1670 -2598 +1909 4336 +3416 2526 +-1342 -3468 +-300 -1324 +18 -1291 +2422 1140 +758 5880 +1116 -307 +-2952 -3682 +645 994 +3705 764 +-4944 1448 +-638 1493 +-538 -2966 +-1265 4445 +938 2557 +925 -4988 +2275 5915 +874 1510 +1024 0 +874 -1510 +2275 -5915 +925 4988 +938 -2557 +-1265 -4445 +-538 2966 +-638 -1493 +-4944 -1448 +-848 1007 +-133 878 +7728 -2596 +4738 1228 +-4643 1940 +405 -116 +3737 2018 +5120 -2048 +1377 275 +1708 681 +-585 1886 +-3290 4124 +-703 -1497 +-871 731 +-626 1518 +848 -1448 +-1023 -5078 +-4250 -5374 +1809 750 +-2387 340 +-3265 837 +1405 3074 +-2853 844 +-3072 0 +-2853 -844 +1405 -3074 +-3265 -837 +-2387 -340 +1809 -750 +-4250 5374 +-1023 5078 +848 1448 +-626 -1518 +-871 -731 +-703 1497 +-3290 -4124 +-585 -1886 +1708 -681 +1377 -275 +5120 2048 +3737 -2018 +405 116 +-4643 -1940 +4738 -1228 +7728 2596 +-133 -878 +-848 -1007 +-4944 1448 +-638 1493 +-538 -2966 +-1265 4445 +938 2557 +925 -4988 +2275 5915 +874 1510 +-2772 -1748 +-2285 -1272 +-417 -3111 +1339 -5073 +3189 2140 +-1225 -1631 +-646 -362 +186 1212 +-3072 0 +186 -1212 +-646 362 +-1225 1631 +3189 -2140 +1339 5073 +-417 3111 +-2285 1272 +-2772 1748 +1002 2141 +-249 2448 +-347 -1634 +3198 -646 +3254 -992 +-559 6311 +-1336 1558 +4096 1024 +1691 4523 +-1829 -2693 +676 1677 +-4046 -1246 +-2539 2501 +2325 2095 +-2588 -4027 +-1324 -300 +87 -6464 +-2858 -2538 +-20 5423 +1756 1356 +-1138 516 +-3958 2446 +3244 186 +11264 0 +3244 -186 +-3958 -2446 +-1138 -516 +1756 -1356 +-20 -5423 +-2858 2538 +87 6464 +-1324 300 +-2588 4027 +2325 -2095 +-2539 -2501 +-4046 1246 +676 -1677 +-1829 2693 +1691 -4523 +4096 -1024 +-1336 -1558 +-559 -6311 +3254 992 +3198 646 +-347 1634 +-249 -2448 +1002 -2141 +-2772 -1748 +-2285 -1272 +-417 -3111 +1339 -5073 +3189 2140 +-1225 -1631 +-646 -362 +186 1212 +3496 0 +1161 -276 +-6559 -680 +-4088 399 +1258 7040 +1543 1282 +794 257 +3460 -258 +7168 0 +3460 258 +794 -257 +1543 -1282 +1258 -7040 +-4088 -399 +-6559 680 +1161 276 +3496 0 +-474 -4378 +-772 -445 +324 1814 +-4090 -749 +-2329 -2279 +1663 -2121 +1354 -2746 +5120 4096 +-2774 951 +-3046 -2461 +672 1757 +-5199 100 +1466 1400 +-832 -4569 +-2688 -1114 +600 0 +-1783 -5595 +1170 -548 +731 -1462 +3934 -2096 +1682 2105 +-610 2299 +1744 -5181 +-1024 0 +1744 5181 +-610 -2299 +1682 -2105 +3934 2096 +731 1462 +1170 548 +-1783 5595 +600 0 +-2688 1114 +-832 4569 +1466 -1400 +-5199 -100 +672 -1757 +-3046 2461 +-2774 -951 +5120 -4096 +1354 2746 +1663 2121 +-2329 2279 +-4090 749 +324 -1814 +-772 445 +-474 4378 +3496 0 +1161 -276 +-6559 -680 +-4088 399 +1258 7040 +1543 1282 +794 257 +3460 -258 +600 0 +-4484 -2688 +5860 -1852 +-5272 2020 +-3666 -3496 +455 -6968 +-3392 1238 +2716 5561 +1024 0 +2716 -5561 +-3392 -1238 +455 6968 +-3666 3496 +-5272 -2020 +5860 1852 +-4484 2688 +600 0 +2623 -1120 +-2441 -4701 +189 -4389 +1462 -600 +3993 -611 +-120 -133 +1726 -941 +3072 -4096 +-712 -3227 +-425 -1242 +1923 402 +-1214 600 +-1421 -3023 +-339 -2025 +-186 1123 +3496 0 +-170 3052 +2713 4527 +1153 -1910 +-4774 -3496 +-1019 -1468 +-1855 -2347 +-1514 -1534 +1024 0 +-1514 1534 +-1855 2347 +-1019 1468 +-4774 3496 +1153 1910 +2713 -4527 +-170 -3052 +3496 0 +-186 -1123 +-339 2025 +-1421 3023 +-1214 -600 +1923 -402 +-425 1242 +-712 3227 +3072 4096 +1726 941 +-120 133 +3993 611 +1462 600 +189 4389 +-2441 4701 +2623 1120 +600 0 +-4484 -2688 +5860 -1852 +-5272 2020 +-3666 -3496 +455 -6968 +-3392 1238 +2716 5561 +4769 -1448 +-969 1205 +2763 -187 +4465 -5157 +1822 -2557 +2616 1653 +-1188 1158 +-1415 -1419 +4096 0 +-1415 1419 +-1188 -1158 +2616 -1653 +1822 2557 +4465 5157 +2763 187 +-969 -1205 +4769 1448 +3265 1084 +-1354 -158 +2753 -3635 +-4194 -1228 +-3161 1276 +-621 491 +-1944 1846 +0 -2048 +-4559 -136 +-1492 1118 +468 -4135 +698 -4124 +-2179 -4084 +2358 2999 +2383 5427 +-6817 1448 +-7 4338 +2025 -752 +992 -2284 +-2422 -340 +-161 -72 +-2492 3566 +-2547 -1762 +8192 0 +-2547 1762 +-2492 -3566 +-161 72 +-2422 340 +992 2284 +2025 752 +-7 -4338 +-6817 -1448 +2383 -5427 +2358 -2999 +-2179 4084 +698 4124 +468 4135 +-1492 -1118 +-4559 136 +0 2048 +-1944 -1846 +-621 -491 +-3161 -1276 +-4194 1228 +2753 3635 +-1354 158 +3265 -1084 +4769 -1448 +-969 1205 +2763 -187 +4465 -5157 +1822 -2557 +2616 1653 +-1188 1158 +-1415 -1419 +-3620 -300 +-1249 1208 +2386 1785 +2599 -913 +-1480 380 +-596 243 +1301 -3114 +-724 2059 +-1024 0 +-724 -2059 +1301 3114 +-596 -243 +-1480 -380 +2599 913 +2386 -1785 +-1249 -1208 +-3620 300 +903 -920 +1058 1733 +-524 -766 +2974 -1727 +-3828 1008 +-2672 -982 +4098 1445 +2048 -1024 +2422 97 +-4609 -4102 +-3369 -3321 +4866 2969 +-2090 -1644 +-3226 2857 +1775 -471 +3620 -1748 +2796 -4544 +-1419 -3558 +-1994 1603 +-2264 -7972 +-1784 -3005 +-1013 -2902 +1564 -7191 +5120 0 +1564 7191 +-1013 2902 +-1784 3005 +-2264 7972 +-1994 -1603 +-1419 3558 +2796 4544 +3620 1748 +1775 471 +-3226 -2857 +-2090 1644 +4866 -2969 +-3369 3321 +-4609 4102 +2422 -97 +2048 1024 +4098 -1445 +-2672 982 +-3828 -1008 +2974 1727 +-524 766 +1058 -1733 +903 920 +-3620 -300 +-1249 1208 +2386 1785 +2599 -913 +-1480 380 +-596 243 +1301 -3114 +-724 2059 +-2472 1448 +-3539 -3328 +-1670 -178 +805 -1251 +-60 -1762 +5680 1283 +1116 1647 +-3265 -7547 +2048 0 +-3265 7547 +1116 -1647 +5680 -1283 +-60 1762 +805 1251 +-1670 178 +-3539 3328 +-2472 -1448 +-3890 -1803 +222 2714 +4571 443 +1168 3026 +2457 1223 +332 2026 +-5266 4018 +2048 4096 +1993 419 +332 1686 +14 5464 +-2616 -1918 +1958 -3250 +222 -1410 +3081 2465 +424 -1448 +-5228 -5636 +-1670 -1049 +-3109 1005 +1508 914 +-790 -1788 +1116 909 +4529 -1093 +-2048 0 +4529 1093 +1116 -909 +-790 1788 +1508 -914 +-3109 -1005 +-1670 1049 +-5228 5636 +424 1448 +3081 -2465 +222 1410 +1958 3250 +-2616 1918 +14 -5464 +332 -1686 +1993 -419 +2048 -4096 +-5266 -4018 +332 -2026 +2457 -1223 +1168 -3026 +4571 -443 +222 -2714 +-3890 1803 +-2472 1448 +-3539 -3328 +-1670 -178 +805 -1251 +-60 -1762 +5680 1283 +1116 1647 +-3265 -7547 +-6093 2772 +-681 -1265 +1700 -3514 +-2973 -1103 +2465 4516 +-1256 6503 +-2581 2317 +4465 -729 +3072 0 +4465 729 +-2581 -2317 +-1256 -6503 +2465 -4516 +-2973 1103 +1700 3514 +-681 1265 +-6093 -2772 +-2602 -997 +-496 2314 +3217 -1895 +3922 -3503 +-1933 782 +2299 2145 +-242 3225 +-2048 3072 +4600 1651 +1161 3881 +-461 1735 +-3322 -606 +863 4575 +-2505 2795 +-3875 -2029 +4045 1324 +-649 1432 +1300 -101 +430 580 +1032 -1620 +-3680 1443 +-878 3515 +4776 -2045 +-3072 0 +4776 2045 +-878 -3515 +-3680 -1443 +1032 1620 +430 -580 +1300 101 +-649 -1432 +4045 -1324 +-3875 2029 +-2505 -2795 +863 -4575 +-3322 606 +-461 -1735 +1161 -3881 +4600 -1651 +-2048 -3072 +-242 -3225 +2299 -2145 +-1933 -782 +3922 3503 +3217 1895 +-496 -2314 +-2602 997 +-6093 2772 +-681 -1265 +1700 -3514 +-2973 -1103 +2465 4516 +-1256 6503 +-2581 2317 +4465 -729 +-124 8141 +-740 3894 +-1026 -482 +-83 -1786 +-2200 -2924 +1719 3255 +-815 -2278 +-5036 955 +-1024 0 +-5036 -955 +-815 2278 +1719 -3255 +-2200 2924 +-83 1786 +-1026 482 +-740 -3894 +-124 -8141 +2361 -606 +2484 -872 +956 151 +1814 2538 +1300 1979 +2381 121 +634 -2253 +0 1024 +-1257 4085 +2852 -7943 +4881 -6988 +-3862 3138 +-1965 -3801 +-2365 -597 +-617 995 +4220 -1997 +-2332 -1743 +-1990 -443 +204 -22 +152 -572 +-1220 3905 +-1521 1207 +1195 3535 +1024 0 +1195 -3535 +-1521 -1207 +-1220 -3905 +152 572 +204 22 +-1990 443 +-2332 1743 +4220 1997 +-617 -995 +-2365 597 +-1965 3801 +-3862 -3138 +4881 6988 +2852 7943 +-1257 -4085 +0 -1024 +634 2253 +2381 -121 +1300 -1979 +1814 -2538 +956 -151 +2484 872 +2361 606 +-124 8141 +-740 3894 +-1026 -482 +-83 -1786 +-2200 -2924 +1719 3255 +-815 -2278 +-5036 955 +-2048 -1448 +-2731 -906 +-604 -247 +-2412 -653 +1168 4678 +4827 4174 +1915 -5137 +1227 3282 +-1024 0 +1227 -3282 +1915 5137 +4827 -4174 +1168 -4678 +-2412 653 +-604 247 +-2731 906 +-2048 1448 +-2877 -410 +-1459 -1773 +-3267 2887 +1508 -1219 +5341 1010 +299 3917 +-2114 -1111 +-3072 0 +1179 -5039 +965 1073 +1859 6114 +-60 1678 +1372 4162 +5399 423 +350 2143 +-2048 1448 +-2072 563 +760 6539 +-3500 -968 +-2616 -1782 +1574 3826 +917 -1803 +1244 -4313 +-1024 0 +1244 4313 +917 1803 +1574 -3826 +-2616 1782 +-3500 968 +760 -6539 +-2072 -563 +-2048 -1448 +350 -2143 +5399 -423 +1372 -4162 +-60 -1678 +1859 -6114 +965 -1073 +1179 5039 +-3072 0 +-2114 1111 +299 -3917 +5341 -1010 +1508 1219 +-3267 -2887 +-1459 1773 +-2877 410 +-2048 -1448 +-2731 -906 +-604 -247 +-2412 -653 +1168 4678 +4827 4174 +1915 -5137 +1227 3282 +300 -3196 +2626 -4265 +2479 606 +-2762 1199 +5070 -1586 +306 -3302 +-5186 2123 +-199 -193 +-4096 0 +-199 193 +-5186 -2123 +306 3302 +5070 1586 +-2762 -1199 +2479 -606 +2626 4265 +300 3196 +-2093 -5437 +-520 -2049 +513 1186 +-2165 1984 +-358 3684 +108 3703 +-369 2738 +1024 1024 +-847 -2034 +-669 -4841 +5223 2405 +-732 2584 +2044 2669 +6432 4058 +702 -5116 +1748 1148 +332 4275 +298 206 +-3580 2812 +-2174 -1910 +-1387 -3641 +-2943 420 +-152 3301 +-2048 0 +-152 -3301 +-2943 -420 +-1387 3641 +-2174 1910 +-3580 -2812 +298 -206 +332 -4275 +1748 -1148 +702 5116 +6432 -4058 +2044 -2669 +-732 -2584 +5223 -2405 +-669 4841 +-847 2034 +1024 -1024 +-369 -2738 +108 -3703 +-358 -3684 +-2165 -1984 +513 -1186 +-520 2049 +-2093 5437 +300 -3196 +2626 -4265 +2479 606 +-2762 1199 +5070 -1586 +306 -3302 +-5186 2123 +-199 -193 +1448 1448 +-168 -4054 +1878 -1721 +1109 -907 +4184 -1338 +1268 -2279 +730 -4006 +2526 505 +-5120 0 +2526 -505 +730 4006 +1268 2279 +4184 1338 +1109 907 +1878 1721 +-168 4054 +1448 -1448 +-1519 -4471 +1833 3991 +-2246 8823 +-3725 554 +1678 -2913 +-2033 -1444 +2122 -3316 +3072 0 +4104 -1148 +141 -2700 +-1381 541 +2276 554 +-2634 758 +-2617 -2321 +308 -813 +-1448 -1448 +-6190 -3952 +-1095 3600 +636 73 +-2735 1338 +1570 4772 +1162 -5442 +-1183 -1808 +-1024 0 +-1183 1808 +1162 5442 +1570 -4772 +-2735 -1338 +636 -73 +-1095 -3600 +-6190 3952 +-1448 1448 +308 813 +-2617 2321 +-2634 -758 +2276 -554 +-1381 -541 +141 2700 +4104 1148 +3072 0 +2122 3316 +-2033 1444 +1678 2913 +-3725 -554 +-2246 -8823 +1833 -3991 +-1519 4471 +1448 1448 +-168 -4054 +1878 -1721 +1109 -907 +4184 -1338 +1268 -2279 +730 -4006 +2526 505 +1324 4396 +-294 -1577 +-829 1125 +4017 3214 +-392 -2380 +-3182 2064 +1005 -1276 +3118 -564 +5120 0 +3118 564 +1005 1276 +-3182 -2064 +-392 2380 +4017 -3214 +-829 -1125 +-294 1577 +1324 -4396 +-380 -5526 +-1051 -1784 +2136 3335 +946 -2270 +1243 -2780 +3123 377 +-2868 -394 +4096 1024 +-117 4933 +-7846 3429 +3352 341 +-946 378 +-2791 -1125 +112 -152 +-763 356 +2772 5844 +-1460 2787 +-2327 -1190 +-466 -1642 +392 -3164 +1483 1295 +-377 -5560 +-3029 -4574 +-5120 0 +-3029 4574 +-377 5560 +1483 -1295 +392 3164 +-466 1642 +-2327 1190 +-1460 -2787 +2772 -5844 +-763 -356 +112 152 +-2791 1125 +-946 -378 +3352 -341 +-7846 -3429 +-117 -4933 +4096 -1024 +-2868 394 +3123 -377 +1243 2780 +946 2270 +2136 -3335 +-1051 1784 +-380 5526 +1324 4396 +-294 -1577 +-829 1125 +4017 3214 +-392 -2380 +-3182 2064 +1005 -1276 +3118 -564 +0 -1024 +835 3332 +389 742 +-1392 -1581 +-360 2458 +4261 1387 +1355 2528 +2429 1051 +6144 0 +2429 -1051 +1355 -2528 +4261 -1387 +-360 -2458 +-1392 1581 +389 -742 +835 -3332 +0 1024 +-1205 -3675 +3506 -2500 +2490 128 +-580 2902 +-1960 8346 +382 751 +-3333 785 +-2048 2048 +5153 -3468 +-2458 307 +-3243 -60 +-4364 -1794 +-606 -1676 +1098 -269 +-3401 2981 +0 -1024 +-13 -901 +1998 -1407 +-2059 -2231 +1208 5134 +2510 -217 +1920 -5868 +-465 2057 +-10240 0 +-465 -2057 +1920 5868 +2510 217 +1208 -5134 +-2059 2231 +1998 1407 +-13 901 +0 1024 +-3401 -2981 +1098 269 +-606 1676 +-4364 1794 +-3243 60 +-2458 -307 +5153 3468 +-2048 -2048 +-3333 -785 +382 -751 +-1960 -8346 +-580 -2902 +2490 -128 +3506 2500 +-1205 3675 +0 -1024 +835 3332 +389 742 +-1392 -1581 +-360 2458 +4261 1387 +1355 2528 +2429 1051 +-3196 -2348 +3160 -4607 +-1760 848 +-152 1642 +4332 692 +-3298 2169 +-3037 1053 +-2274 -2194 +-6144 0 +-2274 2194 +-3037 -1053 +-3298 -2169 +4332 -692 +-152 -1642 +-1760 -848 +3160 4607 +-3196 2348 +-1155 237 +750 -2036 +-1992 -1656 +1886 802 +-4699 -983 +-4610 -464 +4563 -1158 +3072 3072 +-1296 -685 +-2241 -501 +3770 1469 +2210 -2694 +457 2084 +8007 1565 +3757 766 +1148 -3796 +918 672 +-2404 1553 +358 -4583 +-236 -92 +-237 -604 +-2897 5903 +-1882 3996 +4096 0 +-1882 -3996 +-2897 -5903 +-237 604 +-236 92 +358 4583 +-2404 -1553 +918 -672 +1148 3796 +3757 -766 +8007 -1565 +457 -2084 +2210 2694 +3770 -1469 +-2241 501 +-1296 685 +3072 -3072 +4563 1158 +-4610 464 +-4699 983 +1886 -802 +-1992 1656 +750 2036 +-1155 -237 +-3196 -2348 +3160 -4607 +-1760 848 +-152 1642 +4332 692 +-3298 2169 +-3037 1053 +-2274 -2194 +2048 848 +-145 -2520 +-1154 2403 +-1913 3672 +-2376 -2546 +-5242 -3705 +-1454 -7742 +1124 1698 +-5120 0 +1124 -1698 +-1454 7742 +-5242 3705 +-2376 2546 +-1913 -3672 +-1154 -2403 +-145 2520 +2048 -848 +-1209 925 +-1109 -3196 +-231 3735 +2856 4918 +1829 -5179 +1309 -81 +1684 511 +-3072 -2048 +989 -1981 +-865 -2586 +318 -899 +640 -26 +-1972 1022 +3341 3124 +3840 -367 +2048 -4944 +1977 3812 +1819 5116 +-2565 -960 +2976 1698 +3983 -575 +-1886 -1755 +-2468 794 +-5120 0 +-2468 -794 +-1886 1755 +3983 575 +2976 -1698 +-2565 960 +1819 -5116 +1977 -3812 +2048 4944 +3840 367 +3341 -3124 +-1972 -1022 +640 26 +318 899 +-865 2586 +989 1981 +-3072 2048 +1684 -511 +1309 81 +1829 5179 +2856 -4918 +-231 -3735 +-1109 3196 +-1209 -925 +2048 848 +-145 -2520 +-1154 2403 +-1913 3672 +-2376 -2546 +-5242 -3705 +-1454 -7742 +1124 1698 +0 -2048 +1533 1033 +2051 1032 +-2289 1516 +-1978 -2252 +1258 -4361 +-3988 -1916 +-4017 2071 +-1024 0 +-4017 -2071 +-3988 1916 +1258 4361 +-1978 2252 +-2289 -1516 +2051 -1032 +1533 -1033 +0 2048 +-3857 -1480 +1105 2714 +2313 7312 +2930 -1364 +3573 -202 +-6598 -1886 +1566 -307 +3072 0 +-3942 484 +1929 43 +-2437 -3048 +-3530 3581 +-786 -1049 +-1328 -1670 +-548 -1232 +0 -2048 +3760 -417 +6861 -4217 +5227 899 +-1519 3100 +-1065 -1693 +-32 -3148 +-288 1486 +3072 0 +-288 -1486 +-32 3148 +-1065 1693 +-1519 -3100 +5227 -899 +6861 4217 +3760 417 +0 2048 +-548 1232 +-1328 1670 +-786 1049 +-3530 -3581 +-2437 3048 +1929 -43 +-3942 -484 +3072 0 +1566 307 +-6598 1886 +3573 202 +2930 1364 +2313 -7312 +1105 -2714 +-3857 1480 +0 -2048 +1533 1033 +2051 1032 +-2289 1516 +-1978 -2252 +1258 -4361 +-3988 -1916 +-4017 2071 +-2772 2172 +-2150 213 +-323 1543 +-1368 -2254 +3092 -32 +1495 2580 +-483 -697 +952 2475 +0 0 +952 -2475 +-483 697 +1495 -2580 +3092 32 +-1368 2254 +-323 -1543 +-2150 -213 +-2772 -2172 +880 -1266 +-3287 -777 +-2139 3786 +765 1526 +1235 -3466 +4600 2478 +-214 -4333 +1024 1024 +5906 4379 +-321 -1646 +-1471 -1472 +-4262 -3418 +-6956 -1210 +1995 -3334 +3004 1866 +-1324 -2172 +274 -6217 +2815 -1204 +3102 -3391 +-3692 -816 +-5484 -1234 +3195 -531 +2933 -2898 +-2048 0 +2933 2898 +3195 531 +-5484 1234 +-3692 816 +3102 3391 +2815 1204 +274 6217 +-1324 2172 +3004 -1866 +1995 3334 +-6956 1210 +-4262 3418 +-1471 1472 +-321 1646 +5906 -4379 +1024 -1024 +-214 4333 +4600 -2478 +1235 3466 +765 -1526 +-2139 -3786 +-3287 777 +880 1266 +-2772 2172 +-2150 213 +-323 1543 +-1368 -2254 +3092 -32 +1495 2580 +-483 -697 +952 2475 +724 -1748 +1193 3454 +-3718 -2229 +487 -2132 +2634 2694 +-5256 1288 +-1117 -1848 +1685 1887 +-1024 0 +1685 -1887 +-1117 1848 +-5256 -1288 +2634 -2694 +487 2132 +-3718 2229 +1193 -3454 +724 1748 +4515 867 +1249 -1348 +-768 -350 +1860 692 +-1151 6611 +2499 -4104 +-2156 -5676 +-2048 -1024 +2851 1456 +58 3501 +957 -997 +-2708 92 +-890 -6892 +-21 -8083 +-3545 -3575 +-724 -300 +-834 -1553 +-406 -3306 +2059 3526 +2310 802 +-1231 1439 +1457 2461 +2084 -2396 +-3072 0 +2084 2396 +1457 -2461 +-1231 -1439 +2310 -802 +2059 -3526 +-406 3306 +-834 1553 +-724 300 +-3545 3575 +-21 8083 +-890 6892 +-2708 -92 +957 997 +58 -3501 +2851 -1456 +-2048 1024 +-2156 5676 +2499 4104 +-1151 -6611 +1860 -692 +-768 350 +1249 1348 +4515 -867 +724 -1748 +1193 3454 +-3718 -2229 +487 -2132 +2634 2694 +-5256 1288 +-1117 -1848 +1685 1887 +-3620 -124 +-402 451 +-756 -4568 +802 -2946 +1070 -1574 +2918 -2897 +3253 2330 +-404 -1374 +0 0 +-404 1374 +3253 -2330 +2918 2897 +1070 1574 +802 2946 +-756 4568 +-402 -451 +-3620 124 +1783 3541 +2598 -2828 +-4948 119 +-3828 2765 +-1471 -5409 +322 1105 +554 2340 +3072 5120 +1297 1809 +55 -3618 +1734 2426 +-4612 -1331 +-4025 608 +-3122 -1889 +-972 -319 +3620 4220 +271 802 +-417 1412 +-77 980 +-822 5670 +-726 2485 +6259 -2958 +3666 163 +-6144 0 +3666 -163 +6259 2958 +-726 -2485 +-822 -5670 +-77 -980 +-417 -1412 +271 -802 +3620 -4220 +-972 319 +-3122 1889 +-4025 -608 +-4612 1331 +1734 -2426 +55 3618 +1297 -1809 +3072 -5120 +554 -2340 +322 -1105 +-1471 5409 +-3828 -2765 +-4948 -119 +2598 2828 +1783 -3541 +-3620 -124 +-402 451 +-756 -4568 +802 -2946 +1070 -1574 +2918 -2897 +3253 2330 +-404 -1374 +0 5544 +1704 2309 +5382 -1808 +10 -3587 +640 195 +4307 657 +-245 3571 +-493 -4304 +1024 0 +-493 4304 +-245 -3571 +4307 -657 +640 -195 +10 3587 +5382 1808 +1704 -2309 +0 -5544 +-663 -4598 +516 610 +-529 -2973 +-2376 758 +-801 3248 +-1636 32 +1716 -1147 +-1024 0 +-457 -501 +971 -1468 +-1830 773 +2976 5702 +-2144 3718 +-960 -5930 +2865 -702 +0 2648 +2774 1219 +-2041 -138 +1334 -498 +2856 654 +-6140 76 +-1987 7715 +-1654 2182 +-7168 0 +-1654 -2182 +-1987 -7715 +-6140 -76 +2856 -654 +1334 498 +-2041 138 +2774 -1219 +0 -2648 +2865 702 +-960 5930 +-2144 -3718 +2976 -5702 +-1830 -773 +971 1468 +-457 501 +-1024 0 +1716 1147 +-1636 -32 +-801 -3248 +-2376 -758 +-529 2973 +516 -610 +-663 4598 +0 5544 +1704 2309 +5382 -1808 +10 -3587 +640 195 +4307 657 +-245 3571 +-493 -4304 +0 -424 +-2941 639 +1308 133 +3679 2529 +-5352 -1214 +-6499 753 +-1722 1969 +-187 2463 +2048 0 +-187 -2463 +-1722 -1969 +-6499 -753 +-5352 1214 +3679 -2529 +1308 -133 +-2941 -639 +0 424 +1635 1417 +-743 -99 +1921 -996 +2217 -3666 +-1218 2073 +113 -3685 +-1496 -7127 +-2048 0 +961 1363 +4130 1104 +-1029 4365 +-2217 4774 +2169 1396 +-4149 2014 +-56 -3621 +0 2472 +-1773 4212 +3584 -3813 +-200 1559 +5352 1462 +1177 -158 +-2521 -2974 +3857 -3861 +2048 0 +3857 3861 +-2521 2974 +1177 158 +5352 -1462 +-200 -1559 +3584 3813 +-1773 -4212 +0 -2472 +-56 3621 +-4149 -2014 +2169 -1396 +-2217 -4774 +-1029 -4365 +4130 -1104 +961 -1363 +-2048 0 +-1496 7127 +113 3685 +-1218 -2073 +2217 3666 +1921 996 +-743 99 +1635 -1417 +0 -424 +-2941 639 +1308 133 +3679 2529 +-5352 -1214 +-6499 753 +-1722 1969 +-187 2463 +-3021 300 +-5 -2780 +-2346 -764 +-1727 4382 +3622 -222 +2727 3355 +818 3301 +1589 -3819 +-1024 0 +1589 3819 +818 -3301 +2727 -3355 +3622 222 +-1727 -4382 +-2346 764 +-5 2780 +-3021 -300 +-406 1326 +5869 753 +-3257 634 +-717 -1116 +1376 2829 +1924 -288 +4543 -2851 +-2048 3072 +4710 -2268 +293 -184 +-3440 1417 +717 332 +-1083 1276 +-517 -5603 +1150 -2055 +7117 1748 +2837 1279 +-3005 201 +-5076 -2484 +-3622 1670 +-1104 4681 +-3034 2596 +-2833 -1911 +-3072 0 +-2833 1911 +-3034 -2596 +-1104 -4681 +-3622 -1670 +-5076 2484 +-3005 -201 +2837 -1279 +7117 -1748 +1150 2055 +-517 5603 +-1083 -1276 +717 -332 +-3440 -1417 +293 184 +4710 2268 +-2048 -3072 +4543 2851 +1924 288 +1376 -2829 +-717 1116 +-3257 -634 +5869 -753 +-406 -1326 +-3021 300 +-5 -2780 +-2346 -764 +-1727 4382 +3622 -222 +2727 3355 +818 3301 +1589 -3819 +2772 -3796 +699 205 +-2189 1090 +-5354 -3873 +-4118 1226 +1051 -1946 +-1559 -3524 +-1309 4109 +3072 0 +-1309 -4109 +-1559 3524 +1051 1946 +-4118 -1226 +-5354 3873 +-2189 -1090 +699 -205 +2772 3796 +655 3055 +769 946 +3150 -2569 +3576 -2564 +3253 -2712 +354 -305 +237 4485 +2048 7168 +868 -917 +754 -2197 +-3426 2679 +-4776 1780 +3402 335 +1907 1729 +-3135 4589 +1324 -2348 +1781 1667 +-486 -307 +-1198 170 +-2875 3118 +-878 -7462 +450 1631 +204 -982 +1024 0 +204 982 +450 -1631 +-878 7462 +-2875 -3118 +-1198 -170 +-486 307 +1781 -1667 +1324 2348 +-3135 -4589 +1907 -1729 +3402 -335 +-4776 -1780 +-3426 -2679 +754 2197 +868 917 +2048 -7168 +237 -4485 +354 305 +3253 2712 +3576 2564 +3150 2569 +769 -946 +655 -3055 +2772 -3796 +699 205 +-2189 1090 +-5354 -3873 +-4118 1226 +1051 -1946 +-1559 -3524 +-1309 4109 +-300 724 +-6482 18 +-5989 -5190 +1883 542 +1006 1306 +160 1121 +-787 -2043 +-1971 -809 +3072 0 +-1971 809 +-787 2043 +160 -1121 +1006 -1306 +1883 -542 +-5989 5190 +-6482 -18 +-300 -724 +2483 6844 +-442 -1912 +2027 -567 +-776 972 +-1022 -1710 +270 -121 +-2976 -51 +0 5120 +-3147 843 +-2502 -1348 +-883 -2103 +2224 -3972 +3731 961 +3782 -1573 +6182 -808 +-1748 -724 +-1974 211 +5545 2633 +5071 -4677 +-2454 -2154 +619 1014 +123 -2081 +-3699 1392 +1024 0 +-3699 -1392 +123 2081 +619 -1014 +-2454 2154 +5071 4677 +5545 -2633 +-1974 -211 +-1748 724 +6182 808 +3782 1573 +3731 -961 +2224 3972 +-883 2103 +-2502 1348 +-3147 -843 +0 -5120 +-2976 51 +270 121 +-1022 1710 +-776 -972 +2027 567 +-442 1912 +2483 -6844 +-300 724 +-6482 18 +-5989 -5190 +1883 542 +1006 1306 +160 1121 +-787 -2043 +-1971 -809 +-1024 -1024 +-682 -1148 +-3314 -1573 +-4663 -1212 +-1652 3446 +-2360 -4469 +167 -3771 +851 -419 +-1024 0 +851 419 +167 3771 +-2360 4469 +-1652 -3446 +-4663 1212 +-3314 1573 +-682 1148 +-1024 1024 +5176 -2677 +3808 2305 +2430 4150 +2132 326 +-96 -2707 +4211 -3327 +1396 -1337 +-1024 6144 +-1744 1028 +-427 2401 +2288 7076 +-84 -5218 +-426 -717 +-2240 5046 +955 2166 +-1024 -1024 +-2553 1656 +1747 2617 +-238 -4231 +3700 -798 +-2728 -309 +-3951 -390 +2394 849 +-1024 0 +2394 -849 +-3951 390 +-2728 309 +3700 798 +-238 4231 +1747 -2617 +-2553 -1656 +-1024 1024 +955 -2166 +-2240 -5046 +-426 717 +-84 5218 +2288 -7076 +-427 -2401 +-1744 -1028 +-1024 -6144 +1396 1337 +4211 3327 +-96 2707 +2132 -326 +2430 -4150 +3808 -2305 +5176 2677 +-1024 -1024 +-682 -1148 +-3314 -1573 +-4663 -1212 +-1652 3446 +-2360 -4469 +167 -3771 +851 -419 +-5668 1324 +52 4174 +3494 -444 +413 1316 +3902 -2654 +2106 1779 +973 -879 +2375 -4415 +0 0 +2375 4415 +973 879 +2106 -1779 +3902 2654 +413 -1316 +3494 444 +52 -4174 +-5668 -1324 +-1212 829 +2054 -1648 +-1004 3994 +-3672 -6564 +592 -2537 +2584 -597 +3810 -4601 +3072 3072 +-3410 2140 +-2021 2300 +-1729 1951 +-672 428 +2707 -307 +-2158 1248 +-797 568 +1572 2772 +1957 5454 +2403 -2452 +-2115 -3920 +442 1455 +-968 1012 +-7329 -2017 +-2774 1268 +2048 0 +-2774 -1268 +-7329 2017 +-968 -1012 +442 -1455 +-2115 3920 +2403 2452 +1957 -5454 +1572 -2772 +-797 -568 +-2158 -1248 +2707 307 +-672 -428 +-1729 -1951 +-2021 -2300 +-3410 -2140 +3072 -3072 +3810 4601 +2584 597 +592 2537 +-3672 6564 +-1004 -3994 +2054 1648 +-1212 -829 +-5668 1324 +52 4174 +3494 -444 +413 1316 +3902 -2654 +2106 1779 +973 -879 +2375 -4415 +-1872 -2472 +-886 -415 +2525 477 +-384 -8294 +-230 -2532 +-2448 -799 +-3053 2585 +-1775 -4204 +-3072 0 +-1775 4204 +-3053 -2585 +-2448 799 +-230 2532 +-384 8294 +2525 -477 +-886 415 +-1872 2472 +2812 -4400 +-2580 1661 +-1696 -1597 +3230 1592 +-1483 -185 +174 -4433 +3327 1120 +-1024 2048 +-1270 2776 +2842 1215 +967 1427 +-3230 2192 +-1805 -2935 +-2652 -7343 +-1463 -1357 +3920 424 +1105 635 +-189 1607 +101 -3141 +230 -964 +6749 505 +2934 -2232 +-1849 -5155 +1024 0 +-1849 5155 +2934 2232 +6749 -505 +230 964 +101 3141 +-189 -1607 +1105 -635 +3920 -424 +-1463 1357 +-2652 7343 +-1805 2935 +-3230 -2192 +967 -1427 +2842 -1215 +-1270 -2776 +-1024 -2048 +3327 -1120 +174 4433 +-1483 185 +3230 -1592 +-1696 1597 +-2580 -1661 +2812 4400 +-1872 -2472 +-886 -415 +2525 477 +-384 -8294 +-230 -2532 +-2448 -799 +-3053 2585 +-1775 -4204 +5544 2048 +50 -2409 +-1960 -2018 +3685 857 +-2930 -2472 +-4893 6700 +-355 5318 +-2656 3326 +-3072 0 +-2656 -3326 +-355 -5318 +-4893 -6700 +-2930 2472 +3685 -857 +-1960 2018 +50 2409 +5544 -2048 +3123 1732 +-1086 -1683 +1419 745 +1519 424 +13 -6127 +5126 -3715 +-90 1507 +-1024 0 +206 -1019 +-3834 -2578 +906 -597 +1978 -424 +502 -2623 +-1626 2294 +-832 -1658 +2648 2048 +1442 586 +-2320 -5093 +-4039 -442 +3530 -2472 +2406 2615 +-2137 1114 +-1245 -4283 +-3072 0 +-1245 4283 +-2137 -1114 +2406 -2615 +3530 2472 +-4039 442 +-2320 5093 +1442 -586 +2648 -2048 +-832 1658 +-1626 -2294 +502 2623 +1978 424 +906 597 +-3834 2578 +206 1019 +-1024 0 +-90 -1507 +5126 3715 +13 6127 +1519 -424 +1419 -745 +-1086 1683 +3123 -1732 +5544 2048 +50 -2409 +-1960 -2018 +3685 857 +-2930 -2472 +-4893 6700 +-355 5318 +-2656 3326 +-2348 -3620 +-1050 -824 +-2670 932 +5313 -1144 +6504 -307 +-3891 2367 +-4806 -2011 +-3340 -3966 +-3072 0 +-3340 3966 +-4806 2011 +-3891 -2367 +6504 307 +5313 1144 +-2670 -932 +-1050 824 +-2348 3620 +85 4289 +2732 -1359 +1413 -1313 +-287 2598 +1212 -1826 +3869 496 +3935 988 +0 -3072 +1154 3820 +1688 1945 +-4876 -1982 +38 4646 +276 2627 +1955 89 +-1637 3024 +-3796 3620 +3251 2088 +-4913 -2380 +2134 -2796 +1936 -1741 +-1581 -2211 +2146 563 +-2399 1134 +-1024 0 +-2399 -1134 +2146 -563 +-1581 2211 +1936 1741 +2134 2796 +-4913 2380 +3251 -2088 +-3796 -3620 +-1637 -3024 +1955 -89 +276 -2627 +38 -4646 +-4876 1982 +1688 -1945 +1154 -3820 +0 3072 +3935 -988 +3869 -496 +1212 1826 +-287 -2598 +1413 1313 +2732 1359 +85 -4289 +-2348 -3620 +-1050 -824 +-2670 932 +5313 -1144 +6504 -307 +-3891 2367 +-4806 -2011 +-3340 -3966 +-1872 424 +-3618 2220 +2499 8713 +2941 3592 +314 60 +-3964 2721 +-1295 1459 +1485 -4462 +-5120 0 +1485 4462 +-1295 -1459 +-3964 -2721 +314 -60 +2941 -3592 +2499 -8713 +-3618 -2220 +-1872 -424 +-986 703 +3021 5498 +-280 -1879 +-1578 -1168 +4510 1483 +-679 952 +1400 -287 +5120 -2048 +-1404 3485 +-2217 -2897 +1078 -5904 +-470 -2616 +3880 -1208 +-125 4013 +-1295 -1519 +3920 -2472 +-2350 334 +397 -309 +-1428 1230 +-2362 -1508 +-945 -3556 +-1601 -3611 +974 -975 +-1024 0 +974 975 +-1601 3611 +-945 3556 +-2362 1508 +-1428 -1230 +397 309 +-2350 -334 +3920 2472 +-1295 1519 +-125 -4013 +3880 1208 +-470 2616 +1078 5904 +-2217 2897 +-1404 -3485 +5120 2048 +1400 287 +-679 -952 +4510 -1483 +-1578 1168 +-280 1879 +3021 -5498 +-986 -703 +-1872 424 +-3618 2220 +2499 8713 +2941 3592 +314 60 +-3964 2721 +-1295 1459 +1485 -4462 +1748 -1324 +3493 190 +-3 866 +1467 -2439 +2722 -502 +219 -2527 +1299 -3948 +-242 2069 +1024 0 +-242 -2069 +1299 3948 +219 2527 +2722 502 +1467 2439 +-3 -866 +3493 -190 +1748 1324 +3480 -3216 +-240 -368 +1189 4149 +-5961 1840 +-4512 2869 +3257 3266 +-5709 -1074 +2048 -3072 +1759 -2684 +683 -519 +198 -14 +-4528 -1056 +4489 2743 +3072 1200 +1136 -6567 +300 -2772 +-541 4027 +1267 701 +-4011 -2102 +-4522 -2394 +960 -3491 +-1143 163 +-3377 3890 +-1024 0 +-3377 -3890 +-1143 -163 +960 3491 +-4522 2394 +-4011 2102 +1267 -701 +-541 -4027 +300 2772 +1136 6567 +3072 -1200 +4489 -2743 +-4528 1056 +198 14 +683 519 +1759 2684 +2048 3072 +-5709 1074 +3257 -3266 +-4512 -2869 +-5961 -1840 +1189 -4149 +-240 368 +3480 3216 +1748 -1324 +3493 190 +-3 866 +1467 -2439 +2722 -502 +219 -2527 +1299 -3948 +-242 2069 +-4520 -1872 +511 444 +-3734 -1861 +-5465 -3184 +3470 -2616 +1568 -2537 +1837 -2112 +331 7446 +-5120 0 +331 -7446 +1837 2112 +1568 2537 +3470 2616 +-5465 3184 +-3734 1861 +511 -444 +-4520 1872 +-1403 -249 +-948 -636 +3006 2877 +3146 -60 +1423 2296 +6165 -1922 +-2578 -1040 +-1024 4096 +3992 2569 +1456 -918 +3355 -3427 +-1098 -1508 +-2536 -372 +-1009 3044 +1832 255 +-1624 3920 +-3157 5411 +3994 -252 +-357 2151 +-1422 1168 +-995 -970 +432 -2677 +472 1515 +-5120 0 +472 -1515 +432 2677 +-995 970 +-1422 -1168 +-357 -2151 +3994 252 +-3157 -5411 +-1624 -3920 +1832 -255 +-1009 -3044 +-2536 372 +-1098 1508 +3355 3427 +1456 918 +3992 -2569 +-1024 -4096 +-2578 1040 +6165 1922 +1423 -2296 +3146 60 +3006 -2877 +-948 636 +-1403 249 +-4520 -1872 +511 444 +-3734 -1861 +-5465 -3184 +3470 -2616 +1568 -2537 +1837 -2112 +331 7446 +600 1024 +1187 -4919 +-5215 -2683 +707 3984 +554 -724 +1009 1143 +2009 1709 +2422 3239 +8192 0 +2422 -3239 +2009 -1709 +1009 -1143 +554 724 +707 -3984 +-5215 2683 +1187 4919 +600 -1024 +-2023 1327 +-1788 3553 +-2447 1570 +1338 724 +-3146 3334 +-3691 -2791 +-4194 -3980 +0 0 +8012 3731 +1864 3734 +-1513 709 +-1338 -724 +-1039 121 +-2048 6146 +-742 -1515 +3496 1024 +-877 2757 +-837 -4612 +2100 2815 +-554 -724 +-1463 -1313 +1515 3119 +2008 -640 +0 0 +2008 640 +1515 -3119 +-1463 1313 +-554 724 +2100 -2815 +-837 4612 +-877 -2757 +3496 -1024 +-742 1515 +-2048 -6146 +-1039 -121 +-1338 724 +-1513 -709 +1864 -3734 +8012 -3731 +0 0 +-4194 3980 +-3691 2791 +-3146 -3334 +1338 -724 +-2447 -1570 +-1788 -3553 +-2023 -1327 +600 1024 +1187 -4919 +-5215 -2683 +707 3984 +554 -724 +1009 1143 +2009 1709 +2422 3239 +-300 300 +7008 -1512 +3835 -1242 +-816 -1022 +1546 2030 +-985 -936 +-1790 1034 +-676 -3886 +-2048 0 +-676 3886 +-1790 -1034 +-985 936 +1546 -2030 +-816 1022 +3835 1242 +7008 1512 +-300 -300 +-2462 600 +-661 3322 +2334 -2378 +3888 248 +-1133 2675 +-556 4314 +-1531 -1820 +-7168 -1024 +-1706 2150 +-2000 974 +-7014 4528 +3104 -3248 +6511 1962 +-567 2657 +-492 -2367 +-1748 1748 +-3405 1074 +289 3474 +1107 -2518 +-346 -1430 +-4 3101 +1450 -1478 +3264 2192 +4096 0 +3264 -2192 +1450 1478 +-4 -3101 +-346 1430 +1107 2518 +289 -3474 +-3405 -1074 +-1748 -1748 +-492 2367 +-567 -2657 +6511 -1962 +3104 3248 +-7014 -4528 +-2000 -974 +-1706 -2150 +-7168 1024 +-1531 1820 +-556 -4314 +-1133 -2675 +3888 -248 +2334 2378 +-661 -3322 +-2462 -600 +-300 300 +7008 -1512 +3835 -1242 +-816 -1022 +1546 2030 +-985 -936 +-1790 1034 +-676 -3886 +-4344 -600 +-3380 -2880 +294 -2092 +-6478 -2151 +2726 3460 +1691 7088 +-2248 -3440 +2017 -3935 +-3072 0 +2017 3935 +-2248 3440 +1691 -7088 +2726 -3460 +-6478 2151 +294 2092 +-3380 2880 +-4344 600 +1077 -2454 +-511 248 +-1480 3332 +-834 -3000 +-1783 1671 +761 4484 +2774 -1526 +3072 2048 +5045 1592 +23 1809 +-1554 723 +-3510 -3000 +-2584 -2175 +-1381 -860 +-262 -790 +4344 -3496 +789 1072 +1598 983 +1614 -4317 +1618 -3460 +-1012 -805 +1464 764 +3525 -4611 +-3072 0 +3525 4611 +1464 -764 +-1012 805 +1618 3460 +1614 4317 +1598 -983 +789 -1072 +4344 3496 +-262 790 +-1381 860 +-2584 2175 +-3510 3000 +-1554 -723 +23 -1809 +5045 -1592 +3072 -2048 +2774 1526 +761 -4484 +-1783 -1671 +-834 3000 +-1480 -3332 +-511 -248 +1077 2454 +-4344 -600 +-3380 -2880 +294 -2092 +-6478 -2151 +2726 3460 +1691 7088 +-2248 -3440 +2017 -3935 +900 4220 +4702 1541 +3017 -306 +4794 104 +-4816 4332 +-1161 3144 +2135 1994 +-1754 -4098 +3072 0 +-1754 4098 +2135 -1994 +-1161 -3144 +-4816 -4332 +4794 -104 +3017 306 +4702 -1541 +900 -4220 +-1845 -2411 +-509 1687 +-3162 691 +1754 1886 +-2014 1369 +-3156 553 +-353 -5778 +-2048 -3072 +1351 700 +156 -387 +-3741 -225 +-2354 -2210 +538 5546 +-2950 1060 +-1985 -4768 +5244 -124 +4242 -2258 +443 -4417 +286 1334 +1320 -236 +-1332 -5755 +865 1162 +1435 -1768 +-3072 0 +1435 1768 +865 -1162 +-1332 5755 +1320 236 +286 -1334 +443 4417 +4242 2258 +5244 124 +-1985 4768 +-2950 -1060 +538 -5546 +-2354 2210 +-3741 225 +156 387 +1351 -700 +-2048 3072 +-353 5778 +-3156 -553 +-2014 -1369 +1754 -1886 +-3162 -691 +-509 -1687 +-1845 2411 +900 4220 +4702 1541 +3017 -306 +4794 104 +-4816 4332 +-1161 3144 +2135 1994 +-1754 -4098 +-724 724 +-3609 2353 +-758 -502 +2350 -3632 +1984 -816 +1883 50 +-2192 -201 +-2192 5615 +-2048 0 +-2192 -5615 +-2192 201 +1883 -50 +1984 816 +2350 3632 +-758 502 +-3609 -2353 +-724 -724 +-3112 -1416 +1424 -1934 +-1628 992 +-1910 3418 +2644 2198 +3397 -3016 +5663 6085 +-1024 7168 +650 -1850 +4667 4892 +3256 -220 +-1586 -1526 +-4405 3135 +-1149 -945 +1382 1691 +724 -724 +-662 -1255 +1683 -1406 +-3236 -1585 +-2584 -32 +-865 1757 +1120 5213 +1880 -3973 +-4096 0 +1880 3973 +1120 -5213 +-865 -1757 +-2584 32 +-3236 1585 +1683 1406 +-662 1255 +724 724 +1382 -1691 +-1149 945 +-4405 -3135 +-1586 1526 +3256 220 +4667 -4892 +650 1850 +-1024 -7168 +5663 -6085 +3397 3016 +2644 -2198 +-1910 -3418 +-1628 -992 +1424 1934 +-3112 1416 +-724 724 +-3609 2353 +-758 -502 +2350 -3632 +1984 -816 +1883 50 +-2192 -201 +-2192 5615 +424 -424 +-1912 5205 +2381 -1827 +-295 -4712 +-5194 3556 +1327 -446 +6358 -1507 +2862 -2047 +3072 0 +2862 2047 +6358 1507 +1327 446 +-5194 -3556 +-295 4712 +2381 1827 +-1912 -5205 +424 424 +3306 -2359 +-911 -199 +2789 3797 +-626 -568 +2790 -1996 +1610 2322 +-5976 1996 +3072 -2048 +727 552 +1117 651 +-947 -1902 +-5518 -3216 +-4021 251 +-5289 2373 +-2262 881 +-2472 2472 +868 -1965 +2122 -1394 +1526 1166 +-950 1988 +-3170 540 +803 -5957 +2387 603 +3072 0 +2387 -603 +803 5957 +-3170 -540 +-950 -1988 +1526 -1166 +2122 1394 +868 1965 +-2472 -2472 +-2262 -881 +-5289 -2373 +-4021 -251 +-5518 3216 +-947 1902 +1117 -651 +727 -552 +3072 2048 +-5976 -1996 +1610 -2322 +2790 1996 +-626 568 +2789 -3797 +-911 199 +3306 2359 +424 -424 +-1912 5205 +2381 -1827 +-295 -4712 +-5194 3556 +1327 -446 +6358 -1507 +2862 -2047 +-1324 2172 +-406 -52 +-3063 915 +-1301 1250 +2924 632 +4821 -1101 +4581 -4461 +3905 -1413 +4096 0 +3905 1413 +4581 4461 +4821 1101 +2924 -632 +-1301 -1250 +-3063 -915 +-406 52 +-1324 -2172 +-107 2322 +904 -2103 +-5667 -1636 +-2538 1970 +4746 289 +1528 5050 +-2201 -2799 +-1024 1024 +1965 2602 +-1972 -6015 +-3329 -4720 +3138 -78 +-1486 6028 +-3136 1632 +831 1707 +-2772 -2172 +1929 -931 +2398 1620 +-3577 -4363 +572 1416 +2 -701 +-1241 389 +-123 4047 +-2048 0 +-123 -4047 +-1241 -389 +2 701 +572 -1416 +-3577 4363 +2398 -1620 +1929 931 +-2772 2172 +831 -1707 +-3136 -1632 +-1486 -6028 +3138 78 +-3329 4720 +-1972 6015 +1965 -2602 +-1024 -1024 +-2201 2799 +1528 -5050 +4746 -289 +-2538 -1970 +-5667 1636 +904 2103 +-107 -2322 +-1324 2172 +-406 -52 +-3063 915 +-1301 1250 +2924 632 +4821 -1101 +4581 -4461 +3905 -1413 +1024 -2224 +307 -3358 +-1846 2705 +-1878 -721 +4834 4904 +330 6565 +-3494 -3654 +655 -1694 +1024 0 +655 1694 +-3494 3654 +330 -6565 +4834 -4904 +-1878 721 +-1846 -2705 +307 3358 +1024 2224 +942 2001 +-1867 4197 +-917 4011 +46 5024 +981 764 +1407 2255 +2045 803 +-1024 0 +-4812 -24 +669 963 +112 1105 +1154 328 +4563 2235 +-2738 1484 +-28 2029 +1024 -8016 +-5686 -3294 +-542 1575 +4913 -2385 +2158 2688 +-2311 -1759 +218 1162 +783 -20 +-3072 0 +783 20 +218 -1162 +-2311 1759 +2158 -2688 +4913 2385 +-542 -1575 +-5686 3294 +1024 8016 +-28 -2029 +-2738 -1484 +4563 -2235 +1154 -328 +112 -1105 +669 -963 +-4812 24 +-1024 0 +2045 -803 +1407 -2255 +981 -764 +46 -5024 +-917 -4011 +-1867 -4197 +942 -2001 +1024 -2224 +307 -3358 +-1846 2705 +-1878 -721 +4834 4904 +330 6565 +-3494 -3654 +655 -1694 +2896 -1624 +-4790 819 +-3342 1813 +-1201 -1021 +905 529 +3124 1077 +2988 5178 +1358 -4015 +-2048 0 +1358 4015 +2988 -5178 +3124 -1077 +905 -529 +-1201 1021 +-3342 -1813 +-4790 -819 +2896 1624 +-1262 -63 +-2540 1467 +6233 -4754 +3360 -1482 +-658 1821 +-1790 -4291 +-1068 -2234 +-2048 0 +641 -2553 +3459 3553 +-821 -183 +-4208 -4978 +-6307 2643 +-696 -596 +-775 -1017 +-2896 -4520 +146 -2141 +-2111 3116 +2603 -2358 +4040 70 +2819 128 +4032 1466 +-44 928 +-2048 0 +-44 -928 +4032 -1466 +2819 -128 +4040 -70 +2603 2358 +-2111 -3116 +146 2141 +-2896 4520 +-775 1017 +-696 596 +-6307 -2643 +-4208 4978 +-821 183 +3459 -3553 +641 2553 +-2048 0 +-1068 2234 +-1790 4291 +-658 -1821 +3360 1482 +6233 4754 +-2540 -1467 +-1262 63 +2896 -1624 +-4790 819 +-3342 1813 +-1201 -1021 +905 529 +3124 1077 +2988 5178 +1358 -4015 +4220 1572 +-5504 3347 +-1723 -442 +619 -353 +-3164 -1500 +1514 -346 +-600 -2817 +-3233 4334 +0 0 +-3233 -4334 +-600 2817 +1514 346 +-3164 1500 +619 353 +-1723 442 +-5504 -3347 +4220 -1572 +4081 215 +-1107 -3442 +2155 143 +-378 -1730 +-2806 -1818 +1205 -1848 +4391 2564 +5120 -1024 +2305 -1289 +2475 3724 +3906 -4589 +-2270 -1730 +-6605 -2276 +-3681 563 +-513 891 +-124 -5668 +-281 -1416 +718 -1346 +-1520 4248 +-2380 1500 +2738 -4305 +2712 2597 +-1246 1260 +-2048 0 +-1246 -1260 +2712 -2597 +2738 4305 +-2380 -1500 +-1520 -4248 +718 1346 +-281 1416 +-124 5668 +-513 -891 +-3681 -563 +-6605 2276 +-2270 1730 +3906 4589 +2475 -3724 +2305 1289 +5120 1024 +4391 -2564 +1205 1848 +-2806 1818 +-378 1730 +2155 -143 +-1107 3442 +4081 -215 +4220 1572 +-5504 3347 +-1723 -442 +619 -353 +-3164 -1500 +1514 -346 +-600 -2817 +-3233 4334 +-1624 600 +6091 -3007 +4478 1031 +-2853 5975 +2846 240 +903 96 +229 551 +-1412 -873 +-6144 0 +-1412 873 +229 -551 +903 -96 +2846 -240 +-2853 -5975 +4478 -1031 +6091 3007 +-1624 -600 +3752 1491 +4789 -3789 +2603 -3388 +-3170 2916 +-788 -1072 +1598 -822 +-3746 -880 +0 -2048 +2563 536 +-1782 1254 +-4620 1452 +1722 868 +-571 1787 +599 816 +-50 2297 +-4520 3496 +2240 -2869 +-2874 -3419 +-2725 -2129 +-1398 1808 +2258 6891 +1155 2725 +-3645 1401 +2048 0 +-3645 -1401 +1155 -2725 +2258 -6891 +-1398 -1808 +-2725 2129 +-2874 3419 +2240 2869 +-4520 -3496 +-50 -2297 +599 -816 +-571 -1787 +1722 -868 +-4620 -1452 +-1782 -1254 +2563 -536 +0 2048 +-3746 880 +1598 822 +-788 1072 +-3170 -2916 +2603 3388 +4789 3789 +3752 -1491 +-1624 600 +6091 -3007 +4478 1031 +-2853 5975 +2846 240 +903 96 +229 551 +-1412 -873 +-2348 724 +-793 -337 +-3897 -3124 +-215 -3463 +2114 -1970 +2080 -1276 +-417 281 +-465 1872 +3072 0 +-465 -1872 +-417 -281 +2080 1276 +2114 1970 +-215 3463 +-3897 3124 +-793 337 +-2348 -724 +-1451 4590 +6067 -1826 +4154 -4288 +1900 -1416 +2476 -3127 +3053 3239 +-204 -3092 +-4096 -3072 +-19 1437 +1656 -258 +2567 3689 +-452 632 +-3460 -5185 +3565 -1226 +1186 5092 +-3796 -724 +-271 4385 +1258 2524 +-1367 -3674 +-3562 -78 +-443 -3941 +-3092 3215 +-3775 410 +1024 0 +-3775 -410 +-3092 -3215 +-443 3941 +-3562 78 +-1367 3674 +1258 -2524 +-271 -4385 +-3796 724 +1186 -5092 +3565 1226 +-3460 5185 +-452 -632 +2567 -3689 +1656 258 +-19 -1437 +-4096 3072 +-204 3092 +3053 -3239 +2476 3127 +1900 1416 +4154 4288 +6067 1826 +-1451 -4590 +-2348 724 +-793 -337 +-3897 -3124 +-215 -3463 +2114 -1970 +2080 -1276 +-417 281 +-465 1872 +1024 -176 +2674 -1802 +-831 944 +-1481 -1579 +-987 -614 +-1591 3017 +834 -1915 +2103 -5045 +-1024 0 +2103 5045 +834 1915 +-1591 -3017 +-987 614 +-1481 1579 +-831 -944 +2674 1802 +1024 176 +-2411 -2234 +1539 -926 +-468 -2198 +2576 -170 +1126 -503 +-6423 -4062 +-441 784 +3072 2048 +-1291 -1522 +1479 2147 +3958 4482 +-3425 1278 +-598 -2660 +-690 -4017 +738 -3608 +1024 -5968 +-3218 -4924 +1679 -1637 +-2804 -1100 +5932 2062 +1859 -248 +-5779 -5861 +1846 -2613 +-1024 0 +1846 2613 +-5779 5861 +1859 248 +5932 -2062 +-2804 1100 +1679 1637 +-3218 4924 +1024 5968 +738 3608 +-690 4017 +-598 2660 +-3425 -1278 +3958 -4482 +1479 -2147 +-1291 1522 +3072 -2048 +-441 -784 +-6423 4062 +1126 503 +2576 170 +-468 2198 +1539 926 +-2411 2234 +1024 -176 +2674 -1802 +-831 944 +-1481 -1579 +-987 -614 +-1591 3017 +834 -1915 +2103 -5045 +2472 424 +1858 363 +4546 7616 +-2367 -1595 +-1349 -14 +4041 5727 +877 2200 +-527 3650 +-1024 0 +-527 -3650 +877 -2200 +4041 -5727 +-1349 14 +-2367 1595 +4546 -7616 +1858 -363 +2472 -424 +999 1146 +-1965 997 +-4323 -4642 +-5592 3326 +1358 1486 +1038 -1042 +328 -4273 +1024 0 +-2856 4017 +-4822 -1107 +413 1104 +3544 -2218 +-3750 -3226 +397 -2055 +3626 -983 +-424 -2472 +-452 -1293 +-2978 -779 +-24 -3982 +-699 2662 +-1140 882 +2907 -568 +2816 3440 +3072 0 +2816 -3440 +2907 568 +-1140 -882 +-699 -2662 +-24 3982 +-2978 779 +-452 1293 +-424 2472 +3626 983 +397 2055 +-3750 3226 +3544 2218 +413 -1104 +-4822 1107 +-2856 -4017 +1024 0 +328 4273 +1038 1042 +1358 -1486 +-5592 -3326 +-4323 4642 +-1965 -997 +999 -1146 +2472 424 +1858 363 +4546 7616 +-2367 -1595 +-1349 -14 +4041 5727 +877 2200 +-527 3650 +-1924 1572 +489 6156 +273 -446 +1261 760 +1476 3648 +4867 -147 +2804 -726 +-3152 -2815 +-2048 0 +-3152 2815 +2804 726 +4867 147 +1476 -3648 +1261 -760 +273 446 +489 -6156 +-1924 -1572 +-4639 -194 +-3324 -2368 +253 497 +-1090 -3262 +-305 -971 +-2211 992 +-2977 -4306 +3072 -1024 +4550 -527 +1767 -1824 +1709 487 +4586 -2414 +-3011 878 +1092 6479 +-309 610 +-6268 -5668 +3130 -2144 +-937 2301 +610 -12 +-876 1297 +410 4371 +536 -891 +-2885 -4183 +4096 0 +-2885 4183 +536 891 +410 -4371 +-876 -1297 +610 12 +-937 -2301 +3130 2144 +-6268 5668 +-309 -610 +1092 -6479 +-3011 -878 +4586 2414 +1709 -487 +1767 1824 +4550 527 +3072 1024 +-2977 4306 +-2211 -992 +-305 971 +-1090 3262 +253 -497 +-3324 2368 +-4639 194 +-1924 1572 +489 6156 +273 -446 +1261 760 +1476 3648 +4867 -147 +2804 -726 +-3152 -2815 +-1624 -3920 +-1210 1290 +2624 3003 +-495 -1358 +-3340 -3242 +-154 1487 +5414 1017 +4020 -1180 +-1024 0 +4020 1180 +5414 -1017 +-154 -1487 +-3340 3242 +-495 1358 +2624 -3003 +-1210 -1290 +-1624 3920 +-4597 -28 +-3766 -3081 +4465 -1460 +664 -1010 +1598 1418 +5505 3095 +1387 1995 +1024 -2048 +-1376 1216 +-441 -3401 +3607 1068 +2232 3686 +649 -8346 +582 979 +-918 980 +-4520 1872 +44 4183 +1760 -143 +-3291 1152 +444 -4350 +-587 -949 +-3485 -521 +-3143 -928 +-5120 0 +-3143 928 +-3485 521 +-587 949 +444 4350 +-3291 -1152 +1760 143 +44 -4183 +-4520 -1872 +-918 -980 +582 -979 +649 8346 +2232 -3686 +3607 -1068 +-441 3401 +-1376 -1216 +1024 2048 +1387 -1995 +5505 -3095 +1598 -1418 +664 1010 +4465 1460 +-3766 3081 +-4597 28 +-1624 -3920 +-1210 1290 +2624 3003 +-495 -1358 +-3340 -3242 +-154 1487 +5414 1017 +4020 -1180 +2472 3920 +696 4472 +-6052 6213 +-1636 1965 +2002 -808 +61 -2533 +-3143 818 +-1717 -1224 +3072 0 +-1717 1224 +-3143 -818 +61 2533 +2002 808 +-1636 -1965 +-6052 -6213 +696 -4472 +2472 -3920 +1677 -416 +2654 3326 +-2182 -1360 +-110 -928 +4622 -1245 +-3755 1173 +-3014 2304 +7168 4096 +288 723 +1367 -3016 +3096 -1021 +-2786 -4424 +1479 -2424 +-578 -2282 +3214 -2054 +-424 -1872 +-6915 571 +2776 964 +1451 -1700 +894 1408 +-1099 -1707 +-1462 -414 +-21 530 +-5120 0 +-21 -530 +-1462 414 +-1099 1707 +894 -1408 +1451 1700 +2776 -964 +-6915 -571 +-424 1872 +3214 2054 +-578 2282 +1479 2424 +-2786 4424 +3096 1021 +1367 3016 +288 -723 +7168 -4096 +-3014 -2304 +-3755 -1173 +4622 1245 +-110 928 +-2182 1360 +2654 -3326 +1677 416 +2472 3920 +696 4472 +-6052 6213 +-1636 1965 +2002 -808 +61 -2533 +-3143 818 +-1717 -1224 +-2772 -2172 +-1622 2086 +-2298 2172 +-3800 -5141 +646 2754 +315 2975 +5242 -1924 +2360 -510 +-4096 0 +2360 510 +5242 1924 +315 -2975 +646 -2754 +-3800 5141 +-2298 -2172 +-1622 -2086 +-2772 2172 +5309 -3714 +3125 -1912 +2786 -2370 +-1356 -476 +1185 1404 +2754 3773 +-4100 6439 +3072 -3072 +1919 -2367 +3963 5221 +3209 2697 +-2140 -2524 +477 -966 +-853 -464 +-1850 -1910 +-1324 2172 +-269 -2291 +-4566 -3620 +-3247 -815 +-1246 -706 +-925 2543 +825 476 +-1746 1281 +-2048 0 +-1746 -1281 +825 -476 +-925 -2543 +-1246 706 +-3247 815 +-4566 3620 +-269 2291 +-1324 -2172 +-1850 1910 +-853 464 +477 966 +-2140 2524 +3209 -2697 +3963 -5221 +1919 2367 +3072 3072 +-4100 -6439 +2754 -3773 +1185 -1404 +-1356 476 +2786 2370 +3125 1912 +5309 3714 +-2772 -2172 +-1622 2086 +-2298 2172 +-3800 -5141 +646 2754 +315 2975 +5242 -1924 +2360 -510 +-1448 5368 +1681 871 +3983 145 +-4396 -643 +-2676 -1278 +-3076 3151 +-1671 -1076 +4121 74 +0 0 +4121 -74 +-1671 1076 +-3076 -3151 +-2676 1278 +-4396 643 +3983 -145 +1681 -871 +-1448 -5368 +-3360 -3475 +-1236 -3169 +2859 645 +1108 -614 +-3140 -2450 +839 3135 +6487 731 +2048 0 +2866 5046 +1837 1243 +-2146 -5708 +-1108 -2062 +3013 -1723 +128 -2385 +-1576 -1545 +1448 -3320 +-1656 5155 +-2875 639 +-2836 -5822 +2676 -170 +-1864 -2312 +-1005 -816 +3022 145 +-4096 0 +3022 -145 +-1005 816 +-1864 2312 +2676 170 +-2836 5822 +-2875 -639 +-1656 -5155 +1448 3320 +-1576 1545 +128 2385 +3013 1723 +-1108 2062 +-2146 5708 +1837 -1243 +2866 -5046 +2048 0 +6487 -731 +839 -3135 +-3140 2450 +1108 614 +2859 -645 +-1236 3169 +-3360 3475 +-1448 5368 +1681 871 +3983 145 +-4396 -643 +-2676 -1278 +-3076 3151 +-1671 -1076 +4121 74 +300 -3196 +2244 -4479 +-541 4146 +1034 -2194 +-32 -3948 +-4374 1791 +-3224 1851 +-2412 3789 +0 0 +-2412 -3789 +-3224 -1851 +-4374 -1791 +-32 3948 +1034 2194 +-541 -4146 +2244 4479 +300 3196 +2804 482 +496 876 +197 -4420 +1526 1514 +2309 591 +3169 806 +1793 4714 +3072 3072 +-1127 3262 +-3650 -5091 +-3444 -2399 +3418 4162 +-804 2022 +-6329 1439 +3954 138 +1748 1148 +-1433 -1658 +2277 2209 +2708 2843 +-816 -1596 +2375 1012 +-391 -1955 +-5822 551 +-2048 0 +-5822 -551 +-391 1955 +2375 -1012 +-816 1596 +2708 -2843 +2277 -2209 +-1433 1658 +1748 -1148 +3954 -138 +-6329 -1439 +-804 -2022 +3418 -4162 +-3444 2399 +-3650 5091 +-1127 -3262 +3072 -3072 +1793 -4714 +3169 -806 +2309 -591 +1526 -1514 +197 4420 +496 -876 +2804 -482 +300 -3196 +2244 -4479 +-541 4146 +1034 -2194 +-32 -3948 +-4374 1791 +-3224 1851 +-2412 3789 +-1748 -4220 +1720 5061 +-1318 -579 +-1077 -3686 +886 522 +4422 4670 +3670 568 +-251 2944 +1024 0 +-251 -2944 +3670 -568 +4422 -4670 +886 -522 +-1077 3686 +-1318 579 +1720 -5061 +-1748 4220 +585 1845 +4114 -7873 +2697 3022 +1560 2864 +-391 2887 +2073 2197 +115 -2423 +0 1024 +-1905 -994 +-6981 -2668 +-4813 247 +-3008 -2080 +466 461 +334 3023 +1072 -4228 +-300 124 +-4945 -119 +-235 386 +1699 3029 +562 -1370 +-3003 2786 +-1658 -137 +3609 1309 +3072 0 +3609 -1309 +-1658 137 +-3003 -2786 +562 1370 +1699 -3029 +-235 -386 +-4945 119 +-300 -124 +1072 4228 +334 -3023 +466 -461 +-3008 2080 +-4813 -247 +-6981 2668 +-1905 994 +0 -1024 +115 2423 +2073 -2197 +-391 -2887 +1560 -2864 +2697 -3022 +4114 7873 +585 -1845 +-1748 -4220 +1720 5061 +-1318 -579 +-1077 -3686 +886 522 +4422 4670 +3670 568 +-251 2944 +-4820 724 +-1552 2164 +1568 1621 +5629 -1040 +4476 1756 +832 -486 +1286 -608 +-3194 -673 +-4096 0 +-3194 673 +1286 608 +832 486 +4476 -1756 +5629 1040 +1568 -1621 +-1552 -2164 +-4820 -724 +1854 -2065 +-4275 -128 +-2805 -5701 +2369 -4046 +-4581 -203 +955 -2673 +1354 -903 +-3072 -1024 +499 -1423 +3325 563 +3265 2428 +1127 -3198 +-3435 -97 +2983 6892 +3483 -411 +-3372 -724 +-1 -2077 +924 -3289 +2178 2859 +-3876 3189 +-1084 -668 +1426 -4845 +-2442 -1414 +2048 0 +-2442 1414 +1426 4845 +-1084 668 +-3876 -3189 +2178 -2859 +924 3289 +-1 2077 +-3372 724 +3483 411 +2983 -6892 +-3435 97 +1127 3198 +3265 -2428 +3325 -563 +499 1423 +-3072 1024 +1354 903 +955 2673 +-4581 203 +2369 4046 +-2805 5701 +-4275 128 +1854 2065 +-4820 724 +-1552 2164 +1568 1621 +5629 -1040 +4476 1756 +832 -486 +1286 -608 +-3194 -673 +-900 -2348 +2120 -2443 +-1052 -515 +515 303 +-660 657 +-1494 -1175 +-315 -4709 +41 -2004 +0 0 +41 2004 +-315 4709 +-1494 1175 +-660 -657 +515 -303 +-1052 515 +2120 2443 +-900 2348 +-1166 2372 +2234 -73 +-2256 1409 +4682 4790 +1750 456 +-1200 1802 +-887 3198 +-1024 -1024 +4671 -1219 +-5311 -2766 +-182 2724 +4358 6238 +688 -2569 +2399 -398 +-2618 1162 +-5244 -3796 +-5904 1233 +-1884 190 +-2082 -4281 +3908 791 +3062 3443 +-3062 141 +3743 -2412 +6144 0 +3743 2412 +-3062 -141 +3062 -3443 +3908 -791 +-2082 4281 +-1884 -190 +-5904 -1233 +-5244 3796 +-2618 -1162 +2399 398 +688 2569 +4358 -6238 +-182 -2724 +-5311 2766 +4671 1219 +-1024 1024 +-887 -3198 +-1200 -1802 +1750 -456 +4682 -4790 +-2256 -1409 +2234 73 +-1166 -2372 +-900 -2348 +2120 -2443 +-1052 -515 +515 303 +-660 657 +-1494 -1175 +-315 -4709 +41 -2004 +2472 -4944 +2398 -5612 +-1744 -153 +2918 1584 +2772 2362 +136 -244 +3542 4970 +2223 5213 +0 0 +2223 -5213 +3542 -4970 +136 244 +2772 -2362 +2918 -1584 +-1744 153 +2398 5612 +2472 4944 +-1814 1240 +-1658 225 +1493 -1858 +1324 470 +871 -629 +-902 1207 +-6256 -1277 +0 -2048 +2101 -1470 +-2698 -2510 +-360 -987 +1324 -1578 +-2680 1912 +-5298 -963 +1820 -1307 +-424 848 +-1075 3953 +1708 3558 +-843 -2278 +2772 -314 +-7329 1214 +-1142 4099 +6396 1273 +-4096 0 +6396 -1273 +-1142 -4099 +-7329 -1214 +2772 314 +-843 2278 +1708 -3558 +-1075 -3953 +-424 -848 +1820 1307 +-5298 963 +-2680 -1912 +1324 1578 +-360 987 +-2698 2510 +2101 1470 +0 2048 +-6256 1277 +-902 -1207 +871 629 +1324 -470 +1493 1858 +-1658 -225 +-1814 -1240 +2472 -4944 +2398 -5612 +-1744 -153 +2918 1584 +2772 2362 +136 -244 +3542 4970 +2223 5213 +424 1624 +800 6084 +1321 1130 +-910 2322 +2546 5892 +4426 -540 +1561 1996 +-305 3314 +-3072 0 +-305 -3314 +1561 -1996 +4426 540 +2546 -5892 +-910 -2322 +1321 -1130 +800 -6084 +424 -1624 +-2695 3504 +2786 -2330 +4633 -430 +-4918 2447 +-2111 -1451 +3018 4996 +4762 1813 +1024 2048 +-3963 -1572 +-2537 -4996 +-165 1254 +-26 -3097 +-1227 2840 +3046 2330 +-1322 1728 +-2472 4520 +3218 -291 +-3057 1130 +-2496 -2187 +-1698 -3244 +-2150 110 +2054 1996 +-494 870 +-3072 0 +-494 -870 +2054 -1996 +-2150 -110 +-1698 3244 +-2496 2187 +-3057 -1130 +3218 291 +-2472 -4520 +-1322 -1728 +3046 -2330 +-1227 -2840 +-26 3097 +-165 -1254 +-2537 4996 +-3963 1572 +1024 -2048 +4762 -1813 +3018 -4996 +-2111 1451 +-4918 -2447 +4633 430 +2786 2330 +-2695 -3504 +424 1624 +800 6084 +1321 1130 +-910 2322 +2546 5892 +4426 -540 +1561 1996 +-305 3314 +1024 3496 +6527 514 +953 -1457 +1545 -3508 +300 -3894 +724 -846 +-1326 -415 +-3918 -709 +4096 0 +-3918 709 +-1326 415 +724 846 +300 3894 +1545 3508 +953 1457 +6527 -514 +1024 -3496 +2606 1242 +-492 2544 +-512 -4559 +1748 -674 +1051 3794 +7180 2639 +-2591 5987 +-2048 0 +-229 -5942 +-7335 1072 +-2147 622 +1748 -3570 +1374 -1327 +-772 -1240 +-3671 -690 +1024 600 +2106 -670 +-3785 -2328 +728 -2187 +300 998 +-2763 331 +-2615 -1153 +-831 2141 +4096 0 +-831 -2141 +-2615 1153 +-2763 -331 +300 -998 +728 2187 +-3785 2328 +2106 670 +1024 -600 +-3671 690 +-772 1240 +1374 1327 +1748 3570 +-2147 -622 +-7335 -1072 +-229 5942 +-2048 0 +-2591 -5987 +7180 -2639 +1051 -3794 +1748 674 +-512 4559 +-492 -2544 +2606 -1242 +1024 3496 +6527 514 +953 -1457 +1545 -3508 +300 -3894 +724 -846 +-1326 -415 +-3918 -709 +-1148 -5244 +-4031 -205 +334 2659 +-156 4298 +-4802 -2595 +-378 858 +2033 1934 +1013 -1077 +2048 0 +1013 1077 +2033 -1934 +-378 -858 +-4802 2595 +-156 -4298 +334 -2659 +-4031 205 +-1148 5244 +-41 482 +-3401 -4263 +-4546 -2541 +-1572 -7732 +1559 1960 +-568 -1078 +-902 652 +1024 5120 +2010 -1246 +5513 5057 +1117 -377 +-4572 -2188 +1870 503 +2553 -154 +-1067 1324 +3196 -900 +2922 -1170 +-1182 1450 +-2520 4098 +-1342 -53 +3054 2157 +2911 4202 +95 -3037 +0 0 +95 3037 +2911 -4202 +3054 -2157 +-1342 53 +-2520 -4098 +-1182 -1450 +2922 1170 +3196 900 +-1067 -1324 +2553 154 +1870 -503 +-4572 2188 +1117 377 +5513 -5057 +2010 1246 +1024 -5120 +-902 -652 +-568 1078 +1559 -1960 +-1572 7732 +-4546 2541 +-3401 4263 +-41 -482 +-1148 -5244 +-4031 -205 +334 2659 +-156 4298 +-4802 -2595 +-378 858 +2033 1934 +1013 -1077 +-1624 4944 +2645 -425 +3343 -1726 +-2040 -1193 +-484 -5278 +5018 1649 +553 -3252 +-533 -1975 +4096 0 +-533 1975 +553 3252 +5018 -1649 +-484 5278 +-2040 1193 +3343 1726 +2645 425 +-1624 -4944 +578 -2003 +1829 -443 +2805 595 +3640 -2278 +-520 1393 +568 -33 +-1296 512 +-4096 -2048 +-2899 -2599 +-4248 6020 +1136 -799 +-144 1818 +-1192 4962 +2959 -2271 +-6137 -3341 +-4520 -848 +18 286 +-2339 -1798 +3322 -1433 +1084 1182 +-2738 3150 +-2665 -584 +1831 -2337 +8192 0 +1831 2337 +-2665 584 +-2738 -3150 +1084 -1182 +3322 1433 +-2339 1798 +18 -286 +-4520 848 +-6137 3341 +2959 2271 +-1192 -4962 +-144 -1818 +1136 799 +-4248 -6020 +-2899 2599 +-4096 2048 +-1296 -512 +568 33 +-520 -1393 +3640 2278 +2805 -595 +1829 443 +578 2003 +-1624 4944 +2645 -425 +3343 -1726 +-2040 -1193 +-484 -5278 +5018 1649 +553 -3252 +-533 -1975 +-548 1748 +-3929 -2677 +1238 -1910 +-1790 -1723 +-717 -1356 +-1622 -436 +-2045 4452 +876 2083 +-4096 0 +876 -2083 +-2045 -4452 +-1622 436 +-717 1356 +-1790 1723 +1238 1910 +-3929 2677 +-548 -1748 +-1709 -3235 +-634 3513 +2989 -1597 +-3622 -1246 +-4804 1487 +-1733 -1917 +1901 -15 +7168 -1024 +3257 -2383 +-2871 -625 +2946 1531 +3622 -646 +2997 3752 +-2642 6225 +-1097 -2141 +6692 300 +-3081 -693 +838 -2370 +4701 391 +717 -2140 +375 -407 +-342 -1960 +-2011 -3121 +-6144 0 +-2011 3121 +-342 1960 +375 407 +717 2140 +4701 -391 +838 2370 +-3081 693 +6692 -300 +-1097 2141 +-2642 -6225 +2997 -3752 +3622 646 +2946 -1531 +-2871 625 +3257 2383 +7168 1024 +1901 15 +-1733 1917 +-4804 -1487 +-3622 1246 +2989 1597 +-634 -3513 +-1709 3235 +-548 1748 +-3929 -2677 +1238 -1910 +-1790 -1723 +-717 -1356 +-1622 -436 +-2045 4452 +876 2083 +3920 -424 +4903 818 +211 1381 +3660 672 +-600 -2577 +-541 1104 +2402 3059 +-310 1637 +1024 0 +-310 -1637 +2402 -3059 +-541 -1104 +-600 2577 +3660 -672 +211 -1381 +4903 -818 +3920 424 +1325 -4310 +1442 -2612 +-4272 2076 +-3496 -566 +-2036 817 +-2847 -1199 +-1462 1031 +1024 -4096 +784 -236 +3446 3968 +3689 -2995 +-3496 7026 +-2206 927 +2054 -5783 +3192 1204 +-1872 2472 +-2740 3367 +3285 2440 +1490 -933 +-600 -2118 +-5576 1765 +-1802 910 +100 1559 +-7168 0 +100 -1559 +-1802 -910 +-5576 -1765 +-600 2118 +1490 933 +3285 -2440 +-2740 -3367 +-1872 -2472 +3192 -1204 +2054 5783 +-2206 -927 +-3496 -7026 +3689 2995 +3446 -3968 +784 236 +1024 4096 +-1462 -1031 +-2847 1199 +-2036 -817 +-3496 566 +-4272 -2076 +1442 2612 +1325 4310 +3920 -424 +4903 818 +211 1381 +3660 672 +-600 -2577 +-541 1104 +2402 3059 +-310 1637 +1148 -2172 +1324 3499 +-834 1849 +-1689 1619 +-776 32 +-1825 3572 +-823 -5878 +1095 -3562 +1024 0 +1095 3562 +-823 5878 +-1825 -3572 +-776 -32 +-1689 -1619 +-834 -1849 +1324 -3499 +1148 2172 +600 4291 +1728 -4285 +2208 2350 +-2454 -1526 +-2446 288 +3997 2660 +682 -315 +-2048 3072 +2404 -1297 +451 -784 +733 1062 +1006 3418 +-3880 6176 +284 1406 +1542 -2019 +-3196 2172 +-1457 3423 +-4074 946 +-4000 -1240 +2224 816 +-687 1947 +-729 -463 +5396 7619 +7168 0 +5396 -7619 +-729 463 +-687 -1947 +2224 -816 +-4000 1240 +-4074 -946 +-1457 -3423 +-3196 -2172 +1542 2019 +284 -1406 +-3880 -6176 +1006 -3418 +733 -1062 +451 784 +2404 1297 +-2048 -3072 +682 315 +3997 -2660 +-2446 -288 +-2454 1526 +2208 -2350 +1728 4285 +600 -4291 +1148 -2172 +1324 3499 +-834 1849 +-1689 1619 +-776 32 +-1825 3572 +-823 -5878 +1095 -3562 +1024 1024 +528 2998 +-2329 2501 +2619 -3727 +-1494 1084 +2867 3203 +3167 -864 +-721 403 +3072 0 +-721 -403 +3167 864 +2867 -3203 +-1494 -1084 +2619 3727 +-2329 -2501 +528 -2998 +1024 -1024 +-4432 1277 +4109 3492 +3023 1950 +-710 -144 +2839 3137 +1345 4298 +-1430 -2176 +-3072 4096 +1300 1024 +3260 -1458 +-1036 3157 +-3386 -3640 +-3773 -7539 +-834 -5901 +621 4091 +1024 1024 +1954 -1072 +253 891 +-2757 -1299 +-2602 -484 +2011 -4511 +-779 -299 +-3614 -3883 +-1024 0 +-3614 3883 +-779 299 +2011 4511 +-2602 484 +-2757 1299 +253 -891 +1954 1072 +1024 -1024 +621 -4091 +-834 5901 +-3773 7539 +-3386 3640 +-1036 -3157 +3260 1458 +1300 -1024 +-3072 -4096 +-1430 2176 +1345 -4298 +2839 -3137 +-710 144 +3023 -1950 +4109 -3492 +-4432 -1277 +1024 1024 +528 2998 +-2329 2501 +2619 -3727 +-1494 1084 +2867 3203 +3167 -864 +-721 403 +1624 -1024 +1228 1565 +-101 -2720 +-1123 2253 +6146 2616 +5403 -539 +-1710 -6124 +-1053 -692 +1024 0 +-1053 692 +-1710 6124 +5403 539 +6146 -2616 +-1123 -2253 +-101 2720 +1228 -1565 +1624 1024 +1284 5037 +-3434 374 +-60 1035 +2037 60 +-539 3376 +749 576 +-2898 1266 +-3072 -4096 +960 -3780 +-121 4108 +776 -4990 +11 1508 +-2352 571 +278 -3826 +5104 2220 +4520 -1024 +-2263 970 +-839 919 +1318 -500 +-4098 -1168 +-3423 -5610 +-3014 -4328 +-2362 998 +1024 0 +-2362 -998 +-3014 4328 +-3423 5610 +-4098 1168 +1318 500 +-839 -919 +-2263 -970 +4520 1024 +5104 -2220 +278 3826 +-2352 -571 +11 -1508 +776 4990 +-121 -4108 +960 3780 +-3072 4096 +-2898 -1266 +749 -576 +-539 -3376 +2037 -60 +-60 -1035 +-3434 -374 +1284 -5037 +1624 -1024 +1228 1565 +-101 -2720 +-1123 2253 +6146 2616 +5403 -539 +-1710 -6124 +-1053 -692 +-1024 1448 +-2850 -2463 +-2265 2266 +-204 3001 +1858 -1604 +3049 3679 +4617 -2876 +3176 -4760 +2048 0 +3176 4760 +4617 2876 +3049 -3679 +1858 1604 +-204 -3001 +-2265 -2266 +-2850 2463 +-1024 -1448 +4273 -2414 +1687 -1323 +-598 492 +-594 184 +530 -2438 +1303 1001 +-4559 7518 +-2048 -2048 +-457 1418 +810 5725 +1820 -3211 +-1702 1384 +947 -1913 +-2691 -2262 +-1242 1452 +-1024 -1448 +-5294 -2880 +-2524 891 +-2599 1145 +4534 -5388 +2850 -300 +-937 3503 +1160 3436 +-2048 0 +1160 -3436 +-937 -3503 +2850 300 +4534 5388 +-2599 -1145 +-2524 -891 +-5294 2880 +-1024 1448 +-1242 -1452 +-2691 2262 +947 1913 +-1702 -1384 +1820 3211 +810 -5725 +-457 -1418 +-2048 2048 +-4559 -7518 +1303 -1001 +530 2438 +-594 -184 +-598 -492 +1687 1323 +4273 2414 +-1024 1448 +-2850 -2463 +-2265 2266 +-204 3001 +1858 -1604 +3049 3679 +4617 -2876 +3176 -4760 +3196 724 +362 1293 +2210 416 +74 -2675 +-6974 2210 +-4033 -2907 +2951 -1373 +3163 -3030 +1024 0 +3163 3030 +2951 1373 +-4033 2907 +-6974 -2210 +74 2675 +2210 -416 +362 -1293 +3196 -724 +431 -3458 +65 -83 +1265 698 +600 4332 +6077 -3446 +3597 -2056 +641 3975 +0 1024 +-929 -1151 +4807 -2056 +-899 2635 +-2400 236 +1215 1099 +-3914 -83 +1136 -3768 +-1148 -724 +-4146 614 +-58 -416 +-7905 -2276 +-3514 1886 +4207 3636 +-1466 1373 +-657 121 +3072 0 +-657 -121 +-1466 -1373 +4207 -3636 +-3514 -1886 +-7905 2276 +-58 416 +-4146 -614 +-1148 724 +1136 3768 +-3914 83 +1215 -1099 +-2400 -236 +-899 -2635 +4807 2056 +-929 1151 +0 -1024 +641 -3975 +3597 2056 +6077 3446 +600 -4332 +1265 -698 +65 83 +431 3458 +3196 724 +362 1293 +2210 416 +74 -2675 +-6974 2210 +-4033 -2907 +2951 -1373 +3163 -3030 +-1024 -1448 +-3052 1417 +-1528 676 +-928 1280 +1867 2186 +-129 286 +1430 1237 +1821 -266 +-2048 0 +1821 266 +1430 -1237 +-129 -286 +1867 -2186 +-928 -1280 +-1528 -676 +-3052 -1417 +-1024 1448 +3877 -3728 +-1135 2459 +-3442 2955 +-2036 -5498 +1757 -1786 +5351 -1060 +-2401 4094 +0 4096 +4558 6399 +-4347 4772 +609 2674 +5532 4390 +-2384 -6669 +-2545 -1156 +-1528 2664 +-1024 1448 +1384 1559 +-585 -195 +-1493 1440 +-1268 -490 +219 2533 +3359 499 +1135 1555 +-2048 0 +1135 -1555 +3359 -499 +219 -2533 +-1268 490 +-1493 -1440 +-585 195 +1384 -1559 +-1024 -1448 +-1528 -2664 +-2545 1156 +-2384 6669 +5532 -4390 +609 -2674 +-4347 -4772 +4558 -6399 +0 -4096 +-2401 -4094 +5351 1060 +1757 1786 +-2036 5498 +-3442 -2955 +-1135 -2459 +3877 3728 +-1024 -1448 +-3052 1417 +-1528 676 +-928 1280 +1867 2186 +-129 286 +1430 1237 +1821 -266 +-4769 600 +-1815 990 +171 7348 +1066 -1292 +3400 -534 +-1242 4205 +-1392 -1886 +286 1657 +-2048 0 +286 -1657 +-1392 1886 +-1242 -4205 +3400 534 +1066 1292 +171 -7348 +-1815 -990 +-4769 -600 +-247 -894 +1528 744 +-1579 3051 +-1832 3366 +3177 -1229 +3146 -961 +-3167 -4709 +0 0 +2855 -1075 +1303 -2318 +3565 -1959 +384 -4474 +-1278 1169 +484 -5021 +1736 488 +6817 3496 +1893 704 +-5078 3769 +-1994 -3119 +-1952 -3210 +-1715 729 +-160 1026 +-1541 -5902 +-2048 0 +-1541 5902 +-160 -1026 +-1715 -729 +-1952 3210 +-1994 3119 +-5078 -3769 +1893 -704 +6817 -3496 +1736 -488 +484 5021 +-1278 -1169 +384 4474 +3565 1959 +1303 2318 +2855 1075 +0 0 +-3167 4709 +3146 961 +3177 1229 +-1832 -3366 +-1579 -3051 +1528 -744 +-247 894 +-4769 600 +-1815 990 +171 7348 +1066 -1292 +3400 -534 +-1242 4205 +-1392 -1886 +286 1657 +300 1148 +-444 -3956 +2242 1256 +-806 1065 +-273 -1356 +2312 307 +-4419 -658 +-1730 -350 +6144 0 +-1730 350 +-4419 658 +2312 -307 +-273 1356 +-806 -1065 +2242 -1256 +-444 3956 +300 -1148 +1915 -1844 +-194 -1283 +-433 -1291 +-1390 -1246 +-1181 -1100 +547 2800 +-575 229 +1024 1024 +674 -6525 +-4043 -1169 +16 5897 +4286 -646 +-499 3155 +-406 -5104 +3158 -4771 +1748 -3196 +-165 -1218 +-2842 1915 +-4943 -8651 +-2624 -2140 +-259 450 +923 -4510 +2959 -2859 +4096 0 +2959 2859 +923 4510 +-259 -450 +-2624 2140 +-4943 8651 +-2842 -1915 +-165 1218 +1748 3196 +3158 4771 +-406 5104 +-499 -3155 +4286 646 +16 -5897 +-4043 1169 +674 6525 +1024 -1024 +-575 -229 +547 -2800 +-1181 1100 +-1390 1246 +-433 1291 +-194 1283 +1915 1844 +300 1148 +-444 -3956 +2242 1256 +-806 1065 +-273 -1356 +2312 307 +-4419 -658 +-1730 -350 +2772 1748 +567 -2063 +4128 -4856 +1606 4180 +-2838 562 +-525 -2145 +-3644 1286 +-1511 124 +3072 0 +-1511 -124 +-3644 -1286 +-525 2145 +-2838 -562 +1606 -4180 +4128 4856 +567 2063 +2772 -1748 +-1987 -4113 +-738 -7429 +123 -5638 +-1176 -3008 +2995 727 +-606 -1768 +4682 1176 +2048 -3072 +-5565 1304 +125 6545 +62 -5397 +1176 -1560 +-1083 1398 +-5094 736 +-2302 -891 +1324 300 +825 2389 +-2392 -2660 +2251 -40 +2838 886 +364 -1083 +29 -463 +-502 2900 +1024 0 +-502 -2900 +29 463 +364 1083 +2838 -886 +2251 40 +-2392 2660 +825 -2389 +1324 -300 +-2302 891 +-5094 -736 +-1083 -1398 +1176 1560 +62 5397 +125 -6545 +-5565 -1304 +2048 3072 +4682 -1176 +-606 1768 +2995 -727 +-1176 3008 +123 5638 +-738 7429 +-1987 4113 +2772 1748 +567 -2063 +4128 -4856 +1606 4180 +-2838 562 +-525 -2145 +-3644 1286 +-1511 124 +-2172 -3196 +-417 -862 +427 931 +-3117 459 +742 -2658 +-946 -49 +-3066 5482 +2541 889 +3072 0 +2541 -889 +-3066 -5482 +-946 49 +742 2658 +-3117 -459 +427 -931 +-417 862 +-2172 3196 +492 -2258 +-399 -1724 +-1800 6335 +1076 2909 +-1196 426 +414 799 +-1286 -3587 +-6144 -3072 +-1926 -2380 +814 -4590 +1637 -2034 +-1924 2309 +2048 267 +739 -340 +-763 5376 +2172 1148 +-200 -4497 +2130 -747 +-1595 1592 +4202 6154 +-823 3309 +-1058 -3878 +7351 1310 +1024 0 +7351 -1310 +-1058 3878 +-823 -3309 +4202 -6154 +-1595 -1592 +2130 747 +-200 4497 +2172 -1148 +-763 -5376 +739 340 +2048 -267 +-1924 -2309 +1637 2034 +814 4590 +-1926 2380 +-6144 3072 +-1286 3587 +414 -799 +-1196 -426 +1076 -2909 +-1800 -6335 +-399 1724 +492 2258 +-2172 -3196 +-417 -862 +427 931 +-3117 459 +742 -2658 +-946 -49 +-3066 5482 +2541 889 +-1448 2048 +-802 2169 +-452 1518 +4823 -7642 +2772 1338 +-902 -1481 +1723 -3998 +1874 -150 +1024 0 +1874 150 +1723 3998 +-902 1481 +2772 -1338 +4823 7642 +-452 -1518 +-802 -2169 +-1448 -2048 +-1184 -6728 +-3409 -164 +-5735 -1758 +1324 -554 +2172 -2373 +1645 503 +-2150 -1940 +-7168 -2048 +1445 5952 +468 -2173 +-3137 -3549 +1324 -554 +886 795 +2405 -1272 +1655 -3630 +1448 2048 +330 1891 +-4337 -2626 +27 -1470 +2772 -1338 +1867 -3168 +1957 1322 +-1169 811 +-3072 0 +-1169 -811 +1957 -1322 +1867 3168 +2772 1338 +27 1470 +-4337 2626 +330 -1891 +1448 -2048 +1655 3630 +2405 1272 +886 -795 +1324 554 +-3137 3549 +468 2173 +1445 -5952 +-7168 2048 +-2150 1940 +1645 -503 +2172 2373 +1324 554 +-5735 1758 +-3409 164 +-1184 6728 +-1448 2048 +-802 2169 +-452 1518 +4823 -7642 +2772 1338 +-902 -1481 +1723 -3998 +1874 -150 +-1148 -2172 +-2028 640 +2669 2667 +-1569 1837 +-3913 836 +-1137 -354 +3210 1730 +3928 -2882 +-1024 0 +3928 2882 +3210 -1730 +-1137 354 +-3913 -836 +-1569 -1837 +2669 -2667 +-2028 -640 +-1148 2172 +4931 239 +1416 1955 +-6609 2560 +-2474 1286 +-2520 -1686 +2667 2064 +2741 1275 +-6144 -5120 +2168 846 +165 -3508 +4176 -7508 +4770 -1610 +-4377 -2218 +-1260 -2049 +-264 1042 +3196 2172 +937 -2055 +1272 -879 +1411 -1264 +-2480 -3732 +-960 -116 +-1945 -1510 +-827 237 +1024 0 +-827 -237 +-1945 1510 +-960 116 +-2480 3732 +1411 1264 +1272 879 +937 2055 +3196 -2172 +-264 -1042 +-1260 2049 +-4377 2218 +4770 1610 +4176 7508 +165 3508 +2168 -846 +-6144 5120 +2741 -1275 +2667 -2064 +-2520 1686 +-2474 -1286 +-6609 -2560 +1416 -1955 +4931 -239 +-1148 -2172 +-2028 640 +2669 2667 +-1569 1837 +-3913 836 +-1137 -354 +3210 1730 +3928 -2882 +2048 1448 +-5161 3618 +1632 3901 +5023 2941 +-6667 -784 +-6502 -5298 +-1693 1922 +3178 -1999 +5120 0 +3178 1999 +-1693 -1922 +-6502 5298 +-6667 784 +5023 -2941 +1632 -3901 +-5161 -3618 +2048 -1448 +-3335 -5958 +-1849 -2292 +-1626 222 +-1997 1892 +2060 906 +207 919 +952 3899 +1024 -2048 +4000 1984 +-531 2487 +-3139 -1629 +2246 1892 +2139 216 +-2719 1493 +-8 1104 +2048 -1448 +-2199 -651 +2936 -117 +-1104 1148 +-1774 784 +3147 -1332 +2018 -354 +2573 4180 +1024 0 +2573 -4180 +2018 354 +3147 1332 +-1774 -784 +-1104 -1148 +2936 117 +-2199 651 +2048 1448 +-8 -1104 +-2719 -1493 +2139 -216 +2246 -1892 +-3139 1629 +-531 -2487 +4000 -1984 +1024 2048 +952 -3899 +207 -919 +2060 -906 +-1997 -1892 +-1626 -222 +-1849 2292 +-3335 5958 +2048 1448 +-5161 3618 +1632 3901 +5023 2941 +-6667 -784 +-6502 -5298 +-1693 1922 +3178 -1999 +-1748 724 +161 -1993 +2784 395 +910 -2405 +1116 715 +-145 -2496 +1059 1392 +-248 -1749 +-5120 0 +-248 1749 +1059 -1392 +-145 2496 +1116 -715 +910 2405 +2784 -395 +161 1993 +-1748 -724 +-1770 -5387 +-980 3383 +409 -3309 +-1670 -3966 +3089 -277 +2155 -1188 +-4102 254 +0 3072 +1470 3875 +1017 3196 +1389 -1565 +222 -7711 +-2556 575 +-2988 -1680 +3115 -2887 +-300 -724 +-2834 -5322 +2384 1533 +349 -2609 +332 -8555 +2348 -1897 +2762 1792 +-1584 1347 +-7168 0 +-1584 -1347 +2762 -1792 +2348 1897 +332 8555 +349 2609 +2384 -1533 +-2834 5322 +-300 724 +3115 2887 +-2988 1680 +-2556 -575 +222 7711 +1389 1565 +1017 -3196 +1470 -3875 +0 -3072 +-4102 -254 +2155 1188 +3089 277 +-1670 3966 +409 3309 +-980 -3383 +-1770 5387 +-1748 724 +161 -1993 +2784 395 +910 -2405 +1116 715 +-145 -2496 +1059 1392 +-248 -1749 +3920 5793 +2878 -3996 +-1521 1551 +-5023 100 +-1638 1788 +-2732 3721 +487 -2200 +3424 -107 +0 0 +3424 107 +487 2200 +-2732 -3721 +-1638 -1788 +-5023 -100 +-1521 -1551 +2878 3996 +3920 -5793 +2067 -5899 +-1897 3088 +-1741 -1246 +-1194 -5572 +1353 -4714 +3025 946 +3171 -619 +0 0 +-3056 -207 +3331 98 +1145 2243 +-2302 220 +929 -1781 +-4000 -1856 +-4301 2116 +-1872 -5793 +36 -332 +1625 3394 +-2413 -1653 +1038 4005 +2690 -180 +-1050 3049 +1573 2159 +4096 0 +1573 -2159 +-1050 -3049 +2690 180 +1038 -4005 +-2413 1653 +1625 -3394 +36 332 +-1872 5793 +-4301 -2116 +-4000 1856 +929 1781 +-2302 -220 +1145 -2243 +3331 -98 +-3056 207 +0 0 +3171 619 +3025 -946 +1353 4714 +-1194 5572 +-1741 1246 +-1897 -3088 +2067 5899 +3920 5793 +2878 -3996 +-1521 1551 +-5023 100 +-1638 1788 +-2732 3721 +487 -2200 +3424 -107 +0 600 +-840 859 +203 -3421 +-348 -2120 +-2172 4834 +4135 -1784 +3738 -3920 +-445 4746 +1024 0 +-445 -4746 +3738 3920 +4135 1784 +-2172 -4834 +-348 2120 +203 3421 +-840 -859 +0 -600 +-356 1897 +2638 -2406 +6240 -2400 +2172 46 +-2575 -550 +-1330 -157 +-3011 -7995 +-1024 -4096 +515 1434 +-2129 2739 +4334 1421 +2172 -1154 +-1641 2255 +362 491 +-4549 5301 +0 3496 +-48 3506 +-3203 525 +1542 -4888 +-2172 2158 +-101 281 +-279 1023 +-2851 -2548 +1024 0 +-2851 2548 +-279 -1023 +-101 -281 +-2172 -2158 +1542 4888 +-3203 -525 +-48 -3506 +0 -3496 +-4549 -5301 +362 -491 +-1641 -2255 +2172 1154 +4334 -1421 +-2129 -2739 +515 -1434 +-1024 4096 +-3011 7995 +-1330 157 +-2575 550 +2172 -46 +6240 2400 +2638 2406 +-356 -1897 +0 600 +-840 859 +203 -3421 +-348 -2120 +-2172 4834 +4135 -1784 +3738 -3920 +-445 4746 +-1748 -724 +5646 -528 +-2066 2220 +219 -1004 +1586 346 +1318 386 +2508 -3711 +526 1406 +5120 0 +526 -1406 +2508 3711 +1318 -386 +1586 -346 +219 1004 +-2066 -2220 +5646 528 +-1748 724 +-4787 2 +-3161 5670 +473 4215 +-1984 -3104 +-5881 700 +-855 691 +-1455 -3910 +4096 3072 +983 2077 +-2864 -785 +1032 3414 +2584 3888 +492 4143 +-1458 -2430 +4082 -2665 +-300 724 +-4940 -2139 +-3203 3664 +-1183 933 +1910 -1546 +3531 2328 +2908 -165 +-55 4582 +-1024 0 +-55 -4582 +2908 165 +3531 -2328 +1910 1546 +-1183 -933 +-3203 -3664 +-4940 2139 +-300 -724 +4082 2665 +-1458 2430 +492 -4143 +2584 -3888 +1032 -3414 +-2864 785 +983 -2077 +4096 -3072 +-1455 3910 +-855 -691 +-5881 -700 +-1984 3104 +473 -4215 +-3161 -5670 +-4787 -2 +-1748 -724 +5646 -528 +-2066 2220 +219 -1004 +1586 346 +1318 386 +2508 -3711 +526 1406 +3072 3072 +1337 926 +1374 4098 +-5172 4824 +4449 1038 +873 -4968 +-806 -5330 +3353 1280 +-7168 0 +3353 -1280 +-806 5330 +873 4968 +4449 -1038 +-5172 -4824 +1374 -4098 +1337 -926 +3072 -3072 +1856 -1762 +2083 3365 +3025 -73 +2011 -2302 +3570 172 +-2345 796 +-831 811 +1024 -2048 +311 -1859 +-1779 1552 +-6201 430 +-4908 1194 +2579 1104 +474 -1395 +-3510 1706 +3072 3072 +-1250 2230 +-1034 2230 +3353 -1119 +-1552 -1638 +-2027 -437 +2034 790 +-1266 3930 +-7168 0 +-1266 -3930 +2034 -790 +-2027 437 +-1552 1638 +3353 1119 +-1034 -2230 +-1250 -2230 +3072 -3072 +-3510 -1706 +474 1395 +2579 -1104 +-4908 -1194 +-6201 -430 +-1779 -1552 +311 1859 +1024 2048 +-831 -811 +-2345 -796 +3570 -172 +2011 2302 +3025 73 +2083 -3365 +1856 1762 +3072 3072 +1337 926 +1374 4098 +-5172 4824 +4449 1038 +873 -4968 +-806 -5330 +3353 1280 +3372 -3372 +-104 -1220 +-181 1523 +-2561 1847 +-2549 1840 +482 3575 +1949 3241 +765 -3335 +-6144 0 +765 3335 +1949 -3241 +482 -3575 +-2549 -1840 +-2561 -1847 +-181 -1523 +-104 1220 +3372 3372 +-1876 1874 +4791 603 +60 -4263 +-5574 -2394 +1414 -47 +-1432 -3480 +1724 1174 +-1024 -1024 +-453 -3386 +648 -804 +1160 -3688 +7022 502 +2382 1552 +-2899 1711 +-1436 1984 +4820 -4820 +3416 -366 +-1711 -414 +120 -5920 +1101 1056 +-3056 2673 +-1165 -565 +-2035 472 +-8192 0 +-2035 -472 +-1165 565 +-3056 -2673 +1101 -1056 +120 5920 +-1711 414 +3416 366 +4820 4820 +-1436 -1984 +-2899 -1711 +2382 -1552 +7022 -502 +1160 3688 +648 804 +-453 3386 +-1024 1024 +1724 -1174 +-1432 3480 +1414 47 +-5574 2394 +60 4263 +4791 -603 +-1876 -1874 +3372 -3372 +-104 -1220 +-181 1523 +-2561 1847 +-2549 1840 +482 3575 +1949 3241 +765 -3335 +2772 -1324 +-381 -2895 +-1662 1931 +2229 -734 +-92 -4637 +-1509 -3321 +-2730 441 +-3295 -3467 +-4096 0 +-3295 3467 +-2730 -441 +-1509 3321 +-92 4637 +2229 734 +-1662 -1931 +-381 2895 +2772 1324 +-971 -2089 +729 3023 +-2472 -2633 +2694 -1750 +-1107 3605 +-4195 784 +4447 144 +-1024 -1024 +2044 -231 +-84 1123 +-1405 -15 +802 -5494 +-3217 -394 +563 7147 +838 -103 +1324 -2772 +2523 -4254 +-830 -703 +-3900 2324 +692 -3204 +-204 -949 +17 -2998 +6380 -6044 +6144 0 +6380 6044 +17 2998 +-204 949 +692 3204 +-3900 -2324 +-830 703 +2523 4254 +1324 2772 +838 103 +563 -7147 +-3217 394 +802 5494 +-1405 15 +-84 -1123 +2044 231 +-1024 1024 +4447 -144 +-4195 -784 +-1107 -3605 +2694 1750 +-2472 2633 +729 -3023 +-971 2089 +2772 -1324 +-381 -2895 +-1662 1931 +2229 -734 +-92 -4637 +-1509 -3321 +-2730 441 +-3295 -3467 +300 -2596 +-205 -5514 +1062 -2577 +-2002 1468 +-2708 -148 +-3559 -3320 +-545 1664 +4215 4382 +0 0 +4215 -4382 +-545 -1664 +-3559 3320 +-2708 148 +-2002 -1468 +1062 2577 +-205 5514 +300 2596 +-1582 -3681 +936 -3 +-1595 244 +2634 -5610 +688 1230 +-4171 2781 +3301 -1168 +-5120 1024 +-5738 -2655 +-4077 -2947 +-960 127 +2310 -66 +-87 782 +4177 -2744 +-2784 -585 +1748 4644 +7467 1619 +-382 1534 +788 270 +1860 -2500 +934 1017 +3001 2496 +1118 1123 +-2048 0 +1118 -1123 +3001 -2496 +934 -1017 +1860 2500 +788 -270 +-382 -1534 +7467 -1619 +1748 -4644 +-2784 585 +4177 2744 +-87 -782 +2310 66 +-960 -127 +-4077 2947 +-5738 2655 +-5120 -1024 +3301 1168 +-4171 -2781 +688 -1230 +2634 5610 +-1595 -244 +936 3 +-1582 3681 +300 -2596 +-205 -5514 +1062 -2577 +-2002 1468 +-2708 -148 +-3559 -3320 +-545 1664 +4215 4382 +-2348 -3196 +3919 753 +8591 2740 +1328 -992 +1950 802 +2786 -463 +-1505 -1015 +795 -2925 +2048 0 +795 2925 +-1505 1015 +2786 463 +1950 -802 +1328 992 +8591 -2740 +3919 -753 +-2348 3196 +-3092 542 +681 -110 +302 2590 +-3288 -92 +-174 180 +-364 3979 +-534 -5552 +-3072 1024 +-436 -1074 +3640 -8090 +-2372 2853 +-2504 -692 +-108 758 +1707 -55 +-677 -717 +-3796 1148 +-4614 -2900 +-3987 1908 +5158 3623 +3842 2694 +-1128 1807 +-571 1732 +-1153 723 +0 0 +-1153 -723 +-571 -1732 +-1128 -1807 +3842 -2694 +5158 -3623 +-3987 -1908 +-4614 2900 +-3796 -1148 +-677 717 +1707 55 +-108 -758 +-2504 692 +-2372 -2853 +3640 8090 +-436 1074 +-3072 -1024 +-534 5552 +-364 -3979 +-174 -180 +-3288 92 +302 -2590 +681 110 +-3092 -542 +-2348 -3196 +3919 753 +8591 2740 +1328 -992 +1950 802 +2786 -463 +-1505 -1015 +795 -2925 +1448 -2048 +1139 -761 +5974 4606 +1053 -1258 +-1722 784 +-3914 -1965 +-3558 1792 +-2371 999 +-5120 0 +-2371 -999 +-3558 -1792 +-3914 1965 +-1722 -784 +1053 1258 +5974 -4606 +1139 761 +1448 2048 +3577 -4412 +684 1836 +1496 -2042 +-2846 -1892 +884 -4 +3592 -340 +-1557 -2004 +1024 0 +-1977 -1682 +-353 -4344 +4186 5255 +1398 -1892 +3111 -6128 +-1897 1616 +-4659 1849 +-1448 -2048 +-3632 -2425 +1031 966 +5484 -949 +3170 -784 +-715 911 +-5473 -4 +-2105 -1933 +3072 0 +-2105 1933 +-5473 4 +-715 -911 +3170 784 +5484 949 +1031 -966 +-3632 2425 +-1448 2048 +-4659 -1849 +-1897 -1616 +3111 6128 +1398 1892 +4186 -5255 +-353 4344 +-1977 1682 +1024 0 +-1557 2004 +3592 340 +884 4 +-2846 1892 +1496 2042 +684 -1836 +3577 4412 +1448 -2048 +1139 -761 +5974 4606 +1053 -1258 +-1722 784 +-3914 -1965 +-3558 1792 +-2371 999 +4520 -2472 +463 4374 +1075 -3830 +-2229 883 +-6412 4398 +1118 -1347 +2748 1908 +-3372 -592 +-5120 0 +-3372 592 +2748 -1908 +1118 1347 +-6412 -4398 +-2229 -883 +1075 3830 +463 -4374 +4520 2472 +1869 1771 +-2174 717 +-429 4764 +-2408 1737 +-4560 -557 +-1006 -3318 +3254 3523 +1024 0 +-3089 1012 +837 2579 +3260 -4412 +-840 289 +-2288 -455 +-1130 154 +1371 -73 +1624 424 +1648 -866 +6324 -2526 +2729 -3886 +-2628 -5846 +2399 -292 +1517 -1804 +-2145 3433 +-1024 0 +-2145 -3433 +1517 1804 +2399 292 +-2628 5846 +2729 3886 +6324 2526 +1648 866 +1624 -424 +1371 73 +-1130 -154 +-2288 455 +-840 -289 +3260 4412 +837 -2579 +-3089 -1012 +1024 0 +3254 -3523 +-1006 3318 +-4560 557 +-2408 -1737 +-429 -4764 +-2174 -717 +1869 -1771 +4520 -2472 +463 4374 +1075 -3830 +-2229 883 +-6412 4398 +1118 -1347 +2748 1908 +-3372 -592 +-1748 -1148 +-4140 1958 +-2372 1880 +171 886 +-3732 -756 +991 875 +3024 1122 +-292 -5879 +4096 0 +-292 5879 +3024 -1122 +991 -875 +-3732 756 +171 -886 +-2372 -1880 +-4140 -1958 +-1748 1148 +1175 2076 +-3177 -4843 +-1375 -4340 +1610 2250 +-21 167 +1603 1874 +4864 -3781 +3072 -5120 +-196 5064 +2741 322 +-3047 -1471 +1286 -4142 +3501 -4834 +-1168 65 +3855 -1376 +-300 3196 +-2458 695 +-1972 131 +1487 1884 +836 -1540 +-1706 -2642 +1321 -5571 +-2809 1052 +-6144 0 +-2809 -1052 +1321 5571 +-1706 2642 +836 1540 +1487 -1884 +-1972 -131 +-2458 -695 +-300 -3196 +3855 1376 +-1168 -65 +3501 4834 +1286 4142 +-3047 1471 +2741 -322 +-196 -5064 +3072 5120 +4864 3781 +1603 -1874 +-21 -167 +1610 -2250 +-1375 4340 +-3177 4843 +1175 -2076 +-1748 -1148 +-4140 1958 +-2372 1880 +171 886 +-3732 -756 +991 875 +3024 1122 +-292 -5879 +2048 2896 +1410 2352 +2234 -1145 +2695 560 +-144 2022 +3925 -6869 +35 2165 +-2086 -1244 +3072 0 +-2086 1244 +35 -2165 +3925 6869 +-144 -2022 +2695 -560 +2234 1145 +1410 -2352 +2048 -2896 +-3318 -2980 +-3234 -503 +-1970 -2449 +-484 4594 +-70 -2352 +-4669 -4644 +-300 3437 +1024 -2048 +-4394 -2710 +-1828 368 +-1058 802 +1084 -350 +4206 -527 +-826 -2410 +-1232 -3878 +2048 -2896 +1132 2751 +625 2134 +2428 -5557 +3640 -2870 +1428 3103 +-530 5744 +-2796 1098 +-5120 0 +-2796 -1098 +-530 -5744 +1428 -3103 +3640 2870 +2428 5557 +625 -2134 +1132 -2751 +2048 2896 +-1232 3878 +-826 2410 +4206 527 +1084 350 +-1058 -802 +-1828 -368 +-4394 2710 +1024 2048 +-300 -3437 +-4669 4644 +-70 2352 +-484 -4594 +-1970 2449 +-3234 503 +-3318 2980 +2048 2896 +1410 2352 +2234 -1145 +2695 560 +-144 2022 +3925 -6869 +35 2165 +-2086 -1244 +-3496 600 +-748 -3442 +239 -3523 +-2780 -1620 +164 554 +-560 2285 +876 -533 +959 -351 +-3072 0 +959 351 +876 533 +-560 -2285 +164 -554 +-2780 1620 +239 3523 +-748 3442 +-3496 -600 +-1541 3978 +-2791 303 +4138 813 +5188 1338 +-2259 -2826 +3669 6305 +2642 -918 +-3072 -4096 +2187 -328 +2059 -1315 +667 -1293 +2053 1338 +372 6475 +50 -1653 +-158 2215 +-600 3496 +-9 1000 +804 3263 +-2409 -967 +-7405 -554 +-2961 -3207 +3284 2801 +2459 6054 +1024 0 +2459 -6054 +3284 -2801 +-2961 3207 +-7405 554 +-2409 967 +804 -3263 +-9 -1000 +-600 -3496 +-158 -2215 +50 1653 +372 -6475 +2053 -1338 +667 1293 +2059 1315 +2187 328 +-3072 4096 +2642 918 +3669 -6305 +-2259 2826 +5188 -1338 +4138 -813 +-2791 -303 +-1541 -3978 +-3496 600 +-748 -3442 +239 -3523 +-2780 -1620 +164 554 +-560 2285 +876 -533 +959 -351 +0 1624 +-2930 379 +-1021 -108 +659 3239 +2702 -1423 +4166 -1110 +187 1756 +-2615 4801 +-2048 0 +-2615 -4801 +187 -1756 +4166 1110 +2702 1423 +659 -3239 +-1021 108 +-2930 -379 +0 -1624 +1599 2263 +-2487 -1057 +-4113 810 +-3654 4268 +-3411 -538 +-1270 131 +-2318 -55 +-4096 -2048 +519 4520 +4285 -4149 +3778 -905 +2806 4868 +-781 -7725 +-2746 -2349 +-89 2223 +0 4520 +91 -2268 +3357 -2384 +3346 4354 +2243 -2073 +2148 2887 +-306 957 +-49 324 +2048 0 +-49 -324 +-306 -957 +2148 -2887 +2243 2073 +3346 -4354 +3357 2384 +91 2268 +0 -4520 +-89 -2223 +-2746 2349 +-781 7725 +2806 -4868 +3778 905 +4285 4149 +519 -4520 +-4096 2048 +-2318 55 +-1270 -131 +-3411 538 +-3654 -4268 +-4113 -810 +-2487 1057 +1599 -2263 +0 1624 +-2930 379 +-1021 -108 +659 3239 +2702 -1423 +4166 -1110 +187 1756 +-2615 4801 +-2596 -1324 +4048 -3366 +3526 -541 +2586 1572 +-3054 366 +-1656 3854 +1125 5522 +759 801 +7168 0 +759 -801 +1125 -5522 +-1656 -3854 +-3054 -366 +2586 -1572 +3526 541 +4048 3366 +-2596 1324 +-1499 -630 +-492 -1821 +-77 -1065 +-1272 1600 +-2782 -1799 +-4116 -5833 +-2245 -1520 +2048 1024 +-5605 -2241 +2343 -1929 +28 1074 +-4272 751 +4008 -1489 +-2628 -1052 +3535 469 +4644 -2772 +-83 -3415 +2490 4206 +402 3668 +406 -5310 +-2509 -3510 +-2248 1278 +1090 -1210 +1024 0 +1090 1210 +-2248 -1278 +-2509 3510 +406 5310 +402 -3668 +2490 -4206 +-83 3415 +4644 2772 +3535 -469 +-2628 1052 +4008 1489 +-4272 -751 +28 -1074 +2343 1929 +-5605 2241 +2048 -1024 +-2245 1520 +-4116 5833 +-2782 1799 +-1272 -1600 +-77 1065 +-492 1821 +-1499 630 +-2596 -1324 +4048 -3366 +3526 -541 +2586 1572 +-3054 366 +-1656 3854 +1125 5522 +759 801 +0 -3920 +4139 -768 +133 854 +2217 -3162 +-7620 -770 +475 -871 +4527 -5594 +-2386 -3378 +6144 0 +-2386 3378 +4527 5594 +475 871 +-7620 770 +2217 3162 +133 -854 +4139 768 +0 3920 +-2441 1365 +-1238 2203 +-514 459 +1957 -1434 +-3909 4469 +-4701 1699 +386 -4373 +2048 2048 +-2232 3662 +2025 -1760 +2104 1089 +-260 4110 +4494 1042 +2347 -798 +-162 722 +0 1872 +681 -1130 +-1242 -3855 +-845 -2568 +-2268 -1878 +-4021 -631 +-1852 2135 +2016 1966 +6144 0 +2016 -1966 +-1852 -2135 +-4021 631 +-2268 1878 +-845 2568 +-1242 3855 +681 1130 +0 -1872 +-162 -722 +2347 798 +4494 -1042 +-260 -4110 +2104 -1089 +2025 1760 +-2232 -3662 +2048 -2048 +386 4373 +-4701 -1699 +-3909 -4469 +1957 1434 +-514 -459 +-1238 -2203 +-2441 -1365 +0 -3920 +4139 -768 +133 854 +2217 -3162 +-7620 -770 +475 -871 +4527 -5594 +-2386 -3378 +900 724 +7263 2820 +3267 -209 +-347 524 +-3054 1970 +-36 -2373 +1545 1298 +-3973 477 +-5120 0 +-3973 -477 +1545 -1298 +-36 2373 +-3054 -1970 +-347 -524 +3267 209 +7263 -2820 +900 -724 +-1539 -2062 +290 -8547 +-813 -1552 +-1272 1416 +1095 -2989 +6302 4158 +-1235 -4648 +-4096 -3072 +1756 2833 +654 -1555 +-2855 2195 +-4272 -632 +-556 123 +-2691 -2595 +30 -1682 +5244 -724 +926 -1112 +333 4961 +388 263 +406 78 +-2667 876 +-1508 -19 +2565 2540 +1024 0 +2565 -2540 +-1508 19 +-2667 -876 +406 -78 +388 -263 +333 -4961 +926 1112 +5244 724 +30 1682 +-2691 2595 +-556 -123 +-4272 632 +-2855 -2195 +654 1555 +1756 -2833 +-4096 3072 +-1235 4648 +6302 -4158 +1095 2989 +-1272 -1416 +-813 1552 +290 8547 +-1539 2062 +900 724 +7263 2820 +3267 -209 +-347 524 +-3054 1970 +-36 -2373 +1545 1298 +-3973 477 +1024 -4944 +1711 -2165 +-1027 456 +-3237 -1624 +1638 6681 +235 -1054 +2080 2888 +1482 4084 +-4096 0 +1482 -4084 +2080 -2888 +235 1054 +1638 -6681 +-3237 1624 +-1027 -456 +1711 2165 +1024 4944 +2325 -5093 +-1904 2494 +192 1630 +1194 -1329 +-4956 152 +-899 518 +350 -1500 +-4096 0 +-2716 3799 +1683 -1750 +6625 -8 +2302 4464 +-3148 4108 +11 2754 +96 3426 +1024 848 +4116 -1660 +2919 1500 +1080 3085 +-1038 -888 +-2584 -2523 +-2863 4732 +-1572 2856 +0 0 +-1572 -2856 +-2863 -4732 +-2584 2523 +-1038 888 +1080 -3085 +2919 -1500 +4116 1660 +1024 -848 +96 -3426 +11 -2754 +-3148 -4108 +2302 -4464 +6625 8 +1683 1750 +-2716 -3799 +-4096 0 +350 1500 +-899 -518 +-4956 -152 +1194 1329 +192 -1630 +-1904 -2494 +2325 5093 +1024 -4944 +1711 -2165 +-1027 456 +-3237 -1624 +1638 6681 +235 -1054 +2080 2888 +1482 4084 +0 -1024 +1299 1084 +-470 -1722 +-383 -803 +424 4978 +2789 -5711 +861 1489 +-290 2105 +2048 0 +-290 -2105 +861 -1489 +2789 5711 +424 -4978 +-383 803 +-470 1722 +1299 -1084 +0 1024 +-390 -2008 +1941 3031 +-3807 191 +-2472 529 +3531 1024 +-1076 724 +-2411 1066 +-2048 2048 +-1519 3677 +1897 280 +-1333 666 +-2472 -70 +378 5348 +-233 5263 +-929 -3760 +0 -1024 +1587 3606 +-38 1058 +29 2616 +424 -1482 +-1203 -9576 +5311 -4829 +2653 -4638 +-6144 0 +2653 4638 +5311 4829 +-1203 9576 +424 1482 +29 -2616 +-38 -1058 +1587 -3606 +0 1024 +-929 3760 +-233 -5263 +378 -5348 +-2472 70 +-1333 -666 +1897 -280 +-1519 -3677 +-2048 -2048 +-2411 -1066 +-1076 -724 +3531 -1024 +-2472 -529 +-3807 -191 +1941 -3031 +-390 2008 +0 -1024 +1299 1084 +-470 -1722 +-383 -803 +424 4978 +2789 -5711 +861 1489 +-290 2105 +0 0 +762 -2471 +-114 735 +560 2286 +-1832 -2942 +1184 -611 +3139 5164 +-3483 1365 +-7168 0 +-3483 -1365 +3139 -5164 +1184 611 +-1832 2942 +560 -2286 +-114 -735 +762 2471 +0 0 +-1620 3756 +781 408 +377 -2032 +-1952 738 +-94 -1942 +3719 2366 +2170 3135 +3072 2048 +1886 -1057 +-4503 2145 +747 5194 +3400 1938 +1742 575 +1112 -1380 +-3458 6633 +0 0 +-1477 -1883 +-1778 3270 +3114 -3152 +384 -4050 +3955 -3918 +-2355 408 +-6365 -4596 +1024 0 +-6365 4596 +-2355 -408 +3955 3918 +384 4050 +3114 3152 +-1778 -3270 +-1477 1883 +0 0 +-3458 -6633 +1112 1380 +1742 -575 +3400 -1938 +747 -5194 +-4503 -2145 +1886 1057 +3072 -2048 +2170 -3135 +3719 -2366 +-94 1942 +-1952 -738 +377 2032 +781 -408 +-1620 -3756 +0 0 +762 -2471 +-114 735 +560 2286 +-1832 -2942 +1184 -611 +3139 5164 +-3483 1365 +-1024 -424 +-2453 7315 +1374 155 +4110 -545 +-1374 2257 +3851 1354 +5082 1967 +-1169 -196 +-1024 0 +-1169 196 +5082 -1967 +3851 -1354 +-1374 -2257 +4110 545 +1374 -155 +-2453 -7315 +-1024 424 +1702 547 +3476 -1202 +-2045 3301 +-3046 -520 +-1755 -983 +-987 241 +3105 1453 +1024 -2048 +-4599 -465 +-2657 -1051 +4225 705 +1846 5872 +297 -2591 +4912 -3914 +1345 -814 +-1024 2472 +-1923 1139 +-2770 4125 +-3251 3550 +-5618 40 +360 3439 +-239 -4459 +-1801 2301 +3072 0 +-1801 -2301 +-239 4459 +360 -3439 +-5618 -40 +-3251 -3550 +-2770 -4125 +-1923 -1139 +-1024 -2472 +1345 814 +4912 3914 +297 2591 +1846 -5872 +4225 -705 +-2657 1051 +-4599 465 +1024 2048 +3105 -1453 +-987 -241 +-1755 983 +-3046 520 +-2045 -3301 +3476 1202 +1702 -547 +-1024 -424 +-2453 7315 +1374 155 +4110 -545 +-1374 2257 +3851 1354 +5082 1967 +-1169 -196 +3796 2172 +-3010 608 +3802 -155 +1229 -3627 +-2224 1741 +-183 5649 +3271 -524 +4658 7690 +-1024 0 +4658 -7690 +3271 524 +-183 -5649 +-2224 -1741 +1229 3627 +3802 155 +-3010 -608 +3796 -2172 +3589 -1102 +1691 -1576 +3343 1692 +-1006 4646 +-2156 1790 +-2594 -1728 +-3695 -2141 +-2048 1024 +-935 2309 +-4531 -280 +-640 -3139 +2454 2598 +1429 1835 +-2594 -127 +-2497 3914 +2348 -2172 +350 -1073 +-3 -1293 +-2216 -4550 +776 307 +-806 878 +957 -924 +1540 -5328 +-7168 0 +1540 5328 +957 924 +-806 -878 +776 -307 +-2216 4550 +-3 1293 +350 1073 +2348 2172 +-2497 -3914 +-2594 127 +1429 -1835 +2454 -2598 +-640 3139 +-4531 280 +-935 -2309 +-2048 -1024 +-3695 2141 +-2594 1728 +-2156 -1790 +-1006 -4646 +3343 -1692 +1691 1576 +3589 1102 +3796 2172 +-3010 608 +3802 -155 +1229 -3627 +-2224 1741 +-183 5649 +3271 -524 +4658 7690 +-5244 724 +2495 2003 +2358 -2121 +1935 -3746 +-3647 -1390 +1079 1285 +923 -568 +-3722 4468 +3072 0 +-3722 -4468 +923 568 +1079 -1285 +-3647 1390 +1935 3746 +2358 2121 +2495 -2003 +-5244 -724 +-4591 5927 +-2225 2133 +-5805 -1531 +-2103 -2624 +1156 -380 +337 2349 +894 1664 +0 1024 +-74 -217 +3343 153 +1502 -3047 +5600 273 +2836 -179 +-2564 -4808 +-836 672 +-900 -724 +35 1510 +-3362 -724 +3930 -5029 +4246 4286 +-840 -94 +1190 -5723 +6 20 +1024 0 +6 -20 +1190 5723 +-840 94 +4246 -4286 +3930 5029 +-3362 724 +35 -1510 +-900 724 +-836 -672 +-2564 4808 +2836 179 +5600 -273 +1502 3047 +3343 -153 +-74 217 +0 -1024 +894 -1664 +337 -2349 +1156 380 +-2103 2624 +-5805 1531 +-2225 -2133 +-4591 -5927 +-5244 724 +2495 2003 +2358 -2121 +1935 -3746 +-3647 -1390 +1079 1285 +923 -568 +-3722 4468 +-1024 1872 +-1312 296 +3807 -48 +1210 -1693 +-1698 1168 +-2347 2638 +-30 -2118 +3418 1572 +3072 0 +3418 -1572 +-30 2118 +-2347 -2638 +-1698 -1168 +1210 1693 +3807 48 +-1312 -296 +-1024 -1872 +-1629 -1492 +-5348 3288 +-1572 1457 +-26 1508 +2566 -546 +1627 -1428 +-992 6614 +1024 -4096 +-1284 -6051 +1988 -2212 +1451 -3391 +-4918 60 +773 -1842 +3612 1396 +-1777 -5157 +-1024 -3920 +4718 950 +2025 -1844 +-411 -2965 +2546 -2616 +-1670 4743 +510 1334 +-1143 2259 +-9216 0 +-1143 -2259 +510 -1334 +-1670 -4743 +2546 2616 +-411 2965 +2025 1844 +4718 -950 +-1024 3920 +-1777 5157 +3612 -1396 +773 1842 +-4918 -60 +1451 3391 +1988 2212 +-1284 6051 +1024 4096 +-992 -6614 +1627 1428 +2566 546 +-26 -1508 +-1572 -1457 +-5348 -3288 +-1629 1492 +-1024 1872 +-1312 296 +3807 -48 +1210 -1693 +-1698 1168 +-2347 2638 +-30 -2118 +3418 1572 +600 -2472 +252 3047 +478 5006 +-857 5426 +444 6667 +2411 -3461 +5039 -2740 +2141 -322 +-6144 0 +2141 322 +5039 2740 +2411 3461 +444 -6667 +-857 -5426 +478 -5006 +252 -3047 +600 2472 +-1299 -3533 +754 -1643 +-1486 2522 +2232 1997 +4327 -1647 +210 948 +1286 3867 +0 0 +1389 3948 +-3994 -2053 +-5435 -2258 +664 2246 +2594 -4194 +-2321 1816 +-1377 2142 +3496 424 +-2928 2107 +1090 -1546 +1965 3098 +-3340 1774 +-3519 -1687 +-1254 -260 +535 -3511 +-2048 0 +535 3511 +-1254 260 +-3519 1687 +-3340 -1774 +1965 -3098 +1090 1546 +-2928 -2107 +3496 -424 +-1377 -2142 +-2321 -1816 +2594 4194 +664 -2246 +-5435 2258 +-3994 2053 +1389 -3948 +0 0 +1286 -3867 +210 -948 +4327 1647 +2232 -1997 +-1486 -2522 +754 1643 +-1299 3533 +600 -2472 +252 3047 +478 5006 +-857 5426 +444 6667 +2411 -3461 +5039 -2740 +2141 -322 +1148 -2772 +1682 -1168 +-5296 -1061 +5594 -1741 +960 -372 +-167 -2278 +3370 -4449 +-936 -1228 +5120 0 +-936 1228 +3370 4449 +-167 2278 +960 372 +5594 1741 +-5296 1061 +1682 1168 +1148 2772 +2508 56 +4119 -5064 +-1991 -916 +-2934 5650 +-5630 448 +-3209 -1304 +635 1751 +2048 -3072 +624 -451 +4354 3980 +2999 -2254 +-2610 -2191 +-682 322 +2157 -91 +-306 1982 +-3196 -1324 +2796 -1369 +220 1441 +-4250 -3701 +-3608 -3373 +-1665 -1312 +2477 -3052 +-1211 355 +-5120 0 +-1211 -355 +2477 3052 +-1665 1312 +-3608 3373 +-4250 3701 +220 -1441 +2796 1369 +-3196 1324 +-306 -1982 +2157 91 +-682 -322 +-2610 2191 +2999 2254 +4354 -3980 +624 451 +2048 3072 +635 -1751 +-3209 1304 +-5630 -448 +-2934 -5650 +-1991 916 +4119 5064 +2508 -56 +1148 -2772 +1682 -1168 +-5296 -1061 +5594 -1741 +960 -372 +-167 -2278 +3370 -4449 +-936 -1228 +0 6817 +-3257 -1254 +2898 -1390 +2505 784 +804 254 +-1566 -1527 +-1561 131 +2684 -405 +2048 0 +2684 405 +-1561 -131 +-1566 1527 +804 -254 +2505 -784 +2898 1390 +-3257 1254 +0 -6817 +-3190 -3553 +-5600 -1396 +3002 -2983 +2812 -410 +1007 -4772 +-3472 -602 +-4346 -3875 +2048 -2048 +2233 2260 +-2241 -1266 +-3 3384 +5029 3086 +1786 2519 +-351 -952 +-491 -1490 +0 -4769 +-423 -3603 +1854 4730 +2283 -1283 +-4548 -854 +2570 3682 +282 2101 +-4797 -379 +2048 0 +-4797 379 +282 -2101 +2570 -3682 +-4548 854 +2283 1283 +1854 -4730 +-423 3603 +0 4769 +-491 1490 +-351 952 +1786 -2519 +5029 -3086 +-3 -3384 +-2241 1266 +2233 -2260 +2048 2048 +-4346 3875 +-3472 602 +1007 4772 +2812 410 +3002 2983 +-5600 1396 +-3190 3553 +0 6817 +-3257 -1254 +2898 -1390 +2505 784 +804 254 +-1566 -1527 +-1561 131 +2684 -405 +1324 300 +2314 2790 +5107 3851 +386 4802 +-2184 -4852 +-1195 -730 +-2293 2234 +-588 -1429 +-1024 0 +-588 1429 +-2293 -2234 +-1195 730 +-2184 4852 +386 -4802 +5107 -3851 +2314 -2790 +1324 -300 +-5376 4616 +857 -166 +2906 -3220 +-6878 -1846 +1572 2454 +-1879 1211 +-6132 1833 +-2048 1024 +-1658 3714 +1998 2594 +-1340 -4149 +1933 -46 +1500 -1766 +-3193 -1770 +2847 502 +2772 1748 +1103 1655 +125 1537 +-328 -762 +3033 -5636 +2292 -889 +-723 -2050 +1697 -2116 +5120 0 +1697 2116 +-723 2050 +2292 889 +3033 5636 +-328 762 +125 -1537 +1103 -1655 +2772 -1748 +2847 -502 +-3193 1770 +1500 1766 +1933 46 +-1340 4149 +1998 -2594 +-1658 -3714 +-2048 -1024 +-6132 -1833 +-1879 -1211 +1572 -2454 +-6878 1846 +2906 3220 +857 166 +-5376 -4616 +1324 300 +2314 2790 +5107 3851 +386 4802 +-2184 -4852 +-1195 -730 +-2293 2234 +-588 -1429 +900 -3796 +-2439 -2174 +-1120 -5640 +-907 -569 +966 2151 +1708 -3345 +2116 -804 +-367 -2809 +-4096 0 +-367 2809 +2116 804 +1708 3345 +966 -2151 +-907 569 +-1120 5640 +-2439 2174 +900 3796 +1521 3015 +-4107 -4492 +2184 552 +5096 5500 +-1287 1200 +-464 2805 +-1074 2309 +-1024 3072 +509 -931 +-2472 -4971 +-322 -2346 +2745 2852 +224 459 +-2404 -5185 +1320 1572 +5244 -2348 +-401 731 +-2257 2549 +-1501 475 +-4710 3393 +-99 -201 +2516 7013 +932 -431 +2048 0 +932 431 +2516 -7013 +-99 201 +-4710 -3393 +-1501 -475 +-2257 -2549 +-401 -731 +5244 2348 +1320 -1572 +-2404 5185 +224 -459 +2745 -2852 +-322 2346 +-2472 4971 +509 931 +-1024 -3072 +-1074 -2309 +-464 -2805 +-1287 -1200 +5096 -5500 +2184 -552 +-4107 4492 +1521 -3015 +900 -3796 +-2439 -2174 +-1120 -5640 +-907 -569 +966 2151 +1708 -3345 +2116 -804 +-367 -2809 +4944 2048 +-3920 3435 +-793 -310 +645 -2591 +3954 -1892 +5843 2161 +-1181 -1310 +808 3830 +3072 0 +808 -3830 +-1181 1310 +5843 -2161 +3954 1892 +645 2591 +-793 310 +-3920 -3435 +4944 -2048 +1467 6877 +177 1198 +6076 -148 +-495 -784 +-5829 -1483 +-1443 2504 +-3893 -763 +-5120 0 +2636 -2261 +659 -3069 +1158 -927 +-954 -784 +1301 53 +1715 -2807 +-4904 850 +-848 2048 +-3788 -15 +-1099 2098 +-13 913 +-2506 1892 +2403 4706 +1965 1531 +8 -4073 +-1024 0 +8 4073 +1965 -1531 +2403 -4706 +-2506 -1892 +-13 -913 +-1099 -2098 +-3788 15 +-848 -2048 +-4904 -850 +1715 2807 +1301 -53 +-954 784 +1158 927 +659 3069 +2636 2261 +-5120 0 +-3893 763 +-1443 -2504 +-5829 1483 +-495 784 +6076 148 +177 -1198 +1467 -6877 +4944 2048 +-3920 3435 +-793 -310 +645 -2591 +3954 -1892 +5843 2161 +-1181 -1310 +808 3830 +124 724 +561 6042 +-2872 -629 +416 -2214 +4686 -1427 +1606 -3393 +1942 -4512 +-1219 -867 +-4096 0 +-1219 867 +1942 4512 +1606 3393 +4686 1427 +416 2214 +-2872 629 +561 -6042 +124 -724 +-1151 3106 +-1406 218 +3352 1338 +-5565 -6224 +-4778 226 +3501 3869 +-1122 -3448 +-1024 1024 +-1600 -4952 +3335 -3530 +803 3305 +1220 -2128 +4883 -2683 +1341 49 +1644 1781 +-4220 -724 +-1733 -2253 +1239 -3635 +-403 -4263 +-341 -2669 +-87 -1775 +1110 1208 +-1172 -1316 +-2048 0 +-1172 1316 +1110 -1208 +-87 1775 +-341 2669 +-403 4263 +1239 3635 +-1733 2253 +-4220 724 +1644 -1781 +1341 -49 +4883 2683 +1220 2128 +803 -3305 +3335 3530 +-1600 4952 +-1024 -1024 +-1122 3448 +3501 -3869 +-4778 -226 +-5565 6224 +3352 -1338 +-1406 -218 +-1151 -3106 +124 724 +561 6042 +-2872 -629 +416 -2214 +4686 -1427 +1606 -3393 +1942 -4512 +-1219 -867 +3796 -2772 +-880 1270 +477 2524 +-1065 -248 +-53 -2154 +5554 -1109 +602 3215 +-1764 -1891 +-1024 0 +-1764 1891 +602 -3215 +5554 1109 +-53 2154 +-1065 248 +477 -2524 +-880 -1270 +3796 2772 +1454 1874 +-160 1226 +228 1284 +2188 3972 +873 354 +3698 258 +2397 2402 +-4096 -1024 +4128 1779 +1690 -3239 +-4595 -5827 +-7732 -972 +-1079 275 +1543 1826 +-5673 130 +2348 -1324 +-1582 -4342 +-661 -3124 +3245 127 +-2595 1306 +2632 1608 +1002 281 +-3873 5732 +-3072 0 +-3873 -5732 +1002 -281 +2632 -1608 +-2595 -1306 +3245 -127 +-661 3124 +-1582 4342 +2348 1324 +-5673 -130 +1543 -1826 +-1079 -275 +-7732 972 +-4595 5827 +1690 3239 +4128 -1779 +-4096 1024 +2397 -2402 +3698 -258 +873 -354 +2188 -3972 +228 -1284 +-160 -1226 +1454 -1874 +3796 -2772 +-880 1270 +477 2524 +-1065 -248 +-53 -2154 +5554 -1109 +602 3215 +-1764 -1891 +124 -1748 +-4585 -2765 +3197 -770 +248 -4376 +1546 -2454 +3836 290 +2578 -2633 +1927 5122 +-5120 0 +1927 -5122 +2578 2633 +3836 -290 +1546 2454 +248 4376 +3197 770 +-4585 2765 +124 1748 +875 1091 +-589 -944 +938 1634 +3888 2224 +470 -3379 +-6585 1252 +481 381 +4096 -1024 +4186 1374 +-3711 -5363 +-1960 -3557 +3104 776 +-1546 -230 +3654 779 +-371 -35 +-4220 -300 +-592 -1257 +-4565 -1602 +-5672 -384 +-346 1006 +-2107 2428 +-2170 113 +3870 -1233 +5120 0 +3870 1233 +-2170 -113 +-2107 -2428 +-346 -1006 +-5672 384 +-4565 1602 +-592 1257 +-4220 300 +-371 35 +3654 -779 +-1546 230 +3104 -776 +-1960 3557 +-3711 5363 +4186 -1374 +4096 1024 +481 -381 +-6585 -1252 +470 3379 +3888 -2224 +938 -1634 +-589 944 +875 -1091 +124 -1748 +-4585 -2765 +3197 -770 +248 -4376 +1546 -2454 +3836 290 +2578 -2633 +1927 5122 +300 1572 +-3342 -446 +987 440 +672 266 +-1670 262 +568 7525 +-1041 680 +-579 -5366 +1024 0 +-579 5366 +-1041 -680 +568 -7525 +-1670 -262 +672 -266 +987 -440 +-3342 446 +300 -1572 +-2411 -5055 +-4193 -723 +-711 2896 +332 -4756 +4586 -865 +4863 1906 +2876 1687 +4096 1024 +2983 -778 +-3571 -2478 +-1450 -2367 +1116 188 +-1504 -1391 +1481 4341 +-2805 193 +1748 -5668 +1877 -3204 +-5267 -2368 +2872 -530 +222 586 +761 789 +-1451 -3864 +-4392 -204 +3072 0 +-4392 204 +-1451 3864 +761 -789 +222 -586 +2872 530 +-5267 2368 +1877 3204 +1748 5668 +-2805 -193 +1481 -4341 +-1504 1391 +1116 -188 +-1450 2367 +-3571 2478 +2983 778 +4096 -1024 +2876 -1687 +4863 -1906 +4586 865 +332 4756 +-711 -2896 +-4193 723 +-2411 5055 +300 1572 +-3342 -446 +987 440 +672 266 +-1670 262 +568 7525 +-1041 680 +-579 -5366 +-1748 -2948 +1419 2180 +-4144 -2083 +649 -3176 +2479 -886 +1220 378 +2111 6222 +-2157 -268 +0 0 +-2157 268 +2111 -6222 +1220 -378 +2479 886 +649 3176 +-4144 2083 +1419 -2180 +-1748 2948 +1459 -5198 +-2159 -5752 +-3226 3446 +596 -1560 +-1841 -556 +2516 2103 +2632 1209 +1024 3072 +208 1374 +5324 -1210 +-567 -3302 +-5540 -3008 +1617 -665 +-1586 236 +-894 -4366 +-300 -7292 +-1984 -1348 +399 -121 +960 -935 +-1630 -562 +1188 -3125 +5729 -1342 +-684 433 +-6144 0 +-684 -433 +5729 1342 +1188 3125 +-1630 562 +960 935 +399 121 +-1984 1348 +-300 7292 +-894 4366 +-1586 -236 +1617 665 +-5540 3008 +-567 3302 +5324 1210 +208 -1374 +1024 -3072 +2632 -1209 +2516 -2103 +-1841 556 +596 1560 +-3226 -3446 +-2159 5752 +1459 5198 +-1748 -2948 +1419 2180 +-4144 -2083 +649 -3176 +2479 -886 +1220 378 +2111 6222 +-2157 -268 +-2896 0 +-1144 -3862 +-1058 -3000 +-3248 1802 +-4904 4474 +-1533 4249 +1059 -3601 +1430 952 +1024 0 +1430 -952 +1059 3601 +-1533 -4249 +-4904 -4474 +-3248 -1802 +-1058 3000 +-1144 3862 +-2896 0 +-1411 -2503 +6656 -2681 +-1270 -530 +-5024 -534 +4489 2082 +1078 234 +-818 -1598 +-1024 -2048 +-365 1135 +-154 -2102 +-1459 -3051 +328 3210 +-2325 -2257 +1408 334 +4203 -569 +2896 0 +-80 5146 +-14 3120 +3058 5607 +-2688 3366 +2287 -246 +-784 -1631 +-1814 1131 +9216 0 +-1814 -1131 +-784 1631 +2287 246 +-2688 -3366 +3058 -5607 +-14 -3120 +-80 -5146 +2896 0 +4203 569 +1408 -334 +-2325 2257 +328 -3210 +-1459 3051 +-154 2102 +-365 -1135 +-1024 2048 +-818 1598 +1078 -234 +4489 -2082 +-5024 534 +-1270 530 +6656 2681 +-1411 2503 +-2896 0 +-1144 -3862 +-1058 -3000 +-3248 1802 +-4904 4474 +-1533 4249 +1059 -3601 +1430 952 +-2296 -6144 +1015 3603 +3010 -1012 +3000 -4845 +2616 -1494 +-1892 -1455 +-1318 1212 +-707 -597 +-5120 0 +-707 597 +-1318 -1212 +-1892 1455 +2616 1494 +3000 4845 +3010 1012 +1015 -3603 +-2296 6144 +902 6007 +1424 2648 +-3437 3164 +60 -710 +-406 1333 +1568 1939 +1121 -2649 +-1024 -2048 +582 758 +-3681 -2066 +6 -965 +-1508 3386 +1428 214 +-419 2428 +-2040 757 +6392 -6144 +123 1035 +1778 6584 +-992 3591 +-1168 -2602 +2292 -2540 +-2362 576 +-996 -5884 +-1024 0 +-996 5884 +-2362 -576 +2292 2540 +-1168 2602 +-992 -3591 +1778 -6584 +123 -1035 +6392 6144 +-2040 -757 +-419 -2428 +1428 -214 +-1508 -3386 +6 965 +-3681 2066 +582 -758 +-1024 2048 +1121 2649 +1568 -1939 +-406 -1333 +60 710 +-3437 -3164 +1424 -2648 +902 -6007 +-2296 -6144 +1015 3603 +3010 -1012 +3000 -4845 +2616 -1494 +-1892 -1455 +-1318 1212 +-707 -597 +5069 3196 +949 4730 +-819 215 +-3112 2865 +418 5435 +4317 3311 +-882 568 +-2029 4860 +-1024 0 +-2029 -4860 +-882 -568 +4317 -3311 +418 -5435 +-3112 -2865 +-819 -215 +949 -4730 +5069 -3196 +-1123 752 +-463 1167 +1714 -1503 +-3492 -3469 +81 -109 +-2948 -1411 +-528 2468 +4096 -1024 +-3152 -592 +-2116 141 +4708 -1972 +2644 5820 +-709 -2801 +3648 -3741 +-989 -699 +-5069 -1148 +-3062 738 +-3565 -2226 +4116 1838 +4527 -242 +472 827 +-1047 3880 +-1651 -1001 +1024 0 +-1651 1001 +-1047 -3880 +472 -827 +4527 242 +4116 -1838 +-3565 2226 +-3062 -738 +-5069 1148 +-989 699 +3648 3741 +-709 2801 +2644 -5820 +4708 1972 +-2116 -141 +-3152 592 +4096 1024 +-528 -2468 +-2948 1411 +81 109 +-3492 3469 +1714 1503 +-463 -1167 +-1123 -752 +5069 3196 +949 4730 +-819 215 +-3112 2865 +418 5435 +4317 3311 +-882 568 +-2029 4860 +-600 -1448 +526 1046 +3182 1137 +492 3337 +-2506 6956 +537 -2187 +2798 -4608 +-2003 -1403 +-3072 0 +-2003 1403 +2798 4608 +537 2187 +-2506 -6956 +492 -3337 +3182 -1137 +526 -1046 +-600 1448 +2514 -200 +-2205 -698 +-144 -4951 +-954 -2401 +-932 1945 +792 -281 +-2402 -3453 +-1024 -2048 +1039 2383 +4936 -3125 +-2793 -3559 +-495 -3600 +3380 -5496 +-535 1497 +1180 2372 +-3496 1448 +893 2207 +-2138 5154 +-1273 4366 +3954 37 +-5061 -862 +1362 -2332 +4045 2125 +-3072 0 +4045 -2125 +1362 2332 +-5061 862 +3954 -37 +-1273 -4366 +-2138 -5154 +893 -2207 +-3496 -1448 +1180 -2372 +-535 -1497 +3380 5496 +-495 3600 +-2793 3559 +4936 3125 +1039 -2383 +-1024 2048 +-2402 3453 +792 281 +-932 -1945 +-954 2401 +-144 4951 +-2205 698 +2514 200 +-600 -1448 +526 1046 +3182 1137 +492 3337 +-2506 6956 +537 -2187 +2798 -4608 +-2003 -1403 +2348 124 +3332 4342 +1829 173 +-164 -1045 +756 -836 +1594 1435 +110 -20 +-1177 -6221 +-5120 0 +-1177 6221 +110 20 +1594 -1435 +756 836 +-164 1045 +1829 -173 +3332 -4342 +2348 -124 +-4729 930 +-2074 455 +-3269 2412 +-2250 -1286 +-2186 3631 +-7008 -824 +-232 -5074 +0 1024 +-1861 -634 +2219 1224 +1265 -944 +-4142 1610 +4577 3054 +4186 -1593 +-3977 1783 +3796 -4220 +2477 -4065 +1851 1875 +1752 3622 +1540 3732 +2223 -1675 +-1114 -2028 +374 -3900 +1024 0 +374 3900 +-1114 2028 +2223 1675 +1540 -3732 +1752 -3622 +1851 -1875 +2477 4065 +3796 4220 +-3977 -1783 +4186 1593 +4577 -3054 +-4142 -1610 +1265 944 +2219 -1224 +-1861 634 +0 -1024 +-232 5074 +-7008 824 +-2186 -3631 +-2250 1286 +-3269 -2412 +-2074 -455 +-4729 -930 +2348 124 +3332 4342 +1829 173 +-164 -1045 +756 -836 +1594 1435 +110 -20 +-1177 -6221 +1448 -1448 +1900 -4763 +8 -3805 +2280 1836 +-1168 -710 +-3579 -2350 +-2649 -325 +-3082 316 +-1024 0 +-3082 -316 +-2649 325 +-3579 2350 +-1168 710 +2280 -1836 +8 3805 +1900 4763 +1448 1448 +3215 -4181 +2401 387 +-1213 -466 +-1508 -2602 +-1302 6943 +2084 -3187 +-336 794 +3072 4096 +6753 -2974 +-192 3493 +-3187 281 +60 1494 +4526 4267 +-1617 1716 +-3818 530 +-1448 1448 +-4432 -416 +-791 -659 +1976 1201 +2616 -3386 +500 -2616 +757 1213 +-200 5805 +-5120 0 +-200 -5805 +757 -1213 +500 2616 +2616 3386 +1976 -1201 +-791 659 +-4432 416 +-1448 -1448 +-3818 -530 +-1617 -1716 +4526 -4267 +60 -1494 +-3187 -281 +-192 -3493 +6753 2974 +3072 -4096 +-336 -794 +2084 3187 +-1302 -6943 +-1508 2602 +-1213 466 +2401 -387 +3215 4181 +1448 -1448 +1900 -4763 +8 -3805 +2280 1836 +-1168 -710 +-3579 -2350 +-2649 -325 +-3082 316 +1324 -1748 +3055 1160 +-5799 -1783 +-5415 1450 +751 1200 +-2426 -156 +-3429 2830 +-3181 689 +-3072 0 +-3181 -689 +-3429 -2830 +-2426 156 +751 -1200 +-5415 -1450 +-5799 1783 +3055 -1160 +1324 1748 +331 3811 +4777 -2285 +7577 -252 +-366 -18 +-3848 -2215 +-27 3918 +865 2027 +0 -3072 +-2195 -1568 +-1032 -3518 +1824 -1830 +5310 3478 +27 2540 +-1814 1147 +-2652 781 +2772 -300 +5266 -406 +-4157 -81 +4730 -226 +-1600 -1800 +-2470 -1028 +3288 822 +-1489 -500 +3072 0 +-1489 500 +3288 -822 +-2470 1028 +-1600 1800 +4730 226 +-4157 81 +5266 406 +2772 300 +-2652 -781 +-1814 -1147 +27 -2540 +5310 -3478 +1824 1830 +-1032 3518 +-2195 1568 +0 3072 +865 -2027 +-27 -3918 +-3848 2215 +-366 18 +7577 252 +4777 2285 +331 -3811 +1324 -1748 +3055 1160 +-5799 -1783 +-5415 1450 +751 1200 +-2426 -156 +-3429 2830 +-3181 689 +0 2472 +1625 -1900 +2616 1846 +413 639 +-370 -1627 +-1871 -2138 +-1649 -1146 +3192 1120 +4096 0 +3192 -1120 +-1649 1146 +-1871 2138 +-370 1627 +413 -639 +2616 -1846 +1625 1900 +0 -2472 +-2712 1674 +4514 -237 +1770 -3139 +-6726 4952 +792 -151 +-3166 3987 +-4391 1120 +2048 -2048 +-857 2083 +-1298 -581 +1051 5588 +-266 6400 +-3002 2143 +2167 -562 +3756 2049 +0 -424 +-2669 -4956 +-3504 -2171 +818 1171 +-829 3075 +28 -3788 +320 -3422 +2057 804 +8192 0 +2057 -804 +320 3422 +28 3788 +-829 -3075 +818 -1171 +-3504 2171 +-2669 4956 +0 424 +3756 -2049 +2167 562 +-3002 -2143 +-266 -6400 +1051 -5588 +-1298 581 +-857 -2083 +2048 2048 +-4391 -1120 +-3166 -3987 +792 151 +-6726 -4952 +1770 3139 +4514 237 +-2712 -1674 +0 2472 +1625 -1900 +2616 1846 +413 639 +-370 -1627 +-1871 -2138 +-1649 -1146 +3192 1120 +-176 3496 +1464 171 +-1802 2196 +1658 2010 +-254 -2048 +-128 -4345 +4073 -20 +-68 307 +0 0 +-68 -307 +4073 20 +-128 4345 +-254 2048 +1658 -2010 +-1802 -2196 +1464 -171 +-176 -3496 +1590 -2652 +-1294 -242 +-588 -5920 +410 -2048 +-5858 -200 +-146 1212 +3126 382 +0 -2048 +-417 7425 +-4422 584 +-5524 -3402 +3086 2048 +1171 -3473 +1619 -3398 +826 -2169 +-5968 600 +1232 1953 +1477 -1256 +214 -2979 +854 -2048 +3261 125 +495 -4704 +-1962 -5608 +4096 0 +-1962 5608 +495 4704 +3261 -125 +854 2048 +214 2979 +1477 1256 +1232 -1953 +-5968 -600 +826 2169 +1619 3398 +1171 3473 +3086 -2048 +-5524 3402 +-4422 -584 +-417 -7425 +0 2048 +3126 -382 +-146 -1212 +-5858 200 +410 2048 +-588 5920 +-1294 242 +1590 2652 +-176 3496 +1464 171 +-1802 2196 +1658 2010 +-254 -2048 +-128 -4345 +4073 -20 +-68 307 +-4220 -724 +-3594 -786 +2391 1972 +3368 1031 +-4116 -1396 +-5623 887 +-875 -731 +2549 1535 +4096 0 +2549 -1535 +-875 731 +-5623 -887 +-4116 1396 +3368 -1031 +2391 -1972 +-3594 786 +-4220 724 +-314 230 +1027 2602 +-1196 3445 +-1789 4626 +-2705 4205 +-106 -2694 +-32 -715 +-5120 1024 +2648 -3243 +5614 -4431 +-1389 2434 +3238 -1167 +1830 -4628 +-1979 2121 +2203 -4394 +124 724 +1466 2193 +2658 1644 +671 1361 +2668 -4397 +-747 2014 +-536 -5101 +866 -3823 +-2048 0 +866 3823 +-536 5101 +-747 -2014 +2668 4397 +671 -1361 +2658 -1644 +1466 -2193 +124 -724 +2203 4394 +-1979 -2121 +1830 4628 +3238 1167 +-1389 -2434 +5614 4431 +2648 3243 +-5120 -1024 +-32 715 +-106 2694 +-2705 -4205 +-1789 -4626 +-1196 -3445 +1027 -2602 +-314 -230 +-4220 -724 +-3594 -786 +2391 1972 +3368 1031 +-4116 -1396 +-5623 887 +-875 -731 +2549 1535 +3072 3920 +-2171 3932 +-870 -2262 +-1387 -1137 +-6386 3640 +2349 7566 +1654 -4571 +-3812 1464 +-1024 0 +-3812 -1464 +1654 4571 +2349 -7566 +-6386 -3640 +-1387 1137 +-870 2262 +-2171 -3932 +3072 -3920 +1671 216 +2196 -5628 +-1061 2250 +-4953 1084 +172 136 +-1349 1670 +-1031 -2145 +5120 0 +1979 2359 +-3884 3014 +-3364 -258 +857 484 +236 2500 +-2315 2176 +786 -1172 +3072 -1872 +3498 343 +3886 1377 +3780 1075 +2290 -144 +-725 -79 +682 -2774 +-921 512 +-5120 0 +-921 -512 +682 2774 +-725 79 +2290 144 +3780 -1075 +3886 -1377 +3498 -343 +3072 1872 +786 1172 +-2315 -2176 +236 -2500 +857 -484 +-3364 258 +-3884 -3014 +1979 -2359 +5120 0 +-1031 2145 +-1349 -1670 +172 -136 +-4953 -1084 +-1061 -2250 +2196 5628 +1671 -216 +3072 3920 +-2171 3932 +-870 -2262 +-1387 -1137 +-6386 3640 +2349 7566 +1654 -4571 +-3812 1464 +-1448 2896 +1480 1276 +-872 -1928 +-2599 4792 +640 -2362 +2186 -2697 +-250 3744 +-2505 -1020 +-3072 0 +-2505 1020 +-250 -3744 +2186 2697 +640 2362 +-2599 -4792 +-872 1928 +1480 -1276 +-1448 -2896 +-2280 -784 +311 -2922 +-1635 1512 +-2376 -470 +2124 -3982 +504 351 +-4895 2868 +-3072 -2048 +-412 -1255 +-61 1319 +3462 3681 +2976 1578 +-1620 1506 +1920 4358 +6300 -4241 +1448 -2896 +-1477 -4366 +1536 2216 +2749 5978 +2856 314 +1124 7152 +-3090 -1577 +-2003 -335 +1024 0 +-2003 335 +-3090 1577 +1124 -7152 +2856 -314 +2749 -5978 +1536 -2216 +-1477 4366 +1448 2896 +6300 4241 +1920 -4358 +-1620 -1506 +2976 -1578 +3462 -3681 +-61 -1319 +-412 1255 +-3072 2048 +-4895 -2868 +504 -351 +2124 3982 +-2376 470 +-1635 -1512 +311 2922 +-2280 784 +-1448 2896 +1480 1276 +-872 -1928 +-2599 4792 +640 -2362 +2186 -2697 +-250 3744 +-2505 -1020 +-1748 724 +3167 -1749 +-1383 -1744 +-702 -4532 +-1486 -8142 +-3471 870 +970 -718 +-373 -3017 +-1024 0 +-373 3017 +970 718 +-3471 -870 +-1486 8142 +-702 4532 +-1383 1744 +3167 1749 +-1748 -724 +-231 571 +3803 -3254 +780 -117 +-5056 -907 +1079 -2057 +4868 1812 +-850 2907 +2048 5120 +878 -1469 +1628 -2481 +1539 -309 +-488 2340 +-2409 4094 +257 -2507 +4277 2065 +-300 -724 +-532 -1221 +-1477 9484 +1002 2062 +-1162 -898 +-3610 -11 +-474 -4774 +-543 -30 +1024 0 +-543 30 +-474 4774 +-3610 11 +-1162 898 +1002 -2062 +-1477 -9484 +-532 1221 +-300 724 +4277 -2065 +257 2507 +-2409 -4094 +-488 -2340 +1539 309 +1628 2481 +878 1469 +2048 -5120 +-850 -2907 +4868 -1812 +1079 2057 +-5056 907 +780 117 +3803 3254 +-231 -571 +-1748 724 +3167 -1749 +-1383 -1744 +-702 -4532 +-1486 -8142 +-3471 870 +970 -718 +-373 -3017 +2472 1872 +-1143 -142 +81 -520 +-3734 1184 +784 1702 +2672 -548 +-1546 4480 +2718 -3056 +5120 0 +2718 3056 +-1546 -4480 +2672 548 +784 -1702 +-3734 -1184 +81 520 +-1143 142 +2472 -1872 +-246 -1205 +-2832 1186 +1910 -4241 +-1892 -1858 +-41 -1048 +3733 -3154 +715 -1819 +-5120 2048 +-6004 1680 +-717 -1602 +1989 1608 +1892 4534 +-341 -331 +-2400 -3721 +-4275 607 +-424 -3920 +1439 -870 +2255 -1492 +4173 -7370 +-784 594 +4958 1298 +1427 -31 +-4789 -4460 +1024 0 +-4789 4460 +1427 31 +4958 -1298 +-784 -594 +4173 7370 +2255 1492 +1439 870 +-424 3920 +-4275 -607 +-2400 3721 +-341 331 +1892 -4534 +1989 -1608 +-717 1602 +-6004 -1680 +-5120 -2048 +715 1819 +3733 3154 +-41 1048 +-1892 1858 +1910 4241 +-2832 -1186 +-246 1205 +2472 1872 +-1143 -142 +81 -520 +-3734 1184 +784 1702 +2672 -548 +-1546 4480 +2718 -3056 +-2048 -4096 +-1155 -2829 +-1825 -2361 +-1171 -1644 +300 -2206 +769 -3114 +751 924 +-1154 -389 +-1024 0 +-1154 389 +751 -924 +769 3114 +300 2206 +-1171 1644 +-1825 2361 +-1155 2829 +-2048 4096 +-45 77 +2785 -536 +3101 -146 +1748 794 +5799 1447 +132 -430 +-3482 4702 +1024 2048 +597 -3259 +-2144 1866 +-6761 2096 +1748 -1254 +-453 -86 +-1232 5857 +812 3313 +-2048 -4096 +7069 -2495 +-2624 -4031 +-2805 -880 +300 4254 +-4271 -1221 +4157 -3220 +3151 -2148 +-1024 0 +3151 2148 +4157 3220 +-4271 1221 +300 -4254 +-2805 880 +-2624 4031 +7069 2495 +-2048 4096 +812 -3313 +-1232 -5857 +-453 86 +1748 1254 +-6761 -2096 +-2144 -1866 +597 3259 +1024 -2048 +-3482 -4702 +132 430 +5799 -1447 +1748 -794 +3101 146 +2785 536 +-45 -77 +-2048 -4096 +-1155 -2829 +-1825 -2361 +-1171 -1644 +300 -2206 +769 -3114 +751 924 +-1154 -389 +1748 -124 +622 -2274 +3936 37 +3395 -1448 +-4052 -2708 +-622 1960 +467 1199 +-2221 1091 +1024 0 +-2221 -1091 +467 -1199 +-622 -1960 +-4052 2708 +3395 1448 +3936 -37 +622 2274 +1748 124 +278 -67 +3927 -386 +4391 2141 +-4842 2634 +559 -136 +-527 1359 +-4494 -1929 +-4096 -1024 +-4804 4251 +4348 4855 +-1865 2937 +-3599 -2310 +988 -1700 +1241 -986 +309 -188 +300 4220 +1895 1265 +-7904 563 +1041 2071 +4300 1860 +-2095 -217 +2704 -4695 +2621 -1591 +3072 0 +2621 1591 +2704 4695 +-2095 217 +4300 -1860 +1041 -2071 +-7904 -563 +1895 -1265 +300 -4220 +309 188 +1241 986 +988 1700 +-3599 2310 +-1865 -2937 +4348 -4855 +-4804 -4251 +-4096 1024 +-4494 1929 +-527 -1359 +559 136 +-4842 -2634 +4391 -2141 +3927 386 +278 67 +1748 -124 +622 -2274 +3936 37 +3395 -1448 +-4052 -2708 +-622 1960 +467 1199 +-2221 1091 +2172 2772 +1265 4068 +-1634 -449 +69 -2027 +2094 -3418 +-71 -2033 +-1908 1496 +-716 7428 +0 0 +-716 -7428 +-1908 -1496 +-71 2033 +2094 3418 +69 2027 +-1634 449 +1265 -4068 +2172 -2772 +-3844 2128 +-1400 -23 +3970 -1939 +-2804 32 +-122 -45 +4899 -693 +-324 2714 +3072 1024 +1732 2796 +-1559 4371 +2825 4970 +-3588 -816 +-4011 -4529 +735 3162 +-4346 -796 +-2172 1324 +244 944 +-598 4833 +1301 7079 +202 -1526 +1831 705 +1464 -3425 +195 2989 +2048 0 +195 -2989 +1464 3425 +1831 -705 +202 1526 +1301 -7079 +-598 -4833 +244 -944 +-2172 -1324 +-4346 796 +735 -3162 +-4011 4529 +-3588 816 +2825 -4970 +-1559 -4371 +1732 -2796 +3072 -1024 +-324 -2714 +4899 693 +-122 45 +-2804 -32 +3970 1939 +-1400 23 +-3844 -2128 +2172 2772 +1265 4068 +-1634 -449 +69 -2027 +2094 -3418 +-71 -2033 +-1908 1496 +-716 7428 +4220 2772 +4136 1084 +3284 -1891 +-1679 -1644 +-222 1574 +-3023 3521 +396 -36 +4507 -1110 +-4096 0 +4507 1110 +396 36 +-3023 -3521 +-222 -1574 +-1679 1644 +3284 1891 +4136 -1084 +4220 -2772 +-1104 322 +1848 348 +951 -2829 +-1116 -2765 +853 2637 +-495 -513 +2111 -5298 +-1024 1024 +3688 -2821 +1279 -1622 +-3516 6411 +-332 1331 +-2141 3997 +-3740 3024 +-7236 -2529 +-124 1324 +4646 2378 +-1392 4567 +-707 -978 +1670 -5670 +-2324 -1003 +-1180 -1072 +839 1708 +-2048 0 +839 -1708 +-1180 1072 +-2324 1003 +1670 5670 +-707 978 +-1392 -4567 +4646 -2378 +-124 -1324 +-7236 2529 +-3740 -3024 +-2141 -3997 +-332 -1331 +-3516 -6411 +1279 1622 +3688 2821 +-1024 -1024 +2111 5298 +-495 513 +853 -2637 +-1116 2765 +951 2829 +1848 -348 +-1104 -322 +4220 2772 +4136 1084 +3284 -1891 +-1679 -1644 +-222 1574 +-3023 3521 +396 -36 +4507 -1110 +124 -724 +-1274 2981 +1264 3512 +-113 -3429 +1162 -2838 +1 6228 +341 -1722 +2623 1773 +0 0 +2623 -1773 +341 1722 +1 -6228 +1162 2838 +-113 3429 +1264 -3512 +-1274 -2981 +124 724 +-1598 2707 +-243 -266 +5658 2846 +488 -1176 +-3862 -4519 +-275 1872 +2671 2237 +-1024 -1024 +905 4382 +2167 1872 +1780 -2129 +5056 -1176 +-736 -4385 +1027 -266 +430 -1239 +-4220 724 +-693 304 +-2048 -3512 +2759 -329 +1486 2838 +-5488 7827 +-2233 1722 +-3064 -590 +-6144 0 +-3064 590 +-2233 -1722 +-5488 -7827 +1486 -2838 +2759 329 +-2048 3512 +-693 -304 +-4220 -724 +430 1239 +1027 266 +-736 4385 +5056 1176 +1780 2129 +2167 -1872 +905 -4382 +-1024 1024 +2671 -2237 +-275 -1872 +-3862 4519 +488 1176 +5658 -2846 +-243 266 +-1598 -2707 +124 -724 +-1274 2981 +1264 3512 +-113 -3429 +1162 -2838 +1 6228 +341 -1722 +2623 1773 +2772 1324 +4478 -5645 +-322 -1809 +215 -2520 +5794 -7118 +-3671 -1969 +-4575 -2839 +2327 3774 +-2048 0 +2327 -3774 +-4575 2839 +-3671 1969 +5794 7118 +215 2520 +-322 1809 +4478 5645 +2772 -1324 +-2297 733 +-793 2308 +-4223 1664 +-2889 117 +2605 1590 +1268 2157 +-1698 -3043 +-1024 -1024 +2972 -862 +624 590 +2818 1418 +-1456 1316 +-2564 1446 +1577 -1476 +357 5380 +1324 2772 +-4754 -4949 +-462 -1975 +1220 -1611 +-1450 126 +3600 1276 +2683 1272 +-1384 2944 +-4096 0 +-1384 -2944 +2683 -1272 +3600 -1276 +-1450 -126 +1220 1611 +-462 1975 +-4754 4949 +1324 -2772 +357 -5380 +1577 1476 +-2564 -1446 +-1456 -1316 +2818 -1418 +624 -590 +2972 862 +-1024 1024 +-1698 3043 +1268 -2157 +2605 -1590 +-2889 -117 +-4223 -1664 +-793 -2308 +-2297 -733 +2772 1324 +4478 -5645 +-322 -1809 +215 -2520 +5794 -7118 +-3671 -1969 +-4575 -2839 +2327 3774 +300 -724 +2473 -2172 +-3656 -282 +-1037 -297 +2030 -6490 +-2491 5041 +-1642 -601 +1151 -3144 +1024 0 +1151 3144 +-1642 601 +-2491 -5041 +2030 6490 +-1037 297 +-3656 282 +2473 2172 +300 724 +-1329 4961 +2056 -2060 +-1310 -1890 +248 -3040 +764 -465 +-3957 2327 +-2495 2845 +0 3072 +5298 -1431 +4910 1219 +-420 2448 +3248 2256 +-143 1389 +-644 616 +7771 1382 +1748 724 +-3122 -1407 +-1852 2958 +-3303 3576 +-1430 -4598 +-3645 -2129 +-3406 -507 +1839 -1132 +3072 0 +1839 1132 +-3406 507 +-3645 2129 +-1430 4598 +-3303 -3576 +-1852 -2958 +-3122 1407 +1748 -724 +7771 -1382 +-644 -616 +-143 -1389 +3248 -2256 +-420 -2448 +4910 -1219 +5298 1431 +0 -3072 +-2495 -2845 +-3957 -2327 +764 465 +248 3040 +-1310 1890 +2056 2060 +-1329 -4961 +300 -724 +2473 -2172 +-3656 -282 +-1037 -297 +2030 -6490 +-2491 5041 +-1642 -601 +1151 -3144 +-424 -5968 +-203 -826 +550 -1771 +4301 -4063 +-820 -1038 +-1045 -504 +3369 594 +-1135 676 +-3072 0 +-1135 -676 +3369 -594 +-1045 504 +-820 1038 +4301 4063 +550 1771 +-203 826 +-424 5968 +-1108 -1234 +-350 -3212 +-1048 5891 +-1708 2302 +977 -1829 +-1010 -1082 +54 42 +-1024 6144 +-3199 6638 +1830 211 +-2250 -4094 +509 -1194 +2245 2372 +2058 -500 +3531 271 +2472 -176 +885 -4349 +-1059 -2509 +4318 -785 +-6172 1638 +-1704 2703 +2803 1898 +-4617 5032 +1024 0 +-4617 -5032 +2803 -1898 +-1704 -2703 +-6172 -1638 +4318 785 +-1059 2509 +885 4349 +2472 176 +3531 -271 +2058 500 +2245 -2372 +509 1194 +-2250 4094 +1830 -211 +-3199 -6638 +-1024 -6144 +54 -42 +-1010 1082 +977 1829 +-1708 -2302 +-1048 -5891 +-350 3212 +-1108 1234 +-424 -5968 +-203 -826 +550 -1771 +4301 -4063 +-820 -1038 +-1045 -504 +3369 594 +-1135 676 +124 -300 +2521 4180 +244 2604 +2095 -660 +1212 -2380 +529 2703 +1516 1846 +97 4144 +1024 0 +97 -4144 +1516 -1846 +529 -2703 +1212 2380 +2095 660 +244 -2604 +2521 -4180 +124 300 +-2306 -2306 +-4345 -4119 +-387 5744 +762 -2270 +-4766 -4300 +1663 2598 +-932 1728 +-4096 -1024 +1765 -2164 +2801 -402 +4702 751 +438 378 +-3754 -159 +-2336 -659 +-1602 -3099 +-4220 -1748 +-3966 -3717 +644 855 +4263 1069 +5780 -3164 +-2682 468 +-187 -4846 +4422 1412 +-1024 0 +4422 -1412 +-187 4846 +-2682 -468 +5780 3164 +4263 -1069 +644 -855 +-3966 3717 +-4220 1748 +-1602 3099 +-2336 659 +-3754 159 +438 -378 +4702 -751 +2801 402 +1765 2164 +-4096 1024 +-932 -1728 +1663 -2598 +-4766 4300 +762 2270 +-387 -5744 +-4345 4119 +-2306 2306 +124 -300 +2521 4180 +244 2604 +2095 -660 +1212 -2380 +529 2703 +1516 1846 +97 4144 +-2172 -2172 +-1326 -1156 +-35 3090 +745 1558 +-2250 -2634 +-1842 5883 +-705 3832 +2861 -196 +6144 0 +2861 196 +-705 -3832 +-1842 -5883 +-2250 2634 +745 -1558 +-35 -3090 +-1326 1156 +-2172 2172 +-3375 2636 +699 2081 +-1644 -1708 +1540 -1860 +-1095 4797 +-9544 700 +1097 -827 +-1024 -3072 +-2411 403 +3528 3976 +-802 -5369 +756 -2708 +-1152 366 +1074 -307 +3946 824 +2172 2172 +2084 -106 +1158 1514 +2939 2660 +-4142 -2310 +-2942 79 +3825 -1756 +2917 -423 +4096 0 +2917 423 +3825 1756 +-2942 -79 +-4142 2310 +2939 -2660 +1158 -1514 +2084 106 +2172 -2172 +3946 -824 +1074 307 +-1152 -366 +756 2708 +-802 5369 +3528 -3976 +-2411 -403 +-1024 3072 +1097 827 +-9544 -700 +-1095 -4797 +1540 1860 +-1644 1708 +699 -2081 +-3375 -2636 +-2172 -2172 +-1326 -1156 +-35 3090 +745 1558 +-2250 -2634 +-1842 5883 +-705 3832 +2861 -196 +1448 3496 +-174 -2803 +3104 -396 +4678 108 +-3770 1228 +7 -1298 +1035 -219 +-1759 -3711 +1024 0 +-1759 3711 +1035 219 +7 1298 +-3770 -1228 +4678 -108 +3104 396 +-174 2803 +1448 -3496 +-2880 -3020 +2707 1307 +3260 -3450 +-4894 340 +143 -3478 +3943 180 +2643 2272 +3072 2048 +758 4490 +-3 2228 +600 5202 +-650 -2557 +-2284 -2548 +125 -741 +2396 -1158 +-1448 600 +-2239 -3142 +-1839 2444 +-2759 -744 +1122 -4124 +2147 2648 +-880 -1829 +-4539 -7672 +-7168 0 +-4539 7672 +-880 1829 +2147 -2648 +1122 4124 +-2759 744 +-1839 -2444 +-2239 3142 +-1448 -600 +2396 1158 +125 741 +-2284 2548 +-650 2557 +600 -5202 +-3 -2228 +758 -4490 +3072 -2048 +2643 -2272 +3943 -180 +143 3478 +-4894 -340 +3260 3450 +2707 -1307 +-2880 3020 +1448 3496 +-174 -2803 +3104 -396 +4678 108 +-3770 1228 +7 -1298 +1035 -219 +-1759 -3711 +3496 -2896 +-24 508 +-110 2446 +-2673 -2204 +4064 1402 +2604 3030 +-1000 -4280 +1725 7211 +-1024 0 +1725 -7211 +-1000 4280 +2604 -3030 +4064 -1402 +-2673 2204 +-110 -2446 +-24 -508 +3496 2896 +-646 1881 +-4040 3779 +1066 2913 +-1388 -3606 +-788 -3344 +-2586 -1154 +609 4446 +3072 2048 +-1734 2055 +-1354 2223 +-3972 -817 +-2956 6282 +-1244 4319 +1209 844 +4535 -1020 +600 2896 +-969 1263 +-1154 -7079 +-46 -2421 +280 294 +-740 -741 +844 -2232 +2297 862 +3072 0 +2297 -862 +844 2232 +-740 741 +280 -294 +-46 2421 +-1154 7079 +-969 -1263 +600 -2896 +4535 1020 +1209 -844 +-1244 -4319 +-2956 -6282 +-3972 817 +-1354 -2223 +-1734 -2055 +3072 -2048 +609 -4446 +-2586 1154 +-788 3344 +-1388 3606 +1066 -2913 +-4040 -3779 +-646 -1881 +3496 -2896 +-24 508 +-110 2446 +-2673 -2204 +4064 1402 +2604 3030 +-1000 -4280 +1725 7211 +-3196 300 +663 -4585 +2100 -4501 +-238 -1392 +1186 -2742 +1138 -850 +1951 850 +-1636 3187 +-4096 0 +-1636 -3187 +1951 -850 +1138 850 +1186 2742 +-238 1392 +2100 4501 +663 4585 +-3196 -300 +244 -818 +-3975 2504 +-115 5442 +3308 1257 +3589 1265 +1011 -772 +-3805 378 +-1024 3072 +-884 -3353 +3452 2709 +-2642 2027 +-1260 -1391 +2863 422 +-2705 -6788 +3825 -2572 +1148 1748 +-2276 1191 +-1212 -695 +-1830 -212 +862 8286 +3028 2629 +-622 -1465 +-1923 5426 +2048 0 +-1923 -5426 +-622 1465 +3028 -2629 +862 -8286 +-1830 212 +-1212 695 +-2276 -1191 +1148 -1748 +3825 2572 +-2705 6788 +2863 -422 +-1260 1391 +-2642 -2027 +3452 -2709 +-884 3353 +-1024 -3072 +-3805 -378 +1011 772 +3589 -1265 +3308 -1257 +-115 -5442 +-3975 -2504 +244 818 +-3196 300 +663 -4585 +2100 -4501 +-238 -1392 +1186 -2742 +1138 -850 +1951 850 +-1636 3187 +-1024 0 +-1202 -332 +2420 -711 +620 628 +-880 2102 +5 2881 +1381 -6408 +2819 -6012 +4096 0 +2819 6012 +1381 6408 +5 -2881 +-880 -2102 +620 -628 +2420 711 +-1202 332 +-1024 0 +2465 -1069 +-1443 877 +883 -88 +-540 -7150 +-1368 -885 +2021 972 +-1471 -302 +6144 -2048 +2399 -1212 +-3793 2420 +1681 -376 +-2108 690 +-961 3041 +-1677 2325 +493 -4333 +-1024 0 +-5540 2732 +3596 -737 +-2109 2189 +-4664 1643 +1250 5509 +-2504 4960 +38 2573 +4096 0 +38 -2573 +-2504 -4960 +1250 -5509 +-4664 -1643 +-2109 -2189 +3596 737 +-5540 -2732 +-1024 0 +493 4333 +-1677 -2325 +-961 -3041 +-2108 -690 +1681 376 +-3793 -2420 +2399 1212 +6144 2048 +-1471 302 +2021 -972 +-1368 885 +-540 7150 +883 88 +-1443 -877 +2465 1069 +-1024 0 +-1202 -332 +2420 -711 +620 628 +-880 2102 +5 2881 +1381 -6408 +2819 -6012 +2172 2172 +970 -501 +3766 2865 +-1296 -1416 +-2564 -2284 +780 1670 +-3695 -1964 +-5096 356 +-2048 0 +-5096 -356 +-3695 1964 +780 -1670 +-2564 2284 +-1296 1416 +3766 -2865 +970 501 +2172 -2172 +6112 3323 +241 4858 +-1891 3849 +3118 162 +532 -4888 +-2724 2857 +1294 -2412 +3072 1024 +314 3700 +-2065 -39 +-1738 2654 +1226 162 +2719 6476 +1872 1961 +369 -6135 +-2172 -2172 +-2100 3148 +-86 32 +-1750 388 +-1780 2284 +2643 -1177 +2691 4861 +-1864 -1916 +-4096 0 +-1864 1916 +2691 -4861 +2643 1177 +-1780 -2284 +-1750 -388 +-86 -32 +-2100 -3148 +-2172 2172 +369 6135 +1872 -1961 +2719 -6476 +1226 -162 +-1738 -2654 +-2065 39 +314 -3700 +3072 -1024 +1294 2412 +-2724 -2857 +532 4888 +3118 -162 +-1891 -3849 +241 -4858 +6112 -3323 +2172 2172 +970 -501 +3766 2865 +-1296 -1416 +-2564 -2284 +780 1670 +-3695 -1964 +-5096 356 +-124 -724 +4357 -268 +-983 -1811 +-1590 2786 +6634 3418 +4178 -649 +1590 -1043 +-1339 4708 +-8192 0 +-1339 -4708 +1590 1043 +4178 649 +6634 -3418 +-1590 -2786 +-983 1811 +4357 268 +-124 724 +-1233 6149 +1332 -1580 +1482 -3159 +3524 -32 +-1472 -4156 +967 183 +187 -785 +-3072 -5120 +-1309 -1705 +-5247 523 +3097 1383 +1172 816 +-367 2135 +-40 2544 +-3704 1722 +4220 724 +2147 -375 +-1509 3038 +-3309 941 +958 1526 +-2019 4621 +-4303 -1514 +894 -1844 +-2048 0 +894 1844 +-4303 1514 +-2019 -4621 +958 -1526 +-3309 -941 +-1509 -3038 +2147 375 +4220 -724 +-3704 -1722 +-40 -2544 +-367 -2135 +1172 -816 +3097 -1383 +-5247 -523 +-1309 1705 +-3072 5120 +187 785 +967 -183 +-1472 4156 +3524 32 +1482 3159 +1332 1580 +-1233 -6149 +-124 -724 +4357 -268 +-983 -1811 +-1590 2786 +6634 3418 +4178 -649 +1590 -1043 +-1339 4708 +176 -2472 +-1865 -541 +3836 2707 +-1749 1105 +2572 1194 +1450 2923 +-1657 -2295 +1238 -1701 +-5120 0 +1238 1701 +-1657 2295 +1450 -2923 +2572 -1194 +-1749 -1105 +3836 -2707 +-1865 541 +176 2472 +784 3620 +-1815 478 +-4531 -752 +-7464 -1038 +-2856 -1148 +3827 1628 +2080 -1086 +-5120 0 +-273 208 +-2599 -2600 +-251 -404 +1672 -1638 +-3404 2479 +2155 4277 +1358 -2023 +5968 424 +7082 2778 +-1280 -1804 +107 -3537 +3221 2302 +-352 350 +-2467 -4830 +1180 2683 +3072 0 +1180 -2683 +-2467 4830 +-352 -350 +3221 -2302 +107 3537 +-1280 1804 +7082 -2778 +5968 -424 +1358 2023 +2155 -4277 +-3404 -2479 +1672 1638 +-251 404 +-2599 2600 +-273 -208 +-5120 0 +2080 1086 +3827 -1628 +-2856 1148 +-7464 1038 +-4531 752 +-1815 -478 +784 -3620 +176 -2472 +-1865 -541 +3836 2707 +-1749 1105 +2572 1194 +1450 2923 +-1657 -2295 +1238 -1701 +-4520 -1448 +-782 -684 +2812 2086 +-1139 -2184 +-614 -3072 +-442 3849 +1492 1201 +2808 -3359 +0 0 +2808 3359 +1492 -1201 +-442 -3849 +-614 3072 +-1139 2184 +2812 -2086 +-782 684 +-4520 1448 +-1874 -6566 +500 -6547 +1420 -3131 +-170 -3072 +1533 -1166 +-543 -1072 +-2728 -80 +-2048 -2048 +-4018 1651 +3035 -105 +3977 2339 +-1278 3072 +3436 -4798 +3779 733 +521 -3713 +-1624 1448 +-2328 2648 +-100 -1798 +2963 4981 +2062 -3072 +-5957 -1672 +-2784 967 +2609 -1590 +0 0 +2609 1590 +-2784 -967 +-5957 1672 +2062 3072 +2963 -4981 +-100 1798 +-2328 -2648 +-1624 -1448 +521 3713 +3779 -733 +3436 4798 +-1278 -3072 +3977 -2339 +3035 105 +-4018 -1651 +-2048 2048 +-2728 80 +-543 1072 +1533 1166 +-170 3072 +1420 3131 +500 6547 +-1874 6566 +-4520 -1448 +-782 -684 +2812 2086 +-1139 -2184 +-614 -3072 +-442 3849 +1492 1201 +2808 -3359 +-300 300 +202 -1469 +-5222 -1396 +-3505 -1785 +4646 -5047 +2067 305 +-1445 377 +1098 -1572 +2048 0 +1098 1572 +-1445 -377 +2067 -305 +4646 5047 +-3505 1785 +-5222 1396 +202 1469 +-300 -300 +-2311 1088 +145 592 +2186 -1671 +307 -2604 +1017 -3130 +1033 -1797 +-385 1707 +1024 -1024 +-2707 1778 +-1973 95 +3817 -5071 +1741 -5748 +1746 -2945 +483 -192 +-2565 -5699 +-1748 1748 +-3336 34 +498 612 +14 2728 +-2598 -6290 +4244 -29 +-1712 1515 +-1583 6995 +8192 0 +-1583 -6995 +-1712 -1515 +4244 29 +-2598 6290 +14 -2728 +498 -612 +-3336 -34 +-1748 -1748 +-2565 5699 +483 192 +1746 2945 +1741 5748 +3817 5071 +-1973 -95 +-2707 -1778 +1024 1024 +-385 -1707 +1033 1797 +1017 3130 +307 2604 +2186 1671 +145 -592 +-2311 -1088 +-300 300 +202 -1469 +-5222 -1396 +-3505 -1785 +4646 -5047 +2067 305 +-1445 377 +1098 -1572 +-5244 724 +-5028 -3002 +4189 304 +-689 5604 +-2140 392 +325 1856 +2389 -1438 +2976 852 +-1024 0 +2976 -852 +2389 1438 +325 -1856 +-2140 -392 +-689 -5604 +4189 -304 +-5028 3002 +-5244 -724 +1848 1157 +4078 -1075 +4001 -1765 +646 -946 +-64 2175 +-2665 -1698 +-1643 -4685 +-2048 -1024 +-2718 -125 +2821 -2586 +2325 -2297 +-1246 -946 +-2510 243 +-2813 3389 +-3411 -1375 +-900 -724 +-1418 -1747 +-1358 -1633 +-361 1756 +-1356 -392 +8559 -977 +1551 -5243 +-2191 1492 +9216 0 +-2191 -1492 +1551 5243 +8559 977 +-1356 392 +-361 -1756 +-1358 1633 +-1418 1747 +-900 724 +-3411 1375 +-2813 -3389 +-2510 -243 +-1246 946 +2325 2297 +2821 2586 +-2718 125 +-2048 1024 +-1643 4685 +-2665 1698 +-64 -2175 +646 946 +4001 1765 +4078 1075 +1848 -1157 +-5244 724 +-5028 -3002 +4189 304 +-689 5604 +-2140 392 +325 1856 +2389 -1438 +2976 852 +-1872 -5368 +3666 548 +1124 -1212 +803 -3796 +-6726 1748 +-1321 -2207 +6810 1961 +1688 2759 +1024 0 +1688 -2759 +6810 -1961 +-1321 2207 +-6726 -1748 +803 3796 +1124 1212 +3666 -548 +-1872 5368 +-3782 898 +-1501 1083 +-3053 4984 +-829 300 +-2910 1700 +1468 6385 +671 -4264 +-1024 -2048 +5337 1280 +3816 -571 +2317 2599 +-370 -300 +-1893 2854 +-3471 -1317 +-2649 -1037 +3920 3320 +1877 -1154 +-744 -2388 +-321 778 +-266 1748 +584 -3574 +691 -1924 +-1015 -1679 +-3072 0 +-1015 1679 +691 1924 +584 3574 +-266 -1748 +-321 -778 +-744 2388 +1877 1154 +3920 -3320 +-2649 1037 +-3471 1317 +-1893 -2854 +-370 300 +2317 -2599 +3816 571 +5337 -1280 +-1024 2048 +671 4264 +1468 -6385 +-2910 -1700 +-829 -300 +-3053 -4984 +-1501 -1083 +-3782 -898 +-1872 -5368 +3666 548 +1124 -1212 +803 -3796 +-6726 1748 +-1321 -2207 +6810 1961 +1688 2759 +1324 -1148 +-1984 -6095 +2300 -848 +3681 2760 +-1741 1800 +949 1933 +211 -1053 +-3629 947 +-1024 0 +-3629 -947 +211 1053 +949 -1933 +-1741 -1800 +3681 -2760 +2300 848 +-1984 6095 +1324 1148 +2647 249 +1167 2036 +-1536 3244 +-4646 3478 +2065 312 +-348 464 +347 2380 +2048 3072 +-3492 -1003 +688 501 +-3338 4799 +2598 -18 +2732 540 +-5291 -1565 +-223 -2846 +2772 3196 +2665 -1985 +-1073 -1553 +4938 3096 +-307 -1200 +-3699 -2871 +2346 -5903 +-2122 -6916 +-3072 0 +-2122 6916 +2346 5903 +-3699 2871 +-307 1200 +4938 -3096 +-1073 1553 +2665 1985 +2772 -3196 +-223 2846 +-5291 1565 +2732 -540 +2598 18 +-3338 -4799 +688 -501 +-3492 1003 +2048 -3072 +347 -2380 +-348 -464 +2065 -312 +-4646 -3478 +-1536 -3244 +1167 -2036 +2647 -249 +1324 -1148 +-1984 -6095 +2300 -848 +3681 2760 +-1741 1800 +949 1933 +211 -1053 +-3629 947 +3196 2348 +1877 -359 +2113 2352 +-1468 -650 +1186 1486 +4479 450 +-392 -3123 +-86 3265 +0 0 +-86 -3265 +-392 3123 +4479 -450 +1186 -1486 +-1468 650 +2113 -2352 +1877 359 +3196 -2348 +248 700 +2510 4390 +2397 405 +3308 5056 +3293 -2277 +-3402 -5391 +-5419 355 +-3072 -1024 +-479 1709 +-4846 -1230 +-2277 -972 +-1260 -488 +1225 -666 +2603 3346 +-4670 3232 +-1148 3796 +-352 -4102 +-1433 -5093 +742 779 +862 1162 +-2598 -344 +2848 -2605 +3088 5080 +-6144 0 +3088 -5080 +2848 2605 +-2598 344 +862 -1162 +742 -779 +-1433 5093 +-352 4102 +-1148 -3796 +-4670 -3232 +2603 -3346 +1225 666 +-1260 488 +-2277 972 +-4846 1230 +-479 -1709 +-3072 1024 +-5419 -355 +-3402 5391 +3293 2277 +3308 -5056 +2397 -405 +2510 -4390 +248 -700 +3196 2348 +1877 -359 +2113 2352 +-1468 -650 +1186 1486 +4479 450 +-392 -3123 +-86 3265 +600 5368 +691 1871 +4064 988 +2916 -2810 +-2022 -3196 +3084 1392 +-1574 617 +-2000 891 +6144 0 +-2000 -891 +-1574 -617 +3084 -1392 +-2022 3196 +2916 2810 +4064 -988 +691 -1871 +600 -5368 +-2644 -1219 +-901 -3651 +-3285 -1106 +-4594 1148 +3441 -6197 +1008 665 +-1786 2023 +2048 2048 +-841 -875 +-2937 1 +-505 1683 +-350 -1148 +-3856 4732 +-3483 -3207 +2197 -3985 +3496 -3320 +1324 -3988 +-879 2352 +440 -487 +2870 -3196 +-2236 -2648 +-3489 1615 +3060 -3140 +6144 0 +3060 3140 +-3489 -1615 +-2236 2648 +2870 3196 +440 487 +-879 -2352 +1324 3988 +3496 3320 +2197 3985 +-3483 3207 +-3856 -4732 +-350 1148 +-505 -1683 +-2937 -1 +-841 875 +2048 -2048 +-1786 -2023 +1008 -665 +3441 6197 +-4594 -1148 +-3285 1106 +-901 3651 +-2644 1219 +600 5368 +691 1871 +4064 988 +2916 -2810 +-2022 -3196 +3084 1392 +-1574 617 +-2000 891 +3372 300 +5766 -3666 +2432 -2002 +-2652 4482 +-208 3008 +-1364 -1621 +-407 -4925 +3107 5465 +-1024 0 +3107 -5465 +-407 4925 +-1364 1621 +-208 -3008 +-2652 -4482 +2432 2002 +5766 3666 +3372 -300 +-1270 1937 +1669 -2298 +-2387 1031 +-4442 -886 +-807 -4977 +-2771 715 +-1218 -1113 +2048 -3072 +174 -5501 +-3909 -3853 +-425 -1455 +-2550 562 +544 4097 +-340 -2623 +-3979 -3040 +4820 1748 +-518 2162 +2032 1677 +4495 -455 +-992 -1560 +2596 -2088 +1295 357 +-2063 1811 +-3072 0 +-2063 -1811 +1295 -357 +2596 2088 +-992 1560 +4495 455 +2032 -1677 +-518 -2162 +4820 -1748 +-3979 3040 +-340 2623 +544 -4097 +-2550 -562 +-425 1455 +-3909 3853 +174 5501 +2048 3072 +-1218 1113 +-2771 -715 +-807 4977 +-4442 886 +-2387 -1031 +1669 2298 +-1270 -1937 +3372 300 +5766 -3666 +2432 -2002 +-2652 4482 +-208 3008 +-1364 -1621 +-407 -4925 +3107 5465 +-1448 -3072 +1375 2722 +-3973 3802 +94 2920 +-130 -928 +364 -2545 +4501 2768 +-4651 65 +-8192 0 +-4651 -65 +4501 -2768 +364 2545 +-130 928 +94 -2920 +-3973 -3802 +1375 -2722 +-1448 3072 +804 1478 +1132 -306 +511 2660 +-3810 1408 +-2739 -4016 +1274 -3368 +-5157 1560 +4096 -4096 +1817 -3209 +-1393 3368 +3404 293 +-1134 808 +1721 542 +1204 306 +-360 -481 +1448 -3072 +-931 3255 +-1259 3802 +2138 2604 +978 4424 +301 511 +-1486 2768 +1310 5502 +8192 0 +1310 -5502 +-1486 -2768 +301 -511 +978 -4424 +2138 -2604 +-1259 -3802 +-931 -3255 +1448 3072 +-360 481 +1204 -306 +1721 -542 +-1134 -808 +3404 -293 +-1393 -3368 +1817 3209 +4096 4096 +-5157 -1560 +1274 3368 +-2739 4016 +-3810 -1408 +511 -2660 +1132 306 +804 -1478 +-1448 -3072 +1375 2722 +-3973 3802 +94 2920 +-130 -928 +364 -2545 +4501 2768 +-4651 65 +2896 -424 +2634 118 +3429 3623 +-482 2013 +3830 724 +-1527 946 +-6222 4030 +1682 839 +4096 0 +1682 -839 +-6222 -4030 +-1527 -946 +3830 -724 +-482 -2013 +3429 -3623 +2634 -118 +2896 424 +4582 380 +-2076 -278 +-3777 -1684 +3726 -724 +3017 923 +-1857 4949 +2228 -2977 +-2048 -2048 +-1579 -1750 +1376 -2108 +-2190 4630 +3267 724 +-1077 2266 +-3757 -2130 +884 431 +-2896 2472 +-5203 -2964 +-1693 2014 +2439 -960 +-2630 724 +-2197 5657 +2606 4595 +565 3284 +0 0 +565 -3284 +2606 -4595 +-2197 -5657 +-2630 -724 +2439 960 +-1693 -2014 +-5203 2964 +-2896 -2472 +884 -431 +-3757 2130 +-1077 -2266 +3267 -724 +-2190 -4630 +1376 2108 +-1579 1750 +-2048 2048 +2228 2977 +-1857 -4949 +3017 -923 +3726 724 +-3777 1684 +-2076 278 +4582 -380 +2896 -424 +2634 118 +3429 3623 +-482 2013 +3830 724 +-1527 946 +-6222 4030 +1682 839 +600 -2472 +-3523 709 +-5799 459 +515 -3524 +6146 -808 +5025 3220 +454 -948 +642 2363 +0 0 +642 -2363 +454 948 +5025 -3220 +6146 808 +515 3524 +-5799 -459 +-3523 -709 +600 2472 +-4307 75 +-1225 -3178 +-265 2736 +2037 -928 +2349 -5085 +-99 -3074 +1104 -2242 +0 -2048 +-2044 -3302 +-5852 2942 +2776 -190 +11 -4424 +-2142 772 +-54 -1405 +-6839 -1983 +3496 424 +2875 1017 +86 -1583 +765 -7 +-4098 1408 +2563 107 +4298 4067 +506 361 +0 0 +506 -361 +4298 -4067 +2563 -107 +-4098 -1408 +765 7 +86 1583 +2875 -1017 +3496 -424 +-6839 1983 +-54 1405 +-2142 -772 +11 4424 +2776 190 +-5852 -2942 +-2044 3302 +0 2048 +1104 2242 +-99 3074 +2349 5085 +2037 928 +-265 -2736 +-1225 3178 +-4307 -75 +600 -2472 +-3523 709 +-5799 459 +515 -3524 +6146 -808 +5025 3220 +454 -948 +642 2363 +2772 -3620 +-5153 3543 +962 2351 +-1066 -3739 +-222 2394 +-235 -246 +-651 -7682 +5474 1276 +2048 0 +5474 -1276 +-651 7682 +-235 246 +-222 -2394 +-1066 3739 +962 -2351 +-5153 -3543 +2772 3620 +-2726 7140 +-3527 5045 +1764 371 +-1116 -1056 +483 829 +-4477 4246 +-71 2829 +3072 -1024 +704 -1044 +3213 -1733 +2932 698 +-332 1840 +125 -1612 +-413 -328 +-1369 1602 +1324 3620 +-1217 1383 +-1118 -3628 +-1062 1517 +1670 502 +2851 -5916 +-2181 -2394 +-1435 -477 +0 0 +-1435 477 +-2181 2394 +2851 5916 +1670 -502 +-1062 -1517 +-1118 3628 +-1217 -1383 +1324 -3620 +-1369 -1602 +-413 328 +125 1612 +-332 -1840 +2932 -698 +3213 1733 +704 1044 +3072 1024 +-71 -2829 +-4477 -4246 +483 -829 +-1116 1056 +1764 -371 +-3527 -5045 +-2726 -7140 +2772 -3620 +-5153 3543 +962 2351 +-1066 -3739 +-222 2394 +-235 -246 +-651 -7682 +5474 1276 +-300 2172 +-886 2248 +-8078 3990 +-283 6166 +895 -1260 +4442 -6858 +2551 1425 +-1300 -2158 +5120 0 +-1300 2158 +2551 -1425 +4442 6858 +895 1260 +-283 -6166 +-8078 -3990 +-886 -2248 +-300 -2172 +-1165 416 +1941 -3224 +-4222 -3934 +118 1186 +2112 -3244 +-860 356 +-1939 -872 +0 -3072 +1864 -238 +-1152 476 +3594 2228 +4227 -862 +-2049 -128 +-388 -887 +2849 -315 +-1748 -2172 +-1447 -2850 +3629 1243 +-2583 3744 +-5240 3308 +-1012 -1343 +2356 1591 +2024 -471 +-1024 0 +2024 471 +2356 -1591 +-1012 1343 +-5240 -3308 +-2583 -3744 +3629 -1243 +-1447 2850 +-1748 2172 +2849 315 +-388 887 +-2049 128 +4227 862 +3594 -2228 +-1152 -476 +1864 238 +0 3072 +-1939 872 +-860 -356 +2112 3244 +118 -1186 +-4222 3934 +1941 3224 +-1165 -416 +-300 2172 +-886 2248 +-8078 3990 +-283 6166 +895 -1260 +4442 -6858 +2551 1425 +-1300 -2158 +124 3372 +4481 -2253 +3695 -3071 +-833 3693 +-1910 -78 +-3084 -3035 +-2411 1098 +1938 519 +2048 0 +1938 -519 +-2411 -1098 +-3084 3035 +-1910 78 +-833 -3693 +3695 3071 +4481 2253 +124 -3372 +-87 186 +4029 474 +-2088 -2158 +-2584 -632 +2874 -149 +4788 2476 +2503 3526 +1024 -1024 +2562 -1207 +-728 -539 +1800 -1773 +1984 1416 +-1521 235 +-3534 -4758 +-183 -1141 +-4220 4820 +-4419 4710 +2802 735 +-8270 -2868 +-1586 -1970 +-464 -157 +-449 -1217 +4791 -1467 +-4096 0 +4791 1467 +-449 1217 +-464 157 +-1586 1970 +-8270 2868 +2802 -735 +-4419 -4710 +-4220 -4820 +-183 1141 +-3534 4758 +-1521 -235 +1984 -1416 +1800 1773 +-728 539 +2562 1207 +1024 1024 +2503 -3526 +4788 -2476 +2874 149 +-2584 632 +-2088 2158 +4029 -474 +-87 -186 +124 3372 +4481 -2253 +3695 -3071 +-833 3693 +-1910 -78 +-3084 -3035 +-2411 1098 +1938 519 +-3372 -300 +-1479 829 +-1720 -1048 +-3150 -3712 +1102 666 +1950 733 +-4216 1451 +-1556 -510 +7168 0 +-1556 510 +-4216 -1451 +1950 -733 +1102 -666 +-3150 3712 +-1720 1048 +-1479 -829 +-3372 300 +-2408 -3712 +-5060 -1333 +1796 1569 +1656 3348 +-128 2497 +3405 4026 +2885 2699 +2048 -3072 +-2740 1722 +-1210 85 +-2058 -3801 +2440 -997 +468 2053 +-1881 1499 +2985 -2970 +-4820 -1748 +3798 -2983 +4565 -216 +-2010 1299 +2994 -5010 +-2660 -4135 +-2075 -1296 +4308 2429 +5120 0 +4308 -2429 +-2075 1296 +-2660 4135 +2994 5010 +-2010 -1299 +4565 216 +3798 2983 +-4820 1748 +2985 2970 +-1881 -1499 +468 -2053 +2440 997 +-2058 3801 +-1210 -85 +-2740 -1722 +2048 3072 +2885 -2699 +3405 -4026 +-128 -2497 +1656 -3348 +1796 -1569 +-5060 1333 +-2408 3712 +-3372 -300 +-1479 829 +-1720 -1048 +-3150 -3712 +1102 666 +1950 733 +-4216 1451 +-1556 -510 +-3196 1748 +421 1991 +-2567 919 +1009 2756 +7627 4770 +-283 -750 +-1721 410 +2428 -658 +4096 0 +2428 658 +-1721 -410 +-283 750 +7627 -4770 +1009 -2756 +-2567 -919 +421 -1991 +-3196 -1748 +293 -618 +-247 2643 +-883 -6053 +-937 -3913 +2385 5174 +1454 1281 +2795 1046 +7168 1024 +-3668 -1589 +622 -11 +1205 3545 +-4856 2480 +-4560 1028 +-4358 -69 +2244 -591 +1148 300 +1505 -1076 +180 3361 +-2247 -138 +-1834 -2474 +-2420 449 +-1555 -2902 +-227 4703 +2048 0 +-227 -4703 +-1555 2902 +-2420 -449 +-1834 2474 +-2247 138 +180 -3361 +1505 1076 +1148 -300 +2244 591 +-4358 69 +-4560 -1028 +-4856 -2480 +1205 -3545 +622 11 +-3668 1589 +7168 -1024 +2795 -1046 +1454 -1281 +2385 -5174 +-937 3913 +-883 6053 +-247 -2643 +293 618 +-3196 1748 +421 1991 +-2567 919 +1009 2756 +7627 4770 +-283 -750 +-1721 410 +2428 -658 +-300 3796 +-1253 720 +5145 6624 +-1061 2791 +-2524 -2408 +1151 2446 +1620 -4962 +1253 -4529 +-4096 0 +1253 4529 +1620 4962 +1151 -2446 +-2524 2408 +-1061 -2791 +5145 -6624 +-1253 -720 +-300 -3796 +-15 -1566 +2973 534 +2489 1829 +-2754 4383 +2304 1405 +3369 668 +-887 3170 +1024 -1024 +2301 -877 +-1541 6656 +2474 126 +706 -4058 +-2019 -3522 +863 -4345 +444 2044 +-1748 2348 +-1393 673 +907 -3312 +-4761 -2790 +476 2160 +-577 1627 +-5144 2759 +-451 -1734 +-2048 0 +-451 1734 +-5144 -2759 +-577 -1627 +476 -2160 +-4761 2790 +907 3312 +-1393 -673 +-1748 -2348 +444 -2044 +863 4345 +-2019 3522 +706 4058 +2474 -126 +-1541 -6656 +2301 877 +1024 1024 +-887 -3170 +3369 -668 +2304 -1405 +-2754 -4383 +2489 -1829 +2973 -534 +-15 1566 +-300 3796 +-1253 720 +5145 6624 +-1061 2791 +-2524 -2408 +1151 2446 +1620 -4962 +1253 -4529 +2772 300 +-1072 -1240 +3264 2741 +-783 3544 +-2624 3045 +1570 3688 +-2639 3338 +-1161 1823 +1024 0 +-1161 -1823 +-2639 -3338 +1570 -3688 +-2624 -3045 +-783 -3544 +3264 -2741 +-1072 1240 +2772 -300 +-1279 -523 +-2153 -1965 +4507 -2633 +4286 2714 +-2798 461 +-884 1351 +2631 -4637 +-2048 -7168 +1582 1500 +-225 -4637 +-1456 -1210 +-1390 2962 +-1297 2387 +-522 2915 +-1703 -4397 +1324 1748 +-1298 -755 +-588 -6054 +-211 2732 +-273 5396 +468 4088 +3748 -1134 +2299 -1999 +-5120 0 +2299 1999 +3748 1134 +468 -4088 +-273 -5396 +-211 -2732 +-588 6054 +-1298 755 +1324 -1748 +-1703 4397 +-522 -2915 +-1297 -2387 +-1390 -2962 +-1456 1210 +-225 4637 +1582 -1500 +-2048 7168 +2631 4637 +-884 -1351 +-2798 -461 +4286 -2714 +4507 2633 +-2153 1965 +-1279 523 +2772 300 +-1072 -1240 +3264 2741 +-783 3544 +-2624 3045 +1570 3688 +-2639 3338 +-1161 1823 +3796 -900 +1132 -1717 +-918 233 +-2484 -1472 +3602 -3054 +3451 4184 +16 -3586 +734 1242 +0 0 +734 -1242 +16 3586 +3451 -4184 +3602 3054 +-2484 1472 +-918 -233 +1132 1717 +3796 900 +3070 1387 +-3076 -1232 +-5686 1722 +-5421 -1272 +1516 -692 +2091 2299 +-3032 1773 +-1024 -1024 +-1169 4313 +-2091 5131 +-4030 -2753 +-2420 4272 +2697 -205 +3076 -1388 +5503 1730 +2348 -5244 +-1458 1043 +918 3707 +360 -2095 +143 406 +-1617 6099 +-16 2322 +1012 -2118 +-2048 0 +1012 2118 +-16 -2322 +-1617 -6099 +143 -406 +360 2095 +918 -3707 +-1458 -1043 +2348 5244 +5503 -1730 +3076 1388 +2697 205 +-2420 -4272 +-4030 2753 +-2091 -5131 +-1169 -4313 +-1024 1024 +-3032 -1773 +2091 -2299 +1516 692 +-5421 1272 +-5686 -1722 +-3076 1232 +3070 -1387 +3796 -900 +1132 -1717 +-918 233 +-2484 -1472 +3602 -3054 +3451 4184 +16 -3586 +734 1242 +1324 724 +-4437 756 +2585 -2721 +3650 -3489 +-4770 2310 +-3187 -1703 +351 -3102 +-1263 -2861 +-2048 0 +-1263 2861 +351 3102 +-3187 1703 +-4770 -2310 +3650 3489 +2585 2721 +-4437 -756 +1324 -724 +2940 -4189 +-461 -2755 +5350 -785 +3913 -2708 +-4286 -1098 +-3381 1261 +-945 2039 +1024 1024 +3367 -6060 +669 -2863 +550 -4817 +2480 -1860 +-948 4075 +-2031 -5312 +1495 2159 +2772 -724 +650 -1769 +-1292 3060 +1083 -1227 +2474 2634 +-2213 -7 +-4631 1874 +-1808 -1014 +0 0 +-1808 1014 +-4631 -1874 +-2213 7 +2474 -2634 +1083 1227 +-1292 -3060 +650 1769 +2772 724 +1495 -2159 +-2031 5312 +-948 -4075 +2480 1860 +550 4817 +669 2863 +3367 6060 +1024 -1024 +-945 -2039 +-3381 -1261 +-4286 1098 +3913 2708 +5350 785 +-461 2755 +2940 4189 +1324 724 +-4437 756 +2585 -2721 +3650 -3489 +-4770 2310 +-3187 -1703 +351 -3102 +-1263 -2861 +-2648 0 +3186 -1480 +7652 -1526 +524 -750 +1388 4050 +1119 -713 +-867 1696 +2989 2968 +3072 0 +2989 -2968 +-867 -1696 +1119 713 +1388 -4050 +524 750 +7652 1526 +3186 1480 +-2648 0 +-2356 1620 +-360 4645 +270 -1635 +-280 1938 +326 1111 +-1951 -2202 +83 -2406 +-1024 -2048 +-1616 -87 +1887 -1942 +1279 -1152 +-4064 738 +-7366 -2345 +3412 -2975 +870 1475 +-5544 0 +1196 4232 +-815 3795 +3676 2937 +2956 2942 +-5620 -4447 +-765 260 +1440 -3545 +-1024 0 +1440 3545 +-765 -260 +-5620 4447 +2956 -2942 +3676 -2937 +-815 -3795 +1196 -4232 +-5544 0 +870 -1475 +3412 2975 +-7366 2345 +-4064 -738 +1279 1152 +1887 1942 +-1616 87 +-1024 2048 +83 2406 +-1951 2202 +326 -1111 +-280 -1938 +270 1635 +-360 -4645 +-2356 -1620 +-2648 0 +3186 -1480 +7652 -1526 +524 -750 +1388 4050 +1119 -713 +-867 1696 +2989 2968 +2348 2172 +2901 1551 +-2800 -166 +-1134 -4222 +-18 -606 +-5047 4016 +-5799 -247 +-2075 -1749 +3072 0 +-2075 1749 +-5799 247 +-5047 -4016 +-18 606 +-1134 4222 +-2800 166 +2901 -1551 +2348 -2172 +-683 -6740 +-1971 -567 +3614 3657 +-1800 -4516 +-2226 2201 +3285 4814 +-911 550 +2048 3072 +-1603 1753 +-765 2138 +3233 -1281 +1200 -1620 +395 -1856 +-401 -1676 +1155 1987 +3796 -2172 +4195 -393 +1077 -943 +260 1844 +-3478 3503 +905 -4363 +-817 -2429 +-2979 -4617 +5120 0 +-2979 4617 +-817 2429 +905 4363 +-3478 -3503 +260 -1844 +1077 943 +4195 393 +3796 2172 +1155 -1987 +-401 1676 +395 1856 +1200 1620 +3233 1281 +-765 -2138 +-1603 -1753 +2048 -3072 +-911 -550 +3285 -4814 +-2226 -2201 +-1800 4516 +3614 -3657 +-1971 567 +-683 6740 +2348 2172 +2901 1551 +-2800 -166 +-1134 -4222 +-18 -606 +-5047 4016 +-5799 -247 +-2075 -1749 +1148 3196 +-815 1660 +-1453 -2557 +-1576 -886 +2754 -222 +1586 98 +2799 2262 +1553 -2013 +-2048 0 +1553 2013 +2799 -2262 +1586 -98 +2754 222 +-1576 886 +-1453 2557 +-815 -1660 +1148 -3196 +2397 2901 +-3609 4545 +1166 -3249 +-476 -1116 +-4459 -1643 +-3893 -8517 +-1012 -848 +3072 -1024 +-597 -2623 +957 2364 +1619 -1778 +2524 332 +-601 -544 +-2903 -4578 +-4805 -1283 +-3196 -1148 +3223 1725 +-1924 1626 +1011 457 +-706 1670 +1255 -3368 +1834 427 +57 7806 +8192 0 +57 -7806 +1834 -427 +1255 3368 +-706 -1670 +1011 -457 +-1924 -1626 +3223 -1725 +-3196 1148 +-4805 1283 +-2903 4578 +-601 544 +2524 -332 +1619 1778 +957 -2364 +-597 2623 +3072 1024 +-1012 848 +-3893 8517 +-4459 1643 +-476 1116 +1166 3249 +-3609 -4545 +2397 -2901 +1148 3196 +-815 1660 +-1453 -2557 +-1576 -886 +2754 -222 +1586 98 +2799 2262 +1553 -2013 +-176 -3072 +-5202 -3948 +3515 191 +2435 -3791 +2122 -653 +3821 501 +-1425 -2006 +677 -4777 +3072 0 +677 4777 +-1425 2006 +3821 -501 +2122 653 +2435 3791 +3515 -191 +-5202 3948 +-176 3072 +-1011 511 +-1993 2112 +2215 -1752 +-2446 337 +2795 -2436 +5443 -1890 +3164 1254 +-3072 0 +-4822 -680 +2297 3499 +975 581 +2446 -7256 +-1245 -853 +-2299 729 +817 -569 +-5968 -3072 +-1284 -1595 +1978 -374 +-2076 -1990 +-2122 5348 +-3126 1628 +678 402 +1870 4171 +-1024 0 +1870 -4171 +678 -402 +-3126 -1628 +-2122 -5348 +-2076 1990 +1978 374 +-1284 1595 +-5968 3072 +817 569 +-2299 -729 +-1245 853 +2446 7256 +975 -581 +2297 -3499 +-4822 680 +-3072 0 +3164 -1254 +5443 1890 +2795 2436 +-2446 -337 +2215 1752 +-1993 -2112 +-1011 -511 +-176 -3072 +-5202 -3948 +3515 191 +2435 -3791 +2122 -653 +3821 501 +-1425 -2006 +677 -4777 +724 -724 +-1353 -7254 +3108 410 +-2531 -857 +646 -2598 +4742 2775 +1896 -293 +3472 2993 +0 0 +3472 -2993 +1896 293 +4742 -2775 +646 2598 +-2531 857 +3108 -410 +-1353 7254 +724 724 +24 -2860 +3418 -3406 +2390 -3553 +-1356 1741 +-2008 3181 +-2933 5005 +3184 -2457 +-3072 -5120 +-3865 4505 +73 -227 +-520 -4172 +-2140 -307 +-1904 -3450 +3079 -3287 +525 1673 +-724 724 +-2980 -7 +-2612 2606 +476 2087 +-1246 4646 +-646 2583 +2163 -2043 +994 3761 +-2048 0 +994 -3761 +2163 2043 +-646 -2583 +-1246 -4646 +476 -2087 +-2612 -2606 +-2980 7 +-724 -724 +525 -1673 +3079 3287 +-1904 3450 +-2140 307 +-520 4172 +73 227 +-3865 -4505 +-3072 5120 +3184 2457 +-2933 -5005 +-2008 -3181 +-1356 -1741 +2390 3553 +3418 3406 +24 2860 +724 -724 +-1353 -7254 +3108 410 +-2531 -857 +646 -2598 +4742 2775 +1896 -293 +3472 2993 +1872 1448 +-627 1913 +-1517 -983 +-5022 3198 +1278 1533 +4517 -798 +-457 -1435 +-1262 6579 +-2048 0 +-1262 -6579 +-457 1435 +4517 798 +1278 -1533 +-5022 -3198 +-1517 983 +-627 -1913 +1872 -1448 +771 3214 +-547 1755 +593 4058 +614 204 +1489 -1300 +-719 -1230 +2502 -545 +2048 -2048 +1327 -2783 +1383 3206 +-4990 5978 +-2062 5148 +2429 2178 +991 1783 +-4978 435 +-3920 -1448 +1049 348 +-1823 6307 +433 -332 +170 -684 +551 4630 +2689 -5218 +1217 4415 +2048 0 +1217 -4415 +2689 5218 +551 -4630 +170 684 +433 332 +-1823 -6307 +1049 -348 +-3920 1448 +-4978 -435 +991 -1783 +2429 -2178 +-2062 -5148 +-4990 -5978 +1383 -3206 +1327 2783 +2048 2048 +2502 545 +-719 1230 +1489 1300 +614 -204 +593 -4058 +-547 -1755 +771 -3214 +1872 1448 +-627 1913 +-1517 -983 +-5022 3198 +1278 1533 +4517 -798 +-457 -1435 +-1262 6579 +3920 2048 +2835 -4543 +-2163 309 +-6064 -901 +-2967 -2048 +-2850 -3070 +-3872 -349 +494 -298 +2048 0 +494 298 +-3872 349 +-2850 3070 +-2967 2048 +-6064 901 +-2163 -309 +2835 4543 +3920 -2048 +-764 -2921 +-1459 5435 +-838 -901 +-2082 -2048 +832 -859 +1586 -1079 +648 -1540 +2048 0 +2636 -2597 +-2370 -4603 +1902 -186 +4378 2048 +-577 3022 +3351 -618 +-3941 3446 +-1872 2048 +4765 783 +271 3527 +4583 -1545 +-3426 -2048 +-2781 -5025 +4656 -1479 +-881 3097 +-2048 0 +-881 -3097 +4656 1479 +-2781 5025 +-3426 2048 +4583 1545 +271 -3527 +4765 -783 +-1872 -2048 +-3941 -3446 +3351 618 +-577 -3022 +4378 -2048 +1902 186 +-2370 4603 +2636 2597 +2048 0 +648 1540 +1586 1079 +832 859 +-2082 2048 +-838 901 +-1459 -5435 +-764 2921 +3920 2048 +2835 -4543 +-2163 309 +-6064 -901 +-2967 -2048 +-2850 -3070 +-3872 -349 +494 -298 +-3920 2472 +39 3575 +-233 -339 +-2429 -2508 +0 -7091 +-1230 -1487 +-1076 3575 +-2631 2829 +-5120 0 +-2631 -2829 +-1076 -3575 +-1230 1487 +0 7091 +-2429 2508 +-233 339 +39 -3575 +-3920 -2472 +1614 -3327 +-470 -4661 +-3433 -1052 +0 475 +3469 5167 +5311 -1544 +-2466 1734 +-1024 4096 +3437 -2744 +861 4212 +-923 2494 +0 -4718 +3239 780 +-38 4732 +2156 -2069 +1872 -424 +655 -1428 +1941 -3053 +-4058 -123 +0 -2198 +-429 143 +1897 -2412 +2990 -627 +-5120 0 +2990 627 +1897 2412 +-429 -143 +0 2198 +-4058 123 +1941 3053 +655 1428 +1872 424 +2156 2069 +-38 -4732 +3239 -780 +0 4718 +-923 -2494 +861 -4212 +3437 2744 +-1024 -4096 +-2466 -1734 +5311 1544 +3469 -5167 +0 -475 +-3433 1052 +-470 4661 +1614 3327 +-3920 2472 +39 3575 +-233 -339 +-2429 -2508 +0 -7091 +-1230 -1487 +-1076 3575 +-2631 2829 +4520 424 +3203 2435 +-5902 1596 +-519 920 +1219 -40 +208 812 +103 2772 +136 -52 +1024 0 +136 52 +103 -2772 +208 -812 +1219 40 +-519 -920 +-5902 -1596 +3203 -2435 +4520 -424 +-2620 -820 +-940 -1905 +-4477 1534 +1782 5872 +2676 4842 +23 -1435 +298 -2745 +1024 -2048 +4142 -1665 +-2411 1057 +515 -939 +-4678 -520 +-4254 -1484 +3016 -6185 +-2243 -1426 +1624 -2472 +3908 -4370 +2626 1117 +-2783 -3050 +1678 -2257 +2840 87 +-4707 -1480 +-1030 5596 +1024 0 +-1030 -5596 +-4707 1480 +2840 -87 +1678 2257 +-2783 3050 +2626 -1117 +3908 4370 +1624 2472 +-2243 1426 +3016 6185 +-4254 1484 +-4678 520 +515 939 +-2411 -1057 +4142 1665 +1024 2048 +298 2745 +23 1435 +2676 -4842 +1782 -5872 +-4477 -1534 +-940 1905 +-2620 820 +4520 424 +3203 2435 +-5902 1596 +-519 920 +1219 -40 +208 812 +103 2772 +136 -52 +1448 2648 +441 -2453 +4964 293 +8536 -1675 +-1084 2962 +-456 1555 +1584 -4034 +-2839 -932 +-1024 0 +-2839 932 +1584 4034 +-456 -1555 +-1084 -2962 +8536 1675 +4964 -293 +441 2453 +1448 -2648 +5838 -1586 +2243 1316 +-4524 -1695 +144 3966 +-360 148 +-2287 -1309 +-392 217 +-1024 0 +-2205 -2766 +-113 1248 +-1388 2855 +-3640 -5074 +459 2355 +-2207 89 +-3475 791 +-1448 5544 +979 -2531 +1991 -4417 +-2903 -3852 +484 286 +637 3161 +2016 3695 +1653 2174 +-5120 0 +1653 -2174 +2016 -3695 +637 -3161 +484 -286 +-2903 3852 +1991 4417 +979 2531 +-1448 -5544 +-3475 -791 +-2207 -89 +459 -2355 +-3640 5074 +-1388 -2855 +-113 -1248 +-2205 2766 +-1024 0 +-392 -217 +-2287 1309 +-360 -148 +144 -3966 +-4524 1695 +2243 -1316 +5838 1586 +1448 2648 +441 -2453 +4964 293 +8536 -1675 +-1084 2962 +-456 1555 +1584 -4034 +-2839 -932 +3196 3796 +1653 852 +1102 -1675 +-1999 5784 +2818 5610 +4657 -6555 +2625 -1812 +1838 980 +-2048 0 +1838 -980 +2625 1812 +4657 6555 +2818 -5610 +-1999 -5784 +1102 1675 +1653 -852 +3196 -3796 +-292 -972 +-81 -1089 +-1743 609 +-3528 2500 +4288 -1603 +-2558 5909 +-1013 3955 +3072 1024 +-2818 2217 +-117 -2495 +-3256 -115 +-4312 -148 +-2618 -3287 +1189 -4937 +4045 1331 +-1148 2348 +625 251 +-2210 -477 +-4104 -2688 +926 -66 +-1018 1050 +51 3297 +1754 -1518 +0 0 +1754 1518 +51 -3297 +-1018 -1050 +926 66 +-4104 2688 +-2210 477 +625 -251 +-1148 -2348 +4045 -1331 +1189 4937 +-2618 3287 +-4312 148 +-3256 115 +-117 2495 +-2818 -2217 +3072 -1024 +-1013 -3955 +-2558 -5909 +4288 1603 +-3528 -2500 +-1743 -609 +-81 1089 +-292 972 +3196 3796 +1653 852 +1102 -1675 +-1999 5784 +2818 5610 +4657 -6555 +2625 -1812 +1838 980 +0 -1872 +-2199 2141 +-1024 4281 +-3411 620 +1219 -3880 +-734 2205 +-2667 -486 +-1333 -4089 +-6144 0 +-1333 4089 +-2667 486 +-734 -2205 +1219 3880 +-3411 -620 +-1024 -4281 +-2199 -2141 +0 1872 +-4327 2280 +-4191 2962 +4352 -607 +1782 -4000 +3321 2785 +2539 -3916 +2221 88 +4096 4096 +4401 -2243 +3033 2072 +-7010 -770 +-4678 -1352 +1699 2341 +187 -1918 +-264 -3582 +0 3920 +1438 1445 +-764 -968 +-424 449 +1678 -1664 +2207 552 +2888 -1718 +64 3014 +-2048 0 +64 -3014 +2888 1718 +2207 -552 +1678 1664 +-424 -449 +-764 968 +1438 -1445 +0 -3920 +-264 3582 +187 1918 +1699 -2341 +-4678 1352 +-7010 770 +3033 -2072 +4401 2243 +4096 -4096 +2221 -88 +2539 3916 +3321 -2785 +1782 4000 +4352 607 +-4191 -2962 +-4327 -2280 +0 -1872 +-2199 2141 +-1024 4281 +-3411 620 +1219 -3880 +-734 2205 +-2667 -486 +-1333 -4089 +-3196 3796 +-1830 -902 +1392 -479 +1723 4381 +318 -3478 +-3301 4185 +4208 -496 +3093 -3037 +-6144 0 +3093 3037 +4208 496 +-3301 -4185 +318 3478 +1723 -4381 +1392 479 +-1830 902 +-3196 -3796 +814 3932 +-1803 -470 +200 1535 +3548 1200 +271 -268 +-175 -1635 +-3616 -3238 +-3072 1024 +925 4485 +-1273 4382 +1221 -620 +548 1800 +-2822 2625 +3251 1302 +5096 3487 +1148 2348 +-295 -1448 +56 -645 +2467 -467 +3778 -18 +241 6478 +-5656 3615 +-4186 664 +0 0 +-4186 -664 +-5656 -3615 +241 -6478 +3778 18 +2467 467 +56 645 +-295 1448 +1148 -2348 +5096 -3487 +3251 -1302 +-2822 -2625 +548 -1800 +1221 620 +-1273 -4382 +925 -4485 +-3072 -1024 +-3616 3238 +-175 1635 +271 268 +3548 -1200 +200 -1535 +-1803 470 +814 -3932 +-3196 3796 +-1830 -902 +1392 -479 +1723 4381 +318 -3478 +-3301 4185 +4208 -496 +3093 -3037 +-3796 -3196 +-623 4294 +-1526 3237 +-1027 -1 +2374 -3682 +1955 -4054 +2400 2131 +3113 -107 +4096 0 +3113 107 +2400 -2131 +1955 4054 +2374 3682 +-1027 1 +-1526 -3237 +-623 -4294 +-3796 3196 +-857 4067 +561 714 +-3830 1354 +-5760 1885 +-450 -1142 +602 -1016 +3516 4470 +1024 1024 +-926 -452 +5451 876 +-4549 -1583 +-4977 3333 +-2213 2522 +1266 -70 +2216 1986 +-2348 1148 +5057 1193 +-1998 -4021 +1278 -1966 +4267 5130 +-2749 478 +1436 -239 +89 2753 +-2048 0 +89 -2753 +1436 239 +-2749 -478 +4267 -5130 +1278 1966 +-1998 4021 +5057 -1193 +-2348 -1148 +2216 -1986 +1266 70 +-2213 -2522 +-4977 -3333 +-4549 1583 +5451 -876 +-926 452 +1024 -1024 +3516 -4470 +602 1016 +-450 1142 +-5760 -1885 +-3830 -1354 +561 -714 +-857 -4067 +-3796 -3196 +-623 4294 +-1526 3237 +-1027 -1 +2374 -3682 +1955 -4054 +2400 2131 +3113 -107 +-1324 1748 +1547 -2801 +-3239 -2435 +-2619 3427 +1786 -138 +1618 1370 +2524 441 +-383 74 +-1024 0 +-383 -74 +2524 -441 +1618 -1370 +1786 138 +-2619 -3427 +-3239 2435 +1547 2801 +-1324 -1748 +1131 205 +-281 -3623 +4121 134 +6804 536 +471 1239 +-1826 -1372 +-1580 -7457 +2048 5120 +2096 4801 +1226 -708 +-689 4678 +2236 4032 +-497 -1622 +-3215 -4067 +-848 3244 +-2772 300 +1955 -3297 +-258 -905 +562 -5832 +1462 -462 +-2967 1418 +-3124 -2673 +-3917 3048 +-3072 0 +-3917 -3048 +-3124 2673 +-2967 -1418 +1462 462 +562 5832 +-258 905 +1955 3297 +-2772 -300 +-848 -3244 +-3215 4067 +-497 1622 +2236 -4032 +-689 -4678 +1226 708 +2096 -4801 +2048 -5120 +-1580 7457 +-1826 1372 +471 -1239 +6804 -536 +4121 -134 +-281 3623 +1131 -205 +-1324 1748 +1547 -2801 +-3239 -2435 +-2619 3427 +1786 -138 +1618 1370 +2524 441 +-383 74 +424 -1624 +-1333 3068 +0 -3538 +-507 -3261 +2316 -614 +-3086 -118 +0 1052 +8913 4521 +7168 0 +8913 -4521 +0 -1052 +-3086 118 +2316 614 +-507 3261 +0 3538 +-1333 -3068 +424 1624 +1373 3672 +0 -673 +-516 -982 +-1688 -170 +1957 49 +0 -4204 +-1532 1534 +1024 -4096 +973 -2551 +0 5309 +-1061 -2853 +-3256 1278 +-3463 268 +0 500 +-4397 796 +-2472 -4520 +1902 607 +0 3014 +3807 -264 +-1468 2062 +-2922 6425 +0 -1428 +-105 -4454 +3072 0 +-105 4454 +0 1428 +-2922 -6425 +-1468 -2062 +3807 264 +0 -3014 +1902 -607 +-2472 4520 +-4397 -796 +0 -500 +-3463 -268 +-3256 -1278 +-1061 2853 +0 -5309 +973 2551 +1024 4096 +-1532 -1534 +0 4204 +1957 -49 +-1688 170 +-516 982 +0 673 +1373 -3672 +424 -1624 +-1333 3068 +0 -3538 +-507 -3261 +2316 -614 +-3086 -118 +0 1052 +8913 4521 +2472 424 +5240 917 +924 -2085 +-5281 -1344 +2676 -1194 +79 -846 +-32 125 +-714 -2294 +-7168 0 +-714 2294 +-32 -125 +79 846 +2676 1194 +-5281 1344 +924 2085 +5240 -917 +2472 -424 +234 410 +-3260 -850 +4226 1941 +-1108 1038 +-563 -2034 +2673 -2660 +-3253 -5180 +-1024 -4096 +1595 -1107 +3238 1789 +-4105 6073 +1108 1638 +2372 -4423 +-4869 -2861 +481 -2228 +-424 -2472 +-2171 -3426 +-1484 -2823 +251 1085 +-2676 -2302 +3022 -4720 +2809 1428 +-1413 3103 +5120 0 +-1413 -3103 +2809 -1428 +3022 4720 +-2676 2302 +251 -1085 +-1484 2823 +-2171 3426 +-424 2472 +481 2228 +-4869 2861 +2372 4423 +1108 -1638 +-4105 -6073 +3238 -1789 +1595 1107 +-1024 4096 +-3253 5180 +2673 2660 +-563 2034 +-1108 -1038 +4226 -1941 +-3260 850 +234 -410 +2472 424 +5240 917 +924 -2085 +-5281 -1344 +2676 -1194 +79 -846 +-32 125 +-714 -2294 +4096 2472 +-4802 -3942 +-1137 -4121 +2845 -1510 +-2916 -254 +-3180 5529 +-1614 -3527 +657 -2354 +0 0 +657 2354 +-1614 3527 +-3180 -5529 +-2916 254 +2845 1510 +-1137 4121 +-4802 3942 +4096 -2472 +-2383 6470 +-1166 2168 +2929 -2360 +-1808 410 +-2515 -3069 +-2281 -1054 +-599 2362 +4096 4096 +4797 -257 +-3891 -2178 +-1356 -778 +-240 -3086 +-1232 -4285 +1675 -3848 +2635 -466 +4096 -424 +-2369 -1427 +-572 1001 +1458 3369 +868 854 +1050 546 +794 5300 +2064 1302 +0 0 +2064 -1302 +794 -5300 +1050 -546 +868 -854 +1458 -3369 +-572 -1001 +-2369 1427 +4096 424 +2635 466 +1675 3848 +-1232 4285 +-240 3086 +-1356 778 +-3891 2178 +4797 257 +4096 -4096 +-599 -2362 +-2281 1054 +-2515 3069 +-1808 -410 +2929 2360 +-1166 -2168 +-2383 -6470 +4096 2472 +-4802 -3942 +-1137 -4121 +2845 -1510 +-2916 -254 +-3180 5529 +-1614 -3527 +657 -2354 +2048 1024 +1118 457 +1359 1417 +327 -4466 +654 1408 +-725 1995 +-3750 1253 +-4377 9538 +-6144 0 +-4377 -9538 +-3750 -1253 +-725 -1995 +654 -1408 +327 4466 +1359 -1417 +1118 -457 +2048 -1024 +-1728 -6 +3019 -2887 +2219 -3483 +-5702 4424 +435 -2562 +1121 -1468 +1709 -368 +0 0 +-5788 -478 +-1461 -1505 +1100 5349 +758 928 +1317 1008 +1105 713 +-1539 -169 +2048 1024 +3038 -1612 +-2587 984 +601 3237 +195 -808 +519 -2202 +1193 5703 +1775 3345 +6144 0 +1775 -3345 +1193 -5703 +519 2202 +195 808 +601 -3237 +-2587 -984 +3038 1612 +2048 -1024 +-1539 169 +1105 -713 +1317 -1008 +758 -928 +1100 -5349 +-1461 1505 +-5788 478 +0 0 +1709 368 +1121 1468 +435 2562 +-5702 -4424 +2219 3483 +3019 2887 +-1728 6 +2048 1024 +1118 457 +1359 1417 +327 -4466 +654 1408 +-725 1995 +-3750 1253 +-4377 9538 +1148 1324 +1298 -417 +-1915 2745 +5461 1853 +2804 392 +-2198 -2997 +1123 -2687 +1508 4737 +5120 0 +1508 -4737 +1123 2687 +-2198 2997 +2804 -392 +5461 -1853 +-1915 -2745 +1298 417 +1148 -1324 +1327 -395 +-2297 5180 +-2129 252 +-202 -946 +2885 2299 +4292 -2048 +1675 -378 +2048 1024 +-8062 -3291 +-2660 -628 +967 155 +-2094 -946 +498 2557 +-4540 -25 +-1986 -2876 +-3196 2772 +-640 5504 +-1138 243 +-3830 -2682 +3588 -392 +-1654 -2282 +-1059 -4084 +4879 -82 +3072 0 +4879 82 +-1059 4084 +-1654 2282 +3588 392 +-3830 2682 +-1138 -243 +-640 -5504 +-3196 -2772 +-1986 2876 +-4540 25 +498 -2557 +-2094 946 +967 -155 +-2660 628 +-8062 3291 +2048 -1024 +1675 378 +4292 2048 +2885 -2299 +-202 946 +-2129 -252 +-2297 -5180 +1327 395 +1148 1324 +1298 -417 +-1915 2745 +5461 1853 +2804 392 +-2198 -2997 +1123 -2687 +1508 4737 +11512 -6992 +-3968 -3778 +75 1837 +1274 1623 +-1519 -2232 +-314 -4123 +-2989 -3983 +670 -2774 +0 0 +670 2774 +-2989 3983 +-314 4123 +-1519 2232 +1274 -1623 +75 -1837 +-3968 3778 +11512 6992 +264 2819 +-2739 -1005 +4786 1166 +-3530 3340 +-2363 1400 +-1683 -128 +800 566 +-2048 0 +-3068 -1536 +419 -1236 +1154 -137 +2930 444 +-370 1471 +-1201 1671 +3714 -327 +2824 -1200 +-10 632 +-231 839 +-5691 -1317 +-1978 -664 +1524 2585 +157 2875 +1598 672 +0 0 +1598 -672 +157 -2875 +1524 -2585 +-1978 664 +-5691 1317 +-231 -839 +-10 -632 +2824 1200 +3714 327 +-1201 -1671 +-370 -1471 +2930 -444 +1154 137 +419 1236 +-3068 1536 +-2048 0 +800 -566 +-1683 128 +-2363 -1400 +-3530 -3340 +4786 -1166 +-2739 1005 +264 -2819 +11512 -6992 +-3968 -3778 +75 1837 +1274 1623 +-1519 -2232 +-314 -4123 +-2989 -3983 +670 -2774 +-3372 -1324 +732 1455 +-1090 1431 +-254 -828 +-2454 438 +-5045 -70 +-188 -2545 +-3208 3320 +-4096 0 +-3208 -3320 +-188 2545 +-5045 70 +-2454 -438 +-254 828 +-1090 -1431 +732 -1455 +-3372 1324 +3269 1954 +-456 -4284 +1232 -2608 +2224 1212 +-411 -235 +5482 3173 +-4474 4592 +1024 5120 +6822 468 +-1542 -4876 +-2010 -2791 +-776 -5780 +1134 -2268 +3288 4683 +-313 726 +-4820 -2772 +1425 -227 +2354 3440 +344 488 +1006 762 +-782 2627 +344 -1408 +1539 804 +2048 0 +1539 -804 +344 1408 +-782 -2627 +1006 -762 +344 -488 +2354 -3440 +1425 227 +-4820 2772 +-313 -726 +3288 -4683 +1134 2268 +-776 5780 +-2010 2791 +-1542 4876 +6822 -468 +1024 -5120 +-4474 -4592 +5482 -3173 +-411 235 +2224 -1212 +1232 2608 +-456 4284 +3269 -1954 +-3372 -1324 +732 1455 +-1090 1431 +-254 -828 +-2454 438 +-5045 -70 +-188 -2545 +-3208 3320 +-2048 600 +914 -418 +957 495 +4735 1053 +-2688 -1134 +-654 -3360 +1057 -2247 +-1396 5002 +7168 0 +-1396 -5002 +1057 2247 +-654 3360 +-2688 1134 +4735 -1053 +957 -495 +914 418 +-2048 -600 +948 1538 +131 -856 +-2391 -1179 +328 -130 +140 132 +108 3024 +2457 -3018 +5120 -4096 +-64 1783 +2384 688 +-3238 -1382 +-5024 -978 +5112 2989 +4149 2159 +4749 1523 +-2048 3496 +-2827 -1165 +1756 -376 +-5888 -670 +-4904 -3810 +2185 -1938 +-2349 -2985 +-4781 -1768 +-1024 0 +-4781 1768 +-2349 2985 +2185 1938 +-4904 3810 +-5888 670 +1756 376 +-2827 1165 +-2048 -3496 +4749 -1523 +4149 -2159 +5112 -2989 +-5024 978 +-3238 1382 +2384 -688 +-64 -1783 +5120 4096 +2457 3018 +108 -3024 +140 -132 +328 130 +-2391 1179 +131 856 +948 -1538 +-2048 600 +914 -418 +957 495 +4735 1053 +-2688 -1134 +-654 -3360 +1057 -2247 +-1396 5002 +-1024 -2472 +2857 618 +1142 4800 +-5964 -273 +-1448 3086 +-432 -1607 +-86 -1964 +2859 2786 +1024 0 +2859 -2786 +-86 1964 +-432 1607 +-1448 -3086 +-5964 273 +1142 -4800 +2857 -618 +-1024 2472 +2992 -3644 +5500 521 +2536 3814 +1448 -254 +-52 -2722 +992 2718 +1602 -656 +-3072 0 +332 3278 +-851 1426 +-977 1017 +1448 -854 +-2041 2249 +4456 -2191 +1 -3170 +-1024 424 +3966 1184 +-4106 -520 +-3427 -2180 +-1448 410 +4565 -1334 +1145 -528 +-8816 -3315 +-7168 0 +-8816 3315 +1145 528 +4565 1334 +-1448 -410 +-3427 2180 +-4106 520 +3966 -1184 +-1024 -424 +1 3170 +4456 2191 +-2041 -2249 +1448 854 +-977 -1017 +-851 -1426 +332 -3278 +-3072 0 +1602 656 +992 -2718 +-52 2722 +1448 254 +2536 -3814 +5500 -521 +2992 3644 +-1024 -2472 +2857 618 +1142 4800 +-5964 -273 +-1448 3086 +-432 -1607 +-86 -1964 +2859 2786 +-1624 4520 +-94 -4207 +379 -1311 +-1204 403 +-2806 -4064 +171 -111 +817 1063 +-901 953 +1024 0 +-901 -953 +817 -1063 +171 111 +-2806 4064 +-1204 -403 +379 1311 +-94 4207 +-1624 -4520 +-2324 -3548 +166 -1530 +2343 -868 +-2702 1388 +-2087 -2834 +-199 1346 +3772 5922 +1024 2048 +-2276 1323 +2468 -547 +-7684 -6130 +-2243 -2956 +4109 4037 +94 -746 +-1957 -1789 +-4520 1624 +1241 173 +-2335 2095 +2320 -1291 +3654 -280 +2032 2608 +6804 -2955 +2540 240 +1024 0 +2540 -240 +6804 2955 +2032 -2608 +3654 280 +2320 1291 +-2335 -2095 +1241 -173 +-4520 -1624 +-1957 1789 +94 746 +4109 -4037 +-2243 2956 +-7684 6130 +2468 547 +-2276 -1323 +1024 -2048 +3772 -5922 +-199 -1346 +-2087 2834 +-2702 -1388 +2343 868 +166 1530 +-2324 3548 +-1624 4520 +-94 -4207 +379 -1311 +-1204 403 +-2806 -4064 +171 -111 +817 1063 +-901 953 +0 1024 +-2931 -742 +-3 2210 +-546 -3077 +4384 -170 +485 -404 +-3495 -1407 +814 -5550 +0 0 +814 5550 +-3495 1407 +485 404 +4384 170 +-546 3077 +-3 -2210 +-2931 742 +0 -1024 +-1231 -4826 +-6972 5053 +114 2454 +5064 2062 +1091 2250 +-3181 -769 +-493 3259 +0 2048 +1024 -3509 +6705 275 +6745 899 +1929 614 +-603 3945 +919 -675 +-2629 2365 +0 1024 +1678 -315 +-3833 1951 +-1420 -546 +-3184 -1278 +-72 -268 +1668 4148 +-2024 -3673 +0 0 +-2024 3673 +1668 -4148 +-72 268 +-3184 1278 +-1420 546 +-3833 -1951 +1678 315 +0 -1024 +-2629 -2365 +919 675 +-603 -3945 +1929 -614 +6745 -899 +6705 -275 +1024 3509 +0 -2048 +-493 -3259 +-3181 769 +1091 -2250 +5064 -2062 +114 -2454 +-6972 -5053 +-1231 4826 +0 1024 +-2931 -742 +-3 2210 +-546 -3077 +4384 -170 +485 -404 +-3495 -1407 +814 -5550 +1748 1572 +-4674 -3386 +-1575 -8144 +-2994 -1547 +-222 -3538 +-684 -4912 +-2025 2679 +4596 305 +3072 0 +4596 -305 +-2025 -2679 +-684 4912 +-222 3538 +-2994 1547 +-1575 8144 +-4674 3386 +1748 -1572 +1631 3142 +3305 1456 +403 -1840 +-1116 2368 +3687 -933 +-228 -1718 +-1628 5826 +-4096 1024 +1817 -4165 +1780 -3258 +-2503 629 +-332 4416 +822 1486 +1602 4325 +-2385 -392 +300 -5668 +1644 1757 +-437 -76 +201 2051 +1670 1490 +1069 -4541 +-2424 1077 +-1001 -199 +1024 0 +-1001 199 +-2424 -1077 +1069 4541 +1670 -1490 +201 -2051 +-437 76 +1644 -1757 +300 5668 +-2385 392 +1602 -4325 +822 -1486 +-332 -4416 +-2503 -629 +1780 3258 +1817 4165 +-4096 -1024 +-1628 -5826 +-228 1718 +3687 933 +-1116 -2368 +403 1840 +3305 -1456 +1631 -3142 +1748 1572 +-4674 -3386 +-1575 -8144 +-2994 -1547 +-222 -3538 +-684 -4912 +-2025 2679 +4596 305 +1324 1148 +-1074 -755 +-1970 324 +448 1600 +3308 -4372 +3144 3831 +-2629 3713 +-3406 3236 +-1024 0 +-3406 -3236 +-2629 -3713 +3144 -3831 +3308 4372 +448 -1600 +-1970 -324 +-1074 755 +1324 -1148 +-4756 -480 +-4356 -785 +1053 6336 +862 3986 +3646 1989 +2635 -3392 +3201 -519 +2048 5120 +-1059 400 +3905 1516 +-271 497 +1186 1690 +2597 2435 +-6929 3664 +2070 -126 +2772 -3196 +-5816 2676 +471 1228 +127 -1717 +-1260 -2021 +842 -1539 +683 -1701 +-744 -750 +-3072 0 +-744 750 +683 1701 +842 1539 +-1260 2021 +127 1717 +471 -1228 +-5816 -2676 +2772 3196 +2070 126 +-6929 -3664 +2597 -2435 +1186 -1690 +-271 -497 +3905 -1516 +-1059 -400 +2048 -5120 +3201 519 +2635 3392 +3646 -1989 +862 -3986 +1053 -6336 +-4356 785 +-4756 480 +1324 1148 +-1074 -755 +-1970 324 +448 1600 +3308 -4372 +3144 3831 +-2629 3713 +-3406 3236 +724 724 +-3299 5877 +608 3704 +508 -4796 +-1716 -1056 +1007 -5806 +4976 910 +2543 2324 +-2048 0 +2543 -2324 +4976 -910 +1007 5806 +-1716 1056 +508 4796 +608 -3704 +-3299 -5877 +724 -724 +731 -4183 +-2118 3187 +-886 3170 +-1826 502 +1853 2071 +-2591 4094 +-4215 1395 +5120 3072 +1212 2515 +-409 89 +658 3883 +-3718 -2394 +-2622 519 +-1341 2966 +907 335 +-724 -724 +-556 -3238 +2851 1868 +-2351 889 +-932 -1840 +1834 -1830 +-1976 878 +2676 5109 +8192 0 +2676 -5109 +-1976 -878 +1834 1830 +-932 1840 +-2351 -889 +2851 -1868 +-556 3238 +-724 724 +907 -335 +-1341 -2966 +-2622 -519 +-3718 2394 +658 -3883 +-409 -89 +1212 -2515 +5120 -3072 +-4215 -1395 +-2591 -4094 +1853 -2071 +-1826 -502 +-886 -3170 +-2118 -3187 +731 4183 +724 724 +-3299 5877 +608 3704 +508 -4796 +-1716 -1056 +1007 -5806 +4976 910 +2543 2324 +-724 1324 +2111 120 +83 680 +-316 -3882 +4032 -2080 +-3223 1552 +5596 2929 +8652 1768 +-4096 0 +8652 -1768 +5596 -2929 +-3223 -1552 +4032 2080 +-316 3882 +83 -680 +2111 -120 +-724 -1324 +-1433 2400 +-294 2266 +468 -2272 +138 -522 +617 -1660 +-2595 1386 +-4686 -5579 +-3072 -3072 +2069 4450 +584 1986 +-3252 1311 +462 -1370 +447 3562 +1847 -1230 +1133 -2785 +724 2772 +-482 2336 +-4532 2816 +289 -620 +-536 -2864 +-823 -6517 +-689 -3529 +-1571 1918 +2048 0 +-1571 -1918 +-689 3529 +-823 6517 +-536 2864 +289 620 +-4532 -2816 +-482 -2336 +724 -2772 +1133 2785 +1847 1230 +447 -3562 +462 1370 +-3252 -1311 +584 -1986 +2069 -4450 +-3072 3072 +-4686 5579 +-2595 -1386 +617 1660 +138 522 +468 2272 +-294 -2266 +-1433 -2400 +-724 1324 +2111 120 +83 680 +-316 -3882 +4032 -2080 +-3223 1552 +5596 2929 +8652 1768 +4520 1024 +3754 -3359 +-438 -1034 +693 3107 +-1898 1084 +-3903 -4551 +3678 4217 +2530 -1378 +-3072 0 +2530 1378 +3678 -4217 +-3903 4551 +-1898 -1084 +693 -3107 +-438 1034 +3754 3359 +4520 -1024 +2088 -1755 +-2731 2497 +-922 -2182 +6466 -144 +-293 -1851 +-5028 1493 +-3620 2654 +-3072 0 +2599 -313 +3800 -2159 +3220 1689 +2223 -3640 +2444 -329 +2391 4361 +-1499 -61 +1624 1024 +-558 -611 +-2119 -2398 +-648 -943 +-6791 -484 +-592 211 +446 3219 +-5293 939 +-3072 0 +-5293 -939 +446 -3219 +-592 -211 +-6791 484 +-648 943 +-2119 2398 +-558 611 +1624 -1024 +-1499 61 +2391 -4361 +2444 329 +2223 3640 +3220 -1689 +3800 2159 +2599 313 +-3072 0 +-3620 -2654 +-5028 -1493 +-293 1851 +6466 144 +-922 2182 +-2731 -2497 +2088 1755 +4520 1024 +3754 -3359 +-438 -1034 +693 3107 +-1898 1084 +-3903 -4551 +3678 4217 +2530 -1378 +-424 3072 +1934 -329 +2378 1044 +3933 1068 +1128 -678 +-3049 -3321 +-1396 -2802 +-2109 -3243 +-3072 0 +-2109 3243 +-1396 2802 +-3049 3321 +1128 678 +3933 -1068 +2378 -1044 +1934 329 +-424 -3072 +1235 -22 +8 -827 +5546 -2688 +7380 2882 +-3154 455 +-402 1315 +3003 -754 +3072 2048 +-3240 -669 +-5651 -577 +4190 5628 +461 -5558 +-2132 -899 +-1835 -44 +-1430 -5165 +2472 3072 +478 3196 +1146 -260 +-1995 1161 +-4873 430 +-3338 -2650 +-2440 910 +130 -248 +1024 0 +130 248 +-2440 -910 +-3338 2650 +-4873 -430 +-1995 -1161 +1146 260 +478 -3196 +2472 -3072 +-1430 5165 +-1835 44 +-2132 899 +461 5558 +4190 -5628 +-5651 577 +-3240 669 +3072 -2048 +3003 754 +-402 -1315 +-3154 -455 +7380 -2882 +5546 2688 +8 827 +1235 22 +-424 3072 +1934 -329 +2378 1044 +3933 1068 +1128 -678 +-3049 -3321 +-1396 -2802 +-2109 -3243 +-2048 -2048 +-2332 -2346 +656 1303 +-2778 3761 +-1168 3894 +-2935 826 +4055 -5093 +5560 4992 +-5120 0 +5560 -4992 +4055 5093 +-2935 -826 +-1168 -3894 +-2778 -3761 +656 -1303 +-2332 2346 +-2048 2048 +-3011 -1123 +-3513 -2052 +670 -805 +-1508 674 +2545 4724 +2592 -1659 +-2400 -2959 +-3072 2048 +1674 3559 +2027 -810 +-4396 -398 +60 3570 +-539 -1366 +-1904 2892 +819 70 +-2048 -2048 +2069 -1575 +3064 -6247 +1967 -1867 +2616 -998 +-327 2301 +1214 4245 +3414 2206 +3072 0 +3414 -2206 +1214 -4245 +-327 -2301 +2616 998 +1967 1867 +3064 6247 +2069 1575 +-2048 2048 +819 -70 +-1904 -2892 +-539 1366 +60 -3570 +-4396 398 +2027 810 +1674 -3559 +-3072 -2048 +-2400 2959 +2592 1659 +2545 -4724 +-1508 -674 +670 805 +-3513 2052 +-3011 1123 +-2048 -2048 +-2332 -2346 +656 1303 +-2778 3761 +-1168 3894 +-2935 826 +4055 -5093 +5560 4992 +1448 -4520 +-669 -7659 +117 685 +3 140 +-130 -456 +-2142 -552 +-213 -1046 +1219 5556 +0 0 +1219 -5556 +-213 1046 +-2142 552 +-130 456 +3 -140 +117 -685 +-669 7659 +1448 4520 +-2709 -3084 +-4189 1202 +3262 1698 +-3810 -3012 +289 -3323 +739 -2395 +-3436 -4100 +0 -2048 +-4354 933 +2276 -1011 +-57 2771 +-1134 4580 +1144 -377 +-1043 -402 +179 -2665 +-1448 -1624 +4086 5311 +2219 4703 +55 993 +978 -4240 +3239 -4131 +93 1230 +-109 -890 +8192 0 +-109 890 +93 -1230 +3239 4131 +978 4240 +55 -993 +2219 -4703 +4086 -5311 +-1448 1624 +179 2665 +-1043 402 +1144 377 +-1134 -4580 +-57 -2771 +2276 1011 +-4354 -933 +0 2048 +-3436 4100 +739 2395 +289 3323 +-3810 3012 +3262 -1698 +-4189 -1202 +-2709 3084 +1448 -4520 +-669 -7659 +117 685 +3 140 +-130 -456 +-2142 -552 +-213 -1046 +1219 5556 +600 176 +-6431 -2339 +3628 -675 +2227 -2197 +-1929 -954 +6660 1862 +2018 507 +-1956 2105 +-2048 0 +-1956 -2105 +2018 -507 +6660 -1862 +-1929 954 +2227 2197 +3628 675 +-6431 2339 +600 -176 +465 -896 +1966 2139 +-2221 4134 +-4384 3954 +2412 446 +1474 1813 +-520 1402 +-4096 -2048 +613 -381 +-3210 -3915 +-1261 -3584 +3184 2506 +-3456 -640 +-1486 -602 +-847 4901 +3496 5968 +1700 3023 +-12 -369 +995 -121 +-5064 -495 +438 2356 +3814 3654 +1184 -3209 +2048 0 +1184 3209 +3814 -3654 +438 -2356 +-5064 495 +995 121 +-12 369 +1700 -3023 +3496 -5968 +-847 -4901 +-1486 602 +-3456 640 +3184 -2506 +-1261 3584 +-3210 3915 +613 381 +-4096 2048 +-520 -1402 +1474 -1813 +2412 -446 +-4384 -3954 +-2221 -4134 +1966 -2139 +465 896 +600 176 +-6431 -2339 +3628 -675 +2227 -2197 +-1929 -954 +6660 1862 +2018 507 +-1956 2105 +724 -3796 +-828 3197 +1214 101 +-2723 -5239 +-2923 2850 +1632 -1695 +3215 -855 +1922 1013 +-1024 0 +1922 -1013 +3215 855 +1632 1695 +-2923 -2850 +-2723 5239 +1214 -101 +-828 -3197 +724 3796 +475 3086 +61 3894 +-716 1868 +-2827 1956 +-3514 422 +-1301 -427 +4806 1636 +-2048 3072 +-1067 2840 +2749 3174 +-2335 -532 +4875 -2740 +1581 1548 +-1509 -3062 +1145 -4311 +-724 -2348 +3671 183 +-2663 -64 +-4822 2152 +4971 4742 +5105 374 +-1767 3256 +-4332 -3016 +-3072 0 +-4332 3016 +-1767 -3256 +5105 -374 +4971 -4742 +-4822 -2152 +-2663 64 +3671 -183 +-724 2348 +1145 4311 +-1509 3062 +1581 -1548 +4875 2740 +-2335 532 +2749 -3174 +-1067 -2840 +-2048 -3072 +4806 -1636 +-1301 427 +-3514 -422 +-2827 -1956 +-716 -1868 +61 -3894 +475 -3086 +724 -3796 +-828 3197 +1214 101 +-2723 -5239 +-2923 2850 +1632 -1695 +3215 -855 +1922 1013 +-3196 -2772 +2922 949 +284 5213 +1019 -1868 +2564 1416 +4346 297 +-3073 3058 +-8239 3510 +-3072 0 +-8239 -3510 +-3073 -3058 +4346 -297 +2564 -1416 +1019 1868 +284 -5213 +2922 -949 +-3196 2772 +288 -3663 +6990 -556 +-1768 -2875 +-3118 78 +589 705 +78 -4368 +-603 482 +0 5120 +-194 689 +3522 -1093 +4025 -2563 +-1226 -1970 +1048 1204 +-34 -2944 +-1023 -128 +1148 -1324 +1836 -1877 +-248 -609 +-3403 -734 +1780 632 +-63 -4452 +672 -982 +-779 -1974 +-9216 0 +-779 1974 +672 982 +-63 4452 +1780 -632 +-3403 734 +-248 609 +1836 1877 +1148 1324 +-1023 128 +-34 2944 +1048 -1204 +-1226 1970 +4025 2563 +3522 1093 +-194 -689 +0 -5120 +-603 -482 +78 4368 +589 -705 +-3118 -78 +-1768 2875 +6990 556 +288 3663 +-3196 -2772 +2922 949 +284 5213 +1019 -1868 +2564 1416 +4346 297 +-3073 3058 +-8239 3510 +-600 -1448 +5291 1715 +2353 2699 +-634 -1991 +374 360 +-1287 -3710 +-2996 3810 +-1027 -358 +1024 0 +-1027 358 +-2996 -3810 +-1287 3710 +374 -360 +-634 1991 +2353 -2699 +5291 -1715 +-600 1448 +169 -5093 +4388 -1017 +-1189 -1314 +-2746 580 +-2708 -4729 +-2396 -6247 +1166 920 +-1024 2048 +976 1531 +883 -3452 +-2981 -5317 +2146 -4364 +-524 -527 +112 2428 +6322 2243 +-3496 1448 +-2206 4053 +5932 3642 +-1878 -1006 +-3870 -1208 +-385 -662 +-84 1881 +893 -599 +1024 0 +893 599 +-84 -1881 +-385 662 +-3870 1208 +-1878 1006 +5932 -3642 +-2206 -4053 +-3496 -1448 +6322 -2243 +112 -2428 +-524 527 +2146 4364 +-2981 5317 +883 3452 +976 -1531 +-1024 -2048 +1166 -920 +-2396 6247 +-2708 4729 +-2746 -580 +-1189 1314 +4388 1017 +169 5093 +-600 -1448 +5291 1715 +2353 2699 +-634 -1991 +374 360 +-1287 -3710 +-2996 3810 +-1027 -358 +-4520 -2048 +-5466 -162 +-1583 1403 +-3856 -2079 +3086 664 +-1473 -4626 +-4722 -931 +3987 -1106 +6144 0 +3987 1106 +-4722 931 +-1473 4626 +3086 -664 +-3856 2079 +-1583 -1403 +-5466 162 +-4520 2048 +4374 281 +2255 3453 +3236 -1534 +-254 444 +-1687 -1471 +2042 -1690 +-2711 1041 +0 4096 +2762 2008 +738 -3426 +2741 5809 +854 3340 +471 -1246 +2993 2972 +-251 2448 +-1624 -2048 +4239 -2006 +2128 2213 +-2747 1791 +410 2232 +-2477 -2654 +-3851 -4901 +-1142 138 +-2048 0 +-1142 -138 +-3851 4901 +-2477 2654 +410 -2232 +-2747 -1791 +2128 -2213 +4239 2006 +-1624 2048 +-251 -2448 +2993 -2972 +471 1246 +854 -3340 +2741 -5809 +738 3426 +2762 -2008 +0 -4096 +-2711 -1041 +2042 1690 +-1687 1471 +-254 -444 +3236 1534 +2255 -3453 +4374 -281 +-4520 -2048 +-5466 -162 +-1583 1403 +-3856 -2079 +3086 664 +-1473 -4626 +-4722 -931 +3987 -1106 +-1148 -5244 +-3558 -2374 +-2364 -1493 +236 -4509 +7228 -1356 +1325 3780 +-2627 -2972 +-2533 -4930 +-8192 0 +-2533 4930 +-2627 2972 +1325 -3780 +7228 1356 +236 4509 +-2364 1493 +-3558 2374 +-1148 5244 +-743 -483 +-2030 1017 +-931 1266 +-1011 -1246 +2278 2257 +207 -5762 +-874 339 +1024 -1024 +-55 -6547 +301 2522 +1800 149 +-686 -646 +-3225 258 +1210 2529 +950 -833 +3196 -900 +2023 2912 +-3808 -1587 +3031 -963 +2660 -2140 +1277 -4560 +919 -1528 +-1002 4724 +2048 0 +-1002 -4724 +919 1528 +1277 4560 +2660 2140 +3031 963 +-3808 1587 +2023 -2912 +3196 900 +950 833 +1210 -2529 +-3225 -258 +-686 646 +1800 -149 +301 -2522 +-55 6547 +1024 1024 +-874 -339 +207 5762 +2278 -2257 +-1011 1246 +-931 -1266 +-2030 -1017 +-743 483 +-1148 -5244 +-3558 -2374 +-2364 -1493 +236 -4509 +7228 -1356 +1325 3780 +-2627 -2972 +-2533 -4930 +1148 -1148 +5200 3399 +-2584 -1156 +261 2601 +2884 4032 +-3417 -6109 +1639 -1962 +2429 -4851 +0 0 +2429 4851 +1639 1962 +-3417 6109 +2884 -4032 +261 -2601 +-2584 1156 +5200 -3399 +1148 1148 +-908 1652 +-3254 2454 +920 -1872 +3334 138 +-3398 4286 +-3965 -1185 +-1042 388 +-1024 -1024 +-3852 1788 +-419 8208 +733 3515 +3658 -462 +589 1318 +-1810 1291 +1275 1153 +-3196 3196 +1114 -2569 +656 -4600 +-3100 -299 +-1684 -536 +1617 -1343 +1545 -1430 +1577 1787 +6144 0 +1577 -1787 +1545 1430 +1617 1343 +-1684 536 +-3100 299 +656 4600 +1114 2569 +-3196 -3196 +1275 -1153 +-1810 -1291 +589 -1318 +3658 462 +733 -3515 +-419 -8208 +-3852 -1788 +-1024 1024 +-1042 -388 +-3965 1185 +-3398 -4286 +3334 -138 +920 1872 +-3254 -2454 +-908 -1652 +1148 -1148 +5200 3399 +-2584 -1156 +261 2601 +2884 4032 +-3417 -6109 +1639 -1962 +2429 -4851 +-848 4344 +-753 622 +-3728 -126 +-4147 -3284 +-2616 3711 +3154 -2551 +2126 -4067 +-1098 -45 +1024 0 +-1098 45 +2126 4067 +3154 2551 +-2616 -3711 +-4147 3284 +-3728 126 +-753 -622 +-848 -4344 +-679 -5762 +-328 -845 +-2209 -2003 +-60 6062 +-3747 -1981 +-2471 -2631 +5255 1466 +3072 -4096 +-566 541 +-3036 96 +-1247 1587 +1508 1966 +855 1386 +1281 5355 +684 2503 +4944 -4344 +3645 1611 +-1320 4629 +2605 -3791 +1168 385 +-1057 1447 +-715 -3094 +-694 -1118 +1024 0 +-694 1118 +-715 3094 +-1057 -1447 +1168 -385 +2605 3791 +-1320 -4629 +3645 -1611 +4944 4344 +684 -2503 +1281 -5355 +855 -1386 +1508 -1966 +-1247 -1587 +-3036 -96 +-566 -541 +3072 4096 +5255 -1466 +-2471 2631 +-3747 1981 +-60 -6062 +-2209 2003 +-328 845 +-679 5762 +-848 4344 +-753 622 +-3728 -126 +-4147 -3284 +-2616 3711 +3154 -2551 +2126 -4067 +-1098 -45 +3320 2472 +5672 413 +-839 -392 +5953 2478 +-1098 2328 +-4320 4098 +-461 946 +-797 682 +5120 0 +-797 -682 +-461 -946 +-4320 -4098 +-1098 -2328 +5953 -2478 +-839 392 +5672 -413 +3320 -2472 +-1918 1668 +1182 392 +111 214 +3470 -908 +4446 -952 +-4550 -946 +-3532 188 +1024 2048 +-646 -2959 +3611 946 +-1745 2789 +-1422 -660 +4356 70 +-554 -392 +-6422 -303 +-5368 -424 +-908 2968 +-3884 -392 +724 -405 +3146 6112 +2060 1859 +-2696 946 +-3034 1523 +5120 0 +-3034 -1523 +-2696 -946 +2060 -1859 +3146 -6112 +724 405 +-3884 392 +-908 -2968 +-5368 424 +-6422 303 +-554 392 +4356 -70 +-1422 660 +-1745 -2789 +3611 -946 +-646 2959 +1024 -2048 +-3532 -188 +-4550 946 +4446 952 +3470 908 +111 -214 +1182 -392 +-1918 -1668 +3320 2472 +5672 413 +-839 -392 +5953 2478 +-1098 2328 +-4320 4098 +-461 946 +-797 682 +-848 3920 +-6576 883 +119 -1273 +81 4000 +2446 1952 +1155 -3633 +-969 -169 +-199 -3416 +-6144 0 +-199 3416 +-969 169 +1155 3633 +2446 -1952 +81 -4000 +119 1273 +-6576 -883 +-848 -3920 +-465 434 +-1206 -753 +3514 -8499 +2122 -384 +3074 3698 +3194 985 +910 341 +0 -4096 +-2392 755 +-518 1989 +4600 -1888 +-2122 -1832 +-147 2118 +97 2927 +-2002 -4094 +4944 -1872 +1034 902 +990 -840 +-3007 -2830 +-2446 -3400 +2315 -3208 +-1707 -4619 +-1895 1950 +-2048 0 +-1895 -1950 +-1707 4619 +2315 3208 +-2446 3400 +-3007 2830 +990 840 +1034 -902 +4944 1872 +-2002 4094 +97 -2927 +-147 -2118 +-2122 1832 +4600 1888 +-518 -1989 +-2392 -755 +0 4096 +910 -341 +3194 -985 +3074 -3698 +2122 384 +3514 8499 +-1206 753 +-465 -434 +-848 3920 +-6576 883 +119 -1273 +81 4000 +2446 1952 +1155 -3633 +-969 -169 +-199 -3416 +2896 1024 +2351 -3190 +2262 1388 +-1304 1391 +1736 2506 +374 -559 +-1001 1717 +2786 1768 +2048 0 +2786 -1768 +-1001 -1717 +374 559 +1736 -2506 +-1304 -1391 +2262 -1388 +2351 3190 +2896 -1024 +3525 -253 +2266 5060 +-558 -4569 +-481 954 +95 2582 +-3503 -2300 +961 1034 +-2048 2048 +-3224 2466 +2876 -368 +-2847 -4281 +-3615 -495 +-19 -1245 +891 -5132 +-1339 2099 +-2896 1024 +-1641 2645 +-1323 4102 +-1015 -963 +-5832 -3954 +-519 -3407 +5725 7704 +2374 2559 +2048 0 +2374 -2559 +5725 -7704 +-519 3407 +-5832 3954 +-1015 963 +-1323 -4102 +-1641 -2645 +-2896 -1024 +-1339 -2099 +891 5132 +-19 1245 +-3615 495 +-2847 4281 +2876 368 +-3224 -2466 +-2048 -2048 +961 -1034 +-3503 2300 +95 -2582 +-481 -954 +-558 4569 +2266 -5060 +3525 253 +2896 1024 +2351 -3190 +2262 1388 +-1304 1391 +1736 2506 +374 -559 +-1001 1717 +2786 1768 +-1324 -2772 +-2434 1115 +-1157 -4807 +1823 -5258 +1042 162 +2815 -5506 +-860 204 +-2468 -5005 +2048 0 +-2468 5005 +-860 -204 +2815 5506 +1042 -162 +1823 5258 +-1157 4807 +-2434 -1115 +-1324 2772 +576 2790 +-286 1217 +-3241 -1037 +2824 2284 +3452 1838 +-1577 1294 +528 -2245 +3072 -1024 +-507 -1332 +-4151 -3430 +1481 5533 +-176 2284 +-3133 1605 +3026 2156 +-1985 -237 +-2772 -1324 +947 -4381 +113 1651 +7448 3227 +4502 -162 +-4852 -1264 +-3301 -832 +-449 -113 +0 0 +-449 113 +-3301 832 +-4852 1264 +4502 162 +7448 -3227 +113 -1651 +947 4381 +-2772 1324 +-1985 237 +3026 -2156 +-3133 -1605 +-176 -2284 +1481 -5533 +-4151 3430 +-507 1332 +3072 1024 +528 2245 +-1577 -1294 +3452 -1838 +2824 -2284 +-3241 1037 +-286 -1217 +576 -2790 +-1324 -2772 +-2434 1115 +-1157 -4807 +1823 -5258 +1042 162 +2815 -5506 +-860 204 +-2468 -5005 +1024 -600 +-2890 5544 +-195 1038 +3281 -1034 +8234 4024 +7079 5230 +-1203 1194 +-1145 -70 +-2048 0 +-1145 70 +-1203 -1194 +7079 -5230 +8234 -4024 +3281 1034 +-195 -1038 +-2890 -5544 +1024 600 +-1221 4549 +2219 -1638 +-1974 2778 +-1787 4484 +1716 -3666 +-1371 2302 +1654 3810 +-2048 -2048 +925 384 +-2909 -2302 +-2856 -440 +1538 2436 +-3820 -2142 +-927 1638 +-1083 71 +1024 -3496 +-1486 2009 +-2297 1038 +3841 -340 +206 -1976 +-1474 -4251 +-1509 1194 +-547 2884 +2048 0 +-547 -2884 +-1509 -1194 +-1474 4251 +206 1976 +3841 340 +-2297 -1038 +-1486 -2009 +1024 3496 +-1083 -71 +-927 -1638 +-3820 2142 +1538 -2436 +-2856 440 +-2909 2302 +925 -384 +-2048 2048 +1654 -3810 +-1371 -2302 +1716 3666 +-1787 -4484 +-1974 -2778 +2219 1638 +-1221 -4549 +1024 -600 +-2890 5544 +-195 1038 +3281 -1034 +8234 4024 +7079 5230 +-1203 1194 +-1145 -70 +1624 5544 +-323 -850 +513 1920 +-3937 -101 +-6980 -1494 +-890 1792 +137 -2852 +-1684 871 +-2048 0 +-1684 -871 +137 2852 +-890 -1792 +-6980 1494 +-3937 101 +513 -1920 +-323 850 +1624 -5544 +5216 -2117 +4885 1232 +-1079 125 +-419 -710 +-1838 -6923 +-358 511 +-770 4906 +-2048 0 +1254 2642 +-4430 -338 +-81 -1075 +2716 3386 +337 -1519 +-2772 -3712 +1082 3222 +4520 2648 +-3078 -803 +3167 3025 +1784 -343 +588 -2602 +-86 2857 +-1141 3700 +4097 3857 +2048 0 +4097 -3857 +-1141 -3700 +-86 -2857 +588 2602 +1784 343 +3167 -3025 +-3078 803 +4520 -2648 +1082 -3222 +-2772 3712 +337 1519 +2716 -3386 +-81 1075 +-4430 338 +1254 -2642 +-2048 0 +-770 -4906 +-358 -511 +-1838 6923 +-419 710 +-1079 -125 +4885 -1232 +5216 2117 +1624 5544 +-323 -850 +513 1920 +-3937 -101 +-6980 -1494 +-890 1792 +137 -2852 +-1684 871 +2172 -2772 +-1092 -995 +7144 -4294 +-332 -1185 +-5370 -2479 +3707 1923 +2716 -274 +-802 -83 +0 0 +-802 83 +2716 274 +3707 -1923 +-5370 2479 +-332 1185 +7144 4294 +-1092 995 +2172 2772 +2364 993 +-4896 -6542 +-701 500 +417 -596 +3223 3323 +3953 1241 +1246 -415 +3072 3072 +-1202 1010 +-1262 3537 +147 -4906 +-1016 -5540 +-337 1539 +-4904 -150 +-3696 -2799 +-2172 -1324 +-4257 -30 +-240 -2098 +2699 -984 +1874 1630 +-2613 624 +-2511 -2023 +1645 1876 +2048 0 +1645 -1876 +-2511 2023 +-2613 -624 +1874 -1630 +2699 984 +-240 2098 +-4257 30 +-2172 1324 +-3696 2799 +-4904 150 +-337 -1539 +-1016 5540 +147 4906 +-1262 -3537 +-1202 -1010 +3072 -3072 +1246 415 +3953 -1241 +3223 -3323 +417 596 +-701 -500 +-4896 6542 +2364 -993 +2172 -2772 +-1092 -995 +7144 -4294 +-332 -1185 +-5370 -2479 +3707 1923 +2716 -274 +-802 -83 +-724 -724 +7021 1796 +-966 2123 +-3778 10 +-1744 -1684 +-161 -2269 +-1201 4491 +-4297 -741 +-2048 0 +-4297 741 +-1201 -4491 +-161 2269 +-1744 1684 +-3778 -10 +-966 -2123 +7021 -1796 +-724 724 +1292 2822 +-278 4547 +-6332 3384 +4827 3658 +-41 -5 +-2267 -2744 +2549 -4005 +-1024 1024 +-965 2198 +-564 1300 +1977 -1091 +718 -3334 +-909 2908 +122 -4641 +586 -3136 +724 724 +-2868 -4858 +-2974 -1423 +554 2411 +4392 2884 +2896 -1714 +-63 1250 +2475 4049 +4096 0 +2475 -4049 +-63 -1250 +2896 1714 +4392 -2884 +554 -2411 +-2974 1423 +-2868 4858 +724 -724 +586 3136 +122 4641 +-909 -2908 +718 3334 +1977 1091 +-564 -1300 +-965 -2198 +-1024 -1024 +2549 4005 +-2267 2744 +-41 5 +4827 -3658 +-6332 -3384 +-278 -4547 +1292 -2822 +-724 -724 +7021 1796 +-966 2123 +-3778 10 +-1744 -1684 +-161 -2269 +-1201 4491 +-4297 -741 +-424 4096 +-5634 3726 +674 1928 +-4285 -2945 +14 -2916 +1803 -40 +-6036 2144 +2608 -3448 +8192 0 +2608 3448 +-6036 -2144 +1803 40 +14 2916 +-4285 2945 +674 -1928 +-5634 -3726 +-424 -4096 +-895 -3815 +-2683 1529 +2270 -1708 +-3326 -1808 +-4053 445 +-445 1007 +-1786 2065 +2048 0 +4621 1177 +2456 -442 +4766 412 +-2218 240 +-1619 -719 +1130 80 +-1273 -2506 +2472 4096 +1801 5463 +3774 -480 +-3286 -1729 +-2662 868 +4403 2536 +1128 -696 +558 2248 +0 0 +558 -2248 +1128 696 +4403 -2536 +-2662 -868 +-3286 1729 +3774 480 +1801 -5463 +2472 -4096 +-1273 2506 +1130 -80 +-1619 719 +-2218 -240 +4766 -412 +2456 442 +4621 -1177 +2048 0 +-1786 -2065 +-445 -1007 +-4053 -445 +-3326 1808 +2270 1708 +-2683 -1529 +-895 3815 +-424 4096 +-5634 3726 +674 1928 +-4285 -2945 +14 -2916 +1803 -40 +-6036 2144 +2608 -3448 +1748 300 +-1795 -1143 +660 -509 +2770 -1214 +-678 2934 +1057 1354 +4014 -156 +1765 1477 +0 0 +1765 -1477 +4014 156 +1057 -1354 +-678 -2934 +2770 1214 +660 509 +-1795 1143 +1748 -300 +3049 -1250 +2580 443 +337 2954 +-4128 3608 +-3413 -2601 +2063 -2135 +3546 -1048 +-1024 -1024 +362 185 +4449 865 +-2945 -1399 +-4912 960 +-273 517 +-7212 -3017 +-7568 4666 +300 1748 +962 1707 +2276 -2950 +-618 -3441 +-2570 2610 +3084 -2368 +-637 3156 +-321 -5597 +6144 0 +-321 5597 +-637 -3156 +3084 2368 +-2570 -2610 +-618 3441 +2276 2950 +962 -1707 +300 -1748 +-7568 -4666 +-7212 3017 +-273 -517 +-4912 -960 +-2945 1399 +4449 -865 +362 -185 +-1024 1024 +3546 1048 +2063 2135 +-3413 2601 +-4128 -3608 +337 -2954 +2580 -443 +3049 1250 +1748 300 +-1795 -1143 +660 -509 +2770 -1214 +-678 2934 +1057 1354 +4014 -156 +1765 1477 +-300 4220 +-3010 -1008 +4140 -3529 +-3856 727 +-1540 -392 +-947 -1817 +-2589 -1637 +-2356 1300 +-11264 0 +-2356 -1300 +-2589 1637 +-947 1817 +-1540 392 +-3856 -727 +4140 3529 +-3010 1008 +-300 -4220 +3299 792 +-94 -2741 +1506 -4364 +4142 946 +405 1460 +-79 3547 +1375 1244 +-4096 1024 +3739 1550 +15 -2913 +-5633 -642 +2250 946 +5519 -1217 +3146 -2282 +-4772 -745 +-1748 -124 +1348 -530 +2696 3988 +4400 2420 +-756 392 +-1394 -286 +957 -4823 +377 -994 +-1024 0 +377 994 +957 4823 +-1394 286 +-756 -392 +4400 -2420 +2696 -3988 +1348 530 +-1748 124 +-4772 745 +3146 2282 +5519 1217 +2250 -946 +-5633 642 +15 2913 +3739 -1550 +-4096 -1024 +1375 -1244 +-79 -3547 +405 -1460 +4142 -946 +1506 4364 +-94 2741 +3299 -792 +-300 4220 +-3010 -1008 +4140 -3529 +-3856 727 +-1540 -392 +-947 -1817 +-2589 -1637 +-2356 1300 +-1448 2048 +-230 -1173 +-715 -6831 +-1695 -3163 +495 156 +-3207 -592 +5560 -705 +6920 -1180 +-5120 0 +6920 1180 +5560 705 +-3207 592 +495 -156 +-1695 3163 +-715 6831 +-230 1173 +-1448 -2048 +-688 1020 +-1078 -350 +1270 -234 +2506 1264 +-2727 -1280 +-2371 123 +-2847 1613 +1024 0 +2575 3355 +-2197 4128 +3641 -1225 +-3954 -2832 +-4957 -1333 +1402 -129 +1982 509 +1448 2048 +-2840 4758 +390 1259 +-3546 -2032 +954 3940 +-365 4743 +-992 -1083 +6712 -1174 +3072 0 +6712 1174 +-992 1083 +-365 -4743 +954 -3940 +-3546 2032 +390 -1259 +-2840 -4758 +1448 -2048 +1982 -509 +1402 129 +-4957 1333 +-3954 2832 +3641 1225 +-2197 -4128 +2575 -3355 +1024 0 +-2847 -1613 +-2371 -123 +-2727 1280 +2506 -1264 +1270 234 +-1078 350 +-688 -1020 +-1448 2048 +-230 -1173 +-715 -6831 +-1695 -3163 +495 156 +-3207 -592 +5560 -705 +6920 -1180 +6268 900 +1596 -69 +-57 532 +-3857 5511 +-5310 1430 +1804 707 +-2170 2522 +-4121 1261 +1024 0 +-4121 -1261 +-2170 -2522 +1804 -707 +-5310 -1430 +-3857 -5511 +-57 -532 +1596 69 +6268 -900 +326 1318 +-2850 2120 +3075 -1978 +-751 -3248 +-4498 3659 +-1336 -791 +688 -4154 +2048 1024 +66 2557 +-372 -347 +3243 2943 +1600 248 +-2865 -4915 +-3322 -112 +152 983 +1924 5244 +-507 -914 +-763 132 +-137 688 +366 -2030 +3234 7713 +2679 819 +1800 4801 +3072 0 +1800 -4801 +2679 -819 +3234 -7713 +366 2030 +-137 -688 +-763 -132 +-507 914 +1924 -5244 +152 -983 +-3322 112 +-2865 4915 +1600 -248 +3243 -2943 +-372 347 +66 -2557 +2048 -1024 +688 4154 +-1336 791 +-4498 -3659 +-751 3248 +3075 1978 +-2850 -2120 +326 -1318 +6268 900 +1596 -69 +-57 532 +-3857 5511 +-5310 1430 +1804 707 +-2170 2522 +-4121 1261 +-1448 -424 +2081 -613 +-1299 2002 +-1344 340 +-710 -724 +368 807 +-704 -2769 +-1448 1840 +2048 0 +-1448 -1840 +-704 2769 +368 -807 +-710 724 +-1344 -340 +-1299 -2002 +2081 613 +-1448 424 +332 -844 +3296 3052 +598 -423 +-2602 724 +-5322 8781 +-1482 1059 +-1270 842 +2048 -2048 +5865 1650 +4638 4999 +3568 -5841 +-1494 -724 +-509 -841 +1428 221 +3219 4290 +1448 2472 +-2735 -1583 +671 -737 +-1641 7049 +-3386 -724 +-1510 -1830 +1643 2613 +-250 -2570 +-6144 0 +-250 2570 +1643 -2613 +-1510 1830 +-3386 724 +-1641 -7049 +671 737 +-2735 1583 +1448 -2472 +3219 -4290 +1428 -221 +-509 841 +-1494 724 +3568 5841 +4638 -4999 +5865 -1650 +2048 2048 +-1270 -842 +-1482 -1059 +-5322 -8781 +-2602 -724 +598 423 +3296 -3052 +332 844 +-1448 -424 +2081 -613 +-1299 2002 +-1344 340 +-710 -724 +368 807 +-704 -2769 +-1448 1840 +2348 300 +-3372 -2352 +1385 -117 +-4305 2039 +1325 332 +2396 2159 +2186 3543 +4943 195 +-4096 0 +4943 -195 +2186 -3543 +2396 -2159 +1325 -332 +-4305 -2039 +1385 117 +-3372 2352 +2348 -300 +1451 -2753 +-1828 -4370 +-1925 -3680 +-4239 222 +845 465 +200 -1273 +953 99 +-1024 1024 +-142 1542 +4744 1727 +-1451 371 +-7698 1670 +-75 -134 +980 -7830 +-786 -5993 +3796 1748 +-4862 -888 +-2233 -3343 +3170 1507 +-1676 1116 +1346 -2252 +2759 -543 +1814 1249 +2048 0 +1814 -1249 +2759 543 +1346 2252 +-1676 -1116 +3170 -1507 +-2233 3343 +-4862 888 +3796 -1748 +-786 5993 +980 7830 +-75 134 +-7698 -1670 +-1451 -371 +4744 -1727 +-142 -1542 +-1024 -1024 +953 -99 +200 1273 +845 -465 +-4239 -222 +-1925 3680 +-1828 4370 +1451 2753 +2348 300 +-3372 -2352 +1385 -117 +-4305 2039 +1325 332 +2396 2159 +2186 3543 +4943 195 +-3496 -2048 +-384 -432 +-1335 394 +1359 -676 +2532 3026 +288 -3819 +294 -553 +1992 -6238 +3072 0 +1992 6238 +294 553 +288 3819 +2532 -3026 +1359 676 +-1335 -394 +-384 432 +-3496 2048 +-2845 -4632 +3292 -825 +179 -1421 +-1592 914 +2276 -128 +1953 136 +-1470 751 +-1024 2048 +6818 6539 +5171 -3048 +-1237 -4420 +2192 1762 +-1273 -1296 +-2389 -2754 +2801 -154 +-600 -2048 +-459 2765 +-2465 4670 +-4728 -1048 +964 1918 +-2655 77 +-4522 -3832 +-661 -4102 +-1024 0 +-661 4102 +-4522 3832 +-2655 -77 +964 -1918 +-4728 1048 +-2465 -4670 +-459 -2765 +-600 2048 +2801 154 +-2389 2754 +-1273 1296 +2192 -1762 +-1237 4420 +5171 3048 +6818 -6539 +-1024 -2048 +-1470 -751 +1953 -136 +2276 128 +-1592 -914 +179 1421 +3292 825 +-2845 4632 +-3496 -2048 +-384 -432 +-1335 394 +1359 -676 +2532 3026 +288 -3819 +294 -553 +1992 -6238 +-1024 1024 +-3864 -3296 +-3081 -814 +-1721 716 +894 3980 +191 -4630 +810 -1031 +1052 -2652 +-1024 0 +1052 2652 +810 1031 +191 4630 +894 -3980 +-1721 -716 +-3081 814 +-3864 3296 +-1024 -1024 +2800 -3321 +1761 7300 +502 -3949 +-2786 -3040 +-1237 3301 +800 88 +-5202 661 +-3072 0 +-741 -33 +-1547 -1884 +3274 -940 +-110 -744 +-76 -3684 +3731 -3660 +-2726 1722 +-1024 1024 +2461 1658 +-1212 2638 +406 -1780 +2002 2412 +4453 4853 +6930 3652 +428 1071 +-5120 0 +428 -1071 +6930 -3652 +4453 -4853 +2002 -2412 +406 1780 +-1212 -2638 +2461 -1658 +-1024 -1024 +-2726 -1722 +3731 3660 +-76 3684 +-110 744 +3274 940 +-1547 1884 +-741 33 +-3072 0 +-5202 -661 +800 -88 +-1237 -3301 +-2786 3040 +502 3949 +1761 -7300 +2800 3321 +-1024 1024 +-3864 -3296 +-3081 -814 +-1721 716 +894 3980 +191 -4630 +810 -1031 +1052 -2652 +-1748 900 +-1177 -727 +-5482 -3005 +3145 -5334 +-4600 -3164 +-4644 -1856 +3200 -2291 +-3483 -338 +-2048 0 +-3483 338 +3200 2291 +-4644 1856 +-4600 3164 +3145 5334 +-5482 3005 +-1177 727 +-1748 -900 +2586 -3562 +2347 -3743 +-502 -2054 +1851 -378 +-5441 269 +-493 1267 +4791 3250 +1024 -1024 +-1 -6203 +1822 -1565 +1750 2839 +3094 2270 +-445 494 +-1459 -3587 +2943 -210 +-300 5244 +760 -697 +-1198 -935 +257 3729 +3752 -2380 +88 -2125 +1263 3556 +-627 94 +-4096 0 +-627 -94 +1263 -3556 +88 2125 +3752 2380 +257 -3729 +-1198 935 +760 697 +-300 -5244 +2943 210 +-1459 3587 +-445 -494 +3094 -2270 +1750 -2839 +1822 1565 +-1 6203 +1024 1024 +4791 -3250 +-493 -1267 +-5441 -269 +1851 378 +-502 2054 +2347 3743 +2586 3562 +-1748 900 +-1177 -727 +-5482 -3005 +3145 -5334 +-4600 -3164 +-4644 -1856 +3200 -2291 +-3483 -338 +3320 1024 +1694 617 +-6336 1757 +-3247 3598 +1688 1278 +-1254 1047 +-255 -629 +-57 -5163 +-5120 0 +-57 5163 +-255 629 +-1254 -1047 +1688 -1278 +-3247 -3598 +-6336 -1757 +1694 -617 +3320 -1024 +-3928 39 +-1260 -1181 +589 -1443 +1468 614 +504 1743 +382 1756 +1959 -1367 +1024 -2048 +1232 576 +-382 -3293 +-252 -4591 +-2316 2062 +1410 3797 +1260 4327 +-2991 5797 +-5368 1024 +552 -1228 +6336 -345 +-4783 -2215 +3256 170 +1241 2746 +255 -323 +7331 -1663 +-1024 0 +7331 1663 +255 323 +1241 -2746 +3256 -170 +-4783 2215 +6336 345 +552 1228 +-5368 -1024 +-2991 -5797 +1260 -4327 +1410 -3797 +-2316 -2062 +-252 4591 +-382 3293 +1232 -576 +1024 2048 +1959 1367 +382 -1756 +504 -1743 +1468 -614 +589 1443 +-1260 1181 +-3928 -39 +3320 1024 +1694 617 +-6336 1757 +-3247 3598 +1688 1278 +-1254 1047 +-255 -629 +-57 -5163 +2472 -2048 +2824 2365 +639 -4747 +-1821 1813 +954 4704 +2307 3033 +1839 791 +243 3726 +-2048 0 +243 -3726 +1839 -791 +2307 -3033 +954 -4704 +-1821 -1813 +639 4747 +2824 -2365 +2472 2048 +-1008 4919 +-2908 -1935 +134 293 +-3954 -3764 +-1349 3280 +7555 3866 +1836 770 +0 0 +1491 -3788 +-1538 -1458 +3406 -2075 +2506 -20 +-1194 -2197 +1135 2500 +-956 1514 +-424 -2048 +706 5245 +-1763 -1906 +-903 -2398 +495 3137 +-581 1709 +-4958 -819 +-5138 -5461 +-2048 0 +-5138 5461 +-4958 819 +-581 -1709 +495 -3137 +-903 2398 +-1763 1906 +706 -5245 +-424 2048 +-956 -1514 +1135 -2500 +-1194 2197 +2506 20 +3406 2075 +-1538 1458 +1491 3788 +0 0 +1836 -770 +7555 -3866 +-1349 -3280 +-3954 3764 +134 -293 +-2908 1935 +-1008 -4919 +2472 -2048 +2824 2365 +639 -4747 +-1821 1813 +954 4704 +2307 3033 +1839 791 +243 3726 +3620 2172 +1506 -1032 +5125 834 +1085 -1497 +-776 1996 +998 320 +-4751 1324 +-2464 -255 +2048 0 +-2464 255 +-4751 -1324 +998 -320 +-776 -1996 +1085 1497 +5125 -834 +1506 1032 +3620 -2172 +-1432 -4168 +-1518 4204 +1882 615 +-2454 -1130 +20 99 +-2806 2015 +-2468 -1079 +1024 -3072 +-1401 1417 +693 1556 +3585 908 +1006 -2330 +-2102 -6445 +2522 -2256 +73 2525 +-3620 -2172 +-589 -1722 +-336 -7294 +2711 -3683 +2224 4996 +3407 -5824 +1071 -1783 +-4811 1495 +-4096 0 +-4811 -1495 +1071 1783 +3407 5824 +2224 -4996 +2711 3683 +-336 7294 +-589 1722 +-3620 2172 +73 -2525 +2522 2256 +-2102 6445 +1006 2330 +3585 -908 +693 -1556 +-1401 -1417 +1024 3072 +-2468 1079 +-2806 -2015 +20 -99 +-2454 1130 +1882 -615 +-1518 -4204 +-1432 4168 +3620 2172 +1506 -1032 +5125 834 +1085 -1497 +-776 1996 +998 320 +-4751 1324 +-2464 -255 +1024 424 +-2132 -266 +-3478 -162 +249 -1549 +-1422 2016 +4446 -195 +860 -2284 +-4396 962 +3072 0 +-4396 -962 +860 2284 +4446 195 +-1422 -2016 +249 1549 +-3478 162 +-2132 266 +1024 -424 +-663 -2186 +-1118 162 +747 -687 +-1098 -3436 +1929 -3691 +-3107 2284 +-8292 569 +1024 2048 +1111 7529 +172 -2284 +-765 -268 +3146 5004 +1606 2358 +-5394 -162 +-1966 -3316 +1024 -2472 +4320 -6215 +101 -162 +974 2378 +3470 -1768 +2399 1401 +3772 -2284 +433 646 +-1024 0 +433 -646 +3772 2284 +2399 -1401 +3470 1768 +974 -2378 +101 162 +4320 6215 +1024 2472 +-1966 3316 +-5394 162 +1606 -2358 +3146 -5004 +-765 268 +172 2284 +1111 -7529 +1024 -2048 +-8292 -569 +-3107 -2284 +1929 3691 +-1098 3436 +747 687 +-1118 -162 +-663 2186 +1024 424 +-2132 -266 +-3478 -162 +249 -1549 +-1422 2016 +4446 -195 +860 -2284 +-4396 962 +548 -1148 +-1109 2208 +-425 2220 +-2143 2280 +1970 562 +-344 -3560 +-2407 -447 +-278 86 +-6144 0 +-278 -86 +-2407 447 +-344 3560 +1970 -562 +-2143 -2280 +-425 -2220 +-1109 -2208 +548 1148 +1045 78 +4075 67 +-2731 -2103 +1416 -3008 +2649 -165 +-6143 -1789 +7326 458 +5120 1024 +315 -409 +1679 -525 +-1965 -2389 +632 -1560 +2556 -5261 +2606 -3873 +-4990 3684 +-6692 3196 +382 198 +-463 -2064 +-3713 1555 +78 886 +-102 -5656 +1078 -2384 +3102 247 +0 0 +3102 -247 +1078 2384 +-102 5656 +78 -886 +-3713 -1555 +-463 2064 +382 -198 +-6692 -3196 +-4990 -3684 +2606 3873 +2556 5261 +632 1560 +-1965 2389 +1679 525 +315 409 +5120 -1024 +7326 -458 +-6143 1789 +2649 165 +1416 3008 +-2731 2103 +4075 -67 +1045 -78 +548 -1148 +-1109 2208 +-425 2220 +-2143 2280 +1970 562 +-344 -3560 +-2407 -447 +-278 86 +-724 -1748 +1064 -6142 +3438 -5217 +2085 1592 +1416 248 +-7787 1225 +-189 784 +5119 -210 +-7168 0 +5119 210 +-189 -784 +-7787 -1225 +1416 -248 +2085 -1592 +3438 5217 +1064 6142 +-724 1748 +-3388 729 +-536 466 +1933 5577 +78 -1430 +1745 -3335 +1660 3766 +312 1294 +0 1024 +-1570 1316 +-1321 3491 +4933 1951 +1970 -2030 +-654 -1144 +-3588 -606 +-2888 -91 +724 -300 +-6821 338 +-2210 -2847 +181 247 +632 3248 +3356 -1364 +2745 140 +2379 -2352 +-1024 0 +2379 2352 +2745 -140 +3356 1364 +632 -3248 +181 -247 +-2210 2847 +-6821 -338 +724 300 +-2888 91 +-3588 606 +-654 1144 +1970 2030 +4933 -1951 +-1321 -3491 +-1570 -1316 +0 -1024 +312 -1294 +1660 -3766 +1745 3335 +78 1430 +1933 -5577 +-536 -466 +-3388 -729 +-724 -1748 +1064 -6142 +3438 -5217 +2085 1592 +1416 248 +-7787 1225 +-189 784 +5119 -210 +-2048 -2896 +1292 -1081 +1221 178 +2690 2282 +614 4124 +2963 -1654 +-2774 -1506 +-2999 -3697 +9216 0 +-2999 3697 +-2774 1506 +2963 1654 +614 -4124 +2690 -2282 +1221 -178 +1292 1081 +-2048 2896 +737 -210 +3562 2968 +2756 -1705 +170 -2557 +-1306 4766 +-71 1200 +-116 254 +1024 4096 +-2021 199 +-2041 -3043 +-173 1181 +1278 340 +-1910 649 +-2558 -1924 +-422 -5404 +-2048 2896 +169 -17 +3568 -5071 +5392 -4024 +-2062 -1228 +1173 2165 +-906 -2737 +-8225 -453 +-3072 0 +-8225 453 +-906 2737 +1173 -2165 +-2062 1228 +5392 4024 +3568 5071 +169 17 +-2048 -2896 +-422 5404 +-2558 1924 +-1910 -649 +1278 -340 +-173 -1181 +-2041 3043 +-2021 -199 +1024 -4096 +-116 -254 +-71 -1200 +-1306 -4766 +170 2557 +2756 1705 +3562 -2968 +737 210 +-2048 -2896 +1292 -1081 +1221 178 +2690 2282 +614 4124 +2963 -1654 +-2774 -1506 +-2999 -3697 +-424 -2472 +1638 -2388 +987 222 +-741 -1077 +2122 -5688 +-2221 -998 +-4561 -332 +1208 6766 +3072 0 +1208 -6766 +-4561 332 +-2221 998 +2122 5688 +-741 1077 +987 -222 +1638 2388 +-424 2472 +-3555 -2759 +-1607 -1670 +3124 3429 +-2446 -3132 +-1568 3471 +3291 -1116 +670 -997 +-1024 6144 +-884 -2419 +1941 1116 +1040 2503 +2446 1564 +5626 2181 +1727 1670 +3438 2169 +2472 424 +-3088 1212 +-4003 222 +-4224 -3435 +-2122 -1904 +-1035 159 +2225 -332 +573 5485 +-5120 0 +573 -5485 +2225 332 +-1035 -159 +-2122 1904 +-4224 3435 +-4003 -222 +-3088 -1212 +2472 -424 +3438 -2169 +1727 -1670 +5626 -2181 +2446 -1564 +1040 -2503 +1941 -1116 +-884 2419 +-1024 -6144 +670 997 +3291 1116 +-1568 -3471 +-2446 3132 +3124 -3429 +-1607 1670 +-3555 2759 +-424 -2472 +1638 -2388 +987 222 +-741 -1077 +2122 -5688 +-2221 -998 +-4561 -332 +1208 6766 +-1024 5968 +-2932 1212 +-2595 -153 +3321 928 +2232 1638 +-4683 569 +-102 -869 +1692 6479 +-1024 0 +1692 -6479 +-102 869 +-4683 -569 +2232 -1638 +3321 -928 +-2595 153 +-2932 -1212 +-1024 -5968 +-45 -390 +748 1832 +1524 1100 +-3340 1194 +157 716 +412 5092 +198 -918 +3072 -6144 +-481 -5525 +3253 -5020 +1899 736 +444 -2302 +-1305 -134 +3156 4155 +4345 -3310 +-1024 176 +2416 909 +-4204 -2820 +-1972 2583 +664 -1038 +1059 -3995 +-667 1845 +-5194 2146 +-1024 0 +-5194 -2146 +-667 -1845 +1059 3995 +664 1038 +-1972 -2583 +-4204 2820 +2416 -909 +-1024 -176 +4345 3310 +3156 -4155 +-1305 134 +444 2302 +1899 -736 +3253 5020 +-481 5525 +3072 6144 +198 918 +412 -5092 +157 -716 +-3340 -1194 +1524 -1100 +748 -1832 +-45 390 +-1024 5968 +-2932 1212 +-2595 -153 +3321 928 +2232 1638 +-4683 569 +-102 -869 +1692 6479 +424 -4096 +-4811 -3071 +701 -3886 +-368 1162 +999 -1578 +1327 -727 +363 1939 +1041 -1596 +-2048 0 +1041 1596 +363 -1939 +1327 727 +999 1578 +-368 -1162 +701 3886 +-4811 3071 +424 4096 +831 -1082 +-1921 -3538 +3866 246 +-1796 -2362 +-2943 2421 +3054 -1156 +658 -3466 +-2048 -2048 +-379 -248 +-1762 -1756 +2428 -4839 +7340 -314 +910 -3618 +-791 -41 +-3339 -1373 +-2472 -4096 +639 -325 +-4981 390 +-3079 4789 +1649 -470 +3652 -2510 +-2855 -1340 +-432 -4084 +10240 0 +-432 4084 +-2855 1340 +3652 2510 +1649 470 +-3079 -4789 +-4981 -390 +639 325 +-2472 4096 +-3339 1373 +-791 41 +910 3618 +7340 314 +2428 4839 +-1762 1756 +-379 248 +-2048 2048 +658 3466 +3054 1156 +-2943 -2421 +-1796 2362 +3866 -246 +-1921 3538 +831 1082 +424 -4096 +-4811 -3071 +701 -3886 +-368 1162 +999 -1578 +1327 -727 +363 1939 +1041 -1596 +-2348 -724 +-2049 -3360 +1851 -1982 +915 -1729 +-3896 6020 +-1732 739 +2490 -1685 +1431 -3621 +-1024 0 +1431 3621 +2490 1685 +-1732 -739 +-3896 -6020 +915 1729 +1851 1982 +-2049 3360 +-2348 724 +-5363 -93 +-2855 5108 +426 -1936 +-3577 3354 +-498 1071 +151 -3189 +351 1098 +2048 1024 +-1045 -1669 +4129 -3936 +4738 -22 +-767 106 +-161 1710 +1562 -385 +320 -1008 +-3796 724 +412 -2018 +641 -2310 +149 -1305 +8240 3020 +1955 -2721 +222 -6055 +150 2183 +-7168 0 +150 -2183 +222 6055 +1955 2721 +8240 -3020 +149 1305 +641 2310 +412 2018 +-3796 -724 +320 1008 +1562 385 +-161 -1710 +-767 -106 +4738 22 +4129 3936 +-1045 1669 +2048 -1024 +351 -1098 +151 3189 +-498 -1071 +-3577 -3354 +426 1936 +-2855 -5108 +-5363 93 +-2348 -724 +-2049 -3360 +1851 -1982 +915 -1729 +-3896 6020 +-1732 739 +2490 -1685 +1431 -3621 +-4220 -724 +-8175 -1396 +4377 780 +-360 1732 +1032 188 +4623 1012 +324 -163 +3860 -3139 +2048 0 +3860 3139 +324 163 +4623 -1012 +1032 -188 +-360 -1732 +4377 -780 +-8175 1396 +-4220 724 +-537 2439 +716 4519 +-570 1232 +-3322 -262 +537 1831 +-784 -261 +768 -2434 +-3072 1024 +-995 3260 +120 559 +-300 -1655 +3922 586 +-2762 4195 +-1160 2811 +4573 -702 +124 724 +1035 1463 +-1037 -1289 +-6124 1599 +2465 4756 +-837 -1731 +-2556 -6009 +5264 -1944 +4096 0 +5264 1944 +-2556 6009 +-837 1731 +2465 -4756 +-6124 -1599 +-1037 1289 +1035 -1463 +124 -724 +4573 702 +-1160 -2811 +-2762 -4195 +3922 -586 +-300 1655 +120 -559 +-995 -3260 +-3072 -1024 +768 2434 +-784 261 +537 -1831 +-3322 262 +-570 -1232 +716 -4519 +-537 -2439 +-4220 -724 +-8175 -1396 +4377 780 +-360 1732 +1032 188 +4623 1012 +324 -163 +3860 -3139 +1748 -5244 +2367 -3860 +-548 -3918 +-1396 2792 +-946 1650 +7191 -1506 +1584 81 +-3355 754 +4096 0 +-3355 -754 +1584 -81 +7191 1506 +-946 -1650 +-1396 -2792 +-548 3918 +2367 3860 +1748 5244 +169 -1957 +-1433 -2830 +-2884 2756 +-392 -5036 +-2491 -1412 +-2533 2285 +-631 4470 +-1024 5120 +-4438 3647 +-2699 -1147 +2651 -2959 +392 4253 +448 -46 +1314 822 +882 -1092 +300 -900 +3501 2196 +3563 -3518 +-2170 2286 +946 3542 +-1350 -353 +752 1783 +1505 4086 +-6144 0 +1505 -4086 +752 -1783 +-1350 353 +946 -3542 +-2170 -2286 +3563 3518 +3501 -2196 +300 900 +882 1092 +1314 -822 +448 46 +392 -4253 +2651 2959 +-2699 1147 +-4438 -3647 +-1024 -5120 +-631 -4470 +-2533 -2285 +-2491 1412 +-392 5036 +-2884 -2756 +-1433 2830 +169 1957 +1748 -5244 +2367 -3860 +-548 -3918 +-1396 2792 +-946 1650 +7191 -1506 +1584 81 +-3355 754 +1748 -2172 +2144 1739 +-59 -4007 +2380 826 +-1430 1065 +1551 -8639 +1559 762 +-2452 3471 +3072 0 +-2452 -3471 +1559 -762 +1551 8639 +-1430 -1065 +2380 -826 +-59 4007 +2144 -1739 +1748 2172 +-344 4033 +-1928 1177 +3254 -1816 +3248 -1944 +-4 -231 +3640 -1367 +235 -2496 +0 1024 +1632 4613 +-2008 2638 +-1696 1197 +248 -4840 +-7981 -3472 +-4909 1397 +2447 -1642 +300 2172 +1105 596 +-2993 -1566 +130 -2042 +2030 -3962 +2365 -1077 +-1494 -2550 +-4767 62 +1024 0 +-4767 -62 +-1494 2550 +2365 1077 +2030 3962 +130 2042 +-2993 1566 +1105 -596 +300 -2172 +2447 1642 +-4909 -1397 +-7981 3472 +248 4840 +-1696 -1197 +-2008 -2638 +1632 -4613 +0 -1024 +235 2496 +3640 1367 +-4 231 +3248 1944 +3254 1816 +-1928 -1177 +-344 -4033 +1748 -2172 +2144 1739 +-59 -4007 +2380 826 +-1430 1065 +1551 -8639 +1559 762 +-2452 3471 +-4220 900 +1571 7633 +3900 177 +1467 -5739 +-392 4272 +-1033 -615 +-3727 1146 +-3653 1073 +-1024 0 +-3653 -1073 +-3727 -1146 +-1033 615 +-392 -4272 +1467 5739 +3900 -177 +1571 -7633 +-4220 -900 +-3849 988 +-1652 -460 +1178 -2260 +946 3054 +-3931 -614 +2213 -1950 +3640 -2573 +0 -1024 +3979 1357 +463 -58 +-1123 6150 +-946 406 +-1985 -1876 +544 -1243 +-1408 -1518 +124 5244 +-1665 1966 +-2792 -961 +1557 2468 +392 1272 +3870 331 +1051 746 +1386 -4815 +9216 0 +1386 4815 +1051 -746 +3870 -331 +392 -1272 +1557 -2468 +-2792 961 +-1665 -1966 +124 -5244 +-1408 1518 +544 1243 +-1985 1876 +-946 -406 +-1123 -6150 +463 58 +3979 -1357 +0 1024 +3640 2573 +2213 1950 +-3931 614 +946 -3054 +1178 2260 +-1652 460 +-3849 -988 +-4220 900 +1571 7633 +3900 177 +1467 -5739 +-392 4272 +-1033 -615 +-3727 1146 +-3653 1073 +-6268 4820 +5655 -1488 +1900 -4382 +-947 -572 +1070 -502 +854 915 +4261 -172 +3905 -1791 +6144 0 +3905 1791 +4261 172 +854 -915 +1070 502 +-947 572 +1900 4382 +5655 1488 +-6268 -4820 +141 -3079 +1263 -2417 +-2114 3120 +-3828 1840 +-6161 -3457 +1094 3381 +603 660 +3072 -3072 +939 1237 +1738 2441 +1473 1703 +-4612 -1056 +-778 933 +-1107 -3044 +-2239 -5830 +-1924 3372 +-661 1633 +2040 -342 +942 1282 +-822 -2394 +938 1349 +-2997 3328 +-2551 -528 +4096 0 +-2551 528 +-2997 -3328 +938 -1349 +-822 2394 +942 -1282 +2040 342 +-661 -1633 +-1924 -3372 +-2239 5830 +-1107 3044 +-778 -933 +-4612 1056 +1473 -1703 +1738 -2441 +939 -1237 +3072 3072 +603 -660 +1094 -3381 +-6161 3457 +-3828 -1840 +-2114 -3120 +1263 2417 +141 3079 +-6268 4820 +5655 -1488 +1900 -4382 +-947 -572 +1070 -502 +854 915 +4261 -172 +3905 -1791 +-1324 4644 +860 2217 +-1136 1033 +4248 -889 +1416 -2768 +-4765 1049 +1187 -3158 +-214 2418 +-3072 0 +-214 -2418 +1187 3158 +-4765 -1049 +1416 2768 +4248 889 +-1136 -1033 +860 -2217 +-1324 -4644 +-51 486 +-302 -2544 +-103 2982 +78 3802 +2687 -332 +3275 4163 +-2417 -1439 +-2048 -1024 +215 -53 +3746 -545 +16 5620 +1970 306 +1341 -2741 +-5151 7088 +-1875 6524 +-2772 -2596 +-3398 -1163 +-2100 1606 +1195 3725 +632 3368 +1174 -521 +482 -351 +1087 -3617 +7168 0 +1087 3617 +482 351 +1174 521 +632 -3368 +1195 -3725 +-2100 -1606 +-3398 1163 +-2772 2596 +-1875 -6524 +-5151 -7088 +1341 2741 +1970 -306 +16 -5620 +3746 545 +215 53 +-2048 1024 +-2417 1439 +3275 -4163 +2687 332 +78 -3802 +-103 -2982 +-302 2544 +-51 -486 +-1324 4644 +860 2217 +-1136 1033 +4248 -889 +1416 -2768 +-4765 1049 +1187 -3158 +-214 2418 +1324 -7117 +-488 -3668 +-8385 4461 +2640 2386 +672 836 +-258 3628 +1447 -181 +-3113 845 +2048 0 +-3113 -845 +1447 181 +-258 -3628 +672 -836 +2640 -2386 +-8385 -4461 +-488 3668 +1324 7117 +-1636 5266 +-510 1338 +-2339 207 +-3902 1286 +743 342 +516 -1784 +-3396 -2401 +3072 3072 +-1128 23 +657 -2633 +2952 3696 +-442 -1610 +976 -5959 +134 -3606 +4873 -88 +2772 3021 +-293 -1007 +-1127 483 +-597 -303 +3672 -3732 +1676 2182 +-923 1029 +-611 -3534 +0 0 +-611 3534 +-923 -1029 +1676 -2182 +3672 3732 +-597 303 +-1127 -483 +-293 1007 +2772 -3021 +4873 88 +134 3606 +976 5959 +-442 1610 +2952 -3696 +657 2633 +-1128 -23 +3072 -3072 +-3396 2401 +516 1784 +743 -342 +-3902 -1286 +-2339 -207 +-510 -1338 +-1636 -5266 +1324 -7117 +-488 -3668 +-8385 4461 +2640 2386 +672 836 +-258 3628 +1447 -181 +-3113 845 +300 -1748 +29 -3097 +-1822 1983 +-4291 3706 +2310 2610 +811 -1044 +-4054 -3130 +931 -2710 +4096 0 +931 2710 +-4054 3130 +811 1044 +2310 -2610 +-4291 -3706 +-1822 -1983 +29 3097 +300 1748 +568 2989 +57 3499 +866 -2644 +-2708 -960 +-1361 3688 +1202 -455 +1440 99 +1024 7168 +-2702 -2169 +3210 2637 +7247 4897 +1860 -3608 +-1504 1773 +-1646 -4277 +2537 -989 +1748 -300 +1 -882 +-685 4226 +-2639 710 +2634 2934 +871 2254 +-4454 3822 +-2805 442 +-2048 0 +-2805 -442 +-4454 -3822 +871 -2254 +2634 -2934 +-2639 -710 +-685 -4226 +1 882 +1748 300 +2537 989 +-1646 4277 +-1504 -1773 +1860 3608 +7247 -4897 +3210 -2637 +-2702 2169 +1024 -7168 +1440 -99 +1202 455 +-1361 -3688 +-2708 960 +866 2644 +57 -3499 +568 -2989 +300 -1748 +29 -3097 +-1822 1983 +-4291 3706 +2310 2610 +811 -1044 +-4054 -3130 +931 -2710 +2472 -1448 +7173 896 +3670 476 +-5019 -3909 +-3326 -360 +599 -644 +-3287 -5795 +1473 -630 +4096 0 +1473 630 +-3287 5795 +599 644 +-3326 360 +-5019 3909 +3670 -476 +7173 -896 +2472 1448 +-6234 448 +3370 -2230 +1041 -2149 +-2662 -580 +-1444 -658 +-6123 2244 +2306 267 +2048 -2048 +2088 2360 +994 -1200 +-448 -1685 +14 4364 +-428 3094 +2866 3462 +-813 2896 +-424 1448 +-3910 4080 +-1218 2319 +2838 1272 +-2218 1208 +2860 -71 +-273 -546 +-2083 -2940 +4096 0 +-2083 2940 +-273 546 +2860 71 +-2218 -1208 +2838 -1272 +-1218 -2319 +-3910 -4080 +-424 -1448 +-813 -2896 +2866 -3462 +-428 -3094 +14 -4364 +-448 1685 +994 1200 +2088 -2360 +2048 2048 +2306 -267 +-6123 -2244 +-1444 658 +-2662 580 +1041 2149 +3370 2230 +-6234 -448 +2472 -1448 +7173 896 +3670 476 +-5019 -3909 +-3326 -360 +599 -644 +-3287 -5795 +1473 -630 +-1748 -1748 +-1227 -3219 +-719 -612 +2690 -1107 +2524 -268 +2055 5377 +-968 128 +-3233 -2008 +0 0 +-3233 2008 +-968 -128 +2055 -5377 +2524 268 +2690 1107 +-719 612 +-1227 3219 +-1748 1748 +1318 -4345 +-917 -3359 +-1421 -2414 +2754 -3274 +-2249 300 +-1723 -3469 +2097 -4179 +3072 -7168 +-704 1170 +-2061 2259 +1289 -5235 +-706 5166 +1260 -1191 +-650 -619 +-2201 3688 +-300 -300 +-786 4884 +2287 1656 +368 -1527 +-476 516 +1801 -785 +4752 -4289 +-1056 -1412 +-10240 0 +-1056 1412 +4752 4289 +1801 785 +-476 -516 +368 1527 +2287 -1656 +-786 -4884 +-300 300 +-2201 -3688 +-650 619 +1260 1191 +-706 -5166 +1289 5235 +-2061 -2259 +-704 -1170 +3072 7168 +2097 4179 +-1723 3469 +-2249 -300 +2754 3274 +-1421 2414 +-917 3359 +1318 4345 +-1748 -1748 +-1227 -3219 +-719 -612 +2690 -1107 +2524 -268 +2055 5377 +-968 128 +-3233 -2008 +1448 -1448 +-4339 101 +3420 3873 +3756 1038 +-170 -184 +2832 -237 +377 -593 +-2769 -6895 +-3072 0 +-2769 6895 +377 593 +2832 237 +-170 184 +3756 -1038 +3420 -3873 +-4339 -101 +1448 1448 +2373 2214 +-1288 326 +1608 -3369 +2062 5388 +-861 7931 +-3834 4227 +-1853 -2402 +-1024 -2048 +-1748 895 +-734 223 +2793 -195 +-614 -1604 +-3561 1867 +1613 106 +-4798 2978 +-1448 1448 +972 -2810 +-3744 1699 +3989 3237 +-1278 1384 +1029 -658 +4190 2381 +578 -1472 +5120 0 +578 1472 +4190 -2381 +1029 658 +-1278 -1384 +3989 -3237 +-3744 -1699 +972 2810 +-1448 -1448 +-4798 -2978 +1613 -106 +-3561 -1867 +-614 1604 +2793 195 +-734 -223 +-1748 -895 +-1024 2048 +-1853 2402 +-3834 -4227 +-861 -7931 +2062 -5388 +1608 3369 +-1288 -326 +2373 -2214 +1448 -1448 +-4339 101 +3420 3873 +3756 1038 +-170 -184 +2832 -237 +377 -593 +-2769 -6895 +3496 -848 +-804 -4759 +-1305 1616 +-47 6009 +1194 -2472 +1897 2159 +-3318 5625 +-2582 1475 +1024 0 +-2582 -1475 +-3318 -5625 +1897 -2159 +1194 2472 +-47 -6009 +-1305 -1616 +-804 4759 +3496 848 +558 -3541 +1304 703 +1923 2775 +-1038 424 +-730 6029 +-72 -465 +1038 -1061 +-1024 0 +1984 -1225 +1364 3438 +685 -2000 +1638 -424 +-6129 3944 +-4017 1471 +-4059 1289 +600 4944 +5872 3148 +-2975 2049 +469 -1497 +2302 -2472 +1932 4740 +826 1175 +-2007 3505 +1024 0 +-2007 -3505 +826 -1175 +1932 -4740 +2302 2472 +469 1497 +-2975 -2049 +5872 -3148 +600 -4944 +-4059 -1289 +-4017 -1471 +-6129 -3944 +1638 424 +685 2000 +1364 -3438 +1984 1225 +-1024 0 +1038 1061 +-72 465 +-730 -6029 +-1038 -424 +1923 -2775 +1304 -703 +558 3541 +3496 -848 +-804 -4759 +-1305 1616 +-47 6009 +1194 -2472 +1897 2159 +-3318 5625 +-2582 1475 +-724 724 +284 -4184 +-119 -120 +-3221 -441 +1162 -3842 +-619 -2740 +-4100 2030 +446 -3438 +2048 0 +446 3438 +-4100 -2030 +-619 2740 +1162 3842 +-3221 441 +-119 120 +284 4184 +-724 -724 +-1364 -127 +-3758 4660 +-2808 721 +488 2504 +2457 -280 +2419 -3740 +-2543 -4032 +-3072 1024 +5500 1701 +-1246 -804 +-2368 -564 +5056 -3288 +387 -183 +3381 -1852 +-305 -1308 +724 -724 +2065 548 +-9393 3497 +-2606 215 +1486 -1950 +2985 -2657 +4623 2603 +1711 923 +4096 0 +1711 -923 +4623 -2603 +2985 2657 +1486 1950 +-2606 -215 +-9393 -3497 +2065 -548 +724 724 +-305 1308 +3381 1852 +387 183 +5056 3288 +-2368 564 +-1246 804 +5500 -1701 +-3072 -1024 +-2543 4032 +2419 3740 +2457 280 +488 -2504 +-2808 -721 +-3758 -4660 +-1364 127 +-724 724 +284 -4184 +-119 -120 +-3221 -441 +1162 -3842 +-619 -2740 +-4100 2030 +446 -3438 +-424 2048 +895 4437 +1061 -3966 +2635 -38 +-3400 2362 +1110 -114 +4989 -3597 +-1817 -2239 +-2048 0 +-1817 2239 +4989 3597 +1110 114 +-3400 -2362 +2635 38 +1061 3966 +895 -4437 +-424 -2048 +2388 1738 +1220 -1066 +-288 1420 +1832 470 +-5465 2150 +-2326 -4110 +-3565 -3841 +-2048 -2048 +6139 -5724 +-3690 -2442 +-3197 -2096 +-384 -1578 +-5874 38 +553 2170 +6277 1009 +2472 2048 +16 497 +63 -1486 +-697 -1655 +1952 -314 +192 3748 +-1869 -3424 +1251 -2172 +2048 0 +1251 2172 +-1869 3424 +192 -3748 +1952 314 +-697 1655 +63 1486 +16 -497 +2472 -2048 +6277 -1009 +553 -2170 +-5874 -38 +-384 1578 +-3197 2096 +-3690 2442 +6139 5724 +-2048 2048 +-3565 3841 +-2326 4110 +-5465 -2150 +1832 -470 +-288 -1420 +1220 1066 +2388 -1738 +-424 2048 +895 4437 +1061 -3966 +2635 -38 +-3400 2362 +1110 -114 +4989 -3597 +-1817 -2239 +-1748 2772 +1541 651 +2679 5706 +-2094 7344 +1540 392 +642 -168 +-1633 -549 +-2192 788 +-3072 0 +-2192 -788 +-1633 549 +642 168 +1540 -392 +-2094 -7344 +2679 -5706 +1541 -651 +-1748 -2772 +3033 3708 +2432 2307 +2865 -3405 +-4142 -946 +-2884 -2614 +3760 -717 +605 -339 +-2048 -5120 +-575 -2043 +4185 3158 +-1800 1034 +-2250 -946 +210 -3 +179 -3577 +-222 -1503 +-300 1324 +-2136 -510 +-6987 2394 +4370 -1725 +756 -392 +-1309 6032 +3577 2025 +-54 2861 +3072 0 +-54 -2861 +3577 -2025 +-1309 -6032 +756 392 +4370 1725 +-6987 -2394 +-2136 510 +-300 -1324 +-222 1503 +179 3577 +210 3 +-2250 946 +-1800 -1034 +4185 -3158 +-575 2043 +-2048 5120 +605 339 +3760 717 +-2884 2614 +-4142 946 +2865 3405 +2432 -2307 +3033 -3708 +-1748 2772 +1541 651 +2679 5706 +-2094 7344 +1540 392 +642 -168 +-1633 -549 +-2192 788 +-724 -3372 +-462 -367 +1748 -2627 +133 -579 +958 -1750 +-809 -1290 +485 433 +4955 -3894 +2048 0 +4955 3894 +485 -433 +-809 1290 +958 1750 +133 579 +1748 2627 +-462 367 +-724 3372 +-1319 58 +-1327 -2866 +-2774 2865 +1172 -3204 +-591 -3734 +-3915 244 +-2136 4598 +-3072 5120 +2019 -2420 +-1474 1693 +-328 3131 +3524 4637 +852 4049 +-57 -1418 +-973 -2143 +724 -4820 +-1031 4167 +-1564 1179 +221 -5156 +6634 5494 +3296 1236 +-2089 -1881 +-1054 2877 +-4096 0 +-1054 -2877 +-2089 1881 +3296 -1236 +6634 -5494 +221 5156 +-1564 -1179 +-1031 -4167 +724 4820 +-973 2143 +-57 1418 +852 -4049 +3524 -4637 +-328 -3131 +-1474 -1693 +2019 2420 +-3072 -5120 +-2136 -4598 +-3915 -244 +-591 3734 +1172 3204 +-2774 -2865 +-1327 2866 +-1319 -58 +-724 -3372 +-462 -367 +1748 -2627 +133 -579 +958 -1750 +-809 -1290 +485 433 +4955 -3894 +-300 2772 +645 -473 +857 -1435 +-5356 -1688 +4346 -1814 +6899 -844 +-888 4637 +2841 -166 +5120 0 +2841 166 +-888 -4637 +6899 844 +4346 1814 +-5356 1688 +857 1435 +645 473 +-300 -2772 +-789 1850 +-14 5357 +1079 543 +-1441 -152 +1120 -3586 +-171 -333 +802 -846 +-2048 -3072 +-1458 -2416 +2403 -673 +-3499 2457 +-8 -2200 +-3562 -2759 +-3326 1233 +4931 6219 +-1748 1324 +356 -821 +-413 207 +-7090 -1303 +-2898 3862 +-1177 -994 +1553 -2081 +4257 1124 +3072 0 +4257 -1124 +1553 2081 +-1177 994 +-2898 -3862 +-7090 1303 +-413 -207 +356 821 +-1748 -1324 +4931 -6219 +-3326 -1233 +-3562 2759 +-8 2200 +-3499 -2457 +2403 673 +-1458 2416 +-2048 3072 +802 846 +-171 333 +1120 3586 +-1441 152 +1079 -543 +-14 -5357 +-789 -1850 +-300 2772 +645 -473 +857 -1435 +-5356 -1688 +4346 -1814 +6899 -844 +-888 4637 +2841 -166 +0 1024 +-3837 -1462 +-2619 3054 +3103 -765 +-4208 -7054 +-4779 2289 +438 -908 +476 -21 +4096 0 +476 21 +438 908 +-4779 -2289 +-4208 7054 +3103 765 +-2619 -3054 +-3837 1462 +0 -1024 +-2278 5226 +-3051 1757 +4695 1451 +905 4075 +1348 -761 +3412 564 +-2932 -626 +0 -2048 +-3929 -2375 +-4011 -1668 +1664 -2182 +4040 -2317 +972 265 +-445 -1584 +3159 -407 +0 1024 +-2187 -2604 +-877 -3498 +6158 3363 +3360 4758 +-1576 75 +-1038 1572 +-57 -160 +4096 0 +-57 160 +-1038 -1572 +-1576 -75 +3360 -4758 +6158 -3363 +-877 3498 +-2187 2604 +0 -1024 +3159 407 +-445 1584 +972 -265 +4040 2317 +1664 2182 +-4011 1668 +-3929 2375 +0 2048 +-2932 626 +3412 -564 +1348 761 +905 -4075 +4695 -1451 +-3051 -1757 +-2278 -5226 +0 1024 +-3837 -1462 +-2619 3054 +3103 -765 +-4208 -7054 +-4779 2289 +438 -908 +476 -21 +1924 1572 +-1573 4317 +1945 2155 +1251 -2230 +886 -1766 +1764 -318 +-1416 1173 +-620 -670 +2048 0 +-620 670 +-1416 -1173 +1764 318 +886 1766 +1251 2230 +1945 -2155 +-1573 -4317 +1924 -1572 +2352 -8268 +-2667 -1696 +2190 1456 +1560 -2100 +-4377 3863 +-2669 1896 +-1794 3471 +-1024 -3072 +-495 -2929 +-1272 1416 +-2571 -2711 +-3008 -900 +1595 997 +-165 4137 +314 -6090 +6268 -5668 +-1773 317 +-3210 -419 +3211 1091 +562 -5226 +2729 -1143 +1260 2443 +-2203 2518 +0 0 +-2203 -2518 +1260 -2443 +2729 1143 +562 5226 +3211 -1091 +-3210 419 +-1773 -317 +6268 5668 +314 6090 +-165 -4137 +1595 -997 +-3008 900 +-2571 2711 +-1272 -1416 +-495 2929 +-1024 3072 +-1794 -3471 +-2669 -1896 +-4377 -3863 +1560 2100 +2190 -1456 +-2667 1696 +2352 8268 +1924 1572 +-1573 4317 +1945 2155 +1251 -2230 +886 -1766 +1764 -318 +-1416 1173 +-620 -670 +-6093 1148 +1873 611 +-3230 -3968 +-2683 651 +3842 2878 +-2632 -1447 +-1434 747 +1248 3335 +2048 0 +1248 -3335 +-1434 -747 +-2632 1447 +3842 -2878 +-2683 -651 +-3230 3968 +1873 -611 +-6093 -1148 +177 498 +-1813 -1816 +-4057 -1697 +-2504 -4696 +1931 -4590 +6128 2089 +-985 1383 +1024 -1024 +-5316 -47 +-896 225 +3477 2097 +-3288 1696 +2666 2258 +1932 5621 +2718 2497 +4045 -3196 +2152 -4274 +214 316 +-1927 3616 +1950 -582 +3224 254 +-902 2684 +-1867 -2237 +0 0 +-1867 2237 +-902 -2684 +3224 -254 +1950 582 +-1927 -3616 +214 -316 +2152 4274 +4045 3196 +2718 -2497 +1932 -5621 +2666 -2258 +-3288 -1696 +3477 -2097 +-896 -225 +-5316 47 +1024 1024 +-985 -1383 +6128 -2089 +1931 4590 +-2504 4696 +-4057 1697 +-1813 1816 +177 -498 +-6093 1148 +1873 611 +-3230 -3968 +-2683 651 +3842 2878 +-2632 -1447 +-1434 747 +1248 3335 +-3796 -5244 +-1054 -1903 +4025 -14 +-304 574 +4672 417 +3412 2086 +-1682 150 +1118 -426 +2048 0 +1118 426 +-1682 -150 +3412 -2086 +4672 -417 +-304 -574 +4025 14 +-1054 1903 +-3796 5244 +5128 3137 +5329 -1317 +2047 124 +-2238 1874 +-3648 -4491 +-1008 -3541 +-1851 3546 +-3072 -5120 +-3014 -1859 +-1769 -1309 +690 -1023 +3438 5370 +-1696 -2545 +-4769 2023 +1214 1961 +-2348 -900 +-1504 -1802 +4104 458 +1520 4636 +2321 -1016 +-2021 1070 +-4230 -815 +-37 684 +0 0 +-37 -684 +-4230 815 +-2021 -1070 +2321 1016 +1520 -4636 +4104 -458 +-1504 1802 +-2348 900 +1214 -1961 +-4769 -2023 +-1696 2545 +3438 -5370 +690 1023 +-1769 1309 +-3014 1859 +-3072 5120 +-1851 -3546 +-1008 3541 +-3648 4491 +-2238 -1874 +2047 -124 +5329 1317 +5128 -3137 +-3796 -5244 +-1054 -1903 +4025 -14 +-304 574 +4672 417 +3412 2086 +-1682 150 +1118 -426 +1872 1448 +6546 -216 +681 -4659 +-2582 -1065 +1952 -1542 +240 -2514 +-1052 85 +-4488 1533 +-6144 0 +-4488 -1533 +-1052 -85 +240 2514 +1952 1542 +-2582 1065 +681 4659 +6546 216 +1872 -1448 +1106 2140 +-3487 641 +748 27 +-384 1238 +-1484 3184 +3749 -101 +-4291 1115 +0 0 +5282 -4760 +531 407 +526 -1991 +1832 2087 +-1689 7015 +2195 1461 +2163 724 +-3920 -1448 +2217 -313 +1811 -1513 +-23 3588 +-3400 6486 +-1529 -1333 +3764 1623 +-2742 -727 +-6144 0 +-2742 727 +3764 -1623 +-1529 1333 +-3400 -6486 +-23 -3588 +1811 1513 +2217 313 +-3920 1448 +2163 -724 +2195 -1461 +-1689 -7015 +1832 -2087 +526 1991 +531 -407 +5282 4760 +0 0 +-4291 -1115 +3749 101 +-1484 -3184 +-384 -1238 +748 -27 +-3487 -641 +1106 -2140 +1872 1448 +6546 -216 +681 -4659 +-2582 -1065 +1952 -1542 +240 -2514 +-1052 85 +-4488 1533 +2896 -1024 +-4481 761 +-3355 -2478 +-3641 1661 +1468 -1978 +2994 -1911 +-1053 1388 +-3 -2145 +0 0 +-3 2145 +-1053 -1388 +2994 1911 +1468 1978 +-3641 -1661 +-3355 2478 +-4481 -761 +2896 1024 +4789 2684 +-973 3772 +-794 4647 +3256 2930 +1790 4564 +-3658 -3437 +4426 545 +4096 -2048 +-4666 -3479 +-2294 5107 +1169 -874 +1688 3530 +-1366 2662 +-306 -2336 +-2273 457 +-2896 -1024 +1315 -1421 +-2358 1666 +-3335 138 +-2316 -1519 +3183 257 +5805 -3932 +893 -311 +0 0 +893 311 +5805 3932 +3183 -257 +-2316 1519 +-3335 -138 +-2358 -1666 +1315 1421 +-2896 1024 +-2273 -457 +-306 2336 +-1366 -2662 +1688 -3530 +1169 874 +-2294 -5107 +-4666 3479 +4096 2048 +4426 -545 +-3658 3437 +1790 -4564 +3256 -2930 +-794 -4647 +-973 -3772 +4789 -2684 +2896 -1024 +-4481 761 +-3355 -2478 +-3641 1661 +1468 -1978 +2994 -1911 +-1053 1388 +-3 -2145 +1448 0 +-480 -6306 +-2704 2269 +2734 2388 +-70 -2576 +-756 2443 +3805 -2403 +945 3037 +1024 0 +945 -3037 +3805 2403 +-756 -2443 +-70 2576 +2734 -2388 +-2704 -2269 +-480 6306 +1448 0 +1191 1241 +-154 1110 +-1097 -2887 +-4978 -5932 +-1350 -3634 +-547 1482 +-2993 -893 +3072 0 +-418 -3699 +324 -4690 +74 -336 +1482 -987 +4603 1242 +-3866 601 +-7534 -2098 +-1448 0 +2359 -241 +-1965 -3977 +441 1374 +-529 3425 +1144 -1912 +5108 3223 +1137 4932 +1024 0 +1137 -4932 +5108 -3223 +1144 1912 +-529 -3425 +441 -1374 +-1965 3977 +2359 241 +-1448 0 +-7534 2098 +-3866 -601 +4603 -1242 +1482 987 +74 336 +324 4690 +-418 3699 +3072 0 +-2993 893 +-547 -1482 +-1350 3634 +-4978 5932 +-1097 2887 +-154 -1110 +1191 -1241 +1448 0 +-480 -6306 +-2704 2269 +2734 2388 +-70 -2576 +-756 2443 +3805 -2403 +945 3037 +-2596 -300 +-504 -984 +408 3257 +-5279 -143 +4046 378 +6060 2293 +-2213 -1267 +412 -247 +2048 0 +412 247 +-2213 1267 +6060 -2293 +4046 -378 +-5279 143 +408 -3257 +-504 984 +-2596 300 +1601 -2042 +3005 1299 +1974 -1120 +-3189 2380 +-5978 7589 +2397 240 +1576 -89 +-1024 5120 +-1758 3628 +-5293 3072 +1146 -285 +-1756 -3164 +-716 839 +-109 1143 +2012 -1933 +4644 -1748 +-2429 76 +2488 683 +-4226 460 +-3198 2270 +1227 2176 +-683 3 +4884 548 +4096 0 +4884 -548 +-683 -3 +1227 -2176 +-3198 -2270 +-4226 -460 +2488 -683 +-2429 -76 +4644 1748 +2012 1933 +-109 -1143 +-716 -839 +-1756 3164 +1146 285 +-5293 -3072 +-1758 -3628 +-1024 -5120 +1576 89 +2397 -240 +-5978 -7589 +-3189 -2380 +1974 1120 +3005 -1299 +1601 2042 +-2596 -300 +-504 -984 +408 3257 +-5279 -143 +4046 378 +6060 2293 +-2213 -1267 +412 -247 +1448 848 +83 -104 +-682 -1579 +-2833 2323 +1038 1688 +1407 -2157 +1068 -2328 +714 3378 +-5120 0 +714 -3378 +1068 2328 +1407 2157 +1038 -1688 +-2833 -2323 +-682 1579 +83 104 +1448 -848 +4064 3610 +6952 2399 +240 609 +-2302 1468 +-1849 4358 +-1611 2089 +-725 -3969 +-3072 -4096 +264 2505 +-4145 3537 +-2467 -1440 +-1194 2316 +-3758 -307 +2441 3847 +2057 7543 +-1448 -4944 +1156 253 +4074 131 +-3226 -1672 +-1638 3256 +900 -2074 +95 880 +3973 -688 +3072 0 +3973 688 +95 -880 +900 2074 +-1638 -3256 +-3226 1672 +4074 -131 +1156 -253 +-1448 4944 +2057 -7543 +2441 -3847 +-3758 307 +-1194 -2316 +-2467 1440 +-4145 -3537 +264 -2505 +-3072 4096 +-725 3969 +-1611 -2089 +-1849 -4358 +-2302 -1468 +240 -609 +6952 -2399 +4064 -3610 +1448 848 +83 -104 +-682 -1579 +-2833 2323 +1038 1688 +1407 -2157 +1068 -2328 +714 3378 +-124 2772 +1508 5571 +-3336 -720 +-1652 228 +-3478 -586 +-6036 1416 +-336 3962 +940 2403 +0 0 +940 -2403 +-336 -3962 +-6036 -1416 +-3478 586 +-1652 -228 +-3336 720 +1508 -5571 +-124 -2772 +-1738 1495 +-1164 947 +1061 168 +1200 188 +-1037 3746 +2188 -278 +1687 4724 +5120 1024 +1905 -1011 +-3792 4954 +-1428 -3272 +-1800 -4756 +5374 -3631 +1348 828 +-2664 1441 +4220 1324 +1326 1294 +1952 -2296 +-1000 1973 +-18 -262 +4717 -2433 +-5052 -1626 +-2964 -1219 +6144 0 +-2964 1219 +-5052 1626 +4717 2433 +-18 262 +-1000 -1973 +1952 2296 +1326 -1294 +4220 -1324 +-2664 -1441 +1348 -828 +5374 3631 +-1800 4756 +-1428 3272 +-3792 -4954 +1905 1011 +5120 -1024 +1687 -4724 +2188 278 +-1037 -3746 +1200 -188 +1061 -168 +-1164 -947 +-1738 -1495 +-124 2772 +1508 5571 +-3336 -720 +-1652 228 +-3478 -586 +-6036 1416 +-336 3962 +940 2403 +3620 124 +1271 -582 +-5435 -1289 +-4482 -246 +1051 -392 +6077 -366 +1082 2573 +-77 -3361 +2048 0 +-77 3361 +1082 -2573 +6077 366 +1051 392 +-4482 246 +-5435 1289 +1271 582 +3620 -124 +-3641 529 +-941 574 +-1542 -3521 +1382 946 +-1970 1932 +-1921 -405 +1024 -571 +-7168 -3072 +4697 -626 +4033 -3081 +-747 -1653 +7058 946 +3780 -1167 +-63 -535 +-2458 -4666 +-3620 -4220 +-2741 -516 +646 180 +-891 -1496 +-1300 392 +-226 877 +2598 -5249 +1924 -790 +-4096 0 +1924 790 +2598 5249 +-226 -877 +-1300 -392 +-891 1496 +646 -180 +-2741 516 +-3620 4220 +-2458 4666 +-63 535 +3780 1167 +7058 -946 +-747 1653 +4033 3081 +4697 626 +-7168 3072 +1024 571 +-1921 405 +-1970 -1932 +1382 -946 +-1542 3521 +-941 -574 +-3641 -529 +3620 124 +1271 -582 +-5435 -1289 +-4482 -246 +1051 -392 +6077 -366 +1082 2573 +-77 -3361 +-600 -2048 +2020 5751 +231 -813 +-594 807 +1943 1892 +-3653 -2365 +-157 2959 +1155 4156 +-5120 0 +1155 -4156 +-157 -2959 +-3653 2365 +1943 -1892 +-594 -807 +231 813 +2020 -5751 +-600 2048 +1808 5011 +1201 2029 +1680 -9 +1058 784 +-3468 2750 +-419 -896 +1669 -222 +1024 -8192 +1482 -1646 +1683 2260 +1620 -4571 +-5402 784 +-5137 -3501 +2739 -2695 +-1497 -2894 +-3496 -2048 +566 -56 +-75 185 +1154 3031 +2402 -1892 +2604 -3419 +2989 -3899 +-1410 2227 +-5120 0 +-1410 -2227 +2989 3899 +2604 3419 +2402 1892 +1154 -3031 +-75 -185 +566 56 +-3496 2048 +-1497 2894 +2739 2695 +-5137 3501 +-5402 -784 +1620 4571 +1683 -2260 +1482 1646 +1024 8192 +1669 222 +-419 896 +-3468 -2750 +1058 -784 +1680 9 +1201 -2029 +1808 -5011 +-600 -2048 +2020 5751 +231 -813 +-594 807 +1943 1892 +-3653 -2365 +-157 2959 +1155 4156 +4644 6268 +-1240 2874 +568 -1708 +1636 477 +2794 -3862 +-2321 -1876 +-3741 317 +751 2033 +-1024 0 +751 -2033 +-3741 -317 +-2321 1876 +2794 3862 +1636 -477 +568 1708 +-1240 -2874 +4644 -6268 +1708 -1543 +-141 -945 +313 -2552 +-6348 -2200 +-94 2604 +2226 -2047 +-572 -4570 +0 -5120 +2744 -898 +-215 3185 +-6232 -1902 +2004 -152 +-344 444 +-1411 -1064 +3522 -2766 +-2596 1924 +2452 1567 +3880 -1308 +1738 2208 +1551 1814 +-6282 5251 +-1167 2019 +2221 -889 +-3072 0 +2221 889 +-1167 -2019 +-6282 -5251 +1551 -1814 +1738 -2208 +3880 1308 +2452 -1567 +-2596 -1924 +3522 2766 +-1411 1064 +-344 -444 +2004 152 +-6232 1902 +-215 -3185 +2744 898 +0 5120 +-572 4570 +2226 2047 +-94 -2604 +-6348 2200 +313 2552 +-141 945 +1708 1543 +4644 6268 +-1240 2874 +568 -1708 +1636 477 +2794 -3862 +-2321 -1876 +-3741 317 +751 2033 +-848 -1024 +-5726 -3638 +-4019 413 +1547 -466 +-554 -2328 +-312 -1756 +337 664 +-867 2525 +-2048 0 +-867 -2525 +337 -664 +-312 1756 +-554 2328 +1547 466 +-4019 -413 +-5726 3638 +-848 1024 +-911 -1678 +2593 -812 +2171 -5676 +-1338 908 +-2152 4872 +2154 474 +-880 4444 +0 0 +4294 118 +-4830 2366 +173 -4654 +1338 660 +-2366 2589 +-1485 -1596 +1947 -2079 +4944 -1024 +-661 3758 +2911 -1196 +865 -6510 +554 -6112 +74 -3233 +2338 1229 +2804 -2938 +-6144 0 +2804 2938 +2338 -1229 +74 3233 +554 6112 +865 6510 +2911 1196 +-661 -3758 +4944 1024 +1947 2079 +-1485 1596 +-2366 -2589 +1338 -660 +173 4654 +-4830 -2366 +4294 -118 +0 0 +-880 -4444 +2154 -474 +-2152 -4872 +-1338 -908 +2171 5676 +2593 812 +-911 1678 +-848 -1024 +-5726 -3638 +-4019 413 +1547 -466 +-554 -2328 +-312 -1756 +337 664 +-867 2525 +2648 424 +-1082 -342 +2254 -936 +-517 313 +-784 3170 +2508 2859 +-399 -28 +1807 392 +4096 0 +1807 -392 +-399 28 +2508 -2859 +-784 -3170 +-517 -313 +2254 936 +-1082 342 +2648 -424 +682 -2932 +-3636 -5329 +159 842 +1892 1398 +1120 -5297 +5209 840 +1205 1882 +-2048 -4096 +-750 1751 +-3013 5147 +1645 3676 +-1892 2846 +-3978 3035 +-3305 2615 +2804 -4783 +5544 -2472 +-1725 3604 +590 -1008 +-3912 -576 +784 -1722 +-2818 -2134 +-5893 2639 +2852 -1203 +0 0 +2852 1203 +-5893 -2639 +-2818 2134 +784 1722 +-3912 576 +590 1008 +-1725 -3604 +5544 2472 +2804 4783 +-3305 -2615 +-3978 -3035 +-1892 -2846 +1645 -3676 +-3013 -5147 +-750 -1751 +-2048 4096 +1205 -1882 +5209 -840 +1120 5297 +1892 -1398 +159 -842 +-3636 5329 +682 2932 +2648 424 +-1082 -342 +2254 -936 +-517 313 +-784 3170 +2508 2859 +-399 -28 +1807 392 +724 1324 +2011 154 +4601 1705 +-788 591 +-138 3418 +1556 -1295 +262 -3058 +-3599 -7628 +-8192 0 +-3599 7628 +262 3058 +1556 1295 +-138 -3418 +-788 -591 +4601 -1705 +2011 -154 +724 -1324 +2135 1530 +-1387 3482 +-1730 -6733 +536 -32 +4413 707 +1142 278 +-1963 2821 +1024 3072 +-1897 1486 +-867 -1170 +-275 7537 +-4032 816 +-2973 -3155 +316 2034 +3333 -450 +-724 2772 +-560 2091 +3463 -257 +-509 4995 +-462 1526 +307 -1452 +662 4506 +539 -1067 +-2048 0 +539 1067 +662 -4506 +307 1452 +-462 -1526 +-509 -4995 +3463 257 +-560 -2091 +-724 -2772 +3333 450 +316 -2034 +-2973 3155 +-4032 -816 +-275 -7537 +-867 1170 +-1897 -1486 +1024 -3072 +-1963 -2821 +1142 -278 +4413 -707 +536 32 +-1730 6733 +-1387 -3482 +2135 -1530 +724 1324 +2011 154 +4601 1705 +-788 591 +-138 3418 +1556 -1295 +262 -3058 +-3599 -7628 +4520 -2896 +-281 2958 +1754 3961 +-2950 819 +2856 1338 +3939 231 +469 2000 +4597 -2077 +2048 0 +4597 2077 +469 -2000 +3939 -231 +2856 -1338 +-2950 -819 +1754 -3961 +-281 -2958 +4520 2896 +-900 -990 +-7663 978 +1223 4765 +2976 -554 +-383 -5191 +850 884 +-931 3579 +-2048 2048 +-134 3023 +-326 -2900 +2656 -498 +-2376 -554 +-2319 -2815 +-1849 2546 +-3849 -247 +1624 2896 +-2538 3578 +-2131 -2393 +912 -2607 +640 -1338 +-3077 -1331 +703 -5784 +4036 -4272 +-2048 0 +4036 4272 +703 5784 +-3077 1331 +640 1338 +912 2607 +-2131 2393 +-2538 -3578 +1624 -2896 +-3849 247 +-1849 -2546 +-2319 2815 +-2376 554 +2656 498 +-326 2900 +-134 -3023 +-2048 -2048 +-931 -3579 +850 -884 +-383 5191 +2976 554 +1223 -4765 +-7663 -978 +-900 990 +4520 -2896 +-281 2958 +1754 3961 +-2950 819 +2856 1338 +3939 231 +469 2000 +4597 -2077 +0 3496 +-1623 1048 +-1502 -2355 +-1754 3483 +1462 -37 +-1331 279 +536 -2024 +1622 1535 +-3072 0 +1622 -1535 +536 2024 +-1331 -279 +1462 37 +-1754 -3483 +-1502 2355 +-1623 -1048 +0 -3496 +5672 2260 +4315 691 +-3062 -1000 +-4774 -3600 +3056 2569 +2072 -1327 +-1860 2385 +1024 6144 +-332 -2970 +-1028 -847 +-3867 -5236 +-3666 -2401 +1211 384 +1413 -5141 +3301 -811 +0 600 +897 -1664 +5662 619 +-1508 -2779 +-1214 -6956 +1463 -2971 +-3277 -1592 +-1885 1357 +1024 0 +-1885 -1357 +-3277 1592 +1463 2971 +-1214 6956 +-1508 2779 +5662 -619 +897 1664 +0 -600 +3301 811 +1413 5141 +1211 -384 +-3666 2401 +-3867 5236 +-1028 847 +-332 2970 +1024 -6144 +-1860 -2385 +2072 1327 +3056 -2569 +-4774 3600 +-3062 1000 +4315 -691 +5672 -2260 +0 3496 +-1623 1048 +-1502 -2355 +-1754 3483 +1462 -37 +-1331 279 +536 -2024 +1622 1535 +1448 -3920 +5693 2313 +-2249 -2649 +-4193 -1065 +2122 2432 +2164 -2773 +-433 1617 +1144 12 +0 0 +1144 -12 +-433 -1617 +2164 2773 +2122 -2432 +-4193 1065 +-2249 2649 +5693 -2313 +1448 3920 +-4401 3744 +1956 -192 +-2226 2308 +-2446 5448 +-3346 1491 +-1776 791 +3809 2877 +0 0 +2562 1850 +-4256 8 +-2934 -2804 +2446 -96 +3844 1545 +8508 -2084 +604 -2988 +-1448 1872 +-1456 402 +-2423 757 +-1001 -1578 +-2122 216 +-3893 4792 +672 -2401 +3629 216 +0 0 +3629 -216 +672 2401 +-3893 -4792 +-2122 -216 +-1001 1578 +-2423 -757 +-1456 -402 +-1448 -1872 +604 2988 +8508 2084 +3844 -1545 +2446 96 +-2934 2804 +-4256 -8 +2562 -1850 +0 0 +3809 -2877 +-1776 -791 +-3346 -1491 +-2446 -5448 +-2226 -2308 +1956 192 +-4401 -3744 +1448 -3920 +5693 2313 +-2249 -2649 +-4193 -1065 +2122 2432 +2164 -2773 +-433 1617 +1144 12 +-1448 -4096 +-661 -3860 +-1285 3219 +493 3797 +-1978 -2316 +-131 -1441 +80 -5120 +-956 3987 +3072 0 +-956 -3987 +80 5120 +-131 1441 +-1978 2316 +493 -3797 +-1285 -3219 +-661 3860 +-1448 4096 +421 695 +1888 -5177 +1990 1082 +2930 1688 +-919 -5018 +-1328 -1005 +-947 1964 +1024 -4096 +2529 754 +3560 4279 +1142 -934 +-3530 -3256 +-926 -3201 +-5229 -205 +-2058 -6041 +1448 -4096 +-4382 -2509 +1729 -2840 +-228 2696 +-1519 1468 +4372 2317 +584 -2381 +262 -2430 +3072 0 +262 2430 +584 2381 +4372 -2317 +-1519 -1468 +-228 -2696 +1729 2840 +-4382 2509 +1448 4096 +-2058 6041 +-5229 205 +-926 3201 +-3530 3256 +1142 934 +3560 -4279 +2529 -754 +1024 4096 +-947 -1964 +-1328 1005 +-919 5018 +2930 -1688 +1990 -1082 +1888 5177 +421 -695 +-1448 -4096 +-661 -3860 +-1285 3219 +493 3797 +-1978 -2316 +-131 -1441 +80 -5120 +-956 3987 +-724 -724 +2558 3270 +-4142 2428 +3295 -2424 +-4586 -4083 +-1789 1148 +6681 1918 +634 -3686 +4096 0 +634 3686 +6681 -1918 +-1789 -1148 +-4586 4083 +3295 2424 +-4142 -2428 +2558 -3270 +-724 724 +-4021 1940 +-2400 218 +-3343 -30 +-1476 -412 +-964 1348 +3411 1728 +2240 -2641 +3072 -5120 +3797 -3445 +-959 2016 +-4313 2097 +876 -4156 +1473 -1487 +-2728 -750 +401 -5057 +724 724 +2391 510 +581 -4595 +-536 -1801 +1090 -3758 +385 -767 +-444 5362 +-2208 -326 +-2048 0 +-2208 326 +-444 -5362 +385 767 +1090 3758 +-536 1801 +581 4595 +2391 -510 +724 -724 +401 5057 +-2728 750 +1473 1487 +876 4156 +-4313 -2097 +-959 -2016 +3797 3445 +3072 5120 +2240 2641 +3411 -1728 +-964 -1348 +-1476 412 +-3343 30 +-2400 -218 +-4021 -1940 +-724 -724 +2558 3270 +-4142 2428 +3295 -2424 +-4586 -4083 +-1789 1148 +6681 1918 +634 -3686 +-2896 600 +2806 956 +-2236 4548 +-3780 4504 +-3714 -360 +502 294 +351 -6022 +-1678 -3579 +3072 0 +-1678 3579 +351 6022 +502 -294 +-3714 360 +-3780 -4504 +-2236 -4548 +2806 -956 +-2896 -600 +-946 -1144 +549 -3704 +-2138 -3162 +3411 -580 +-2127 2941 +-1622 1239 +2569 317 +3072 -2048 +508 1776 +-5334 1800 +1594 -816 +86 4364 +-3356 504 +1852 2208 +-224 2922 +2896 3496 +5723 -674 +-1365 -7325 +-303 729 +4314 1208 +-1977 -2484 +-387 -2106 +2826 1254 +-1024 0 +2826 -1254 +-387 2106 +-1977 2484 +4314 -1208 +-303 -729 +-1365 7325 +5723 674 +2896 -3496 +-224 -2922 +1852 -2208 +-3356 -504 +86 -4364 +1594 816 +-5334 -1800 +508 -1776 +3072 2048 +2569 -317 +-1622 -1239 +-2127 -2941 +3411 580 +-2138 3162 +549 3704 +-946 1144 +-2896 600 +2806 956 +-2236 4548 +-3780 4504 +-3714 -360 +502 294 +351 -6022 +-1678 -3579 +-724 -1324 +2979 -2853 +1165 -4128 +4821 1169 +-1272 -3104 +1273 195 +4708 863 +-1057 -4677 +0 0 +-1057 4677 +4708 -863 +1273 -195 +-1272 3104 +4821 -1169 +1165 4128 +2979 2853 +-724 1324 +-960 1530 +1547 -1837 +-2983 -3321 +406 -1546 +-1792 6216 +-4724 -1645 +-2916 -2042 +1024 3072 +4474 -1503 +4880 -796 +3579 -440 +-3054 -346 +-1289 12 +-283 3107 +-755 2079 +724 -2772 +-4160 -7063 +1666 -816 +2347 2403 +-4272 -3888 +-164 -820 +-768 -1711 +-3397 545 +-2048 0 +-3397 -545 +-768 1711 +-164 820 +-4272 3888 +2347 -2403 +1666 816 +-4160 7063 +724 2772 +-755 -2079 +-283 -3107 +-1289 -12 +-3054 346 +3579 440 +4880 796 +4474 1503 +1024 -3072 +-2916 2042 +-4724 1645 +-1792 -6216 +406 1546 +-2983 3321 +1547 1837 +-960 -1530 +-724 -1324 +2979 -2853 +1165 -4128 +4821 1169 +-1272 -3104 +1273 195 +4708 863 +-1057 -4677 +-600 0 +1552 5557 +14 2165 +-3021 -3808 +-5716 -1098 +1857 1004 +784 -1835 +-1229 965 +7168 0 +-1229 -965 +784 1835 +1857 -1004 +-5716 1098 +-3021 3808 +14 -2165 +1552 -5557 +-600 0 +-2447 36 +-1408 -2141 +5148 2534 +3521 3470 +132 238 +154 -2787 +-361 3220 +3072 2048 +1544 -2557 +-1078 4324 +-3162 -155 +2872 1422 +-1553 3083 +-6656 -1005 +-345 3957 +-3496 0 +-327 2149 +1058 4267 +3210 2790 +3420 3146 +-2611 -2964 +-1059 -2141 +1613 -2957 +3072 0 +1613 2957 +-1059 2141 +-2611 2964 +3420 -3146 +3210 -2790 +1058 -4267 +-327 -2149 +-3496 0 +-345 -3957 +-6656 1005 +-1553 -3083 +2872 -1422 +-3162 155 +-1078 -4324 +1544 2557 +3072 -2048 +-361 -3220 +154 2787 +132 -238 +3521 -3470 +5148 -2534 +-1408 2141 +-2447 -36 +-600 0 +1552 5557 +14 2165 +-3021 -3808 +-5716 -1098 +1857 1004 +784 -1835 +-1229 965 +-2296 -2048 +-738 -3118 +6104 24 +-1438 2029 +-3170 1604 +-3255 -750 +-2907 -3977 +1383 2437 +-3072 0 +1383 -2437 +-2907 3977 +-3255 750 +-3170 -1604 +-1438 -2029 +6104 -24 +-738 3118 +-2296 2048 +-143 1026 +3035 -4929 +-2644 -2199 +-1398 -184 +2256 -5713 +-3611 -622 +1766 -1260 +-1024 0 +-2165 4272 +-1178 57 +-1118 2684 +2846 -1384 +941 -3504 +-922 3319 +2152 -1619 +6392 -2048 +-1271 2170 +-2424 2432 +3141 6555 +1722 5388 +2117 -742 +1903 -1136 +-983 1398 +-3072 0 +-983 -1398 +1903 1136 +2117 742 +1722 -5388 +3141 -6555 +-2424 -2432 +-1271 -2170 +6392 2048 +2152 1619 +-922 -3319 +941 3504 +2846 1384 +-1118 -2684 +-1178 -57 +-2165 -4272 +-1024 0 +1766 1260 +-3611 622 +2256 5713 +-1398 184 +-2644 2199 +3035 4929 +-143 -1026 +-2296 -2048 +-738 -3118 +6104 24 +-1438 2029 +-3170 1604 +-3255 -750 +-2907 -3977 +1383 2437 +-724 -3196 +556 651 +-1004 -3084 +-339 -4348 +-3288 -1596 +-2402 -328 +569 3688 +-1107 471 +1024 0 +-1107 -471 +569 -3688 +-2402 328 +-3288 1596 +-339 4348 +-1004 3084 +556 -651 +-724 3196 +-1251 3207 +200 291 +7201 -1678 +3842 -4162 +957 982 +851 6989 +-5015 -1092 +0 -1024 +798 1788 +-851 -3004 +448 3045 +1950 -1514 +-1184 -2376 +-200 4950 +2861 994 +724 1148 +5403 1231 +1004 5344 +-2543 -447 +-2504 -3948 +-2139 6487 +-569 304 +-2245 -1687 +-1024 0 +-2245 1687 +-569 -304 +-2139 -6487 +-2504 3948 +-2543 447 +1004 -5344 +5403 -1231 +724 -1148 +2861 -994 +-200 -4950 +-1184 2376 +1950 1514 +448 -3045 +-851 3004 +798 -1788 +0 1024 +-5015 1092 +851 -6989 +957 -982 +3842 4162 +7201 1678 +200 -291 +-1251 -3207 +-724 -3196 +556 651 +-1004 -3084 +-339 -4348 +-3288 -1596 +-2402 -328 +569 3688 +-1107 471 +2472 2472 +-3534 -2580 +-3601 33 +339 3851 +-6956 -2266 +-1511 -1985 +3670 2764 +1934 2943 +3072 0 +1934 -2943 +3670 -2764 +-1511 1985 +-6956 2266 +339 -3851 +-3601 -33 +-3534 2580 +2472 -2472 +2089 1870 +2592 -34 +-2272 -4404 +2401 1962 +3313 -46 +2667 626 +1796 5537 +-3072 0 +-1042 -809 +-739 810 +3870 -372 +-3600 1363 +-3145 3548 +1792 5354 +-2520 1159 +-424 -424 +-4932 2757 +416 -1637 +1056 -362 +-37 5762 +4143 2988 +1394 -1380 +417 -2609 +-1024 0 +417 2609 +1394 1380 +4143 -2988 +-37 -5762 +1056 362 +416 1637 +-4932 -2757 +-424 424 +-2520 -1159 +1792 -5354 +-3145 -3548 +-3600 -1363 +3870 372 +-739 -810 +-1042 809 +-3072 0 +1796 -5537 +2667 -626 +3313 46 +2401 -1962 +-2272 4404 +2592 34 +2089 -1870 +2472 2472 +-3534 -2580 +-3601 33 +339 3851 +-6956 -2266 +-1511 -1985 +3670 2764 +1934 2943 +3072 -176 +767 811 +-1525 1156 +-799 1145 +6256 1278 +2613 -465 +-4265 -4062 +-3327 775 +-3072 0 +-3327 -775 +-4265 4062 +2613 465 +6256 -1278 +-799 -1145 +-1525 -1156 +767 -811 +3072 176 +1541 2933 +276 1930 +3912 -4235 +1143 614 +1635 2228 +4492 -2981 +3507 1798 +1024 -2048 +-3774 1130 +-1189 1011 +38 -583 +-1992 2062 +-2180 -4205 +-4541 4190 +-474 -143 +3072 -5968 +3518 1465 +1693 3503 +-3150 1101 +-1312 170 +-2070 -129 +-3135 -5931 +-1757 3909 +-3072 0 +-1757 -3909 +-3135 5931 +-2070 129 +-1312 -170 +-3150 -1101 +1693 -3503 +3518 -1465 +3072 5968 +-474 143 +-4541 -4190 +-2180 4205 +-1992 -2062 +38 583 +-1189 -1011 +-3774 -1130 +1024 2048 +3507 -1798 +4492 2981 +1635 -2228 +1143 -614 +3912 4235 +276 -1930 +1541 -2933 +3072 -176 +767 811 +-1525 1156 +-799 1145 +6256 1278 +2613 -465 +-4265 -4062 +-3327 775 +-124 -2772 +-57 518 +914 4171 +-1437 -236 +1486 2514 +-540 5241 +3211 -1292 +2068 1157 +-4096 0 +2068 -1157 +3211 1292 +-540 -5241 +1486 -2514 +-1437 236 +914 -4171 +-57 -518 +-124 2772 +3340 721 +-4837 -1718 +1125 -1739 +5056 -3392 +-1042 -1586 +-2297 1802 +-2153 -2487 +-3072 -1024 +-2930 931 +144 2742 +-2806 5982 +488 -3392 +-840 -5535 +-3567 -1090 +-2218 -2596 +4220 -1324 +9400 -938 +-2398 553 +1391 -663 +1162 -2514 +-1643 -570 +638 -1865 +-1658 -636 +2048 0 +-1658 636 +638 1865 +-1643 570 +1162 2514 +1391 663 +-2398 -553 +9400 938 +4220 1324 +-2218 2596 +-3567 1090 +-840 5535 +488 3392 +-2806 -5982 +144 -2742 +-2930 -931 +-3072 1024 +-2153 2487 +-2297 -1802 +-1042 1586 +5056 3392 +1125 1739 +-4837 1718 +3340 -721 +-124 -2772 +-57 518 +914 4171 +-1437 -236 +1486 2514 +-540 5241 +3211 -1292 +2068 1157 +5544 3072 +1587 -2266 +654 -1506 +4 2100 +-4449 2166 +-1695 3815 +758 1562 +1001 -3021 +-2048 0 +1001 3021 +758 -1562 +-1695 -3815 +-4449 -2166 +4 -2100 +654 1506 +1587 2266 +5544 -3072 +-3882 -1499 +195 -374 +-1713 -1258 +-2011 5078 +-547 2992 +-5702 -3426 +2511 336 +0 2048 +-1368 1534 +-5702 514 +-3003 -2032 +4908 733 +-2882 -1777 +195 -3205 +3793 5437 +2648 3072 +1399 -1264 +654 2770 +1694 -2380 +1552 -6511 +2349 -2808 +758 -1717 +753 -453 +2048 0 +753 453 +758 1717 +2349 2808 +1552 6511 +1694 2380 +654 -2770 +1399 1264 +2648 -3072 +3793 -5437 +195 3205 +-2882 1777 +4908 -733 +-3003 2032 +-5702 -514 +-1368 -1534 +0 -2048 +2511 -336 +-5702 3426 +-547 -2992 +-2011 -5078 +-1713 1258 +195 374 +-3882 1499 +5544 3072 +1587 -2266 +654 -1506 +4 2100 +-4449 2166 +-1695 3815 +758 1562 +1001 -3021 +-2472 4520 +1458 -2538 +-161 -656 +2415 -2805 +-3894 -1408 +-1483 840 +1194 349 +-1215 -3007 +5120 0 +-1215 3007 +1194 -349 +-1483 -840 +-3894 1408 +2415 2805 +-161 656 +1458 2538 +-2472 -4520 +-390 -6866 +3911 3704 +231 -3828 +-674 -4424 +-902 -464 +-2136 -7031 +-178 -4947 +1024 -2048 +-410 1198 +909 4190 +857 2662 +3570 -928 +-3159 -490 +-4251 -1295 +3153 -3641 +424 1624 +-436 724 +-2396 953 +2081 1397 +998 808 +-39 5733 +2930 -216 +-1980 -4080 +-3072 0 +-1980 4080 +2930 216 +-39 -5733 +998 -808 +2081 -1397 +-2396 -953 +-436 -724 +424 -1624 +3153 3641 +-4251 1295 +-3159 490 +3570 928 +857 -2662 +909 -4190 +-410 -1198 +1024 2048 +-178 4947 +-2136 7031 +-902 464 +-674 4424 +231 3828 +3911 -3704 +-390 6866 +-2472 4520 +1458 -2538 +-161 -656 +2415 -2805 +-3894 -1408 +-1483 840 +1194 349 +-1215 -3007 +300 3196 +-814 5176 +-1173 3530 +3636 1523 +762 582 +-1798 -135 +1410 2031 +2721 -2716 +0 0 +2721 2716 +1410 -2031 +-1798 135 +762 -582 +3636 -1523 +-1173 -3530 +-814 -5176 +300 -3196 +-2053 1092 +456 2308 +-3219 -2962 +5780 1696 +1125 79 +-6849 -4357 +2634 2586 +-3072 3072 +-1702 1475 +-1999 811 +-2354 -500 +1212 -4696 +-1854 -3597 +1161 -864 +3218 -5324 +1748 -1148 +-1239 440 +-1644 290 +-3027 1388 +438 -2878 +1699 -2691 +445 1937 +3028 7845 +2048 0 +3028 -7845 +445 -1937 +1699 2691 +438 2878 +-3027 -1388 +-1644 -290 +-1239 -440 +1748 1148 +3218 5324 +1161 864 +-1854 3597 +1212 4696 +-2354 500 +-1999 -811 +-1702 -1475 +-3072 -3072 +2634 -2586 +-6849 4357 +1125 -79 +5780 -1696 +-3219 2962 +456 -2308 +-2053 -1092 +300 3196 +-814 5176 +-1173 3530 +3636 1523 +762 582 +-1798 -135 +1410 2031 +2721 -2716 +424 2648 +270 1903 +-1695 3889 +-2168 -89 +-4519 -784 +2494 612 +1231 460 +-439 -3868 +2048 0 +-439 3868 +1231 -460 +2494 -612 +-4519 784 +-2168 89 +-1695 -3889 +270 -1903 +424 -2648 +-6445 -2624 +2656 3354 +-1578 -812 +-6990 1892 +-1320 1035 +3042 -4862 +1900 -1374 +-4096 -2048 +2283 801 +-669 3019 +1750 2308 +6390 1892 +1714 -1815 +3960 -2310 +652 3569 +-2472 5544 +-2046 -3279 +-825 -1360 +-1102 2221 +1023 784 +212 3796 +493 -772 +3824 -1525 +2048 0 +3824 1525 +493 772 +212 -3796 +1023 -784 +-1102 -2221 +-825 1360 +-2046 3279 +-2472 -5544 +652 -3569 +3960 2310 +1714 1815 +6390 -1892 +1750 -2308 +-669 -3019 +2283 -801 +-4096 2048 +1900 1374 +3042 4862 +-1320 -1035 +-6990 -1892 +-1578 812 +2656 -3354 +-6445 2624 +424 2648 +270 1903 +-1695 3889 +-2168 -89 +-4519 -784 +2494 612 +1231 460 +-439 -3868 +2772 -724 +711 -154 +-2233 1231 +-1552 1725 +248 1600 +2190 -2411 +2054 2784 +-889 -435 +-6144 0 +-889 435 +2054 -2784 +2190 2411 +248 -1600 +-1552 -1725 +-2233 -1231 +711 154 +2772 724 +-2790 -5497 +2043 -1603 +94 -4912 +-1430 -5310 +581 1079 +-1399 1006 +2300 322 +5120 -3072 +5300 1476 +-3769 2234 +-417 -576 +2030 -366 +-8458 -4392 +-5215 -1943 +1548 107 +1324 724 +323 1973 +-1588 1325 +-2795 362 +3248 -751 +-1228 2323 +1914 1340 +5083 -2196 +-4096 0 +5083 2196 +1914 -1340 +-1228 -2323 +3248 751 +-2795 -362 +-1588 -1325 +323 -1973 +1324 -724 +1548 -107 +-5215 1943 +-8458 4392 +2030 366 +-417 576 +-3769 -2234 +5300 -1476 +5120 3072 +2300 -322 +-1399 -1006 +581 -1079 +-1430 5310 +94 4912 +2043 1603 +-2790 5497 +2772 -724 +711 -154 +-2233 1231 +-1552 1725 +248 1600 +2190 -2411 +2054 2784 +-889 -435 +3196 -2772 +240 2848 +4153 -701 +2891 -5448 +2250 762 +-3263 2497 +-3170 -163 +3374 -169 +-1024 0 +3374 169 +-3170 163 +-3263 -2497 +2250 -762 +2891 5448 +4153 701 +240 -2848 +3196 2772 +-3208 3211 +-5351 1200 +-3474 2786 +-1540 5780 +-417 1149 +-2774 -519 +2318 4352 +2048 -1024 +3420 -167 +-278 3266 +-841 -1004 +-756 -1212 +-2425 -3571 +6983 -368 +2596 1766 +-1148 -1324 +812 1059 +-4088 -866 +-568 1307 +4142 438 +-3489 -2433 +-3666 3948 +2032 1002 +1024 0 +2032 -1002 +-3666 -3948 +-3489 2433 +4142 -438 +-568 -1307 +-4088 866 +812 -1059 +-1148 1324 +2596 -1766 +6983 368 +-2425 3571 +-756 1212 +-841 1004 +-278 -3266 +3420 167 +2048 1024 +2318 -4352 +-2774 519 +-417 -1149 +-1540 -5780 +-3474 -2786 +-5351 -1200 +-3208 -3211 +3196 -2772 +240 2848 +4153 -701 +2891 -5448 +2250 762 +-3263 2497 +-3170 -163 +3374 -169 +1324 300 +-1666 769 +5354 1567 +679 -2443 +632 2740 +1431 1131 +811 -605 +234 -2113 +-5120 0 +234 2113 +811 605 +1431 -1131 +632 -2740 +679 2443 +5354 -1567 +-1666 -769 +1324 -300 +837 -2468 +-3108 3372 +3506 2827 +1970 2850 +-1327 1186 +-5595 -2431 +-4129 840 +-2048 5120 +-2039 -690 +990 5005 +-2369 6883 +78 -4742 +557 435 +-168 -60 +4634 252 +2772 1748 +-21 384 +-3278 297 +-3174 -3733 +1416 1956 +698 782 +-3199 -3047 +2151 -985 +9216 0 +2151 985 +-3199 3047 +698 -782 +1416 -1956 +-3174 3733 +-3278 -297 +-21 -384 +2772 -1748 +4634 -252 +-168 60 +557 -435 +78 4742 +-2369 -6883 +990 -5005 +-2039 690 +-2048 -5120 +-4129 -840 +-5595 2431 +-1327 -1186 +1970 -2850 +3506 -2827 +-3108 -3372 +837 2468 +1324 300 +-1666 769 +5354 1567 +679 -2443 +632 2740 +1431 1131 +811 -605 +234 -2113 +-724 300 +3053 -174 +3485 -34 +-5805 608 +790 406 +-434 -3195 +2593 592 +6929 -1856 +-1024 0 +6929 1856 +2593 -592 +-434 3195 +790 -406 +-5805 -608 +3485 34 +3053 174 +-724 -300 +599 -319 +-815 -2331 +-680 -5757 +-872 -4272 +3160 -2660 +-1617 2367 +-3404 -143 +0 3072 +-30 3272 +3665 -1794 +-2067 3710 +-3224 1272 +5855 -1718 +2863 -1287 +-4298 1809 +724 1748 +3543 2063 +-1437 2774 +-2267 -1242 +-4886 -3054 +-3555 -899 +-545 5136 +-599 -760 +1024 0 +-599 760 +-545 -5136 +-3555 899 +-4886 3054 +-2267 1242 +-1437 -2774 +3543 -2063 +724 -1748 +-4298 -1809 +2863 1287 +5855 1718 +-3224 -1272 +-2067 -3710 +3665 1794 +-30 -3272 +0 -3072 +-3404 143 +-1617 -2367 +3160 2660 +-872 4272 +-680 5757 +-815 2331 +599 319 +-724 300 +3053 -174 +3485 -34 +-5805 608 +790 406 +-434 -3195 +2593 592 +6929 -1856 +0 -3496 +1612 -2903 +-4262 2167 +3363 -191 +300 1762 +-1214 2685 +1315 -1618 +515 -180 +1024 0 +515 180 +1315 1618 +-1214 -2685 +300 -1762 +3363 191 +-4262 -2167 +1612 2903 +0 3496 +-7235 -2953 +1770 -1123 +-601 650 +1748 -3026 +1265 -4358 +1364 -540 +2098 4895 +-5120 4096 +1987 -61 +1976 -1508 +330 -1 +1748 1918 +1669 -3616 +-2435 -8403 +-3631 -3523 +0 -600 +-2779 -875 +2031 -2456 +-885 -4442 +300 -914 +1866 -1095 +-1759 -549 +1640 6000 +1024 0 +1640 -6000 +-1759 549 +1866 1095 +300 914 +-885 4442 +2031 2456 +-2779 875 +0 600 +-3631 3523 +-2435 8403 +1669 3616 +1748 -1918 +330 1 +1976 1508 +1987 61 +-5120 -4096 +2098 -4895 +1364 540 +1265 4358 +1748 3026 +-601 -650 +1770 1123 +-7235 2953 +0 -3496 +1612 -2903 +-4262 2167 +3363 -191 +300 1762 +-1214 2685 +1315 -1618 +515 -180 +1024 3072 +989 1335 +2652 -1121 +-1777 3368 +1338 300 +283 2790 +-174 1848 +1291 3648 +-3072 0 +1291 -3648 +-174 -1848 +283 -2790 +1338 -300 +-1777 -3368 +2652 1121 +989 -1335 +1024 -3072 +-19 2304 +-2525 -3939 +292 -4105 +-554 1748 +-999 2914 +-2934 -6287 +-3549 -5427 +-1024 4096 +2222 -311 +3053 -5255 +1824 -1685 +554 -1748 +-1917 2438 +189 6394 +-930 -2159 +1024 3072 +3744 -134 +2580 -5427 +4969 2863 +-1338 300 +-2676 491 +-2842 -1313 +-3748 -1061 +1024 0 +-3748 1061 +-2842 1313 +-2676 -491 +-1338 -300 +4969 -2863 +2580 5427 +3744 134 +1024 -3072 +-930 2159 +189 -6394 +-1917 -2438 +554 1748 +1824 1685 +3053 5255 +2222 311 +-1024 -4096 +-3549 5427 +-2934 6287 +-999 -2914 +-554 -1748 +292 4105 +-2525 3939 +-19 -2304 +1024 3072 +989 1335 +2652 -1121 +-1777 3368 +1338 300 +283 2790 +-174 1848 +1291 3648 +1748 -1148 +14 -329 +4708 -1413 +-156 5386 +392 6080 +1069 -3249 +-590 2564 +2809 1711 +2048 0 +2809 -1711 +-590 -2564 +1069 3249 +392 -6080 +-156 -5386 +4708 1413 +14 329 +1748 1148 +-678 2615 +-1152 -1455 +1840 -1289 +-946 2186 +5241 -4084 +-3017 -2808 +-9079 -1206 +-1024 -1024 +1450 1381 +3136 3208 +-721 -802 +946 -2510 +3449 4942 +-1184 317 +-891 3815 +300 3196 +-453 -4134 +525 290 +2227 1156 +-392 1512 +-1365 -1350 +-2426 556 +-4756 3405 +-4096 0 +-4756 -3405 +-2426 -556 +-1365 1350 +-392 -1512 +2227 -1156 +525 -290 +-453 4134 +300 -3196 +-891 -3815 +-1184 -317 +3449 -4942 +946 2510 +-721 802 +3136 -3208 +1450 -1381 +-1024 1024 +-9079 1206 +-3017 2808 +5241 4084 +-946 -2186 +1840 1289 +-1152 1455 +-678 -2615 +1748 -1148 +14 -329 +4708 -1413 +-156 5386 +392 6080 +1069 -3249 +-590 2564 +2809 1711 +1324 -2772 +401 4071 +2560 -507 +1846 880 +3054 2550 +-1410 -4677 +-5422 -417 +-2384 -5944 +-4096 0 +-2384 5944 +-5422 417 +-1410 4677 +3054 -2550 +1846 -880 +2560 507 +401 -4071 +1324 2772 +745 475 +580 -840 +-953 -94 +1272 208 +232 -1898 +-2029 -3846 +-2950 378 +-1024 -5120 +9247 -5032 +544 1099 +-2385 548 +4272 -992 +-967 -2209 +-2732 8 +1668 391 +2772 -1324 +83 -1259 +1289 -341 +-2823 -98 +-406 4442 +667 4227 +-2981 -4528 +-1017 -2363 +-2048 0 +-1017 2363 +-2981 4528 +667 -4227 +-406 -4442 +-2823 98 +1289 341 +83 1259 +2772 1324 +1668 -391 +-2732 -8 +-967 2209 +4272 992 +-2385 -548 +544 -1099 +9247 5032 +-1024 5120 +-2950 -378 +-2029 3846 +232 1898 +1272 -208 +-953 94 +580 840 +745 -475 +1324 -2772 +401 4071 +2560 -507 +1846 880 +3054 2550 +-1410 -4677 +-5422 -417 +-2384 -5944 +-2172 124 +-1417 -1478 +-2286 -4953 +-1593 -3240 +-692 2080 +-4256 -1714 +-1211 -4301 +3016 -5166 +2048 0 +3016 5166 +-1211 4301 +-4256 1714 +-692 -2080 +-1593 3240 +-2286 4953 +-1417 1478 +-2172 -124 +-1009 515 +-60 -253 +-863 -1848 +-802 522 +1484 2576 +840 1484 +-517 -322 +1024 1024 +87 -4187 +933 -3579 +-1765 -871 +-2694 1370 +8623 2308 +3180 -3437 +-6472 -345 +2172 -4220 +-917 250 +-3730 569 +2730 -3380 +92 2864 +1433 1475 +2335 6230 +1437 -1466 +4096 0 +1437 1466 +2335 -6230 +1433 -1475 +92 -2864 +2730 3380 +-3730 -569 +-917 -250 +2172 4220 +-6472 345 +3180 3437 +8623 -2308 +-2694 -1370 +-1765 871 +933 3579 +87 4187 +1024 -1024 +-517 322 +840 -1484 +1484 -2576 +-802 -522 +-863 1848 +-60 253 +-1009 -515 +-2172 124 +-1417 -1478 +-2286 -4953 +-1593 -3240 +-692 2080 +-4256 -1714 +-1211 -4301 +3016 -5166 +-1448 -1024 +-843 850 +-2563 -1836 +6602 -892 +3046 -1388 +-471 -2286 +-860 -1342 +-4457 3905 +0 0 +-4457 -3905 +-860 1342 +-471 2286 +3046 1388 +6602 892 +-2563 1836 +-843 -850 +-1448 1024 +1126 1238 +464 -2220 +-2745 4927 +5618 280 +3789 -1846 +-5422 1688 +-1411 -5885 +0 -4096 +-4085 -559 +698 -2253 +614 -4448 +1374 -4064 +-1735 -1503 +-1404 611 +3648 1360 +1448 -1024 +3876 2169 +-593 572 +-3659 -2641 +-1846 -2956 +3397 -3213 +1488 1498 +-3646 -1476 +0 0 +-3646 1476 +1488 -1498 +3397 3213 +-1846 2956 +-3659 2641 +-593 -572 +3876 -2169 +1448 1024 +3648 -1360 +-1404 -611 +-1735 1503 +1374 4064 +614 4448 +698 2253 +-4085 559 +0 4096 +-1411 5885 +-5422 -1688 +3789 1846 +5618 -280 +-2745 -4927 +464 2220 +1126 -1238 +-1448 -1024 +-843 850 +-2563 -1836 +6602 -892 +3046 -1388 +-471 -2286 +-860 -1342 +-4457 3905 +4220 724 +-544 1161 +1078 -413 +1769 925 +756 2368 +1170 8536 +534 1449 +-587 -4984 +0 0 +-587 4984 +534 -1449 +1170 -8536 +756 -2368 +1769 -925 +1078 413 +-544 -1161 +4220 -724 +3475 -1671 +-5433 -4580 +-1318 -304 +-2250 1490 +-3178 2807 +797 1077 +-5216 2757 +1024 -1024 +975 -3741 +326 -2419 +4815 -2650 +-4142 3538 +-263 366 +-583 -3980 +-4539 -3423 +-124 -724 +279 -2362 +2042 -187 +-1075 1888 +1540 -4416 +3873 2134 +1239 2047 +363 -3364 +-2048 0 +363 3364 +1239 -2047 +3873 -2134 +1540 4416 +-1075 -1888 +2042 187 +279 2362 +-124 724 +-4539 3423 +-583 3980 +-263 -366 +-4142 -3538 +4815 2650 +326 2419 +975 3741 +1024 1024 +-5216 -2757 +797 -1077 +-3178 -2807 +-2250 -1490 +-1318 304 +-5433 4580 +3475 1671 +4220 724 +-544 1161 +1078 -413 +1769 925 +756 2368 +1170 8536 +534 1449 +-587 -4984 +-724 -5244 +-3099 -1801 +2839 -1452 +-5358 -3315 +-3778 1514 +3010 4803 +1476 -4390 +1080 811 +-1024 0 +1080 -811 +1476 4390 +3010 -4803 +-3778 -1514 +-5358 3315 +2839 1452 +-3099 1801 +-724 5244 +1363 4993 +590 1479 +2296 -1003 +-548 -1596 +2283 2274 +-1975 -1142 +3456 1112 +8192 5120 +-2913 2926 +-1809 -1925 +-1216 388 +-3548 3948 +-2564 -3375 +-2157 -413 +369 -2304 +724 -900 +-850 3951 +-1272 -440 +274 -937 +-318 -4162 +1275 -376 +2308 3606 +594 2258 +1024 0 +594 -2258 +2308 -3606 +1275 376 +-318 4162 +274 937 +-1272 440 +-850 -3951 +724 900 +369 2304 +-2157 413 +-2564 3375 +-3548 -3948 +-1216 -388 +-1809 1925 +-2913 -2926 +8192 -5120 +3456 -1112 +-1975 1142 +2283 -2274 +-548 1596 +2296 1003 +590 -1479 +1363 -4993 +-724 -5244 +-3099 -1801 +2839 -1452 +-5358 -3315 +-3778 1514 +3010 4803 +1476 -4390 +1080 811 +-1324 -300 +-1489 -4640 +1690 -843 +552 -1889 +-1416 -2584 +-2404 -2902 +-2786 -3151 +2397 1373 +9216 0 +2397 -1373 +-2786 3151 +-2404 2902 +-1416 2584 +552 1889 +1690 843 +-1489 4640 +-1324 300 +1543 -3044 +-4601 6724 +-2936 6932 +-78 -1586 +1518 -774 +4024 1818 +2250 -429 +2048 -1024 +1850 1279 +100 -1041 +-2511 -3399 +-1970 1910 +-2740 2974 +2045 228 +-2849 1074 +-2772 -1748 +4123 -2019 +-2030 1339 +6012 699 +-632 1984 +-3284 644 +1559 -908 +-2034 3543 +3072 0 +-2034 -3543 +1559 908 +-3284 -644 +-632 -1984 +6012 -699 +-2030 -1339 +4123 2019 +-2772 1748 +-2849 -1074 +2045 -228 +-2740 -2974 +-1970 -1910 +-2511 3399 +100 1041 +1850 -1279 +2048 1024 +2250 429 +4024 -1818 +1518 774 +-78 1586 +-2936 -6932 +-4601 -6724 +1543 3044 +-1324 -300 +-1489 -4640 +1690 -843 +552 -1889 +-1416 -2584 +-2404 -2902 +-2786 -3151 +2397 1373 +1324 -300 +-4452 2581 +2520 -358 +4326 147 +78 6818 +542 3573 +4186 1571 +-369 -2736 +-9216 0 +-369 2736 +4186 -1571 +542 -3573 +78 -6818 +4326 -147 +2520 358 +-4452 -2581 +1324 300 +-2804 2004 +-3347 -1046 +2905 -2227 +632 -1865 +3035 -4613 +-3809 505 +-4131 -3300 +0 -3072 +-2050 815 +1473 -2208 +37 -574 +1416 432 +936 4376 +331 1446 +2683 -1540 +2772 -1748 +5461 -701 +-2401 1650 +-3703 1472 +1970 -426 +-2286 -2118 +1047 2709 +-131 -1710 +-7168 0 +-131 1710 +1047 -2709 +-2286 2118 +1970 426 +-3703 -1472 +-2401 -1650 +5461 701 +2772 1748 +2683 1540 +331 -1446 +936 -4376 +1416 -432 +37 574 +1473 2208 +-2050 -815 +0 3072 +-4131 3300 +-3809 -505 +3035 4613 +632 1865 +2905 2227 +-3347 1046 +-2804 -2004 +1324 -300 +-4452 2581 +2520 -358 +4326 147 +78 6818 +542 3573 +4186 1571 +-369 -2736 +-4220 -4396 +-614 -892 +-1831 1167 +-1727 -2931 +-32 -1200 +-558 -3707 +-2355 -2780 +-879 -3904 +5120 0 +-879 3904 +-2355 2780 +-558 3707 +-32 1200 +-1727 2931 +-1831 -1167 +-614 892 +-4220 4396 +-459 -2194 +-2164 2445 +-2828 2251 +1526 18 +5365 -1406 +1074 -4556 +-4281 -562 +2048 3072 +657 4392 +-1673 -2324 +-1065 -2040 +3418 -3478 +2545 -5540 +-1332 5785 +678 -2366 +124 -5844 +1962 2728 +-1665 -723 +-1774 2500 +-816 1800 +42 -1153 +1756 2116 +2936 -718 +7168 0 +2936 718 +1756 -2116 +42 1153 +-816 -1800 +-1774 -2500 +-1665 723 +1962 -2728 +124 5844 +678 2366 +-1332 -5785 +2545 5540 +3418 3478 +-1065 2040 +-1673 2324 +657 -4392 +2048 -3072 +-4281 562 +1074 4556 +5365 1406 +1526 -18 +-2828 -2251 +-2164 -2445 +-459 2194 +-4220 -4396 +-614 -892 +-1831 1167 +-1727 -2931 +-32 -1200 +-558 -3707 +-2355 -2780 +-879 -3904 +-1324 -2596 +-4541 -1629 +1020 647 +2009 438 +208 5056 +-3807 3318 +-3514 -1216 +2240 -1618 +3072 0 +2240 1618 +-3514 1216 +-3807 -3318 +208 -5056 +2009 -438 +1020 -647 +-4541 1629 +-1324 2596 +1764 5233 +-2530 1119 +-3175 -2062 +4442 1162 +919 -5092 +-5675 -1636 +4823 -348 +0 1024 +-3107 258 +1410 -372 +-4040 4752 +2550 -1486 +-5 -1173 +-4870 -2821 +-1383 -330 +-2772 4644 +1705 1179 +2284 -491 +492 -2004 +992 488 +1815 -1722 +3683 -1616 +4292 1545 +5120 0 +4292 -1545 +3683 1616 +1815 1722 +992 -488 +492 2004 +2284 491 +1705 -1179 +-2772 -4644 +-1383 330 +-4870 2821 +-5 1173 +2550 1486 +-4040 -4752 +1410 372 +-3107 -258 +0 -1024 +4823 348 +-5675 1636 +919 5092 +4442 -1162 +-3175 2062 +-2530 -1119 +1764 -5233 +-1324 -2596 +-4541 -1629 +1020 647 +2009 438 +208 5056 +-3807 3318 +-3514 -1216 +2240 -1618 +2896 -2648 +-111 -620 +-1896 -3291 +3383 -849 +1398 0 +-5386 -4411 +-3939 4183 +-938 -671 +-3072 0 +-938 671 +-3939 -4183 +-5386 4411 +1398 0 +3383 849 +-1896 3291 +-111 620 +2896 2648 +-4653 2765 +1413 850 +4455 354 +-1722 0 +-87 -6260 +-3268 -2913 +-23 1623 +1024 2048 +-286 1630 +1376 871 +-818 3033 +3170 0 +233 -3647 +-2197 -717 +-2328 1875 +-2896 -5544 +3958 -1708 +2680 1724 +-502 -832 +-2846 0 +-1277 -3752 +5831 -399 +4382 -4153 +1024 0 +4382 4153 +5831 399 +-1277 3752 +-2846 0 +-502 832 +2680 -1724 +3958 1708 +-2896 5544 +-2328 -1875 +-2197 717 +233 3647 +3170 0 +-818 -3033 +1376 -871 +-286 -1630 +1024 -2048 +-23 -1623 +-3268 2913 +-87 6260 +-1722 0 +4455 -354 +1413 -850 +-4653 -2765 +2896 -2648 +-111 -620 +-1896 -3291 +3383 -849 +1398 0 +-5386 -4411 +-3939 4183 +-938 -671 +3372 4396 +-835 1176 +868 -4825 +507 2800 +2948 620 +-3171 -970 +-3613 3379 +52 -743 +-3072 0 +52 743 +-3613 -3379 +-3171 970 +2948 -620 +507 -2800 +868 4825 +-835 -1176 +3372 -4396 +5504 -695 +-2277 784 +94 1745 +282 1190 +91 2881 +2891 3490 +592 -894 +0 -7168 +-3922 2933 +-2264 3335 +1106 -921 +-3178 3837 +-2345 62 +-880 2049 +1767 2278 +4820 5844 +1812 2475 +-1807 1994 +-3369 3765 +-52 -6164 +1294 -376 +-1111 561 +823 -543 +3072 0 +823 543 +-1111 -561 +1294 376 +-52 6164 +-3369 -3765 +-1807 -1994 +1812 -2475 +4820 -5844 +1767 -2278 +-880 -2049 +-2345 -62 +-3178 -3837 +1106 921 +-2264 -3335 +-3922 -2933 +0 7168 +592 894 +2891 -3490 +91 -2881 +282 -1190 +94 -1745 +-2277 -784 +5504 695 +3372 4396 +-835 1176 +868 -4825 +507 2800 +2948 620 +-3171 -970 +-3613 3379 +52 -743 +-1024 424 +-7735 427 +1532 861 +6298 -380 +156 1194 +-902 -1808 +137 1853 +3819 -5744 +7168 0 +3819 5744 +137 -1853 +-902 1808 +156 -1194 +6298 380 +1532 -861 +-7735 -427 +-1024 -424 +-2037 -2547 +-5993 -539 +1436 -3224 +1264 -1038 +-457 -2933 +-266 1121 +-1112 2049 +-1024 0 +-1153 -1440 +-1442 -4267 +-4008 3413 +2832 -1638 +6291 -3047 +-179 845 +708 -3550 +-1024 -2472 +-752 -101 +-2353 -677 +-5129 -330 +3940 2302 +2262 1473 +371 -249 +2469 -2208 +-1024 0 +2469 2208 +371 249 +2262 -1473 +3940 -2302 +-5129 330 +-2353 677 +-752 101 +-1024 2472 +708 3550 +-179 -845 +6291 3047 +2832 1638 +-4008 -3413 +-1442 4267 +-1153 1440 +-1024 0 +-1112 -2049 +-266 -1121 +-457 2933 +1264 1038 +1436 3224 +-5993 539 +-2037 2547 +-1024 424 +-7735 427 +1532 861 +6298 -380 +156 1194 +-902 -1808 +137 1853 +3819 -5744 +-424 600 +-2095 426 +2343 -1630 +-2665 -2089 +-4749 -1338 +4629 679 +-3564 -1954 +-814 -5929 +8192 0 +-814 5929 +-3564 1954 +4629 -679 +-4749 1338 +-2665 2089 +2343 1630 +-2095 -426 +-424 -600 +-4980 2336 +3700 565 +-103 -2004 +-3759 554 +3214 74 +974 -1503 +5126 2383 +0 4096 +-1354 2003 +-869 765 +1075 -523 +3160 554 +-292 2184 +2656 305 +-323 1342 +2472 3496 +2286 221 +-2906 -327 +605 2860 +1252 1338 +-670 -2292 +-2333 -5666 +-3637 -6795 +-4096 0 +-3637 6795 +-2333 5666 +-670 2292 +1252 -1338 +605 -2860 +-2906 327 +2286 -221 +2472 -3496 +-323 -1342 +2656 -305 +-292 -2184 +3160 -554 +1075 523 +-869 -765 +-1354 -2003 +0 -4096 +5126 -2383 +974 1503 +3214 -74 +-3759 -554 +-103 2004 +3700 -565 +-4980 -2336 +-424 600 +-2095 426 +2343 -1630 +-2665 -2089 +-4749 -1338 +4629 679 +-3564 -1954 +-814 -5929 +600 1448 +249 422 +-2848 2270 +-926 2434 +1278 1892 +3546 111 +3679 -1676 +2489 6820 +3072 0 +2489 -6820 +3679 1676 +3546 -111 +1278 -1892 +-926 -2434 +-2848 -2270 +249 -422 +600 -1448 +19 -1812 +2836 1441 +391 1006 +614 784 +1391 3631 +632 2979 +1633 2433 +-7168 -2048 +-6483 -1238 +4156 -805 +-686 1406 +-2062 784 +81 -3539 +-4948 3009 +-984 999 +3496 -1448 +716 -4364 +-832 -703 +455 -1107 +170 -1892 +-4251 6930 +-2675 -2108 +2360 2532 +3072 0 +2360 -2532 +-2675 2108 +-4251 -6930 +170 1892 +455 1107 +-832 703 +716 4364 +3496 1448 +-984 -999 +-4948 -3009 +81 3539 +-2062 -784 +-686 -1406 +4156 805 +-6483 1238 +-7168 2048 +1633 -2433 +632 -2979 +1391 -3631 +614 -784 +391 -1006 +2836 -1441 +19 1812 +600 1448 +249 422 +-2848 2270 +-926 2434 +1278 1892 +3546 111 +3679 -1676 +2489 6820 +-2472 -2472 +3135 -2261 +-3126 2689 +2541 3155 +664 -4314 +-3929 -3147 +5213 547 +3955 -2931 +3072 0 +3955 2931 +5213 -547 +-3929 3147 +664 4314 +2541 -3155 +-3126 -2689 +3135 2261 +-2472 2472 +846 1140 +-3627 -719 +-5365 -486 +444 -86 +-4370 -1714 +-303 1517 +-2392 5857 +1024 4096 +5532 214 +1972 -1823 +1364 718 +-3340 3411 +-4599 259 +391 -1383 +906 158 +424 424 +-662 967 +-2327 -457 +5415 118 +2232 3714 +-2642 -84 +1807 -991 +265 5168 +-1024 0 +265 -5168 +1807 991 +-2642 84 +2232 -3714 +5415 -118 +-2327 457 +-662 -967 +424 -424 +906 -158 +391 1383 +-4599 -259 +-3340 -3411 +1364 -718 +1972 1823 +5532 -214 +1024 -4096 +-2392 -5857 +-303 -1517 +-4370 1714 +444 86 +-5365 486 +-3627 719 +846 -1140 +-2472 -2472 +3135 -2261 +-3126 2689 +2541 3155 +664 -4314 +-3929 -3147 +5213 547 +3955 -2931 +-2896 0 +-80 -1164 +-1442 4624 +1631 3760 +3640 -914 +-1223 300 +-474 2260 +3714 -1748 +5120 0 +3714 1748 +-474 -2260 +-1223 -300 +3640 914 +1631 -3760 +-1442 -4624 +-80 1164 +-2896 0 +-89 -3898 +621 -4253 +-3026 -503 +1084 -1918 +2804 988 +5029 7934 +3925 -514 +-1024 2048 +-330 -468 +-2628 -5399 +-2420 2009 +-484 3026 +234 2692 +-657 -258 +-2941 598 +2896 0 +-674 4092 +-5514 -132 +-406 -4349 +-144 1762 +2406 -3063 +-3127 1288 +-3524 226 +5120 0 +-3524 -226 +-3127 -1288 +2406 3063 +-144 -1762 +-406 4349 +-5514 132 +-674 -4092 +2896 0 +-2941 -598 +-657 258 +234 -2692 +-484 -3026 +-2420 -2009 +-2628 5399 +-330 468 +-1024 -2048 +3925 514 +5029 -7934 +2804 -988 +1084 1918 +-3026 503 +621 4253 +-89 3898 +-2896 0 +-80 -1164 +-1442 4624 +1631 3760 +3640 -914 +-1223 300 +-474 2260 +3714 -1748 +724 2172 +-1706 -3061 +5355 -775 +1143 1205 +248 3789 +-581 -989 +1260 105 +1227 4096 +-6144 0 +1227 -4096 +1260 -105 +-581 989 +248 -3789 +1143 -1205 +5355 775 +-1706 3061 +724 -2172 +-41 -226 +-2758 4098 +4073 1898 +-1430 6694 +-1576 1655 +2314 -488 +-1595 -608 +-3072 1024 +1818 1805 +377 -3984 +-3467 -1934 +2030 550 +-4767 2790 +-7042 4697 +306 4363 +-724 -2172 +2770 -3127 +1549 175 +439 2454 +3248 2355 +-1057 -2232 +-1055 3391 +3014 1525 +4096 0 +3014 -1525 +-1055 -3391 +-1057 2232 +3248 -2355 +439 -2454 +1549 -175 +2770 3127 +-724 2172 +306 -4363 +-7042 -4697 +-4767 -2790 +2030 -550 +-3467 1934 +377 3984 +1818 -1805 +-3072 -1024 +-1595 608 +2314 488 +-1576 -1655 +-1430 -6694 +4073 -1898 +-2758 -4098 +-41 226 +724 2172 +-1706 -3061 +5355 -775 +1143 1205 +248 3789 +-581 -989 +1260 105 +1227 4096 +3196 -4644 +-2286 -1265 +924 4647 +2532 2684 +-7737 -1200 +-6029 -2608 +-1576 4156 +-1387 3718 +-2048 0 +-1387 -3718 +-1576 -4156 +-6029 2608 +-7737 1200 +2532 -2684 +924 -4647 +-2286 1265 +3196 4644 +366 -645 +1728 536 +227 465 +1831 18 +-552 -1828 +-155 -107 +1633 3339 +-3072 1024 +6332 2516 +-1293 -771 +-2504 -3375 +6858 -3478 +-688 -2338 +-280 980 +1479 220 +-1148 2596 +-685 -399 +524 -1307 +-1863 2394 +-952 1800 +-2708 750 +127 -1924 +6134 1123 +4096 0 +6134 -1123 +127 1924 +-2708 -750 +-952 -1800 +-1863 -2394 +524 1307 +-685 399 +-1148 -2596 +1479 -220 +-280 -980 +-688 2338 +6858 3478 +-2504 3375 +-1293 771 +6332 -2516 +-3072 -1024 +1633 -3339 +-155 107 +-552 1828 +1831 -18 +227 -465 +1728 -536 +366 645 +3196 -4644 +-2286 -1265 +924 4647 +2532 2684 +-7737 -1200 +-6029 -2608 +-1576 4156 +-1387 3718 +-424 1448 +1398 2256 +4774 -5553 +1980 1310 +6067 1024 +2962 -1389 +-3082 1357 +694 -1307 +0 0 +694 1307 +-3082 -1357 +2962 1389 +6067 -1024 +1980 -1310 +4774 5553 +1398 -2256 +-424 -1448 +144 5790 +1230 -180 +-1045 106 +-1499 1024 +-712 886 +131 -491 +-779 -4896 +-2048 -6144 +827 -900 +-2583 4742 +-1507 -1458 +-5742 -1024 +-3594 83 +3898 -299 +805 3302 +2472 -1448 +-780 1361 +-1213 2537 +-1125 1931 +1174 1024 +3041 -1083 +-3154 979 +-2310 -8370 +0 0 +-2310 8370 +-3154 -979 +3041 1083 +1174 -1024 +-1125 -1931 +-1213 -2537 +-780 -1361 +2472 1448 +805 -3302 +3898 299 +-3594 -83 +-5742 1024 +-1507 1458 +-2583 -4742 +827 900 +-2048 6144 +-779 4896 +131 491 +-712 -886 +-1499 -1024 +-1045 -106 +1230 180 +144 -5790 +-424 1448 +1398 2256 +4774 -5553 +1980 1310 +6067 1024 +2962 -1389 +-3082 1357 +694 -1307 +-2472 -3496 +1520 90 +3647 3228 +-2 5369 +698 3496 +1151 -4464 +3796 -3721 +1727 -1079 +-2048 0 +1727 1079 +3796 3721 +1151 4464 +698 -3496 +-2 -5369 +3647 -3228 +1520 -90 +-2472 3496 +314 -890 +115 -42 +2210 1588 +1822 600 +4424 1653 +-865 -336 +-3107 2560 +4096 2048 +-1458 -2991 +-4811 -2072 +-7354 23 +-2422 -600 +776 -3816 +-2466 -523 +-1054 440 +424 -600 +-1460 5392 +-1296 387 +5264 -1563 +-4194 3496 +-676 -1941 +1881 -2112 +-2275 2079 +6144 0 +-2275 -2079 +1881 2112 +-676 1941 +-4194 -3496 +5264 1563 +-1296 -387 +-1460 -5392 +424 600 +-1054 -440 +-2466 523 +776 3816 +-2422 600 +-7354 -23 +-4811 2072 +-1458 2991 +4096 -2048 +-3107 -2560 +-865 336 +4424 -1653 +1822 -600 +2210 -1588 +115 42 +314 890 +-2472 -3496 +1520 90 +3647 3228 +-2 5369 +698 3496 +1151 -4464 +3796 -3721 +1727 -1079 +-2772 -300 +-2398 -2864 +-747 1102 +1181 -3073 +-4332 -4456 +2230 847 +2029 -5627 +-5229 -4993 +1024 0 +-5229 4993 +2029 5627 +2230 -847 +-4332 4456 +1181 3073 +-747 -1102 +-2398 2864 +-2772 300 +909 1271 +-1628 1173 +-1212 1826 +-1886 2335 +2014 -3709 +5982 -921 +-5093 -2210 +0 -5120 +2272 644 +309 2131 +1281 1421 +-2210 -2010 +-2160 -954 +-1217 2805 +1189 -813 +-1324 -1748 +2755 -1676 +7687 -1166 +2871 972 +236 112 +-411 -829 +-4225 -1210 +-198 -401 +7168 0 +-198 401 +-4225 1210 +-411 829 +236 -112 +2871 -972 +7687 1166 +2755 1676 +-1324 1748 +1189 813 +-1217 -2805 +-2160 954 +-2210 2010 +1281 -1421 +309 -2131 +2272 -644 +0 5120 +-5093 2210 +5982 921 +2014 3709 +-1886 -2335 +-1212 -1826 +-1628 -1173 +909 -1271 +-2772 -300 +-2398 -2864 +-747 1102 +1181 -3073 +-4332 -4456 +2230 847 +2029 -5627 +-5229 -4993 +1324 -2172 +3623 -2188 +5832 2494 +1811 -856 +3588 -1741 +-5295 5732 +-2029 861 +2746 -1983 +-8192 0 +2746 1983 +-2029 -861 +-5295 -5732 +3588 1741 +1811 856 +5832 -2494 +3623 2188 +1324 2172 +747 -2310 +-34 -2638 +-1191 192 +-2094 -4646 +780 -2215 +604 960 +-4490 30 +-3072 3072 +870 -1496 +-2377 -2536 +1979 -3089 +-202 -2598 +-4086 629 +-3086 -2038 +-2321 -218 +2772 2172 +2414 1694 +184 -3094 +-3214 -4132 +2804 -307 +3424 1954 +905 2635 +2203 -4526 +-2048 0 +2203 4526 +905 -2635 +3424 -1954 +2804 307 +-3214 4132 +184 3094 +2414 -1694 +2772 -2172 +-2321 218 +-3086 2038 +-4086 -629 +-202 2598 +1979 3089 +-2377 2536 +870 1496 +-3072 -3072 +-4490 -30 +604 -960 +780 2215 +-2094 4646 +-1191 -192 +-34 2638 +747 2310 +1324 -2172 +3623 -2188 +5832 2494 +1811 -856 +3588 -1741 +-5295 5732 +-2029 861 +2746 -1983 +4520 -3320 +-4935 -6936 +-3304 2463 +262 2511 +-1312 -3954 +3027 -3918 +2656 -1283 +1644 -599 +1024 0 +1644 599 +2656 1283 +3027 3918 +-1312 3954 +262 -2511 +-3304 -2463 +-4935 6936 +4520 3320 +888 1700 +1350 3369 +120 -3549 +-1992 495 +-994 -411 +-1464 -4928 +478 -2423 +-1024 0 +1327 4842 +-3260 3956 +-3826 910 +1143 -954 +250 2643 +-2290 1386 +-2261 -967 +1624 5368 +956 -1083 +148 -2047 +1585 1464 +6256 2506 +-424 3022 +-2028 -3818 +1902 2513 +-3072 0 +1902 -2513 +-2028 3818 +-424 -3022 +6256 -2506 +1585 -1464 +148 2047 +956 1083 +1624 -5368 +-2261 967 +-2290 -1386 +250 -2643 +1143 954 +-3826 -910 +-3260 -3956 +1327 -4842 +-1024 0 +478 2423 +-1464 4928 +-994 411 +-1992 -495 +120 3549 +1350 -3369 +888 -1700 +4520 -3320 +-4935 -6936 +-3304 2463 +262 2511 +-1312 -3954 +3027 -3918 +2656 -1283 +1644 -599 +1572 300 +-4331 534 +1087 -1025 +-1455 -2656 +548 932 +940 -2686 +-319 -8414 +3233 3666 +-1024 0 +3233 -3666 +-319 8414 +940 2686 +548 -932 +-1455 2656 +1087 1025 +-4331 -534 +1572 -300 +2114 2264 +856 -4868 +-392 -5067 +318 3718 +3004 3078 +907 2046 +1361 -3764 +0 -3072 +5570 4004 +202 -734 +-1742 -1976 +3778 -1826 +362 -2752 +1820 379 +-3795 555 +-5668 1748 +-1556 1853 +-3763 480 +-1649 875 +3548 1716 +934 1728 +-790 -159 +-2596 7 +-7168 0 +-2596 -7 +-790 159 +934 -1728 +3548 -1716 +-1649 -875 +-3763 -480 +-1556 -1853 +-5668 -1748 +-3795 -555 +1820 -379 +362 2752 +3778 1826 +-1742 1976 +202 734 +5570 -4004 +0 3072 +1361 3764 +907 -2046 +3004 -3078 +318 -3718 +-392 5067 +856 4868 +2114 -2264 +1572 300 +-4331 534 +1087 -1025 +-1455 -2656 +548 932 +940 -2686 +-319 -8414 +3233 3666 +-1624 -3496 +1428 -2129 +1958 818 +4002 -1283 +-1038 628 +4763 5457 +1082 -1771 +-3049 27 +6144 0 +-3049 -27 +1082 1771 +4763 -5457 +-1038 -628 +4002 1283 +1958 -818 +1428 2129 +-1624 3496 +-489 -1972 +755 -3675 +-1878 -3112 +2302 -3156 +-5341 823 +-3629 -4876 +5465 -1112 +0 2048 +-2935 1025 +-51 4311 +2372 473 +1194 940 +2117 2486 +4034 2066 +1309 -2266 +-4520 -600 +-5144 3035 +-954 3226 +-1344 -111 +1638 -4724 +1102 1186 +-3195 1070 +-2378 911 +-2048 0 +-2378 -911 +-3195 -1070 +1102 -1186 +1638 4724 +-1344 111 +-954 -3226 +-5144 -3035 +-4520 600 +1309 2266 +4034 -2066 +2117 -2486 +1194 -940 +2372 -473 +-51 -4311 +-2935 -1025 +0 -2048 +5465 1112 +-3629 4876 +-5341 -823 +2302 3156 +-1878 3112 +755 3675 +-489 1972 +-1624 -3496 +1428 -2129 +1958 818 +4002 -1283 +-1038 628 +4763 5457 +1082 -1771 +-3049 27 +-7416 2048 +-230 1245 +3624 1991 +-2180 5717 +1434 360 +569 -5695 +-3375 1682 +1983 816 +4096 0 +1983 -816 +-3375 -1682 +569 5695 +1434 -360 +-2180 -5717 +3624 -1991 +-230 -1245 +-7416 -2048 +-5909 2741 +-1128 721 +-801 -1337 +1878 580 +2962 434 +-4049 4255 +1196 -2993 +2048 0 +-2196 2692 +228 759 +-824 1978 +770 -4364 +-2080 3790 +-4040 1321 +-671 -1563 +1272 2048 +130 -4220 +345 -2591 +6390 -1477 +4110 -1208 +1756 558 +204 1814 +-95 405 +4096 0 +-95 -405 +204 -1814 +1756 -558 +4110 1208 +6390 1477 +345 2591 +130 4220 +1272 -2048 +-671 1563 +-4040 -1321 +-2080 -3790 +770 4364 +-824 -1978 +228 -759 +-2196 -2692 +2048 0 +1196 2993 +-4049 -4255 +2962 -434 +1878 -580 +-801 1337 +-1128 -721 +-5909 -2741 +-7416 2048 +-230 1245 +3624 1991 +-2180 5717 +1434 360 +569 -5695 +-3375 1682 +1983 816 +-424 -1448 +-4844 -3166 +3031 -1143 +-2 -3833 +2062 4808 +3961 4937 +-2273 -548 +-3073 79 +-4096 0 +-3073 -79 +-2273 548 +3961 -4937 +2062 -4808 +-2 3833 +3031 1143 +-4844 3166 +-424 1448 +1364 2164 +153 6884 +2203 2003 +-1278 2591 +6019 2438 +2940 2390 +-3683 -3740 +-2048 -2048 +-961 4650 +1968 263 +-1702 -826 +-170 543 +-493 1093 +-4602 -2812 +1372 -965 +2472 1448 +-2118 349 +-1479 135 +301 395 +-614 -2760 +1299 -2538 +262 7109 +357 430 +4096 0 +357 -430 +262 -7109 +1299 2538 +-614 2760 +301 -395 +-1479 -135 +-2118 -349 +2472 -1448 +1372 965 +-4602 2812 +-493 -1093 +-170 -543 +-1702 826 +1968 -263 +-961 -4650 +-2048 2048 +-3683 3740 +2940 -2390 +6019 -2438 +-1278 -2591 +2203 -2003 +153 -6884 +1364 -2164 +-424 -1448 +-4844 -3166 +3031 -1143 +-2 -3833 +2062 4808 +3961 4937 +-2273 -548 +-3073 79 +2172 -300 +-5133 995 +-1347 3012 +3440 -964 +-152 -5140 +551 -3223 +-3251 3605 +-5628 -2520 +-1024 0 +-5628 2520 +-3251 -3605 +551 3223 +-152 5140 +3440 964 +-1347 -3012 +-5133 -995 +2172 300 +4804 2241 +287 3278 +3194 -1399 +3862 -2813 +1570 -826 +2824 4896 +-1148 1239 +-2048 3072 +-1714 3511 +-488 -713 +-1283 2169 +-1814 -2214 +3289 -45 +2728 2874 +3730 -72 +-2172 -1748 +-2513 2117 +1227 1177 +-5460 -2666 +2200 1644 +491 3634 +-1981 3572 +1808 -3769 +-3072 0 +1808 3769 +-1981 -3572 +491 -3634 +2200 -1644 +-5460 2666 +1227 -1177 +-2513 -2117 +-2172 1748 +3730 72 +2728 -2874 +3289 45 +-1814 2214 +-1283 -2169 +-488 713 +-1714 -3511 +-2048 -3072 +-1148 -1239 +2824 -4896 +1570 826 +3862 2813 +3194 1399 +287 -3278 +4804 -2241 +2172 -300 +-5133 995 +-1347 3012 +3440 -964 +-152 -5140 +551 -3223 +-3251 3605 +-5628 -2520 +-6992 424 +1445 1733 +2103 5093 +1933 -3479 +2461 -3400 +-1475 4917 +-1076 -3445 +-1173 2271 +0 0 +-1173 -2271 +-1076 3445 +-1475 -4917 +2461 3400 +1933 3479 +2103 -5093 +1445 -1733 +-6992 -424 +494 1997 +4328 933 +-838 4158 +-6570 1832 +-5260 -3903 +-860 -3020 +-3928 -3731 +-2048 2048 +-816 1390 +11 -188 +1680 4065 +3674 384 +-1884 -1646 +616 777 +6347 -182 +-1200 -2472 +2178 -1015 +2841 -1153 +1027 571 +435 1952 +-976 154 +227 2181 +1246 -46 +4096 0 +1246 46 +227 -2181 +-976 -154 +435 -1952 +1027 -571 +2841 1153 +2178 1015 +-1200 2472 +6347 182 +616 -777 +-1884 1646 +3674 -384 +1680 -4065 +11 188 +-816 -1390 +-2048 -2048 +-3928 3731 +-860 3020 +-5260 3903 +-6570 -1832 +-838 -4158 +4328 -933 +494 -1997 +-6992 424 +1445 1733 +2103 5093 +1933 -3479 +2461 -3400 +-1475 4917 +-1076 -3445 +-1173 2271 +3196 2172 +-1038 -2060 +-1804 -3716 +670 -1022 +-1456 1924 +-834 5324 +947 -7022 +-533 1178 +-1024 0 +-533 -1178 +947 7022 +-834 -5324 +-1456 -1924 +670 1022 +-1804 3716 +-1038 2060 +3196 -2172 +4852 164 +1725 -2355 +-1594 1218 +5794 -742 +5271 1340 +-2528 1780 +2264 -1527 +0 -3072 +-3141 -5138 +3192 -336 +-138 891 +-1450 4202 +1145 6207 +-1281 2449 +-523 -2183 +-1148 -2172 +-1724 1800 +-1537 -170 +-4005 -5444 +-2889 -1076 +-514 2548 +1285 -3782 +-157 691 +-3072 0 +-157 -691 +1285 3782 +-514 -2548 +-2889 1076 +-4005 5444 +-1537 170 +-1724 -1800 +-1148 2172 +-523 2183 +-1281 -2449 +1145 -6207 +-1450 -4202 +-138 -891 +3192 336 +-3141 5138 +0 3072 +2264 1527 +-2528 -1780 +5271 -1340 +5794 742 +-1594 -1218 +1725 2355 +4852 -164 +3196 2172 +-1038 -2060 +-1804 -3716 +670 -1022 +-1456 1924 +-834 5324 +947 -7022 +-533 1178 +-4944 -600 +-2038 2134 +-567 -2056 +1943 -3285 +1638 -2148 +-4284 199 +-2015 2940 +3300 -1690 +5120 0 +3300 1690 +-2015 -2940 +-4284 -199 +1638 2148 +1943 3285 +-567 2056 +-2038 -2134 +-4944 600 +-4909 743 +-2407 -3738 +1990 -620 +1194 4992 +3514 3265 +799 2910 +1653 1987 +5120 -2048 +-1136 1604 +493 -1067 +314 -1536 +2302 4144 +241 -2203 +-305 2694 +1119 6040 +848 -3496 +4260 -620 +-3713 3193 +-389 3270 +-1038 -2797 +-3329 -3432 +-477 4172 +-2249 -2478 +1024 0 +-2249 2478 +-477 -4172 +-3329 3432 +-1038 2797 +-389 -3270 +-3713 -3193 +4260 620 +848 3496 +1119 -6040 +-305 -2694 +241 2203 +2302 -4144 +314 1536 +493 1067 +-1136 -1604 +5120 2048 +1653 -1987 +799 -2910 +3514 -3265 +1194 -4992 +1990 620 +-2407 3738 +-4909 -743 +-4944 -600 +-2038 2134 +-567 -2056 +1943 -3285 +1638 -2148 +-4284 199 +-2015 2940 +3300 -1690 +-4220 -724 +-3944 -2444 +1141 -2367 +2783 1458 +-3672 5440 +912 -1555 +4190 -2427 +1076 -6873 +2048 0 +1076 6873 +4190 2427 +912 1555 +-3672 -5440 +2783 -1458 +1141 2367 +-3944 2444 +-4220 724 +-2155 6285 +-69 6290 +-634 -3175 +442 4562 +-356 -13 +110 -1452 +699 2484 +1024 1024 +1577 506 +-3569 -3020 +386 3277 +3902 466 +39 -171 +3070 2505 +1488 -3652 +124 724 +-740 4612 +-4141 -1417 +28 -2109 +-672 -1344 +-3159 -2203 +-731 860 +1999 -2776 +4096 0 +1999 2776 +-731 -860 +-3159 2203 +-672 1344 +28 2109 +-4141 1417 +-740 -4612 +124 -724 +1488 3652 +3070 -2505 +39 171 +3902 -466 +386 -3277 +-3569 3020 +1577 -506 +1024 -1024 +699 -2484 +110 1452 +-356 13 +442 -4562 +-634 3175 +-69 -6290 +-2155 -6285 +-4220 -724 +-3944 -2444 +1141 -2367 +2783 1458 +-3672 5440 +912 -1555 +4190 -2427 +1076 -6873 +300 -300 +-3006 1623 +-4307 -688 +-386 1020 +946 802 +318 -4114 +3378 310 +2337 -1422 +-4096 0 +2337 1422 +3378 -310 +318 4114 +946 -802 +-386 -1020 +-4307 688 +-3006 -1623 +300 300 +-2606 -2689 +2270 -6060 +4286 -4138 +392 -92 +-4650 1163 +977 2055 +2707 -5040 +-3072 -7168 +3398 3011 +12 -917 +1179 -1834 +-392 -692 +-1859 -2042 +2742 4052 +-2268 -98 +1748 -1748 +-1256 1280 +-3601 -288 +-1392 -1453 +-946 2694 +2504 6781 +-1471 2013 +694 1593 +6144 0 +694 -1593 +-1471 -2013 +2504 -6781 +-946 -2694 +-1392 1453 +-3601 288 +-1256 -1280 +1748 1748 +-2268 98 +2742 -4052 +-1859 2042 +-392 692 +1179 1834 +12 917 +3398 -3011 +-3072 7168 +2707 5040 +977 -2055 +-4650 -1163 +392 92 +4286 4138 +2270 6060 +-2606 2689 +300 -300 +-3006 1623 +-4307 -688 +-386 1020 +946 802 +318 -4114 +3378 310 +2337 -1422 +1448 -5368 +-2087 -3520 +2021 -1678 +5907 450 +-3210 -300 +923 -288 +7279 4114 +2107 5202 +0 0 +2107 -5202 +7279 -4114 +923 288 +-3210 300 +5907 -450 +2021 1678 +-2087 3520 +1448 5368 +-2374 -788 +-5012 142 +1002 707 +4474 -1748 +410 390 +561 -4237 +-2467 -255 +-4096 -2048 +2606 -3397 +-1109 1151 +-281 1106 +3366 1748 +-3484 -1386 +-3576 -1241 +-2503 222 +-1448 3320 +284 -895 +-2123 1494 +-2096 2441 +-534 -300 +3411 3589 +1958 -5718 +-1358 216 +0 0 +-1358 -216 +1958 5718 +3411 -3589 +-534 300 +-2096 -2441 +-2123 -1494 +284 895 +-1448 -3320 +-2503 -222 +-3576 1241 +-3484 1386 +3366 -1748 +-281 -1106 +-1109 -1151 +2606 3397 +-4096 2048 +-2467 255 +561 4237 +410 -390 +4474 1748 +1002 -707 +-5012 -142 +-2374 788 +1448 -5368 +-2087 -3520 +2021 -1678 +5907 450 +-3210 -300 +923 -288 +7279 4114 +2107 5202 +-2772 724 +5604 -1165 +3019 -1281 +-1991 -2924 +-2030 -3078 +-2300 -4980 +-2 -2998 +2082 1722 +0 0 +2082 -1722 +-2 2998 +-2300 4980 +-2030 3078 +-1991 2924 +3019 1281 +5604 1165 +-2772 -724 +-3169 -288 +3656 3007 +53 -4922 +-248 -4092 +-4602 1869 +-3099 -4288 +2879 -2860 +3072 1024 +2874 97 +-1090 -623 +2961 -688 +-3248 -2044 +-4438 -6003 +1953 -897 +-676 929 +-1324 -724 +250 814 +4157 -5519 +-983 -1942 +1430 1030 +-284 -1362 +-402 3766 +1741 -332 +-6144 0 +1741 332 +-402 -3766 +-284 1362 +1430 -1030 +-983 1942 +4157 5519 +250 -814 +-1324 724 +-676 -929 +1953 897 +-4438 6003 +-3248 2044 +2961 688 +-1090 623 +2874 -97 +3072 -1024 +2879 2860 +-3099 4288 +-4602 -1869 +-248 4092 +53 4922 +3656 -3007 +-3169 288 +-2772 724 +5604 -1165 +3019 -1281 +-1991 -2924 +-2030 -3078 +-2300 -4980 +-2 -2998 +2082 1722 +-1324 -1148 +6504 2272 +1903 -1515 +237 -537 +-1620 5840 +-2934 -925 +1157 -592 +2469 2577 +7168 0 +2469 -2577 +1157 592 +-2934 925 +-1620 -5840 +237 537 +1903 1515 +6504 -2272 +-1324 1148 +3545 -5111 +2142 1797 +345 8540 +606 -730 +-4844 1041 +968 1396 +1438 -852 +0 -1024 +-2827 -2670 +-968 612 +215 -1102 +-3503 -3378 +-3785 -2138 +-2142 -95 +-381 4127 +-2772 3196 +1476 652 +-1903 -377 +-4807 -694 +4516 -296 +3987 38 +-1157 -192 +-639 -2516 +1024 0 +-639 2516 +-1157 192 +3987 -38 +4516 296 +-4807 694 +-1903 377 +1476 -652 +-2772 -3196 +-381 -4127 +-2142 95 +-3785 2138 +-3503 3378 +215 1102 +-968 -612 +-2827 2670 +0 1024 +1438 852 +968 -1396 +-4844 -1041 +606 730 +345 -8540 +2142 -1797 +3545 5111 +-1324 -1148 +6504 2272 +1903 -1515 +237 -537 +-1620 5840 +-2934 -925 +1157 -592 +2469 2577 +3196 1748 +6355 1584 +-3152 1437 +-2466 3489 +1316 -912 +-1289 -2116 +1972 529 +2248 -6239 +2048 0 +2248 6239 +1972 -529 +-1289 2116 +1316 912 +-2466 -3489 +-3152 -1437 +6355 -1584 +3196 -1748 +-1682 3227 +-3557 4350 +5240 955 +7118 986 +42 -361 +-586 1379 +-2785 311 +-3072 -1024 +-2377 2687 +-14 1195 +-1033 774 +-126 -1311 +-1998 -572 +61 -1038 +3116 -1178 +-1148 300 +4243 -6877 +-344 167 +-4322 -552 +-117 -5480 +33 1923 +-2572 -1912 +-3326 1934 +0 0 +-3326 -1934 +-2572 1912 +33 -1923 +-117 5480 +-4322 552 +-344 -167 +4243 6877 +-1148 -300 +3116 1178 +61 1038 +-1998 572 +-126 1311 +-1033 -774 +-14 -1195 +-2377 -2687 +-3072 1024 +-2785 -311 +-586 -1379 +42 361 +7118 -986 +5240 -955 +-3557 -4350 +-1682 -3227 +3196 1748 +6355 1584 +-3152 1437 +-2466 3489 +1316 -912 +-1289 -2116 +1972 529 +2248 -6239 +-3496 2472 +-2467 -1594 +1825 3191 +-3055 6247 +1264 -2181 +489 -2800 +2790 5144 +3092 3667 +-4096 0 +3092 -3667 +2790 -5144 +489 2800 +1264 2181 +-3055 -6247 +1825 -3191 +-2467 1594 +-3496 -2472 +3025 1808 +-3495 2386 +-408 1625 +3940 3614 +-416 455 +-1354 2135 +-2766 -477 +-2048 0 +-640 -1514 +1354 -2874 +1215 -564 +156 5062 +4425 7572 +3495 -1515 +-750 2790 +-600 -424 +191 -1520 +-1825 4494 +-962 3797 +2832 3629 +-1288 -2315 +-2790 1432 +314 -608 +0 0 +314 608 +-2790 -1432 +-1288 2315 +2832 -3629 +-962 -3797 +-1825 -4494 +191 1520 +-600 424 +-750 -2790 +3495 1515 +4425 -7572 +156 -5062 +1215 564 +1354 2874 +-640 1514 +-2048 0 +-2766 477 +-1354 -2135 +-416 -455 +3940 -3614 +-408 -1625 +-3495 -2386 +3025 -1808 +-3496 2472 +-2467 -1594 +1825 3191 +-3055 6247 +1264 -2181 +489 -2800 +2790 5144 +3092 3667 +724 -2172 +3520 3240 +240 5113 +4360 486 +547 3059 +-1204 -966 +-683 -2036 +-1765 3034 +4096 0 +-1765 -3034 +-683 2036 +-1204 966 +547 -3059 +4360 -486 +240 -5113 +3520 -3240 +724 2172 +-349 997 +-1267 903 +-869 -1548 +5684 -612 +5227 -3296 +-1299 -1415 +-1044 1719 +1024 1024 +-2915 5741 +1143 -2263 +77 -1113 +-4236 5180 +-2902 -2873 +3 -4042 +744 -2083 +-724 2172 +-1460 1928 +-3072 -169 +90 3302 +-1995 2734 +1014 2470 +-3257 2885 +-2525 3443 +10240 0 +-2525 -3443 +-3257 -2885 +1014 -2470 +-1995 -2734 +90 -3302 +-3072 169 +-1460 -1928 +-724 -2172 +744 2083 +3 4042 +-2902 2873 +-4236 -5180 +77 1113 +1143 2263 +-2915 -5741 +1024 -1024 +-1044 -1719 +-1299 1415 +5227 3296 +5684 612 +-869 1548 +-1267 -903 +-349 -997 +724 -2172 +3520 3240 +240 5113 +4360 486 +547 3059 +-1204 -966 +-683 -2036 +-1765 3034 +2472 424 +3057 805 +1573 -660 +-3378 2134 +3914 2956 +-944 -3577 +-769 -2436 +2052 -167 +-9216 0 +2052 167 +-769 2436 +-944 3577 +3914 -2956 +-3378 -2134 +1573 660 +3057 -805 +2472 -424 +-1538 -916 +-1051 1202 +3225 -3205 +5378 -4064 +1265 5439 +153 5278 +4977 3409 +-1024 2048 +1555 -3030 +-153 -4234 +-3256 -790 +-433 280 +-724 -4575 +1051 29 +-1299 2911 +-424 -2472 +-2675 1381 +-1573 1183 +198 -87 +-4763 1388 +-2177 -1635 +769 2813 +-336 6072 +-1024 0 +-336 -6072 +769 -2813 +-2177 1635 +-4763 -1388 +198 87 +-1573 -1183 +-2675 -1381 +-424 2472 +-1299 -2911 +1051 -29 +-724 4575 +-433 -280 +-3256 790 +-153 4234 +1555 3030 +-1024 -2048 +4977 -3409 +153 -5278 +1265 -5439 +5378 4064 +3225 3205 +-1051 -1202 +-1538 916 +2472 424 +3057 805 +1573 -660 +-3378 2134 +3914 2956 +-944 -3577 +-769 -2436 +2052 -167 +-5069 -4644 +-4258 -2320 +-599 2004 +-5531 -2141 +2654 1226 +360 1684 +-3334 1777 +2555 2326 +-1024 0 +2555 -2326 +-3334 -1777 +360 -1684 +2654 -1226 +-5531 2141 +-599 -2004 +-4258 2320 +-5069 4644 +-2533 -2170 +-4407 1155 +-1082 -639 +6564 -2564 +4072 -2011 +-3064 -303 +223 2103 +2048 -1024 +-686 1487 +2584 962 +7977 652 +428 1780 +-1778 -1938 +-1426 -2786 +712 -888 +5069 2596 +-1250 707 +2335 -1848 +583 -2054 +-1455 3118 +1191 3875 +-281 -4609 +-555 -46 +-3072 0 +-555 46 +-281 4609 +1191 -3875 +-1455 -3118 +583 2054 +2335 1848 +-1250 -707 +5069 -2596 +712 888 +-1426 2786 +-1778 1938 +428 -1780 +7977 -652 +2584 -962 +-686 -1487 +2048 1024 +223 -2103 +-3064 303 +4072 2011 +6564 2564 +-1082 639 +-4407 -1155 +-2533 2170 +-5069 -4644 +-4258 -2320 +-599 2004 +-5531 -2141 +2654 1226 +360 1684 +-3334 1777 +2555 2326 +-300 2172 +-1896 7205 +110 144 +-4920 701 +-1450 4527 +5061 -129 +1889 2889 +1026 1128 +-1024 0 +1026 -1128 +1889 -2889 +5061 129 +-1450 -4527 +-4920 -701 +110 -144 +-1896 -7205 +-300 -2172 +-2387 597 +-98 -3001 +-1409 -1124 +-1456 2644 +4527 -2650 +4514 -3616 +3694 -1925 +-4096 -1024 +-3844 2574 +3550 -1540 +780 3527 +-2889 3492 +1407 -3703 +373 1604 +-924 -162 +-1748 -2172 +-3690 -1283 +815 -2531 +900 -1952 +5794 418 +-553 1843 +-2961 387 +2229 4259 +-3072 0 +2229 -4259 +-2961 -387 +-553 -1843 +5794 -418 +900 1952 +815 2531 +-3690 1283 +-1748 2172 +-924 162 +373 -1604 +1407 3703 +-2889 -3492 +780 -3527 +3550 1540 +-3844 -2574 +-4096 1024 +3694 1925 +4514 3616 +4527 2650 +-1456 -2644 +-1409 1124 +-98 3001 +-2387 -597 +-300 2172 +-1896 7205 +110 144 +-4920 701 +-1450 4527 +5061 -129 +1889 2889 +1026 1128 +2472 3496 +-5527 -1498 +75 -3035 +715 1620 +-1408 -1494 +6615 109 +2899 46 +-2707 5546 +-2048 0 +-2707 -5546 +2899 -46 +6615 -109 +-1408 1494 +715 -1620 +75 3035 +-5527 1498 +2472 -3496 +1070 -2720 +-1345 -7107 +-4450 -3240 +-4424 -710 +5830 -3179 +-326 -3285 +-1741 782 +2048 0 +-936 -1742 +-458 240 +-330 2176 +928 3386 +-892 671 +3237 -1054 +4769 -1759 +-424 600 +-74 -4533 +-1967 -800 +-45 3490 +808 -2602 +-1649 4047 +-2116 1782 +-648 -1077 +2048 0 +-648 1077 +-2116 -1782 +-1649 -4047 +808 2602 +-45 -3490 +-1967 800 +-74 4533 +-424 -600 +4769 1759 +3237 1054 +-892 -671 +928 -3386 +-330 -2176 +-458 -240 +-936 1742 +2048 0 +-1741 -782 +-326 3285 +5830 3179 +-4424 710 +-4450 3240 +-1345 7107 +1070 2720 +2472 3496 +-5527 -1498 +75 -3035 +715 1620 +-1408 -1494 +6615 109 +2899 46 +-2707 5546 +2896 -424 +3743 1795 +-943 -1525 +1566 1257 +2712 1278 +843 -4275 +-4093 1349 +-4648 6137 +-2048 0 +-4648 -6137 +-4093 -1349 +843 4275 +2712 -1278 +1566 -1257 +-943 1525 +3743 -1795 +2896 424 +1283 147 +3007 -2237 +-2112 -174 +2492 614 +-1039 3040 +-2914 1581 +-2093 -1718 +-2048 0 +3932 651 +-1550 2365 +4025 2820 +-1292 2062 +-5689 1757 +3674 -345 +-1991 3404 +-2896 2472 +100 -2634 +55 3418 +-1333 4769 +4280 170 +3741 -41 +2765 -566 +-325 328 +-10240 0 +-325 -328 +2765 566 +3741 41 +4280 -170 +-1333 -4769 +55 -3418 +100 2634 +-2896 -2472 +-1991 -3404 +3674 345 +-5689 -1757 +-1292 -2062 +4025 -2820 +-1550 -2365 +3932 -651 +-2048 0 +-2093 1718 +-2914 -1581 +-1039 -3040 +2492 -614 +-2112 174 +3007 2237 +1283 -147 +2896 -424 +3743 1795 +-943 -1525 +1566 1257 +2712 1278 +843 -4275 +-4093 1349 +-4648 6137 +-3796 1324 +-1828 -1797 +-1818 -1856 +-442 -1487 +3582 98 +1068 3726 +997 5576 +2503 282 +3072 0 +2503 -282 +997 -5576 +1068 -3726 +3582 -98 +-442 1487 +-1818 1856 +-1828 1797 +-3796 -1324 +4464 3423 +798 -219 +462 -1801 +5156 5336 +-4036 -1653 +-1063 2003 +2173 1700 +2048 -1024 +248 1930 +-3505 1064 +1879 -1603 +1237 -4552 +1207 3068 +-473 -847 +-4554 -1996 +-2348 2772 +-538 -206 +1494 -2867 +-1906 -5989 +-5878 -1794 +-4025 -2035 +3571 -2420 +3324 965 +-3072 0 +3324 -965 +3571 2420 +-4025 2035 +-5878 1794 +-1906 5989 +1494 2867 +-538 206 +-2348 -2772 +-4554 1996 +-473 847 +1207 -3068 +1237 4552 +1879 1603 +-3505 -1064 +248 -1930 +2048 1024 +2173 -1700 +-1063 -2003 +-4036 1653 +5156 -5336 +462 1801 +798 219 +4464 -3423 +-3796 1324 +-1828 -1797 +-1818 -1856 +-442 -1487 +3582 98 +1068 3726 +997 5576 +2503 282 +300 1924 +-2851 -1719 +114 756 +-4205 3404 +-2140 1684 +74 -3028 +35 -958 +2290 3831 +-1024 0 +2290 -3831 +35 958 +74 3028 +-2140 -1684 +-4205 -3404 +114 -756 +-2851 1719 +300 -1924 +3878 -120 +3516 -694 +1196 -7563 +646 -3658 +793 -4075 +1636 -1495 +-227 2532 +0 -1024 +3356 -536 +-5316 -2123 +-3183 2348 +-1246 3334 +-2513 -3385 +1273 -3850 +1005 2573 +1748 6268 +-1353 -363 +891 183 +-2726 -3378 +-1356 -2884 +4771 2898 +-2147 -3766 +-306 2311 +5120 0 +-306 -2311 +-2147 3766 +4771 -2898 +-1356 2884 +-2726 3378 +891 -183 +-1353 363 +1748 -6268 +1005 -2573 +1273 3850 +-2513 3385 +-1246 -3334 +-3183 -2348 +-5316 2123 +3356 536 +0 1024 +-227 -2532 +1636 1495 +793 4075 +646 3658 +1196 7563 +3516 694 +3878 120 +300 1924 +-2851 -1719 +114 756 +-4205 3404 +-2140 1684 +74 -3028 +35 -958 +2290 3831 +2772 724 +382 -208 +2415 1318 +1791 1725 +-1006 2394 +2655 2485 +-2554 1039 +-441 266 +6144 0 +-441 -266 +-2554 -1039 +2655 -2485 +-1006 -2394 +1791 -1725 +2415 -1318 +382 208 +2772 -724 +-3351 -3375 +1705 -2562 +6677 -1770 +776 -1056 +-3337 2643 +-2851 2430 +1622 -313 +3072 1024 +-3409 342 +-2639 -727 +4518 2732 +-2224 1840 +-2222 -628 +-3637 2162 +-3817 1220 +1324 -724 +3890 1906 +7005 -690 +-3349 -3479 +2454 502 +-940 500 +-7638 -99 +-668 3284 +-4096 0 +-668 -3284 +-7638 99 +-940 -500 +2454 -502 +-3349 3479 +7005 690 +3890 -1906 +1324 724 +-3817 -1220 +-3637 -2162 +-2222 628 +-2224 -1840 +4518 -2732 +-2639 727 +-3409 -342 +3072 -1024 +1622 313 +-2851 -2430 +-3337 -2643 +776 1056 +6677 1770 +1705 2562 +-3351 3375 +2772 724 +382 -208 +2415 1318 +1791 1725 +-1006 2394 +2655 2485 +-2554 1039 +-441 266 +1148 -2172 +-132 2201 +1807 2795 +-2064 1382 +4346 7098 +-1380 1445 +-5765 -6048 +2199 -4010 +-1024 0 +2199 4010 +-5765 6048 +-1380 -1445 +4346 -7098 +-2064 -1382 +1807 -2795 +-132 -2201 +1148 2172 +1254 -2453 +1101 -613 +-2000 -1465 +-1441 -4821 +348 -823 +-2301 -649 +3121 -1673 +2048 -3072 +1582 1529 +1637 2219 +2712 -4526 +-8 1820 +-3468 1103 +-1545 -4369 +-5393 1102 +-3196 2172 +-1731 1666 +1534 -1255 +613 -1343 +-2898 3639 +5239 516 +3534 -2172 +-901 -668 +1024 0 +-901 668 +3534 2172 +5239 -516 +-2898 -3639 +613 1343 +1534 1255 +-1731 -1666 +-3196 -2172 +-5393 -1102 +-1545 4369 +-3468 -1103 +-8 -1820 +2712 4526 +1637 -2219 +1582 -1529 +2048 3072 +3121 1673 +-2301 649 +348 823 +-1441 4821 +-2000 1465 +1101 613 +1254 2453 +1148 -2172 +-132 2201 +1807 2795 +-2064 1382 +4346 7098 +-1380 1445 +-5765 -6048 +2199 -4010 +300 -5244 +-776 -948 +-5351 8365 +-694 5870 +-3224 92 +69 -518 +2321 2150 +579 1771 +8192 0 +579 -1771 +2321 -2150 +69 518 +-3224 -92 +-694 -5870 +-5351 -8365 +-776 948 +300 5244 +-386 -2366 +-1501 -4852 +2842 -4251 +790 -2694 +-1305 -2258 +3044 463 +102 5002 +3072 -1024 +-2159 -1379 +-267 1366 +1821 -696 +-4886 802 +659 -2203 +940 -624 +-963 363 +1748 -900 +557 3365 +-2777 -1240 +977 -1294 +-872 -692 +-4370 -3584 +3591 1649 +3045 -272 +-2048 0 +3045 272 +3591 -1649 +-4370 3584 +-872 692 +977 1294 +-2777 1240 +557 -3365 +1748 900 +-963 -363 +940 624 +659 2203 +-4886 -802 +1821 696 +-267 -1366 +-2159 1379 +3072 1024 +102 -5002 +3044 -463 +-1305 2258 +790 2694 +2842 4251 +-1501 4852 +-386 2366 +300 -5244 +-776 -948 +-5351 8365 +-694 5870 +-3224 92 +69 -518 +2321 2150 +579 1771 +-2472 6392 +-532 1369 +-227 -5425 +-4035 -1169 +-144 2786 +2048 -2876 +-645 1172 +1870 -719 +6144 0 +1870 719 +-645 -1172 +2048 2876 +-144 -2786 +-4035 1169 +-227 5425 +-532 -1369 +-2472 -6392 +1216 -225 +-5533 4653 +-5305 -1077 +-484 -2002 +-2549 -174 +-99 1414 +-288 -493 +2048 0 +-557 -2166 +-2273 735 +3034 4111 +1084 894 +204 4008 +-1083 -3595 +-393 -4174 +424 -2296 +3284 -3101 +2747 2970 +-2009 901 +3640 110 +-2973 1809 +-1078 3941 +6984 1262 +2048 0 +6984 -1262 +-1078 -3941 +-2973 -1809 +3640 -110 +-2009 -901 +2747 -2970 +3284 3101 +424 2296 +-393 4174 +-1083 3595 +204 -4008 +1084 -894 +3034 -4111 +-2273 -735 +-557 2166 +2048 0 +-288 493 +-99 -1414 +-2549 174 +-484 2002 +-5305 1077 +-5533 -4653 +1216 225 +-2472 6392 +-532 1369 +-227 -5425 +-4035 -1169 +-144 2786 +2048 -2876 +-645 1172 +1870 -719 +1448 4520 +-308 -4161 +318 -990 +936 5378 +-2446 -1832 +2077 520 +2538 -5619 +1199 513 +4096 0 +1199 -513 +2538 5619 +2077 -520 +-2446 1832 +936 -5378 +318 990 +-308 4161 +1448 -4520 +-2201 44 +63 -1106 +2382 -1558 +-2122 -1952 +-4622 -1228 +-3798 -3132 +-2589 -774 +-4096 -2048 +-1861 -5395 +3798 5973 +4189 4132 +2122 -3400 +-208 -2336 +-63 -1302 +-773 -349 +-1448 1624 +3281 -328 +-318 -2599 +-3110 -2060 +2446 384 +-1645 744 +-2538 -5054 +3250 -1039 +4096 0 +3250 1039 +-2538 5054 +-1645 -744 +2446 -384 +-3110 2060 +-318 2599 +3281 328 +-1448 -1624 +-773 349 +-63 1302 +-208 2336 +2122 3400 +4189 -4132 +3798 -5973 +-1861 5395 +-4096 2048 +-2589 774 +-3798 3132 +-4622 1228 +-2122 1952 +2382 1558 +63 1106 +-2201 -44 +1448 4520 +-308 -4161 +318 -990 +936 5378 +-2446 -1832 +2077 520 +2538 -5619 +1199 513 +-724 -2348 +-356 3209 +195 -66 +-6011 -5435 +188 4696 +2587 187 +2646 -5686 +2721 2022 +-5120 0 +2721 -2022 +2646 5686 +2587 -187 +188 -4696 +-6011 5435 +195 66 +-356 -3209 +-724 2348 +-575 3278 +558 3789 +579 -453 +-262 582 +-100 -375 +-116 586 +-848 4448 +-2048 3072 +3994 4782 +4240 623 +1373 2383 +-586 2878 +-1775 -1511 +-3115 189 +-1848 -5422 +724 -3796 +-325 -1133 +-535 -2334 +-2608 1610 +4756 1696 +163 2203 +-3873 -1269 +3031 -4896 +1024 0 +3031 4896 +-3873 1269 +163 -2203 +4756 -1696 +-2608 -1610 +-535 2334 +-325 1133 +724 3796 +-1848 5422 +-3115 -189 +-1775 1511 +-586 -2878 +1373 -2383 +4240 -623 +3994 -4782 +-2048 -3072 +-848 -4448 +-116 -586 +-100 375 +-262 -582 +579 453 +558 -3789 +-575 -3278 +-724 -2348 +-356 3209 +195 -66 +-6011 -5435 +188 4696 +2587 187 +2646 -5686 +2721 2022 +5244 -3196 +-689 984 +-4368 2530 +1910 4829 +706 442 +2239 -5234 +4512 601 +1730 -3520 +-2048 0 +1730 3520 +4512 -601 +2239 5234 +706 -442 +1910 -4829 +-4368 -2530 +-689 -984 +5244 3196 +-3532 2988 +-1070 3218 +-3357 496 +-2524 -672 +985 1065 +-2311 1667 +-1028 1168 +-1024 1024 +-356 3120 +-3041 35 +1907 1792 +476 3672 +286 -2130 +3286 -3618 +-4274 1456 +900 1148 +3382 -5932 +2151 522 +-1294 4889 +-2754 3902 +3117 4320 +840 -537 +-1025 4455 +0 0 +-1025 -4455 +840 537 +3117 -4320 +-2754 -3902 +-1294 -4889 +2151 -522 +3382 5932 +900 -1148 +-4274 -1456 +3286 3618 +286 2130 +476 -3672 +1907 -1792 +-3041 -35 +-356 -3120 +-1024 -1024 +-1028 -1168 +-2311 -1667 +985 -1065 +-2524 672 +-3357 -496 +-1070 -3218 +-3532 -2988 +5244 -3196 +-689 984 +-4368 2530 +1910 4829 +706 442 +2239 -5234 +4512 601 +1730 -3520 +2172 -2772 +-615 -1118 +4459 874 +529 -2938 +92 -5200 +2933 -4643 +-2746 1361 +372 3776 +2048 0 +372 -3776 +-2746 -1361 +2933 4643 +92 5200 +529 2938 +4459 -874 +-615 1118 +2172 2772 +-355 -501 +4747 3132 +881 820 +-2694 -1645 +1957 51 +-2674 -3126 +2382 395 +-1024 1024 +-4304 928 +-406 318 +1944 -6206 +-802 403 +-3173 3064 +3538 -2559 +-564 -1167 +-2172 -1324 +3102 -1556 +42 -3669 +-2022 -2178 +-692 3152 +-3049 2611 +1233 4979 +-18 6333 +-8192 0 +-18 -6333 +1233 -4979 +-3049 -2611 +-692 -3152 +-2022 2178 +42 3669 +3102 1556 +-2172 1324 +-564 1167 +3538 2559 +-3173 -3064 +-802 -403 +1944 6206 +-406 -318 +-4304 -928 +-1024 -1024 +2382 -395 +-2674 3126 +1957 -51 +-2694 1645 +881 -820 +4747 -3132 +-355 501 +2172 -2772 +-615 -1118 +4459 874 +529 -2938 +92 -5200 +2933 -4643 +-2746 1361 +372 3776 +724 124 +4873 298 +2779 -2298 +1582 -2379 +1246 78 +541 2272 +604 3648 +-2534 -1868 +0 0 +-2534 1868 +604 -3648 +541 -2272 +1246 -78 +1582 2379 +2779 2298 +4873 -298 +724 -124 +4487 -740 +-2501 477 +2753 -2059 +2140 632 +-8922 7374 +-54 2084 +-2607 -1027 +1024 -1024 +5033 -73 +-1498 2592 +-403 -53 +1356 -1416 +-1951 -1449 +-2407 1297 +2230 247 +-724 -4220 +-2693 -1896 +-767 -3874 +1639 -2435 +-646 1970 +-1032 -1138 +3844 -1940 +-2997 -2161 +-10240 0 +-2997 2161 +3844 1940 +-1032 1138 +-646 -1970 +1639 2435 +-767 3874 +-2693 1896 +-724 4220 +2230 -247 +-2407 -1297 +-1951 1449 +1356 1416 +-403 53 +-1498 -2592 +5033 73 +1024 1024 +-2607 1027 +-54 -2084 +-8922 -7374 +2140 -632 +2753 2059 +-2501 -477 +4487 740 +724 124 +4873 298 +2779 -2298 +1582 -2379 +1246 78 +541 2272 +604 3648 +-2534 -1868 +-600 -3920 +-4125 -882 +-6428 2618 +-1390 -1948 +4639 3086 +3216 -1177 +-3151 -2949 +-545 1155 +4096 0 +-545 -1155 +-3151 2949 +3216 1177 +4639 -3086 +-1390 1948 +-6428 -2618 +-4125 882 +-600 3920 +623 -1746 +2359 1620 +-3703 3397 +-712 -254 +2910 -267 +-1066 6223 +2276 524 +-2048 -2048 +1760 170 +5359 -902 +2961 -384 +6856 -854 +-1736 4890 +-1612 2524 +-1686 740 +-3496 1872 +4538 1801 +-1312 1182 +-2307 -344 +1505 410 +49 -2724 +-2341 -1279 +-2842 -3077 +0 0 +-2842 3077 +-2341 1279 +49 2724 +1505 -410 +-2307 344 +-1312 -1182 +4538 -1801 +-3496 -1872 +-1686 -740 +-1612 -2524 +-1736 -4890 +6856 854 +2961 384 +5359 902 +1760 -170 +-2048 2048 +2276 -524 +-1066 -6223 +2910 267 +-712 254 +-3703 -3397 +2359 -1620 +623 1746 +-600 -3920 +-4125 -882 +-6428 2618 +-1390 -1948 +4639 3086 +3216 -1177 +-3151 -2949 +-545 1155 +1148 2348 +3852 -354 +3854 2020 +1682 -831 +-1741 -2056 +-1498 -1285 +692 -1137 +-1305 1895 +0 0 +-1305 -1895 +692 1137 +-1498 1285 +-1741 2056 +1682 831 +3854 -2020 +3852 354 +1148 -2348 +2037 1024 +-3575 2870 +-2346 -2726 +-4646 2298 +-5146 1087 +3247 -789 +-707 -2414 +-1024 -3072 +2659 27 +368 -6036 +-1726 2358 +2598 4946 +-592 -6038 +1839 -5703 +5209 -1549 +-3196 3796 +2263 -2219 +1978 -4800 +-1401 -2481 +-307 -3489 +-558 2556 +-212 1682 +-2424 546 +-2048 0 +-2424 -546 +-212 -1682 +-558 -2556 +-307 3489 +-1401 2481 +1978 4800 +2263 2219 +-3196 -3796 +5209 1549 +1839 5703 +-592 6038 +2598 -4946 +-1726 -2358 +368 6036 +2659 -27 +-1024 3072 +-707 2414 +3247 789 +-5146 -1087 +-4646 -2298 +-2346 2726 +-3575 -2870 +2037 -1024 +1148 2348 +3852 -354 +3854 2020 +1682 -831 +-1741 -2056 +-1498 -1285 +692 -1137 +-1305 1895 +1324 -1324 +-1616 -572 +-4691 1131 +1722 -162 +-876 -3308 +-1863 494 +2208 646 +3118 4562 +6144 0 +3118 -4562 +2208 -646 +-1863 -494 +-876 3308 +1722 162 +-4691 -1131 +-1616 572 +1324 1324 +-422 458 +-7182 284 +-4009 340 +4586 -862 +-86 937 +3283 -3204 +2215 -54 +-1024 -1024 +-1695 -2996 +-1770 -648 +6763 -2981 +-1090 1186 +-2989 41 +2682 -943 +-1996 -1141 +2772 -2772 +250 -5252 +-3594 -5255 +3708 -2146 +1476 1260 +-3246 5165 +872 -985 +146 -144 +-4096 0 +146 144 +872 985 +-3246 -5165 +1476 -1260 +3708 2146 +-3594 5255 +250 5252 +2772 2772 +-1996 1141 +2682 943 +-2989 -41 +-1090 -1186 +6763 2981 +-1770 648 +-1695 2996 +-1024 1024 +2215 54 +3283 3204 +-86 -937 +4586 862 +-4009 -340 +-7182 -284 +-422 -458 +1324 -1324 +-1616 -572 +-4691 1131 +1722 -162 +-876 -3308 +-1863 494 +2208 646 +3118 4562 +-1748 3196 +1719 -7400 +-2174 -5384 +1766 190 +-972 -2536 +-1176 1159 +2642 -2097 +1676 430 +4096 0 +1676 -430 +2642 2097 +-1176 -1159 +-972 2536 +1766 -190 +-2174 5384 +1719 7400 +-1748 -3196 +2119 1293 +5685 6159 +-921 -3758 +2154 -3534 +-52 3874 +-384 -1477 +-1801 -562 +-5120 -3072 +263 -3552 +3540 -1152 +3198 -2263 +-1306 3210 +-1182 582 +-961 1591 +-1813 644 +-300 -1148 +-2025 958 +1546 816 +336 -1643 +-3972 -7104 +-1970 -1503 +-1703 2421 +-139 2372 +2048 0 +-139 -2372 +-1703 -2421 +-1970 1503 +-3972 7104 +336 1643 +1546 -816 +-2025 -958 +-300 1148 +-1813 -644 +-961 -1591 +-1182 -582 +-1306 -3210 +3198 2263 +3540 1152 +263 3552 +-5120 3072 +-1801 562 +-384 1477 +-52 -3874 +2154 3534 +-921 3758 +5685 -6159 +2119 -1293 +-1748 3196 +1719 -7400 +-2174 -5384 +1766 190 +-972 -2536 +-1176 1159 +2642 -2097 +1676 430 +1324 -724 +-156 1818 +1863 -2998 +1955 -927 +1540 -2864 +258 -3794 +-1324 1641 +-1276 158 +-2048 0 +-1276 -158 +-1324 -1641 +258 3794 +1540 2864 +1955 927 +1863 2998 +-156 -1818 +1324 724 +-2060 43 +-1369 -398 +2631 1828 +-4142 1370 +-1118 3552 +3361 -466 +2 2583 +-1024 5120 +-2370 2847 +2655 -845 +1074 1021 +-2250 522 +2718 -5675 +-404 4886 +966 7402 +2772 724 +-3214 -5237 +-2986 -4503 +-623 -3810 +756 -2080 +-1101 1631 +-1796 -6614 +2314 3314 +4096 0 +2314 -3314 +-1796 6614 +-1101 -1631 +756 2080 +-623 3810 +-2986 4503 +-3214 5237 +2772 -724 +966 -7402 +-404 -4886 +2718 5675 +-2250 -522 +1074 -1021 +2655 845 +-2370 -2847 +-1024 -5120 +2 -2583 +3361 466 +-1118 -3552 +-4142 -1370 +2631 -1828 +-1369 398 +-2060 -43 +1324 -724 +-156 1818 +1863 -2998 +1955 -927 +1540 -2864 +258 -3794 +-1324 1641 +-1276 158 +0 1448 +1426 -180 +-2728 1754 +1025 -1130 +-1638 -240 +-3769 2080 +-685 2297 +-3442 -1468 +-3072 0 +-3442 1468 +-685 -2297 +-3769 -2080 +-1638 240 +1025 1130 +-2728 -1754 +1426 180 +0 -1448 +-2854 -5981 +-58 2228 +2677 4157 +-1194 -2916 +2122 -705 +1156 6214 +-2438 2874 +5120 -2048 +563 -3313 +-712 -1066 +-822 4126 +-2302 -868 +961 2906 +2290 4396 +1965 -2634 +0 -1448 +2568 -7250 +3392 -787 +5153 2646 +1038 -1808 +-1555 3118 +-2655 -2585 +-3581 -1511 +1024 0 +-3581 1511 +-2655 2585 +-1555 -3118 +1038 1808 +5153 -2646 +3392 787 +2568 7250 +0 1448 +1965 2634 +2290 -4396 +961 -2906 +-2302 868 +-822 -4126 +-712 1066 +563 3313 +5120 2048 +-2438 -2874 +1156 -6214 +2122 705 +-1194 2916 +2677 -4157 +-58 -2228 +-2854 5981 +0 1448 +1426 -180 +-2728 1754 +1025 -1130 +-1638 -240 +-3769 2080 +-685 2297 +-3442 -1468 +1748 -5668 +-421 -804 +-553 127 +-3904 -3575 +1116 4912 +1292 -1269 +-4196 -1728 +94 109 +3072 0 +94 -109 +-4196 1728 +1292 1269 +1116 -4912 +-3904 3575 +-553 -127 +-421 804 +1748 5668 +1617 -3835 +271 -155 +-678 2251 +-1670 678 +-294 1509 +-3183 -924 +-1136 -1089 +-2048 -3072 +-3330 -8702 +-1725 524 +-3802 4349 +222 -2570 +2732 308 +4178 1293 +2717 5533 +300 1572 +-128 -1284 +-999 -1576 +3417 -3849 +332 4128 +1236 2022 +6208 280 +587 600 +-3072 0 +587 -600 +6208 -280 +1236 -2022 +332 -4128 +3417 3849 +-999 1576 +-128 1284 +300 -1572 +2717 -5533 +4178 -1293 +2732 -308 +222 2570 +-3802 -4349 +-1725 -524 +-3330 8702 +-2048 3072 +-1136 1089 +-3183 924 +-294 -1509 +-1670 -678 +-678 -2251 +271 155 +1617 3835 +1748 -5668 +-421 -804 +-553 127 +-3904 -3575 +1116 4912 +1292 -1269 +-4196 -1728 +94 109 +3920 3920 +6797 -647 +2613 -2422 +2591 3017 +-4050 -2532 +1186 -372 +3853 2450 +-639 -1140 +5120 0 +-639 1140 +3853 -2450 +1186 372 +-4050 2532 +2591 -3017 +2613 2422 +6797 647 +3920 -3920 +-224 -570 +-1814 -2777 +-2263 2229 +-1938 1592 +-3840 -2293 +-1388 -1451 +591 -1326 +-1024 4096 +1500 2609 +2888 -158 +-2902 1446 +738 2192 +-3157 664 +-4726 -64 +2592 -97 +-1872 -1872 +-2484 2449 +-666 -1857 +1500 -5469 +-2942 -964 +1093 -2568 +7432 42 +-2340 611 +-7168 0 +-2340 -611 +7432 -42 +1093 2568 +-2942 964 +1500 5469 +-666 1857 +-2484 -2449 +-1872 1872 +2592 97 +-4726 64 +-3157 -664 +738 -2192 +-2902 -1446 +2888 158 +1500 -2609 +-1024 -4096 +591 1326 +-1388 1451 +-3840 2293 +-1938 -1592 +-2263 -2229 +-1814 2777 +-224 570 +3920 3920 +6797 -647 +2613 -2422 +2591 3017 +-4050 -2532 +1186 -372 +3853 2450 +-639 -1140 +-2896 -1448 +-39 3135 +-108 877 +2139 -2872 +410 2916 +-4795 3674 +1174 -1038 +6179 -2043 +1024 0 +6179 2043 +1174 1038 +-4795 -3674 +410 -2916 +2139 2872 +-108 -877 +-39 -3135 +-2896 1448 +-4494 4004 +5311 445 +2252 -1263 +854 1808 +-1577 -3925 +-4919 -4011 +1890 -1496 +-3072 -2048 +-1267 -525 +1578 -3412 +-557 1006 +-254 -240 +-2637 -4032 +-4647 -3051 +-1322 -2882 +2896 1448 +1833 -1461 +2340 2619 +1350 2688 +3086 -868 +-1969 6241 +-730 438 +3013 -2411 +-3072 0 +3013 2411 +-730 -438 +-1969 -6241 +3086 868 +1350 -2688 +2340 -2619 +1833 1461 +2896 -1448 +-1322 2882 +-4647 3051 +-2637 4032 +-254 240 +-557 -1006 +1578 3412 +-1267 525 +-3072 2048 +1890 1496 +-4919 4011 +-1577 3925 +854 -1808 +2252 1263 +5311 -445 +-4494 -4004 +-2896 -1448 +-39 3135 +-108 877 +2139 -2872 +410 2916 +-4795 3674 +1174 -1038 +6179 -2043 +3072 424 +-3536 3246 +-5133 2981 +-718 2703 +340 410 +-336 4137 +-948 -309 +1751 -2745 +1024 0 +1751 2745 +-948 309 +-336 -4137 +340 -410 +-718 -2703 +-5133 -2981 +-3536 -3246 +3072 -424 +-4557 2737 +-787 -2109 +1443 4381 +-4124 854 +-1936 -2731 +1596 631 +2651 -4968 +1024 0 +898 2083 +-3984 906 +-2762 677 +1228 254 +5108 2672 +2863 -1037 +-656 -154 +3072 -2472 +-1066 -1672 +1857 5083 +3065 2269 +2557 3086 +1930 159 +-3656 -615 +-1277 8467 +1024 0 +-1277 -8467 +-3656 615 +1930 -159 +2557 -3086 +3065 -2269 +1857 -5083 +-1066 1672 +3072 2472 +-656 154 +2863 1037 +5108 -2672 +1228 -254 +-2762 -677 +-3984 -906 +898 -2083 +1024 0 +2651 4968 +1596 -631 +-1936 2731 +-4124 -854 +1443 -4381 +-787 2109 +-4557 -2737 +3072 424 +-3536 3246 +-5133 2981 +-718 2703 +340 410 +-336 4137 +-948 -309 +1751 -2745 +-6692 6268 +2408 -1009 +3959 -2481 +-4921 -690 +-2694 -1286 +3149 -2539 +1237 -2208 +-2352 564 +1024 0 +-2352 -564 +1237 2208 +3149 2539 +-2694 1286 +-4921 690 +3959 2481 +2408 1009 +-6692 -6268 +1322 -2593 +-1056 5849 +1490 4200 +-692 3732 +-2591 1459 +3624 -4031 +-2264 -2218 +4096 -1024 +294 1328 +-793 4070 +4664 -1144 +92 836 +2251 6047 +1212 1974 +883 1386 +548 1924 +740 -364 +-19 1005 +-1037 320 +-802 -1610 +-3006 -2281 +27 -3676 +-1029 -2369 +-5120 0 +-1029 2369 +27 3676 +-3006 2281 +-802 1610 +-1037 -320 +-19 -1005 +740 364 +548 -1924 +883 -1386 +1212 -1974 +2251 -6047 +92 -836 +4664 1144 +-793 -4070 +294 -1328 +4096 1024 +-2264 2218 +3624 4031 +-2591 -1459 +-692 -3732 +1490 -4200 +-1056 -5849 +1322 2593 +-6692 6268 +2408 -1009 +3959 -2481 +-4921 -690 +-2694 -1286 +3149 -2539 +1237 -2208 +-2352 564 +-300 300 +2921 4459 +76 2386 +280 -373 +5356 3008 +4430 571 +-337 1330 +-3654 878 +-2048 0 +-3654 -878 +-337 -1330 +4430 -571 +5356 -3008 +280 373 +76 -2386 +2921 -4459 +-300 -300 +669 -617 +689 -494 +3693 -2395 +2910 -886 +1397 251 +-4834 2315 +-5909 1768 +3072 -3072 +-2849 2168 +-2566 3099 +1619 1389 +3234 562 +-1892 -692 +-520 1398 +6958 1392 +-1748 1748 +155 -2450 +-4341 -494 +-6514 2076 +788 -1560 +-3012 566 +3641 -546 +1708 -479 +-8192 0 +1708 479 +3641 546 +-3012 -566 +788 1560 +-6514 -2076 +-4341 494 +155 2450 +-1748 -1748 +6958 -1392 +-520 -1398 +-1892 692 +3234 -562 +1619 -1389 +-2566 -3099 +-2849 -2168 +3072 3072 +-5909 -1768 +-4834 -2315 +1397 -251 +2910 886 +3693 2395 +689 494 +669 617 +-300 300 +2921 4459 +76 2386 +280 -373 +5356 3008 +4430 571 +-337 1330 +-3654 878 +-1024 5120 +-3610 -3439 +1663 -3690 +-294 2837 +3485 -2616 +8150 -4201 +-1161 -3358 +-768 -4167 +1024 0 +-768 4167 +-1161 3358 +8150 4201 +3485 2616 +-294 -2837 +1663 3690 +-3610 3439 +-1024 -5120 +-4368 -535 +2155 448 +48 -646 +-5546 -60 +-303 -906 +-1213 2110 +3029 1902 +-1024 4096 +-4240 2276 +-4359 -501 +715 3299 +4698 -1508 +-2014 -1025 +1849 2392 +3115 1602 +-1024 5120 +-249 -975 +125 -4255 +-196 1559 +1459 1168 +-314 -803 +941 -950 +1299 388 +-3072 0 +1299 -388 +941 950 +-314 803 +1459 -1168 +-196 -1559 +125 4255 +-249 975 +-1024 -5120 +3115 -1602 +1849 -2392 +-2014 1025 +4698 1508 +715 -3299 +-4359 501 +-4240 -2276 +-1024 -4096 +3029 -1902 +-1213 -2110 +-303 906 +-5546 60 +48 646 +2155 -448 +-4368 535 +-1024 5120 +-3610 -3439 +1663 -3690 +-294 2837 +3485 -2616 +8150 -4201 +-1161 -3358 +-768 -4167 +1748 -124 +1902 1100 +-681 -815 +-2476 -2329 +8 2708 +-1641 -4405 +-3306 1107 +-1131 1270 +-1024 0 +-1131 -1270 +-3306 -1107 +-1641 4405 +8 -2708 +-2476 2329 +-681 815 +1902 -1100 +1748 124 +-404 91 +3222 8704 +2094 2888 +-4346 -2634 +4020 -1661 +3812 -737 +576 -3095 +6144 -1024 +-3640 869 +-5416 2079 +3431 6763 +2898 2310 +209 4398 +-3038 -144 +-426 -2247 +300 4220 +-2401 107 +-703 -1041 +-715 -2399 +1441 -1860 +870 797 +-2082 509 +-269 448 +1024 0 +-269 -448 +-2082 -509 +870 -797 +1441 1860 +-715 2399 +-703 1041 +-2401 -107 +300 -4220 +-426 2247 +-3038 144 +209 -4398 +2898 -2310 +3431 -6763 +-5416 -2079 +-3640 -869 +6144 1024 +576 3095 +3812 737 +4020 1661 +-4346 2634 +2094 -2888 +3222 -8704 +-404 -91 +1748 -124 +1902 1100 +-681 -815 +-2476 -2329 +8 2708 +-1641 -4405 +-3306 1107 +-1131 1270 +0 424 +-313 2217 +-733 2871 +908 92 +5427 -6316 +2325 3475 +-309 1053 +633 3816 +0 0 +633 -3816 +-309 -1053 +2325 -3475 +5427 6316 +908 -92 +-733 -2871 +-313 -2217 +0 -424 +3715 3983 +3268 -2733 +369 1015 +877 25 +-157 346 +-3364 1572 +330 791 +2048 2048 +-4970 -1802 +348 -1140 +2932 -430 +-8718 625 +-3653 1172 +1965 -241 +-2770 -3082 +0 -2472 +-1961 -1163 +-1603 -1579 +1489 -926 +-1682 2820 +1580 8741 +429 3227 +-457 -689 +4096 0 +-457 689 +429 -3227 +1580 -8741 +-1682 -2820 +1489 926 +-1603 1579 +-1961 1163 +0 2472 +-2770 3082 +1965 241 +-3653 -1172 +-8718 -625 +2932 430 +348 1140 +-4970 1802 +2048 -2048 +330 -791 +-3364 -1572 +-157 -346 +877 -25 +369 -1015 +3268 2733 +3715 -3983 +0 424 +-313 2217 +-733 2871 +908 92 +5427 -6316 +2325 3475 +-309 1053 +633 3816 +-3620 -2596 +-1704 1112 +5102 -808 +-566 -2690 +-3178 3118 +2867 -2867 +3282 2320 +1929 5341 +-1024 0 +1929 -5341 +3282 -2320 +2867 2867 +-3178 -3118 +-566 2690 +5102 808 +-1704 -1112 +-3620 2596 +-5398 -5255 +-4952 475 +-2604 -328 +2948 -1780 +2489 4853 +496 1109 +3071 1854 +0 -1024 +1248 -1820 +-37 3002 +1326 1431 +-52 2564 +-3054 2961 +-1508 -309 +35 3002 +3620 4644 +2634 -2745 +1358 24 +-4480 4282 +282 1226 +4022 1141 +-3741 -427 +-1814 874 +1024 0 +-1814 -874 +-3741 427 +4022 -1141 +282 -1226 +-4480 -4282 +1358 -24 +2634 2745 +3620 -4644 +35 -3002 +-1508 309 +-3054 -2961 +-52 -2564 +1326 -1431 +-37 -3002 +1248 1820 +0 1024 +3071 -1854 +496 -1109 +2489 -4853 +2948 1780 +-2604 328 +-4952 -475 +-5398 5255 +-3620 -2596 +-1704 1112 +5102 -808 +-566 -2690 +-3178 3118 +2867 -2867 +3282 2320 +1929 5341 +5668 -300 +-4103 4549 +-5948 -2452 +-892 309 +-2838 2179 +887 -2277 +36 985 +1051 1050 +7168 0 +1051 -1050 +36 -985 +887 2277 +-2838 -2179 +-892 -309 +-5948 2452 +-4103 -4549 +5668 300 +702 958 +-3563 -2503 +-2196 -4176 +-1176 -1153 +797 3351 +-697 1180 +-1277 5999 +-2048 1024 +-468 1043 +1546 -1652 +-2632 -3317 +1176 7288 +4320 -1089 +-1381 -2347 +-376 -105 +-1572 -1748 +-4471 -3156 +1004 -1488 +3881 2098 +2838 -1930 +1627 722 +813 279 +3150 2242 +5120 0 +3150 -2242 +813 -279 +1627 -722 +2838 1930 +3881 -2098 +1004 1488 +-4471 3156 +-1572 1748 +-376 105 +-1381 2347 +4320 1089 +1176 -7288 +-2632 3317 +1546 1652 +-468 -1043 +-2048 -1024 +-1277 -5999 +-697 -1180 +797 -3351 +-1176 1153 +-2196 4176 +-3563 2503 +702 -958 +5668 -300 +-4103 4549 +-5948 -2452 +-892 309 +-2838 2179 +887 -2277 +36 985 +1051 1050 +-1024 -1624 +23 1506 +-688 4570 +3587 2265 +-1903 -4156 +434 -1279 +2699 -3109 +478 -1333 +5120 0 +478 1333 +2699 3109 +434 1279 +-1903 4156 +3587 -2265 +-688 -4570 +23 -1506 +-1024 1624 +1288 -193 +3186 -2295 +-4642 1597 +-6930 -2928 +-2132 -3577 +4720 -908 +-3486 -1363 +-5120 -2048 +1078 -475 +-4240 -3908 +1567 1022 +4882 6712 +1801 -2437 +2646 1165 +322 -2690 +-1024 -4520 +-1632 1790 +-1048 -1111 +-746 -507 +-145 -2588 +131 86 +917 109 +1930 -3572 +1024 0 +1930 3572 +917 -109 +131 -86 +-145 2588 +-746 507 +-1048 1111 +-1632 -1790 +-1024 4520 +322 2690 +2646 -1165 +1801 2437 +4882 -6712 +1567 -1022 +-4240 3908 +1078 475 +-5120 2048 +-3486 1363 +4720 908 +-2132 3577 +-6930 2928 +-4642 -1597 +3186 2295 +1288 193 +-1024 -1624 +23 1506 +-688 4570 +3587 2265 +-1903 -4156 +434 -1279 +2699 -3109 +478 -1333 +-6268 -3196 +-3551 -859 +-4867 319 +-163 -2264 +1636 -2140 +-4862 1882 +1425 -1721 +4819 4175 +1024 0 +4819 -4175 +1425 1721 +-4862 -1882 +1636 2140 +-163 2264 +-4867 -319 +-3551 859 +-6268 3196 +-2456 2470 +951 -912 +919 -649 +-1710 646 +-913 669 +4795 -2541 +-209 3417 +-2048 3072 +-1304 -1550 +918 -1876 +2496 -794 +-2035 1246 +2315 -2676 +5001 -1356 +2839 -4107 +-1924 1148 +-617 3418 +115 -3659 +-4638 885 +6204 -1356 +4847 -2695 +-145 -511 +479 -7 +-5120 0 +479 7 +-145 511 +4847 2695 +6204 1356 +-4638 -885 +115 3659 +-617 -3418 +-1924 -1148 +2839 4107 +5001 1356 +2315 2676 +-2035 -1246 +2496 794 +918 1876 +-1304 1550 +-2048 -3072 +-209 -3417 +4795 2541 +-913 -669 +-1710 -646 +919 649 +951 912 +-2456 -2470 +-6268 -3196 +-3551 -859 +-4867 319 +-163 -2264 +1636 -2140 +-4862 1882 +1425 -1721 +4819 4175 +2896 2896 +709 -2873 +611 -483 +372 -870 +-3216 -1338 +2411 2650 +1688 -772 +-1237 -2430 +1024 0 +-1237 2430 +1688 772 +2411 -2650 +-3216 1338 +372 870 +611 483 +709 2873 +2896 -2896 +4343 490 +1836 4583 +-7132 -610 +-3556 554 +-4374 -366 +1498 1420 +6005 4710 +-1024 -2048 +1332 -755 +-1342 3468 +1759 1592 +-1988 554 +-4024 -1437 +-572 2535 +-4381 2737 +-2896 -2896 +-2208 2224 +2220 2531 +-2816 -2853 +568 1338 +8012 2203 +2253 -1276 +1229 -139 +1024 0 +1229 139 +2253 1276 +8012 -2203 +568 -1338 +-2816 2853 +2220 -2531 +-2208 -2224 +-2896 2896 +-4381 -2737 +-572 -2535 +-4024 1437 +-1988 -554 +1759 -1592 +-1342 -3468 +1332 755 +-1024 2048 +6005 -4710 +1498 -1420 +-4374 366 +-3556 -554 +-7132 610 +1836 -4583 +4343 -490 +2896 2896 +709 -2873 +611 -483 +372 -870 +-3216 -1338 +2411 2650 +1688 -772 +-1237 -2430 +-2772 300 +-1178 1538 +3231 453 +407 8204 +-2044 432 +-700 -47 +-238 3375 +-2882 880 +-5120 0 +-2882 -880 +-238 -3375 +-700 47 +-2044 -432 +407 -8204 +3231 -453 +-1178 -1538 +-2772 -300 +1654 4692 +1297 4769 +1692 1938 +3078 -6818 +732 162 +1387 -3076 +2138 -866 +2048 1024 +1673 -6628 +-1727 1633 +-594 258 +-1030 -426 +-3495 -2550 +2827 -4863 +2680 694 +-1324 1748 +1307 184 +-4459 -3093 +-5284 302 +4092 1865 +1449 -848 +-2318 134 +400 1477 +1024 0 +400 -1477 +-2318 -134 +1449 848 +4092 -1865 +-5284 -302 +-4459 3093 +1307 -184 +-1324 -1748 +2680 -694 +2827 4863 +-3495 2550 +-1030 426 +-594 -258 +-1727 -1633 +1673 6628 +2048 -1024 +2138 866 +1387 3076 +732 -162 +3078 6818 +1692 -1938 +1297 -4769 +1654 -4692 +-2772 300 +-1178 1538 +3231 453 +407 8204 +-2044 432 +-700 -47 +-238 3375 +-2882 880 +724 1148 +3438 4743 +1202 -785 +2718 -4405 +-3548 2668 +-2441 -4383 +4335 310 +-254 -1259 +-5120 0 +-254 1259 +4335 -310 +-2441 4383 +-3548 -2668 +2718 4405 +1202 785 +3438 -4743 +724 -1148 +-4398 -2019 +3353 -8 +304 -3246 +-3778 3238 +794 1176 +-3308 -2213 +239 3142 +4096 1024 +-1687 -3198 +4 -5045 +654 -76 +-318 1789 +1145 1052 +912 148 +2950 -1391 +-724 -3196 +-4886 -2578 +-1371 -3155 +-1269 -4897 +-548 -4116 +3889 -4676 +3065 954 +-1194 2249 +-3072 0 +-1194 -2249 +3065 -954 +3889 4676 +-548 4116 +-1269 4897 +-1371 3155 +-4886 2578 +-724 3196 +2950 1391 +912 -148 +1145 -1052 +-318 -1789 +654 76 +4 5045 +-1687 3198 +4096 -1024 +239 -3142 +-3308 2213 +794 -1176 +-3778 -3238 +304 3246 +3353 8 +-4398 2019 +724 1148 +3438 4743 +1202 -785 +2718 -4405 +-3548 2668 +-2441 -4383 +4335 310 +-254 -1259 +-2896 848 +102 3526 +511 -1624 +-175 323 +-190 684 +208 -2162 +1803 814 +660 -514 +-1024 0 +660 514 +1803 -814 +208 2162 +-190 -684 +-175 -323 +511 1624 +102 -3526 +-2896 -848 +834 -1632 +-362 -1433 +-8353 390 +-2642 5148 +-301 4291 +-3678 -5103 +478 3515 +-1024 0 +2467 -2785 +5282 1697 +-4814 -1118 +-3750 204 +817 -1002 +178 2232 +3012 -4527 +2896 -4944 +3412 499 +872 2393 +-1866 4287 +2486 -1533 +2899 2755 +3585 3090 +621 1133 +-5120 0 +621 -1133 +3585 -3090 +2899 -2755 +2486 1533 +-1866 -4287 +872 -2393 +3412 -499 +2896 4944 +3012 4527 +178 -2232 +817 1002 +-3750 -204 +-4814 1118 +5282 -1697 +2467 2785 +-1024 0 +478 -3515 +-3678 5103 +-301 -4291 +-2642 -5148 +-8353 -390 +-362 1433 +834 1632 +-2896 848 +102 3526 +511 -1624 +-175 323 +-190 684 +208 -2162 +1803 814 +660 -514 +2348 1748 +2292 375 +-7383 3434 +1837 4898 +-3622 -4246 +-175 -6448 +4474 -977 +-2053 -1089 +4096 0 +-2053 1089 +4474 977 +-175 6448 +-3622 4246 +1837 -4898 +-7383 -3434 +2292 -375 +2348 -1748 +-1990 525 +1367 379 +815 -4131 +717 -5600 +157 -3065 +258 -534 +-369 -2503 +1024 -3072 +-2407 1305 +471 -39 +2117 -4401 +-717 -2103 +-991 1901 +-3975 3239 +-407 1635 +3796 300 +993 2064 +-2793 626 +2803 245 +3622 3647 +-770 -1569 +-610 -5520 +-1852 433 +-2048 0 +-1852 -433 +-610 5520 +-770 1569 +3622 -3647 +2803 -245 +-2793 -626 +993 -2064 +3796 -300 +-407 -1635 +-3975 -3239 +-991 -1901 +-717 2103 +2117 4401 +471 39 +-2407 -1305 +1024 3072 +-369 2503 +258 534 +157 3065 +717 5600 +815 4131 +1367 -379 +-1990 -525 +2348 1748 +2292 375 +-7383 3434 +1837 4898 +-3622 -4246 +-175 -6448 +4474 -977 +-2053 -1089 +-900 -724 +-1017 2471 +3909 -2364 +990 1059 +-2224 3972 +-3874 1869 +429 -3061 +5033 1441 +1024 0 +5033 -1441 +429 3061 +-3874 -1869 +-2224 -3972 +990 -1059 +3909 2364 +-1017 -2471 +-900 724 +3477 3520 +5641 -8672 +-87 -2195 +-1006 1306 +-1529 -673 +594 2997 +3813 -541 +-2048 1024 +-299 -2344 +-778 -2496 +2431 1809 +2454 2154 +-2433 2140 +-253 -933 +-1442 3382 +-5244 724 +-7258 -1722 +-2305 3111 +-717 -1189 +776 972 +-574 1942 +955 -1232 +3485 3436 +-1024 0 +3485 -3436 +955 1232 +-574 -1942 +776 -972 +-717 1189 +-2305 -3111 +-7258 1722 +-5244 -724 +-1442 -3382 +-253 933 +-2433 -2140 +2454 -2154 +2431 -1809 +-778 2496 +-299 2344 +-2048 -1024 +3813 541 +594 -2997 +-1529 673 +-1006 -1306 +-87 2195 +5641 8672 +3477 -3520 +-900 -724 +-1017 2471 +3909 -2364 +990 1059 +-2224 3972 +-3874 1869 +429 -3061 +5033 1441 +2348 -1572 +-3261 -2120 +-1765 -2769 +-1224 1023 +1826 5430 +1456 2525 +-490 1002 +1431 -1316 +-1024 0 +1431 1316 +-490 -1002 +1456 -2525 +1826 -5430 +-1224 -1023 +-1765 2769 +-3261 2120 +2348 1572 +3871 -224 +483 -96 +-3199 -173 +932 -1585 +185 -1728 +-3340 3498 +-1104 2682 +-2048 -1024 +-733 879 +-2508 1790 +2934 754 +1716 -3633 +-1538 4161 +4594 6076 +3516 -362 +3796 5668 +337 2869 +-4512 1948 +-720 -1153 +3718 -3382 +-3687 1286 +-655 -1511 +1736 6194 +-7168 0 +1736 -6194 +-655 1511 +-3687 -1286 +3718 3382 +-720 1153 +-4512 -1948 +337 -2869 +3796 -5668 +3516 362 +4594 -6076 +-1538 -4161 +1716 3633 +2934 -754 +-2508 -1790 +-733 -879 +-2048 1024 +-1104 -2682 +-3340 -3498 +185 1728 +932 1585 +-3199 173 +483 96 +3871 224 +2348 -1572 +-3261 -2120 +-1765 -2769 +-1224 1023 +1826 5430 +1456 2525 +-490 1002 +1431 -1316 +724 -724 +5658 498 +-2590 -1259 +-1472 4673 +5805 1076 +554 -2063 +-2015 -5037 +447 353 +2048 0 +447 -353 +-2015 5037 +554 2063 +5805 -1076 +-1472 -4673 +-2590 1259 +5658 -498 +724 724 +236 5848 +-1711 -128 +-2407 3984 +3257 4202 +1319 -964 +2835 -3659 +2562 -2667 +1024 1024 +-1243 184 +1133 -1990 +3082 911 +-5554 -742 +-1185 -2108 +-2110 3109 +-6672 -1544 +-724 724 +-3006 -529 +-581 -4194 +3496 -1188 +588 -1924 +-3388 1930 +-3153 -1984 +2018 -1728 +4096 0 +2018 1728 +-3153 1984 +-3388 -1930 +588 1924 +3496 1188 +-581 4194 +-3006 529 +-724 -724 +-6672 1544 +-2110 -3109 +-1185 2108 +-5554 742 +3082 -911 +1133 1990 +-1243 -184 +1024 -1024 +2562 2667 +2835 3659 +1319 964 +3257 -4202 +-2407 -3984 +-1711 128 +236 -5848 +724 -724 +5658 498 +-2590 -1259 +-1472 4673 +5805 1076 +554 -2063 +-2015 -5037 +447 353 +4096 -9464 +2248 -1819 +1588 -1969 +-883 -3230 +-1604 2726 +1609 -174 +2175 2014 +-788 -3486 +-6144 0 +-788 3486 +2175 -2014 +1609 174 +-1604 -2726 +-883 3230 +1588 1969 +2248 1819 +4096 9464 +-1859 -1003 +-1988 1104 +-3365 4555 +184 -834 +2354 2502 +-3312 3813 +533 267 +2048 -4096 +576 -1072 +-472 133 +322 737 +-1384 3510 +689 -1871 +420 -3685 +751 145 +4096 -776 +-3356 -3346 +-21 2974 +-1793 6251 +-5388 1618 +1067 -336 +1610 99 +1896 4026 +2048 0 +1896 -4026 +1610 -99 +1067 336 +-5388 -1618 +-1793 -6251 +-21 -2974 +-3356 3346 +4096 776 +751 -145 +420 3685 +689 1871 +-1384 -3510 +322 -737 +-472 -133 +576 1072 +2048 4096 +533 -267 +-3312 -3813 +2354 -2502 +184 834 +-3365 -4555 +-1988 -1104 +-1859 1003 +4096 -9464 +2248 -1819 +1588 -1969 +-883 -3230 +-1604 2726 +1609 -174 +2175 2014 +-788 -3486 +-2896 0 +-234 490 +2473 6943 +941 5380 +1148 -8259 +-389 -1505 +3117 2754 +4170 -1625 +-3072 0 +4170 1625 +3117 -2754 +-389 1505 +1148 8259 +941 -5380 +2473 -6943 +-234 -490 +-2896 0 +-1808 591 +1762 -4179 +-3837 261 +-3196 -1033 +-716 -636 +-1646 3743 +-180 -502 +-1024 2048 +2960 -1286 +-1214 -2818 +-3100 -2528 +-3196 -4778 +-981 -1631 +4735 -3172 +2413 -193 +2896 0 +1637 294 +-1977 2753 +-3484 -3488 +1148 418 +-21 3397 +943 -626 +2627 2408 +-3072 0 +2627 -2408 +943 626 +-21 -3397 +1148 -418 +-3484 3488 +-1977 -2753 +1637 -294 +2896 0 +2413 193 +4735 3172 +-981 1631 +-3196 4778 +-3100 2528 +-1214 2818 +2960 1286 +-1024 -2048 +-180 502 +-1646 -3743 +-716 636 +-3196 1033 +-3837 -261 +1762 4179 +-1808 -591 +-2896 0 +-234 490 +2473 6943 +941 5380 +1148 -8259 +-389 -1505 +3117 2754 +4170 -1625 +-3196 724 +-892 -948 +187 639 +-6588 981 +-1402 -4286 +3271 108 +-981 2023 +-663 -880 +3072 0 +-663 880 +-981 -2023 +3271 -108 +-1402 4286 +-6588 -981 +187 -639 +-892 948 +-3196 -724 +2147 3129 +1562 -2676 +1069 -4078 +-3404 273 +526 -2969 +1315 1805 +-1640 4668 +0 1024 +-4039 391 +2845 697 +3092 -57 +-4188 -2624 +-5685 -799 +-518 0 +2167 -450 +1148 -724 +-287 -2631 +-2927 2036 +3636 2298 +-3294 1390 +678 2805 +6709 -3132 +3206 -3397 +9216 0 +3206 3397 +6709 3132 +678 -2805 +-3294 -1390 +3636 -2298 +-2927 -2036 +-287 2631 +1148 724 +2167 450 +-518 0 +-5685 799 +-4188 2624 +3092 57 +2845 -697 +-4039 -391 +0 -1024 +-1640 -4668 +1315 -1805 +526 2969 +-3404 -273 +1069 4078 +1562 2676 +2147 -3129 +-3196 724 +-892 -948 +187 639 +-6588 981 +-1402 -4286 +3271 108 +-981 2023 +-663 -880 +1024 3496 +389 -2985 +-2255 2885 +-2897 -26 +-2761 -4464 +1186 81 +4269 -1914 +-1459 2294 +-8192 0 +-1459 -2294 +4269 1914 +1186 -81 +-2761 4464 +-2897 26 +-2255 -2885 +389 2985 +1024 -3496 +-503 -875 +-1124 2914 +-1912 2535 +4822 6681 +1940 -567 +2994 -2581 +6843 1006 +0 -2048 +1053 135 +2734 4190 +-207 3144 +-5422 888 +1176 1797 +-1617 -73 +-6028 -2178 +1024 600 +-1426 1681 +3299 2320 +497 -713 +-735 -1329 +216 3630 +-109 494 +1132 -3165 +-4096 0 +1132 3165 +-109 -494 +216 -3630 +-735 1329 +497 713 +3299 -2320 +-1426 -1681 +1024 -600 +-6028 2178 +-1617 73 +1176 -1797 +-5422 -888 +-207 -3144 +2734 -4190 +1053 -135 +0 2048 +6843 -1006 +2994 2581 +1940 567 +4822 -6681 +-1912 -2535 +-1124 -2914 +-503 875 +1024 3496 +389 -2985 +-2255 2885 +-2897 -26 +-2761 -4464 +1186 81 +4269 -1914 +-1459 2294 +0 -3920 +1399 2941 +-172 -692 +1396 -4088 +-690 1084 +-1013 -1224 +-2552 2956 +-4581 -1512 +0 0 +-4581 1512 +-2552 -2956 +-1013 1224 +-690 -1084 +1396 4088 +-172 692 +1399 -2941 +0 3920 +-892 -4423 +516 -4257 +5396 -546 +2102 -144 +382 -1466 +811 -5158 +-215 1539 +2048 0 +-3558 -1927 +637 1706 +3255 -3775 +1643 -3640 +1285 5313 +-1964 2460 +-838 -3275 +0 1872 +1219 -2161 +-1277 -5376 +-3614 -829 +-7150 -484 +-1296 2123 +4000 -684 +1673 -4721 +4096 0 +1673 4721 +4000 684 +-1296 -2123 +-7150 484 +-3614 829 +-1277 5376 +1219 2161 +0 -1872 +-838 3275 +-1964 -2460 +1285 -5313 +1643 3640 +3255 3775 +637 -1706 +-3558 1927 +2048 0 +-215 -1539 +811 5158 +382 1466 +2102 144 +5396 546 +516 4257 +-892 4423 +0 -3920 +1399 2941 +-172 -692 +1396 -4088 +-690 1084 +-1013 -1224 +-2552 2956 +-4581 -1512 +-1448 6392 +-2563 1015 +2185 528 +996 6395 +410 -1624 +-936 2974 +-2304 -159 +1374 -1753 +3072 0 +1374 1753 +-2304 159 +-936 -2974 +410 1624 +996 -6395 +2185 -528 +-2563 -1015 +-1448 -6392 +-1678 2593 +-2734 699 +3135 -2555 +854 -4520 +1286 -3098 +3042 -6445 +132 -2917 +1024 6144 +-2588 3714 +-3078 -1212 +367 59 +-254 4520 +-1336 -987 +-866 579 +2337 357 +1448 -2296 +336 -1242 +215 -3544 +990 2533 +3086 -1624 +-4502 -4042 +-4652 2495 +2650 -1192 +3072 0 +2650 1192 +-4652 -2495 +-4502 4042 +3086 1624 +990 -2533 +215 3544 +336 1242 +1448 2296 +2337 -357 +-866 -579 +-1336 987 +-254 -4520 +367 -59 +-3078 1212 +-2588 -3714 +1024 -6144 +132 2917 +3042 6445 +1286 3098 +854 4520 +3135 2555 +-2734 -699 +-1678 -2593 +-1448 6392 +-2563 1015 +2185 528 +996 6395 +410 -1624 +-936 2974 +-2304 -159 +1374 -1753 +-4520 -7416 +-740 -1619 +2160 2008 +3417 -3340 +-1723 -1298 +-1601 292 +1381 -1210 +1332 -3048 +7168 0 +1332 3048 +1381 1210 +-1601 -292 +-1723 1298 +3417 3340 +2160 -2008 +-740 1619 +-4520 7416 +1467 -650 +-3662 1705 +-2157 1688 +2520 -5318 +776 -1078 +578 -877 +-5436 -591 +1024 4096 +826 4452 +1810 1615 +1361 -14 +-6616 1075 +554 -2553 +1586 -2575 +-1784 932 +-1624 1272 +-3407 2313 +1116 705 +4866 3564 +-2373 3595 +-1424 -557 +3224 2502 +1949 1411 +3072 0 +1949 -1411 +3224 -2502 +-1424 557 +-2373 -3595 +4866 -3564 +1116 -705 +-3407 -2313 +-1624 -1272 +-1784 -932 +1586 2575 +554 2553 +-6616 -1075 +1361 14 +1810 -1615 +826 -4452 +1024 -4096 +-5436 591 +578 877 +776 1078 +2520 5318 +-2157 -1688 +-3662 -1705 +1467 650 +-4520 -7416 +-740 -1619 +2160 2008 +3417 -3340 +-1723 -1298 +-1601 292 +1381 -1210 +1332 -3048 +3796 7716 +-851 2138 +-4675 -3890 +1665 3231 +5370 1886 +990 3657 +-134 1629 +754 -4847 +-3072 0 +754 4847 +-134 -1629 +990 -3657 +5370 -1886 +1665 -3231 +-4675 3890 +-851 -2138 +3796 -7716 +-3573 -236 +-2810 -2163 +659 860 +-417 -236 +-5330 -4391 +287 -1206 +3501 -579 +-2048 1024 +2980 1817 +-443 2578 +2284 -1329 +1016 -4332 +-1865 -4147 +1546 -3730 +-3388 385 +2348 476 +2459 2058 +1844 2323 +1757 2907 +-1874 2210 +-161 -1037 +-3806 2155 +-1883 -766 +3072 0 +-1883 766 +-3806 -2155 +-161 1037 +-1874 -2210 +1757 -2907 +1844 -2323 +2459 -2058 +2348 -476 +-3388 -385 +1546 3730 +-1865 4147 +1016 4332 +2284 1329 +-443 -2578 +2980 -1817 +-2048 -1024 +3501 579 +287 1206 +-5330 4391 +-417 236 +659 -860 +-2810 2163 +-3573 236 +3796 7716 +-851 2138 +-4675 -3890 +1665 3231 +5370 1886 +990 3657 +-134 1629 +754 -4847 +4944 4520 +-819 -1948 +887 -2152 +-1986 -6435 +-3276 -6186 +-1888 -1159 +533 126 +1525 -2854 +-2048 0 +1525 2854 +533 -126 +-1888 1159 +-3276 6186 +-1986 6435 +887 2152 +-819 1948 +4944 -4520 +2106 -1574 +-149 1936 +3095 -919 +-2388 3835 +115 3417 +338 1361 +-1060 -4184 +2048 4096 +-477 6528 +770 -2099 +3031 1144 +-4605 -510 +-5197 772 +2825 -1065 +-1800 459 +-848 1624 +513 -2626 +-3563 -849 +4088 -404 +2076 1842 +-1259 -1451 +-1641 -3586 +12 -1233 +6144 0 +12 1233 +-1641 3586 +-1259 1451 +2076 -1842 +4088 404 +-3563 849 +513 2626 +-848 -1624 +-1800 -459 +2825 1065 +-5197 -772 +-4605 510 +3031 -1144 +770 2099 +-477 -6528 +2048 -4096 +-1060 4184 +338 -1361 +115 -3417 +-2388 -3835 +3095 919 +-149 -1936 +2106 1574 +4944 4520 +-819 -1948 +887 -2152 +-1986 -6435 +-3276 -6186 +-1888 -1159 +533 126 +1525 -2854 +2472 3496 +-2008 1123 +-7327 -5746 +1688 4050 +4324 -314 +5468 -2808 +1846 1572 +-4288 -2951 +-2048 0 +-4288 2951 +1846 -1572 +5468 2808 +4324 314 +1688 -4050 +-7327 5746 +-2008 -1123 +2472 -3496 +-111 1821 +-925 425 +1575 1022 +6232 1578 +1819 2511 +89 -2326 +3148 1475 +2048 0 +-1834 -2506 +-1473 -1818 +78 -5161 +-687 -470 +1221 -1079 +-680 1245 +-460 -1218 +-424 600 +1250 5653 +1939 -426 +-5372 2746 +-1677 2362 +-684 -1759 +-1662 136 +-1490 2993 +-6144 0 +-1490 -2993 +-1662 -136 +-684 1759 +-1677 -2362 +-5372 -2746 +1939 426 +1250 -5653 +-424 -600 +-460 1218 +-680 -1245 +1221 1079 +-687 470 +78 5161 +-1473 1818 +-1834 2506 +2048 0 +3148 -1475 +89 2326 +1819 -2511 +6232 -1578 +1575 -1022 +-925 -425 +-111 -1821 +2472 3496 +-2008 1123 +-7327 -5746 +1688 4050 +4324 -314 +5468 -2808 +1846 1572 +-4288 -2951 +1148 724 +-4383 2527 +243 -2603 +1086 -2074 +-986 -4886 +-734 543 +-2167 2486 +763 4136 +3072 0 +763 -4136 +-2167 -2486 +-734 -543 +-986 4886 +1086 2074 +243 2603 +-4383 -2527 +1148 -724 +2912 3671 +2048 -3506 +1204 -127 +5480 -3224 +8827 -3487 +-341 4206 +357 98 +-2048 -3072 +-3436 2651 +2233 1270 +-992 -111 +912 872 +-414 432 +-1264 3005 +-509 -4175 +-3196 -724 +-917 4188 +-1027 -774 +1021 -1702 +-1311 790 +-4205 897 +275 -7119 +-580 -1007 +-3072 0 +-580 1007 +275 7119 +-4205 -897 +-1311 -790 +1021 1702 +-1027 774 +-917 -4188 +-3196 724 +-509 4175 +-1264 -3005 +-414 -432 +912 -872 +-992 111 +2233 -1270 +-3436 -2651 +-2048 3072 +357 -98 +-341 -4206 +8827 3487 +5480 3224 +1204 127 +2048 3506 +2912 -3671 +1148 724 +-4383 2527 +243 -2603 +1086 -2074 +-986 -4886 +-734 543 +-2167 2486 +763 4136 +-3372 2772 +309 -3219 +2337 -597 +-4188 8328 +4272 -1840 +1168 -1381 +-3669 -2452 +835 -2140 +0 0 +835 2140 +-3669 2452 +1168 1381 +4272 1840 +-4188 -8328 +2337 597 +309 3219 +-3372 -2772 +3895 -1069 +5 -879 +251 6529 +3054 2394 +3378 -2184 +5395 -1648 +1692 1387 +-1024 -1024 +-1416 -2393 +1849 1248 +3408 -5220 +-406 -502 +-180 3415 +-1438 2017 +-5169 2653 +-4820 1324 +-1252 628 +-904 -2300 +-1235 -1995 +1272 -1056 +-2603 -399 +-3575 -444 +1105 238 +2048 0 +1105 -238 +-3575 444 +-2603 399 +1272 1056 +-1235 1995 +-904 2300 +-1252 -628 +-4820 -1324 +-5169 -2653 +-1438 -2017 +-180 -3415 +-406 502 +3408 5220 +1849 -1248 +-1416 2393 +-1024 1024 +1692 -1387 +5395 1648 +3378 2184 +3054 -2394 +251 -6529 +5 879 +3895 1069 +-3372 2772 +309 -3219 +2337 -597 +-4188 8328 +4272 -1840 +1168 -1381 +-3669 -2452 +835 -2140 +1624 0 +4088 4186 +6170 -1884 +-1823 2006 +-410 3460 +-1889 -6372 +-4703 1518 +64 4011 +-2048 0 +64 -4011 +-4703 -1518 +-1889 6372 +-410 -3460 +-1823 -2006 +6170 1884 +4088 -4186 +1624 0 +-2016 -500 +-3639 -2589 +-3709 -1711 +-854 -3000 +3065 -1358 +2384 2483 +2150 -897 +0 -4096 +2416 1412 +2643 3331 +-134 1408 +254 -3000 +722 -256 +-3146 2355 +2755 -1808 +4520 0 +-4148 -4477 +615 -3060 +-3438 1090 +-3086 -3460 +1414 -3206 +-325 -2367 +484 1714 +-2048 0 +484 -1714 +-325 2367 +1414 3206 +-3086 3460 +-3438 -1090 +615 3060 +-4148 4477 +4520 0 +2755 1808 +-3146 -2355 +722 256 +254 3000 +-134 -1408 +2643 -3331 +2416 -1412 +0 4096 +2150 897 +2384 -2483 +3065 1358 +-854 3000 +-3709 1711 +-3639 2589 +-2016 500 +1624 0 +4088 4186 +6170 -1884 +-1823 2006 +-410 3460 +-1889 -6372 +-4703 1518 +64 4011 +-424 -4096 +-603 257 +1152 2928 +1573 -1241 +3510 -1808 +1224 -2742 +-4607 351 +-1278 3301 +2048 0 +-1278 -3301 +-4607 -351 +1224 2742 +3510 1808 +1573 1241 +1152 -2928 +-603 -257 +-424 4096 +-154 500 +-650 4137 +-1794 4196 +-2726 868 +-2242 -1000 +6029 -3627 +2703 4642 +0 4096 +1630 -2250 +-5585 1917 +1756 3464 +-1618 2916 +-2301 359 +2882 1489 +835 779 +2472 -4096 +-3862 1927 +-487 -280 +955 -5419 +834 -240 +830 -3809 +1267 -5895 +729 -96 +-6144 0 +729 96 +1267 5895 +830 3809 +834 240 +955 5419 +-487 280 +-3862 -1927 +2472 4096 +835 -779 +2882 -1489 +-2301 -359 +-1618 -2916 +1756 -3464 +-5585 -1917 +1630 2250 +0 -4096 +2703 -4642 +6029 3627 +-2242 1000 +-2726 -868 +-1794 -4196 +-650 -4137 +-154 -500 +-424 -4096 +-603 257 +1152 2928 +1573 -1241 +3510 -1808 +1224 -2742 +-4607 351 +-1278 3301 +3920 3920 +1638 770 +852 5731 +1349 2460 +-4050 -3040 +-4718 -1919 +-1528 -635 +-38 -4459 +-1024 0 +-38 4459 +-1528 635 +-4718 1919 +-4050 3040 +1349 -2460 +852 -5731 +1638 -770 +3920 -3920 +-4039 -2827 +1540 262 +1971 2175 +-1938 2412 +7689 -3572 +1835 4069 +-674 -526 +1024 -4096 +-1425 806 +1661 -1228 +234 -1205 +738 -3980 +-373 -1195 +-940 -2671 +-1640 -1984 +-1872 -1872 +2712 -814 +-253 4121 +-3834 -1366 +-2942 744 +3476 2957 +5024 -69 +-2327 -890 +-5120 0 +-2327 890 +5024 69 +3476 -2957 +-2942 -744 +-3834 1366 +-253 -4121 +2712 814 +-1872 1872 +-1640 1984 +-940 2671 +-373 1195 +738 3980 +234 1205 +1661 1228 +-1425 -806 +1024 4096 +-674 526 +1835 -4069 +7689 3572 +-1938 -2412 +1971 -2175 +1540 -262 +-4039 2827 +3920 3920 +1638 770 +852 5731 +1349 2460 +-4050 -3040 +-4718 -1919 +-1528 -635 +-38 -4459 +-4520 3496 +-4854 2628 +-113 -3740 +680 4721 +4378 664 +-1980 -1160 +-3221 -3935 +-355 -1972 +-4096 0 +-355 1972 +-3221 3935 +-1980 1160 +4378 -664 +680 -4721 +-113 3740 +-4854 -2628 +-4520 -3496 +671 4387 +-1695 -1565 +-342 2827 +-2967 444 +-4596 -396 +-901 473 +2884 -1638 +6144 -2048 +1801 -1418 +4841 1802 +5191 1392 +-3426 3340 +2588 -1178 +4526 -2453 +-2947 -1185 +-1624 600 +1779 2944 +1378 -2941 +-709 -716 +-2082 2232 +-831 -628 +3377 -529 +1022 1751 +-4096 0 +1022 -1751 +3377 529 +-831 628 +-2082 -2232 +-709 716 +1378 2941 +1779 -2944 +-1624 -600 +-2947 1185 +4526 2453 +2588 1178 +-3426 -3340 +5191 -1392 +4841 -1802 +1801 1418 +6144 2048 +2884 1638 +-901 -473 +-4596 396 +-2967 -444 +-342 -2827 +-1695 1565 +671 -4387 +-4520 3496 +-4854 2628 +-113 -3740 +680 4721 +4378 664 +-1980 -1160 +-3221 -3935 +-355 -1972 +600 600 +4608 557 +-593 1206 +-4871 337 +-698 1024 +2459 -1280 +1557 2177 +-922 1193 +-1024 0 +-922 -1193 +1557 -2177 +2459 1280 +-698 -1024 +-4871 -337 +-593 -1206 +4608 -557 +600 -600 +1658 3397 +-1972 3788 +-5486 -3187 +-1822 1024 +-5078 1602 +-4344 -2172 +1316 -3679 +1024 2048 +-2351 817 +-586 -2512 +333 2190 +2422 -1024 +554 -2166 +-5871 -336 +3993 4919 +3496 3496 +2454 -603 +7237 -2434 +-1990 -3100 +4194 1024 +2494 -1915 +-3620 380 +830 1735 +-1024 0 +830 -1735 +-3620 -380 +2494 1915 +4194 -1024 +-1990 3100 +7237 2434 +2454 603 +3496 -3496 +3993 -4919 +-5871 336 +554 2166 +2422 1024 +333 -2190 +-586 2512 +-2351 -817 +1024 -2048 +1316 3679 +-4344 2172 +-5078 -1602 +-1822 -1024 +-5486 3187 +-1972 -3788 +1658 -3397 +600 600 +4608 557 +-593 1206 +-4871 337 +-698 1024 +2459 -1280 +1557 2177 +-922 1193 +300 -1148 +-2623 -1035 +-614 -1527 +-1043 1418 +1860 332 +-1217 -2246 +-6277 130 +-2565 5514 +0 0 +-2565 -5514 +-6277 -130 +-1217 2246 +1860 -332 +-1043 -1418 +-614 1527 +-2623 1035 +300 1148 +279 2022 +-3470 389 +2180 -3890 +2310 222 +-56 5155 +401 -384 +-604 277 +-1024 -1024 +-1192 -3066 +2903 -5264 +3696 1235 +2634 1670 +1273 -4969 +-795 2592 +4405 -3729 +1748 3196 +-2060 5987 +783 -4461 +-2409 1936 +-2708 1116 +-2423 2760 +-1122 3182 +4362 1846 +6144 0 +4362 -1846 +-1122 -3182 +-2423 -2760 +-2708 -1116 +-2409 -1936 +783 4461 +-2060 -5987 +1748 -3196 +4405 3729 +-795 -2592 +1273 4969 +2634 -1670 +3696 -1235 +2903 5264 +-1192 3066 +-1024 1024 +-604 -277 +401 384 +-56 -5155 +2310 -222 +2180 3890 +-3470 -389 +279 -2022 +300 -1148 +-2623 -1035 +-614 -1527 +-1043 1418 +1860 332 +-1217 -2246 +-6277 130 +-2565 5514 +4520 -3072 +6803 2316 +-996 1269 +3180 -981 +-2048 -2866 +-2707 -783 +3266 1351 +-2301 3278 +-3072 0 +-2301 -3278 +3266 -1351 +-2707 783 +-2048 2866 +3180 981 +-996 -1269 +6803 -2316 +4520 3072 +-1466 3175 +1250 -436 +3044 3532 +-2048 -1534 +-5193 398 +-1418 2721 +448 -631 +-1024 2048 +-2956 -3433 +191 -619 +-2645 5238 +-2048 4859 +675 2667 +-1590 -1101 +-1088 1628 +1624 -3072 +1752 -4174 +-1561 963 +20 2645 +-2048 5162 +3626 -40 +858 -1795 +-1193 1800 +9216 0 +-1193 -1800 +858 1795 +3626 40 +-2048 -5162 +20 -2645 +-1561 -963 +1752 4174 +1624 3072 +-1088 -1628 +-1590 1101 +675 -2667 +-2048 -4859 +-2645 -5238 +191 619 +-2956 3433 +-1024 -2048 +448 631 +-1418 -2721 +-5193 -398 +-2048 1534 +3044 -3532 +1250 436 +-1466 -3175 +4520 -3072 +6803 2316 +-996 1269 +3180 -981 +-2048 -2866 +-2707 -783 +3266 1351 +-2301 3278 +-1024 600 +2547 2236 +-67 -2780 +1476 -4327 +-2387 -4180 +-2545 619 +3881 6553 +513 2756 +-4096 0 +513 -2756 +3881 -6553 +-2545 -619 +-2387 4180 +1476 4327 +-67 2780 +2547 -2236 +-1024 -600 +-2627 -4265 +4429 -2852 +2947 -792 +-3290 -5748 +-3855 -2873 +2545 -2581 +-251 -347 +-4096 -2048 +2144 -1584 +-1400 -505 +247 -2000 +4738 396 +503 1134 +1847 1753 +-2428 -1562 +-1024 3496 +3837 1355 +-5010 392 +-4039 1789 +938 -1964 +-529 1582 +1966 -3278 +2058 2686 +0 0 +2058 -2686 +1966 3278 +-529 -1582 +938 1964 +-4039 -1789 +-5010 -392 +3837 -1355 +-1024 -3496 +-2428 1562 +1847 -1753 +503 -1134 +4738 -396 +247 2000 +-1400 505 +2144 1584 +-4096 2048 +-251 347 +2545 2581 +-3855 2873 +-3290 5748 +2947 792 +4429 2852 +-2627 4265 +-1024 600 +2547 2236 +-67 -2780 +1476 -4327 +-2387 -4180 +-2545 619 +3881 6553 +513 2756 +1448 -2896 +5701 3409 +1238 53 +-1538 -2169 +1148 -1134 +536 -1854 +742 2368 +2496 -4587 +5120 0 +2496 4587 +742 -2368 +536 1854 +1148 1134 +-1538 2169 +1238 -53 +5701 -3409 +1448 2896 +-5101 -95 +-8650 -704 +-1154 2000 +-3196 -130 +-127 2597 +2537 5362 +-3456 -1319 +1024 -2048 +-1467 4940 +2592 758 +2148 -2498 +-3196 -978 +-411 2555 +2413 2572 +2657 -395 +-1448 2896 +1207 -502 +-3691 2023 +-3577 3091 +1148 -3810 +-1668 -474 +2819 20 +3756 70 +1024 0 +3756 -70 +2819 -20 +-1668 474 +1148 3810 +-3577 -3091 +-3691 -2023 +1207 502 +-1448 -2896 +2657 395 +2413 -2572 +-411 -2555 +-3196 978 +2148 2498 +2592 -758 +-1467 -4940 +1024 2048 +-3456 1319 +2537 -5362 +-127 -2597 +-3196 130 +-1154 -2000 +-8650 704 +-5101 95 +1448 -2896 +5701 3409 +1238 53 +-1538 -2169 +1148 -1134 +536 -1854 +742 2368 +2496 -4587 +1748 1924 +344 -3862 +-2486 -1238 +2557 368 +-1330 3743 +-5391 2017 +2827 -2045 +3898 2261 +3072 0 +3898 -2261 +2827 2045 +-5391 -2017 +-1330 -3743 +2557 -368 +-2486 1238 +344 3862 +1748 -1924 +1551 -1259 +-2885 634 +-3031 -1262 +-3792 4536 +-3216 -2264 +-464 -1733 +850 1053 +4096 -3072 +244 5875 +1977 2871 +1885 1059 +2344 5384 +3076 -104 +-1615 -2642 +-2589 1822 +300 6268 +2023 263 +-5798 -838 +-1714 -539 +2778 1201 +42 5769 +253 -342 +-528 1673 +1024 0 +-528 -1673 +253 342 +42 -5769 +2778 -1201 +-1714 539 +-5798 838 +2023 -263 +300 -6268 +-2589 -1822 +-1615 2642 +3076 104 +2344 -5384 +1885 -1059 +1977 -2871 +244 -5875 +4096 3072 +850 -1053 +-464 1733 +-3216 2264 +-3792 -4536 +-3031 1262 +-2885 -634 +1551 1259 +1748 1924 +344 -3862 +-2486 -1238 +2557 368 +-1330 3743 +-5391 2017 +2827 -2045 +3898 2261 +3021 -1324 +-1513 2665 +-2048 2991 +-1654 -3541 +-2335 1610 +-933 -2057 +-2233 -4598 +-63 -5123 +2048 0 +-63 5123 +-2233 4598 +-933 2057 +-2335 -1610 +-1654 3541 +-2048 -2991 +-1513 -2665 +3021 1324 +-2951 -354 +1027 4029 +5079 -63 +-112 836 +3574 2232 +2167 -2133 +940 -965 +1024 5120 +1703 4324 +-275 -934 +-2847 -317 +4456 3732 +2182 686 +-243 -2963 +-3908 3114 +-7117 -2772 +3020 -452 +1264 4002 +-3390 -2024 +-2010 1286 +-2011 1387 +341 3398 +2772 964 +4096 0 +2772 -964 +341 -3398 +-2011 -1387 +-2010 -1286 +-3390 2024 +1264 -4002 +3020 452 +-7117 2772 +-3908 -3114 +-243 2963 +2182 -686 +4456 -3732 +-2847 317 +-275 934 +1703 -4324 +1024 -5120 +940 965 +2167 2133 +3574 -2232 +-112 -836 +5079 63 +1027 -4029 +-2951 354 +3021 -1324 +-1513 2665 +-2048 2991 +-1654 -3541 +-2335 1610 +-933 -2057 +-2233 -4598 +-63 -5123 +-300 300 +-4270 -1458 +-6316 -2362 +1624 3698 +-1030 562 +582 1247 +-2027 5099 +-2951 881 +4096 0 +-2951 -881 +-2027 -5099 +582 -1247 +-1030 -562 +1624 -3698 +-6316 2362 +-4270 1458 +-300 -300 +-2931 2543 +2126 2900 +-1768 -1950 +-2044 -3008 +2980 654 +422 2042 +2303 2406 +3072 -5120 +-750 2282 +-3149 5042 +348 -3224 +4092 -1560 +2490 -2188 +4074 -560 +3008 -2264 +-1748 1748 +-1599 -215 +1812 -1098 +3447 3632 +3078 886 +1882 2444 +-5134 -2098 +-4395 2130 +2048 0 +-4395 -2130 +-5134 2098 +1882 -2444 +3078 -886 +3447 -3632 +1812 1098 +-1599 215 +-1748 -1748 +3008 2264 +4074 560 +2490 2188 +4092 1560 +348 3224 +-3149 -5042 +-750 -2282 +3072 5120 +2303 -2406 +422 -2042 +2980 -654 +-2044 3008 +-1768 1950 +2126 -2900 +-2931 -2543 +-300 300 +-4270 -1458 +-6316 -2362 +1624 3698 +-1030 562 +582 1247 +-2027 5099 +-2951 881 +-600 -3496 +7139 -2904 +-2527 769 +5027 -1425 +-244 -5618 +-4248 -2276 +3476 1051 +-3622 -629 +-5120 0 +-3622 629 +3476 -1051 +-4248 2276 +-244 5618 +5027 1425 +-2527 -769 +7139 2904 +-600 3496 +-2773 829 +362 153 +293 1360 +6556 1846 +-1444 -645 +-4936 -1573 +-1361 3319 +-1024 4096 +1782 -2246 +5940 -1573 +848 4643 +-1012 3046 +-730 -853 +-4042 153 +-3808 160 +-3496 -600 +1659 355 +415 -769 +762 -2043 +2891 -1374 +-509 -1883 +1313 -1051 +984 1378 +-1024 0 +984 -1378 +1313 1051 +-509 1883 +2891 1374 +762 2043 +415 769 +1659 -355 +-3496 600 +-3808 -160 +-4042 -153 +-730 853 +-1012 -3046 +848 -4643 +5940 1573 +1782 2246 +-1024 -4096 +-1361 -3319 +-4936 1573 +-1444 645 +6556 -1846 +293 -1360 +362 -153 +-2773 -829 +-600 -3496 +7139 -2904 +-2527 769 +5027 -1425 +-244 -5618 +-4248 -2276 +3476 1051 +-3622 -629 +124 724 +5562 -785 +-2351 2764 +2708 -125 +1670 842 +2928 190 +-1827 -1463 +-5633 4296 +6144 0 +-5633 -4296 +-1827 1463 +2928 -190 +1670 -842 +2708 125 +-2351 -2764 +5562 785 +124 -724 +-441 -3319 +27 2074 +-5229 -2936 +-332 -5964 +1914 2813 +2499 3771 +1189 2265 +1024 1024 +-2468 -5356 +-4391 -4477 +-3307 856 +-1116 -171 +-1035 -3196 +-811 -3039 +441 -1050 +-4220 -724 +-211 -1484 +3135 -2085 +1338 385 +-222 4951 +682 1767 +3720 -992 +1560 3324 +0 0 +1560 -3324 +3720 992 +682 -1767 +-222 -4951 +1338 -385 +3135 2085 +-211 1484 +-4220 724 +441 1050 +-811 3039 +-1035 3196 +-1116 171 +-3307 -856 +-4391 4477 +-2468 5356 +1024 -1024 +1189 -2265 +2499 -3771 +1914 -2813 +-332 5964 +-5229 2936 +27 -2074 +-441 3319 +124 724 +5562 -785 +-2351 2764 +2708 -125 +1670 842 +2928 190 +-1827 -1463 +-5633 4296 +2896 -4520 +-1976 -3139 +1019 86 +-4486 -2769 +-4234 -200 +2132 -3622 +569 625 +-2142 -1235 +-4096 0 +-2142 1235 +569 -625 +2132 3622 +-4234 200 +-4486 2769 +1019 -86 +-1976 3139 +2896 4520 +2952 -4180 +-497 1838 +2538 -1283 +3450 -8788 +2828 -1078 +-1185 7027 +968 5126 +2048 2048 +-662 612 +-1491 2239 +-726 -151 +2342 -348 +608 2950 +1605 -275 +-1415 4035 +-2896 -1624 +439 -1670 +-2127 3594 +1340 -2263 +-1558 449 +-4235 -1323 +2107 380 +1836 3474 +0 0 +1836 -3474 +2107 -380 +-4235 1323 +-1558 -449 +1340 2263 +-2127 -3594 +439 1670 +-2896 1624 +-1415 -4035 +1605 275 +608 -2950 +2342 348 +-726 151 +-1491 -2239 +-662 -612 +2048 -2048 +968 -5126 +-1185 -7027 +2828 1078 +3450 8788 +2538 1283 +-497 -1838 +2952 4180 +2896 -4520 +-1976 -3139 +1019 86 +-4486 -2769 +-4234 -200 +2132 -3622 +569 625 +-2142 -1235 +-4820 -2772 +-200 3043 +1503 4804 +-7199 1630 +886 2838 +3908 832 +3223 -4655 +891 -2412 +-6144 0 +891 2412 +3223 4655 +3908 -832 +886 -2838 +-7199 -1630 +1503 -4804 +-200 -3043 +-4820 2772 +381 -2483 +-3283 401 +242 4208 +1560 1176 +-4450 2802 +-1814 -712 +1925 -166 +1024 -1024 +-2680 -756 +861 -660 +3237 1269 +-3008 1176 +-1793 -1453 +1872 5492 +-1312 -721 +-3372 -1324 +1811 -601 +4005 -1409 +502 957 +562 -2838 +-239 90 +1826 -5182 +4978 -3291 +4096 0 +4978 3291 +1826 5182 +-239 -90 +562 2838 +502 -957 +4005 1409 +1811 601 +-3372 1324 +-1312 721 +1872 -5492 +-1793 1453 +-3008 -1176 +3237 -1269 +861 660 +-2680 756 +1024 1024 +1925 166 +-1814 712 +-4450 -2802 +1560 -1176 +242 -4208 +-3283 -401 +381 2483 +-4820 -2772 +-200 3043 +1503 4804 +-7199 1630 +886 2838 +3908 832 +3223 -4655 +891 -2412 +4220 3796 +410 -327 +-1112 -1521 +-4661 3615 +-4358 -802 +-343 -1092 +433 402 +547 942 +1024 0 +547 -942 +433 -402 +-343 1092 +-4358 802 +-4661 -3615 +-1112 1521 +410 327 +4220 -3796 +3507 1476 +1579 2241 +5659 -770 +660 92 +-2693 -39 +1803 -1097 +-1660 1314 +-2048 1024 +1570 3770 +-1203 1135 +-5760 1614 +-3908 692 +4723 -2568 +1917 5581 +-4359 2136 +-124 2348 +1091 2554 +4608 1965 +3415 -1630 +-4682 -2694 +-340 6530 +166 -1067 +-1106 3082 +3072 0 +-1106 -3082 +166 1067 +-340 -6530 +-4682 2694 +3415 1630 +4608 -1965 +1091 -2554 +-124 -2348 +-4359 -2136 +1917 -5581 +4723 2568 +-3908 -692 +-5760 -1614 +-1203 -1135 +1570 -3770 +-2048 -1024 +-1660 -1314 +1803 1097 +-2693 39 +660 -92 +5659 770 +1579 -2241 +3507 -1476 +4220 3796 +410 -327 +-1112 -1521 +-4661 3615 +-4358 -802 +-343 -1092 +433 402 +547 942 +-1148 -2772 +14 3019 +1306 3894 +1916 891 +18 2330 +-3555 486 +2413 2822 +1820 1717 +-5120 0 +1820 -1717 +2413 -2822 +-3555 -486 +18 -2330 +1916 -891 +1306 -3894 +14 -3019 +-1148 2772 +-524 293 +-631 546 +2102 5681 +1800 1996 +-2058 -662 +-3995 624 +-3107 -4250 +4096 -1024 +4840 820 +55 1512 +1020 -2215 +-1200 -4996 +-2429 -188 +-2201 -3918 +-1876 -2171 +3196 -1324 +-750 309 +-2571 -2565 +5980 -968 +3478 -1130 +-2976 -7832 +-2569 3858 +-418 -2439 +1024 0 +-418 2439 +-2569 -3858 +-2976 7832 +3478 1130 +5980 968 +-2571 2565 +-750 -309 +3196 1324 +-1876 2171 +-2201 3918 +-2429 188 +-1200 4996 +1020 2215 +55 -1512 +4840 -820 +4096 1024 +-3107 4250 +-3995 -624 +-2058 662 +1800 -1996 +2102 -5681 +-631 -546 +-524 -293 +-1148 -2772 +14 3019 +1306 3894 +1916 891 +18 2330 +-3555 486 +2413 2822 +1820 1717 +1748 724 +1239 1304 +61 -1380 +-5392 -4177 +1984 -1056 +-2110 1661 +-1660 -822 +4115 -5001 +-5120 0 +4115 5001 +-1660 822 +-2110 -1661 +1984 1056 +-5392 4177 +61 1380 +1239 -1304 +1748 -724 +-1348 -2180 +4061 4253 +4057 7236 +-1910 502 +2492 2459 +-286 2420 +-2048 689 +-2048 3072 +1509 -917 +1550 632 +95 2450 +-1586 -2394 +-6012 -1427 +-121 -1319 +3708 -1143 +300 -724 +-23 -2484 +94 1601 +-3798 866 +-2584 -1840 +-919 3682 +4492 4827 +4433 1179 +-3072 0 +4433 -1179 +4492 -4827 +-919 -3682 +-2584 1840 +-3798 -866 +94 -1601 +-23 2484 +300 724 +3708 1143 +-121 1319 +-6012 1427 +-1586 2394 +95 -2450 +1550 -632 +1509 917 +-2048 -3072 +-2048 -689 +-286 -2420 +2492 -2459 +-1910 -502 +4057 -7236 +4061 -4253 +-1348 2180 +1748 724 +1239 1304 +61 -1380 +-5392 -4177 +1984 -1056 +-2110 1661 +-1660 -822 +4115 -5001 +1324 724 +3404 5398 +-759 1728 +1369 6099 +1910 -4122 +-6 -7354 +-1806 1293 +-2714 -2912 +0 0 +-2714 2912 +-1806 -1293 +-6 7354 +1910 4122 +1369 -6099 +-759 -1728 +3404 -5398 +1324 -724 +590 949 +-1261 524 +-2305 872 +2584 -9820 +-296 -2702 +-2894 1576 +-1062 -1637 +3072 -3072 +135 43 +-2494 127 +-17 -4671 +-1984 2117 +2383 533 +-123 -924 +-3547 -557 +2772 -724 +3338 456 +943 -280 +120 3139 +1586 3771 +-1249 3377 +202 155 +-143 367 +-6144 0 +-143 -367 +202 -155 +-1249 -3377 +1586 -3771 +120 -3139 +943 280 +3338 -456 +2772 724 +-3547 557 +-123 924 +2383 -533 +-1984 -2117 +-17 4671 +-2494 -127 +135 -43 +3072 3072 +-1062 1637 +-2894 -1576 +-296 2702 +2584 9820 +-2305 -872 +-1261 -524 +590 -949 +1324 724 +3404 5398 +-759 1728 +1369 6099 +1910 -4122 +-6 -7354 +-1806 1293 +-2714 -2912 +-1872 1448 +-505 -379 +-1536 -1291 +-487 -1639 +3040 1182 +-1577 3474 +-2939 -1973 +537 -2473 +0 0 +537 2473 +-2939 1973 +-1577 -3474 +3040 -1182 +-487 1639 +-1536 1291 +-505 379 +-1872 -1448 +-2331 -2439 +2368 2472 +2275 2373 +-2412 -1818 +122 -2558 +-4523 957 +-2888 3929 +6144 -4096 +150 1020 +-985 957 +-2134 -1828 +-3980 2278 +1713 -2579 +-1415 2472 +1531 -532 +3920 -1448 +-4047 70 +-3512 1291 +-1284 2039 +-744 -5278 +1372 2608 +4351 1973 +7553 -2653 +8192 0 +7553 2653 +4351 -1973 +1372 -2608 +-744 5278 +-1284 -2039 +-3512 -1291 +-4047 -70 +3920 1448 +1531 532 +-1415 -2472 +1713 2579 +-3980 -2278 +-2134 1828 +-985 -957 +150 -1020 +6144 4096 +-2888 -3929 +-4523 -957 +122 2558 +-2412 1818 +2275 -2373 +2368 -2472 +-2331 2439 +-1872 1448 +-505 -379 +-1536 -1291 +-487 -1639 +3040 1182 +-1577 3474 +-2939 -1973 +537 -2473 +-1324 1748 +4344 -1518 +-1640 -1137 +-83 4617 +-816 1200 +-5586 -1123 +3192 -754 +5988 2499 +5120 0 +5988 -2499 +3192 754 +-5586 1123 +-816 -1200 +-83 -4617 +-1640 1137 +4344 1518 +-1324 -1748 +237 1338 +-762 2813 +711 7247 +3418 -18 +-587 -5222 +1741 2496 +-1332 2547 +-2048 -1024 +1289 -344 +1036 744 +1264 -2080 +1526 3478 +-1066 2832 +202 -6359 +-2978 -1962 +-2772 300 +-274 801 +-6489 -1043 +1326 -3607 +-32 -1800 +-1771 -4295 +2720 -2197 +-1481 -406 +-1024 0 +-1481 406 +2720 2197 +-1771 4295 +-32 1800 +1326 3607 +-6489 1043 +-274 -801 +-2772 -300 +-2978 1962 +202 6359 +-1066 -2832 +1526 -3478 +1264 2080 +1036 -744 +1289 344 +-2048 1024 +-1332 -2547 +1741 -2496 +-587 5222 +3418 18 +711 -7247 +-762 -2813 +237 -1338 +-1324 1748 +4344 -1518 +-1640 -1137 +-83 4617 +-816 1200 +-5586 -1123 +3192 -754 +5988 2499 +4344 5793 +2711 -339 +-946 -1019 +1558 1504 +5004 4050 +-1442 -1067 +392 569 +-2488 -1563 +-13312 0 +-2488 1563 +392 -569 +-1442 1067 +5004 -4050 +1558 -1504 +-946 1019 +2711 339 +4344 -5793 +-638 -5912 +946 497 +3156 -66 +-2016 1938 +-2534 -2914 +-392 -1185 +1974 -667 +-1024 -6144 +-580 -777 +-392 1491 +-2817 -629 +1768 738 +917 298 +946 1605 +-3409 2523 +-4344 -5793 +3552 -673 +-946 2127 +-279 739 +3436 2942 +1441 -2960 +392 2107 +-1122 196 +-1024 0 +-1122 -196 +392 -2107 +1441 2960 +3436 -2942 +-279 -739 +-946 -2127 +3552 673 +-4344 5793 +-3409 -2523 +946 -1605 +917 -298 +1768 -738 +-2817 629 +-392 -1491 +-580 777 +-1024 6144 +1974 667 +-392 1185 +-2534 2914 +-2016 -1938 +3156 66 +946 -497 +-638 5912 +4344 5793 +2711 -339 +-946 -1019 +1558 1504 +5004 4050 +-1442 -1067 +392 569 +-2488 -1563 +-600 0 +-21 4912 +3671 -733 +933 -663 +-1084 -1578 +-539 -6120 +-4930 309 +-5533 -576 +-1024 0 +-5533 576 +-4930 -309 +-539 6120 +-1084 1578 +933 663 +3671 733 +-21 -4912 +-600 0 +1359 1001 +203 3268 +1871 4266 +144 -2362 +1866 588 +-2559 3364 +-3375 1104 +-1024 -2048 +-3690 -2066 +5051 348 +217 -1281 +-3640 -314 +2404 -2608 +4077 -1965 +-1696 3181 +-3496 0 +3702 1052 +-958 -1603 +-64 -7778 +484 -470 +4898 2683 +3638 -429 +-2331 1190 +3072 0 +-2331 -1190 +3638 429 +4898 -2683 +484 470 +-64 7778 +-958 1603 +3702 -1052 +-3496 0 +-1696 -3181 +4077 1965 +2404 2608 +-3640 314 +217 1281 +5051 -348 +-3690 2066 +-1024 2048 +-3375 -1104 +-2559 -3364 +1866 -588 +144 2362 +1871 -4266 +203 -3268 +1359 -1001 +-600 0 +-21 4912 +3671 -733 +933 -663 +-1084 -1578 +-539 -6120 +-4930 309 +-5533 -576 +-1148 -1148 +6321 -232 +829 -4010 +97 -2446 +6334 1910 +-786 -2432 +-1638 2820 +-2952 1313 +-6144 0 +-2952 -1313 +-1638 -2820 +-786 2432 +6334 -1910 +97 2446 +829 4010 +6321 232 +-1148 1148 +924 -2899 +-2999 -1428 +-723 -914 +1775 2584 +-4162 1402 +-998 -1631 +1304 2252 +1024 -3072 +-1583 488 +399 2337 +630 -3939 +-576 1984 +-2659 6906 +-497 2393 +5657 -1568 +3196 3196 +-870 2451 +-4326 839 +-260 5801 +658 1586 +2070 -1580 +1038 2348 +-3009 3604 +0 0 +-3009 -3604 +1038 -2348 +2070 1580 +658 -1586 +-260 -5801 +-4326 -839 +-870 -2451 +3196 -3196 +5657 1568 +-497 -2393 +-2659 -6906 +-576 -1984 +630 3939 +399 -2337 +-1583 -488 +1024 3072 +1304 -2252 +-998 1631 +-4162 -1402 +1775 -2584 +-723 914 +-2999 1428 +924 2899 +-1148 -1148 +6321 -232 +829 -4010 +97 -2446 +6334 1910 +-786 -2432 +-1638 2820 +-2952 1313 +176 1024 +2388 1530 +887 -826 +398 816 +-1578 1508 +-613 -1697 +-377 -3071 +358 467 +1024 0 +358 -467 +-377 3071 +-613 1697 +-1578 -1508 +398 -816 +887 826 +2388 -1530 +176 -1024 +-2807 726 +2114 -2974 +-1341 -3090 +-2362 -2616 +-1593 -1343 +1203 -1701 +5370 -4119 +3072 0 +-1896 -4828 +-6148 -5589 +-1791 2470 +314 -1168 +5855 1289 +-1266 4950 +-3887 1627 +5968 1024 +-1047 1587 +-39 2957 +-2695 -1789 +-470 -60 +1780 159 +-4567 -6610 +1519 1041 +5120 0 +1519 -1041 +-4567 6610 +1780 -159 +-470 60 +-2695 1789 +-39 -2957 +-1047 -1587 +5968 -1024 +-3887 -1627 +-1266 -4950 +5855 -1289 +314 1168 +-1791 -2470 +-6148 5589 +-1896 4828 +3072 0 +5370 4119 +1203 1701 +-1593 1343 +-2362 2616 +-1341 3090 +2114 2974 +-2807 -726 +176 1024 +2388 1530 +887 -826 +398 816 +-1578 1508 +-613 -1697 +-377 -3071 +358 467 +1748 5668 +4679 3229 +-2645 -2085 +1042 -1268 +2250 -346 +1414 -4240 +58 -992 +-1777 -943 +1024 0 +-1777 943 +58 992 +1414 4240 +2250 346 +1042 1268 +-2645 2085 +4679 -3229 +1748 -5668 +-2957 1280 +3955 3039 +3766 3659 +-1540 3104 +1202 -3011 +3383 4477 +-1406 3946 +2048 1024 +-1091 -2246 +-5106 -3771 +557 -879 +-756 -3888 +833 2386 +-1435 -2074 +-1948 -4114 +300 -1572 +-5567 -1054 +-3971 2764 +152 -3140 +4142 1546 +2620 3237 +-2430 -1463 +-1519 2319 +-1024 0 +-1519 -2319 +-2430 1463 +2620 -3237 +4142 -1546 +152 3140 +-3971 -2764 +-5567 1054 +300 1572 +-1948 4114 +-1435 2074 +833 -2386 +-756 3888 +557 879 +-5106 3771 +-1091 2246 +2048 -1024 +-1406 -3946 +3383 -4477 +1202 3011 +-1540 -3104 +3766 -3659 +3955 -3039 +-2957 -1280 +1748 5668 +4679 3229 +-2645 -2085 +1042 -1268 +2250 -346 +1414 -4240 +58 -992 +-1777 -943 +-1024 -2472 +2221 -1754 +5880 -2379 +3611 1942 +-3581 1943 +-6316 747 +852 -2230 +2107 4129 +-3072 0 +2107 -4129 +852 2230 +-6316 -747 +-3581 -1943 +3611 -1942 +5880 2379 +2221 1754 +-1024 2472 +-219 4061 +2210 -2343 +-1669 -1496 +-2252 1058 +-1153 -1159 +-1395 -2020 +2026 2310 +1024 0 +3168 34 +-3238 -2124 +-5218 -1130 +3100 5402 +-2569 -902 +1166 4013 +1948 3394 +-1024 424 +4059 6439 +631 2942 +186 869 +-1364 2402 +1543 1499 +2084 -3666 +-3725 -1054 +-3072 0 +-3725 1054 +2084 3666 +1543 -1499 +-1364 -2402 +186 -869 +631 -2942 +4059 -6439 +-1024 -424 +1948 -3394 +1166 -4013 +-2569 902 +3100 -5402 +-5218 1130 +-3238 2124 +3168 -34 +1024 0 +2026 -2310 +-1395 2020 +-1153 1159 +-2252 -1058 +-1669 1496 +2210 2343 +-219 -4061 +-1024 -2472 +2221 -1754 +5880 -2379 +3611 1942 +-3581 1943 +-6316 747 +852 -2230 +2107 4129 +-2172 4220 +1934 3784 +557 769 +-824 1898 +1560 -392 +459 -142 +3252 -754 +4160 5845 +0 0 +4160 -5845 +3252 754 +459 142 +1560 392 +-824 -1898 +557 -769 +1934 -3784 +-2172 -4220 +-1880 1367 +1080 -486 +-2064 -329 +562 946 +302 -1115 +-561 1559 +5528 8394 +-1024 -3072 +-929 -7167 +-223 450 +-3598 452 +886 946 +-2841 -1549 +813 2189 +3638 298 +2172 -124 +2100 1755 +-2449 1907 +-64 5411 +-3008 392 +-2956 2046 +-2468 -354 +-2966 -6607 +2048 0 +-2966 6607 +-2468 354 +-2956 -2046 +-3008 -392 +-64 -5411 +-2449 -1907 +2100 -1755 +2172 124 +3638 -298 +813 -2189 +-2841 1549 +886 -946 +-3598 -452 +-223 -450 +-929 7167 +-1024 3072 +5528 -8394 +-561 -1559 +302 1115 +562 -946 +-2064 329 +1080 486 +-1880 -1367 +-2172 4220 +1934 3784 +557 769 +-824 1898 +1560 -392 +459 -142 +3252 -754 +4160 5845 +-2896 -1448 +-3530 4046 +-5688 4350 +-577 2820 +2523 -3412 +-1549 -1604 +56 248 +2802 586 +3072 0 +2802 -586 +56 -248 +-1549 1604 +2523 3412 +-577 -2820 +-5688 -4350 +-3530 -4046 +-2896 1448 +-1126 473 +532 -2611 +2682 2010 +-150 1052 +-3711 2012 +-2049 -959 +-1195 1277 +3072 2048 +-225 1364 +665 581 +2053 -3592 +-5043 4300 +-892 856 +-2136 -5479 +-2037 993 +2896 1448 +2908 -1959 +299 3870 +-2781 -189 +6766 -5629 +4774 -215 +128 -4004 +2402 1068 +-1024 0 +2402 -1068 +128 4004 +4774 215 +6766 5629 +-2781 189 +299 -3870 +2908 1959 +2896 -1448 +-2037 -993 +-2136 5479 +-892 -856 +-5043 -4300 +2053 3592 +665 -581 +-225 -1364 +3072 -2048 +-1195 -1277 +-2049 959 +-3711 -2012 +-150 -1052 +2682 -2010 +532 2611 +-1126 -473 +-2896 -1448 +-3530 4046 +-5688 4350 +-577 2820 +2523 -3412 +-1549 -1604 +56 248 +2802 586 +5544 2896 +-2099 -482 +718 -815 +1720 2691 +614 1773 +-481 1629 +-2167 218 +-1884 -6076 +-7168 0 +-1884 6076 +-2167 -218 +-481 -1629 +614 -1773 +1720 -2691 +718 815 +-2099 482 +5544 -2896 +-2054 1325 +818 4566 +5008 694 +170 3120 +-929 1640 +-6140 -2666 +-569 -24 +3072 0 +2667 5514 +412 4275 +934 -581 +1278 6016 +-319 825 +1923 -1725 +700 2123 +2648 -2896 +557 -3391 +-1762 -1380 +-3512 3471 +-2062 1124 +3372 -3613 +-1994 2626 +-3110 1149 +1024 0 +-3110 -1149 +-1994 -2626 +3372 3613 +-2062 -1124 +-3512 -3471 +-1762 1380 +557 3391 +2648 2896 +700 -2123 +1923 1725 +-319 -825 +1278 -6016 +934 581 +412 -4275 +2667 -5514 +3072 0 +-569 24 +-6140 2666 +-929 -1640 +170 -3120 +5008 -694 +818 -4566 +-2054 -1325 +5544 2896 +-2099 -482 +718 -815 +1720 2691 +614 1773 +-481 1629 +-2167 218 +-1884 -6076 +0 6992 +-1960 3557 +-1921 -2798 +779 -99 +2856 2206 +2929 -2148 +2048 2231 +-1936 -5348 +-5120 0 +-1936 5348 +2048 -2231 +2929 2148 +2856 -2206 +779 99 +-1921 2798 +-1960 -3557 +0 -6992 +2080 -252 +1776 4955 +1118 1631 +2976 -794 +1586 -2299 +-1338 4926 +1080 -2652 +1024 -2048 +-1303 4304 +3111 -3922 +3457 102 +-2376 1254 +-424 5232 +1344 3338 +-2345 -289 +0 1200 +896 -3521 +-4095 -18 +-2361 -3502 +640 -4254 +-1292 -252 +-925 -4087 +-2304 -1607 +-5120 0 +-2304 1607 +-925 4087 +-1292 252 +640 4254 +-2361 3502 +-4095 18 +896 3521 +0 -1200 +-2345 289 +1344 -3338 +-424 -5232 +-2376 -1254 +3457 -102 +3111 3922 +-1303 -4304 +1024 2048 +1080 2652 +-1338 -4926 +1586 2299 +2976 794 +1118 -1631 +1776 -4955 +2080 252 +0 6992 +-1960 3557 +-1921 -2798 +779 -99 +2856 2206 +2929 -2148 +2048 2231 +-1936 -5348 +-2048 2048 +2639 -796 +-2038 -2799 +-2954 861 +2856 -2806 +1845 3007 +2402 453 +2223 -11841 +1024 0 +2223 11841 +2402 -453 +1845 -3007 +2856 2806 +-2954 -861 +-2038 2799 +2639 796 +-2048 -2048 +1376 -2646 +284 -3321 +1043 -4345 +2976 -2702 +-3262 4854 +1148 1069 +-1583 1549 +-1024 -2048 +5323 -2141 +2192 469 +1460 -4077 +-2376 2243 +-1572 -525 +-948 175 +-3829 3293 +-2048 2048 +-1074 1934 +-194 -697 +-980 2886 +640 3654 +-1372 1973 +-2846 147 +718 950 +1024 0 +718 -950 +-2846 -147 +-1372 -1973 +640 -3654 +-980 -2886 +-194 697 +-1074 -1934 +-2048 -2048 +-3829 -3293 +-948 -175 +-1572 525 +-2376 -2243 +1460 4077 +2192 -469 +5323 2141 +-1024 2048 +-1583 -1549 +1148 -1069 +-3262 -4854 +2976 2702 +1043 4345 +284 3321 +1376 2646 +-2048 2048 +2639 -796 +-2038 -2799 +-2954 861 +2856 -2806 +1845 3007 +2402 453 +2223 -11841 +-2772 124 +-960 1188 +5447 431 +975 658 +4142 -586 +-2653 5994 +-993 4565 +7146 1264 +2048 0 +7146 -1264 +-993 -4565 +-2653 -5994 +4142 586 +975 -658 +5447 -431 +-960 -1188 +-2772 -124 +-592 629 +-1700 -549 +3132 1027 +-756 188 +469 2921 +2264 767 +-166 804 +1024 -1024 +-2451 785 +-5605 5519 +-3104 -1167 +-1540 -4756 +-2216 -87 +2364 5164 +292 -3995 +-1324 -4220 +2589 2307 +-3215 -1710 +-1002 -2763 +2250 -262 +-1393 2911 +1437 1386 +-65 4436 +-4096 0 +-65 -4436 +1437 -1386 +-1393 -2911 +2250 262 +-1002 2763 +-3215 1710 +2589 -2307 +-1324 4220 +292 3995 +2364 -5164 +-2216 87 +-1540 4756 +-3104 1167 +-5605 -5519 +-2451 -785 +1024 1024 +-166 -804 +2264 -767 +469 -2921 +-756 -188 +3132 -1027 +-1700 549 +-592 -629 +-2772 124 +-960 1188 +5447 431 +975 658 +4142 -586 +-2653 5994 +-993 4565 +7146 1264 +-5844 -724 +-1175 627 +-2233 -2910 +-2443 -852 +-3432 -1840 +-298 399 +-243 5541 +-3114 -668 +3072 0 +-3114 668 +-243 -5541 +-298 -399 +-3432 1840 +-2443 852 +-2233 2910 +-1175 -627 +-5844 724 +2130 5185 +-275 -159 +-2353 -3135 +3359 2394 +2132 -583 +1264 -3427 +-395 -420 +4096 -3072 +-348 -70 +-2048 985 +281 -1488 +3034 -502 +8650 -4073 +2167 1430 +-4050 3792 +-4396 724 +198 4972 +341 402 +-958 -1285 +1136 -1056 +782 -105 +1027 2967 +960 -5974 +1024 0 +960 5974 +1027 -2967 +782 105 +1136 1056 +-958 1285 +341 -402 +198 -4972 +-4396 -724 +-4050 -3792 +2167 -1430 +8650 4073 +3034 502 +281 1488 +-2048 -985 +-348 70 +4096 3072 +-395 420 +1264 3427 +2132 583 +3359 -2394 +-2353 3135 +-275 159 +2130 -5185 +-5844 -724 +-1175 627 +-2233 -2910 +-2443 -852 +-3432 -1840 +-298 399 +-243 5541 +-3114 -668 +-4220 -973 +1662 -2108 +305 -522 +-2667 -1359 +692 4756 +1356 -1272 +2388 1225 +2257 4118 +0 0 +2257 -4118 +2388 -1225 +1356 1272 +692 -4756 +-2667 1359 +305 522 +1662 2108 +-4220 973 +-3226 -4590 +-1254 910 +-2768 1190 +802 -586 +3770 -429 +-258 4040 +-5556 775 +-1024 3072 +6191 165 +2489 -5916 +1359 3600 +2694 262 +-3648 2176 +-2086 1969 +2647 2836 +124 9165 +1164 975 +139 382 +-2950 -239 +-92 188 +-245 317 +-1723 -4189 +654 697 +2048 0 +654 -697 +-1723 4189 +-245 -317 +-92 -188 +-2950 239 +139 -382 +1164 -975 +124 -9165 +2647 -2836 +-2086 -1969 +-3648 -2176 +2694 -262 +1359 -3600 +2489 5916 +6191 -165 +-1024 -3072 +-5556 -775 +-258 -4040 +3770 429 +802 586 +-2768 -1190 +-1254 -910 +-3226 4590 +-4220 -973 +1662 -2108 +305 -522 +-2667 -1359 +692 4756 +1356 -1272 +2388 1225 +2257 4118 +-724 724 +-309 -2942 +-6957 -1627 +964 1999 +1910 1370 +2898 -370 +2262 -1622 +-2494 -4408 +4096 0 +-2494 4408 +2262 1622 +2898 370 +1910 -1370 +964 -1999 +-6957 1627 +-309 2942 +-724 -724 +3241 -2152 +508 4091 +-2882 3753 +2584 -2080 +295 850 +-504 1571 +-2467 -484 +3072 1024 +3819 1102 +-1768 1543 +-1776 2168 +-1984 2864 +-5468 -177 +-6576 -2561 +-1421 1278 +724 -724 +56 2587 +240 6063 +3603 -4362 +1586 -522 +2367 3256 +4602 -3702 +-425 2210 +-2048 0 +-425 -2210 +4602 3702 +2367 -3256 +1586 522 +3603 4362 +240 -6063 +56 -2587 +724 724 +-1421 -1278 +-6576 2561 +-5468 177 +-1984 -2864 +-1776 -2168 +-1768 -1543 +3819 -1102 +3072 -1024 +-2467 484 +-504 -1571 +295 -850 +2584 2080 +-2882 -3753 +508 -4091 +3241 2152 +-724 724 +-309 -2942 +-6957 -1627 +964 1999 +1910 1370 +2898 -370 +2262 -1622 +-2494 -4408 +-724 -1324 +-2679 1440 +-4353 1274 +-1746 -2189 +2380 836 +-3971 -1220 +-1632 -5831 +2998 754 +-2048 0 +2998 -754 +-1632 5831 +-3971 1220 +2380 -836 +-1746 2189 +-4353 -1274 +-2679 -1440 +-724 1324 +1424 1161 +-336 -1447 +-2353 -1036 +2270 1286 +1381 522 +1876 2469 +-357 969 +-5120 -1024 +-208 3850 +1584 1581 +1057 -1461 +378 -1610 +3367 -3129 +-2665 3017 +-2657 3020 +724 -2772 +-1441 -836 +7354 -2603 +2948 -6217 +3164 -3732 +-683 1115 +-1827 -849 +2918 -7321 +-4096 0 +2918 7321 +-1827 849 +-683 -1115 +3164 3732 +2948 6217 +7354 2603 +-1441 836 +724 2772 +-2657 -3020 +-2665 -3017 +3367 3129 +378 1610 +1057 1461 +1584 -1581 +-208 -3850 +-5120 1024 +-357 -969 +1876 -2469 +1381 -522 +2270 -1286 +-2353 1036 +-336 1447 +1424 -1161 +-724 -1324 +-2679 1440 +-4353 1274 +-1746 -2189 +2380 836 +-3971 -1220 +-1632 -5831 +2998 754 +-1872 -7416 +1280 -2042 +3027 203 +5648 3022 +-940 -410 +-3164 1672 +535 4447 +-71 -1332 +1024 0 +-71 1332 +535 -4447 +-3164 -1672 +-940 410 +5648 -3022 +3027 -203 +1280 2042 +-1872 7416 +2445 344 +4235 -1073 +-2051 3386 +628 -854 +1731 5563 +-1750 25 +685 -2410 +5120 0 +-873 434 +-807 469 +1132 -5903 +-4724 -254 +-567 -99 +-5463 -3305 +-5763 -2084 +3920 1272 +471 3060 +1097 462 +755 -1466 +-3156 -3086 +-3484 94 +-875 -1107 +1827 -569 +1024 0 +1827 569 +-875 1107 +-3484 -94 +-3156 3086 +755 1466 +1097 -462 +471 -3060 +3920 -1272 +-5763 2084 +-5463 3305 +-567 99 +-4724 254 +1132 5903 +-807 -469 +-873 -434 +5120 0 +685 2410 +-1750 -25 +1731 -5563 +628 854 +-2051 -3386 +4235 1073 +2445 -344 +-1872 -7416 +1280 -2042 +3027 203 +5648 3022 +-940 -410 +-3164 1672 +535 4447 +-71 -1332 +4520 -1024 +-5579 1147 +-3336 -2280 +-1085 537 +1964 3510 +2063 -2214 +3072 965 +69 1141 +-11264 0 +69 -1141 +3072 -965 +2063 2214 +1964 -3510 +-1085 -537 +-3336 2280 +-5579 -1147 +4520 1024 +744 -4236 +-720 -2048 +2811 1446 +396 -2726 +-2138 1317 +-3417 356 +-2327 994 +-5120 6144 +153 3567 +-3982 -428 +-2312 -1995 +5748 1618 +163 175 +889 -3940 +-312 -1795 +1624 -1024 +5146 1294 +-928 388 +-1888 -1807 +4180 834 +2387 -1098 +232 -1749 +2105 1433 +1024 0 +2105 -1433 +232 1749 +2387 1098 +4180 -834 +-1888 1807 +-928 -388 +5146 -1294 +1624 1024 +-312 1795 +889 3940 +163 -175 +5748 -1618 +-2312 1995 +-3982 428 +153 -3567 +-5120 -6144 +-2327 -994 +-3417 -356 +-2138 -1317 +396 2726 +2811 -1446 +-720 2048 +744 4236 +4520 -1024 +-5579 1147 +-3336 -2280 +-1085 537 +1964 3510 +2063 -2214 +3072 965 +69 1141 +-424 2048 +-2387 1623 +-3972 -1064 +1557 4034 +4194 4280 +-1992 -3410 +772 2027 +44 1960 +-6144 0 +44 -1960 +772 -2027 +-1992 3410 +4194 -4280 +1557 -4034 +-3972 1064 +-2387 -1623 +-424 -2048 +2104 304 +210 3583 +-334 3816 +2422 -1292 +-2782 -1710 +-3703 775 +-607 -467 +-4096 0 +-1627 -1232 +243 5039 +-4654 486 +-1822 -2492 +2832 3037 +2791 -3817 +2893 4145 +2472 2048 +2502 -4334 +971 -2240 +-1600 -37 +-698 2712 +1180 -3603 +2687 -1858 +2870 -6877 +2048 0 +2870 6877 +2687 1858 +1180 3603 +-698 -2712 +-1600 37 +971 2240 +2502 4334 +2472 -2048 +2893 -4145 +2791 3817 +2832 -3037 +-1822 2492 +-4654 -486 +243 -5039 +-1627 1232 +-4096 0 +-607 467 +-3703 -775 +-2782 1710 +2422 1292 +-334 -3816 +210 -3583 +2104 -304 +-424 2048 +-2387 1623 +-3972 -1064 +1557 4034 +4194 4280 +-1992 -3410 +772 2027 +44 1960 +2472 4944 +-2313 3085 +-9 748 +1840 243 +410 -2832 +1669 2696 +711 -3792 +-702 3275 +0 0 +-702 -3275 +711 3792 +1669 -2696 +410 2832 +1840 -243 +-9 -748 +-2313 -3085 +2472 -4944 +3201 -1063 +-606 -6169 +115 1303 +854 -156 +-314 -510 +-3335 4804 +-6061 -211 +-2048 0 +666 -8 +-1233 -3705 +297 2413 +-254 3940 +-2216 -8016 +931 -3662 +1339 2183 +-424 -848 +229 -3256 +-315 -2337 +941 2782 +3086 -1264 +3461 -1414 +3857 -620 +-2152 -4090 +-8192 0 +-2152 4090 +3857 620 +3461 1414 +3086 1264 +941 -2782 +-315 2337 +229 3256 +-424 848 +1339 -2183 +931 3662 +-2216 8016 +-254 -3940 +297 -2413 +-1233 3705 +666 8 +-2048 0 +-6061 211 +-3335 -4804 +-314 510 +854 156 +115 -1303 +-606 6169 +3201 1063 +2472 4944 +-2313 3085 +-9 748 +1840 243 +410 -2832 +1669 2696 +711 -3792 +-702 3275 +3620 1324 +-4390 3219 +-1664 -3730 +1021 -2222 +2454 2394 +3854 878 +1362 1206 +-433 -4691 +-6144 0 +-433 4691 +1362 -1206 +3854 -878 +2454 -2394 +1021 2222 +-1664 3730 +-4390 -3219 +3620 -1324 +-5127 114 +-1765 3890 +2021 2734 +-2224 -1056 +3329 3476 +-863 -2155 +-535 -5239 +3072 -1024 +1588 -451 +-1029 1629 +3882 1092 +776 1840 +-4803 1371 +982 2323 +-2385 7099 +-3620 2772 +-1459 294 +2447 2163 +4419 -101 +-1006 502 +-2137 3969 +530 2578 +1155 -2185 +0 0 +1155 2185 +530 -2578 +-2137 -3969 +-1006 -502 +4419 101 +2447 -2163 +-1459 -294 +-3620 -2772 +-2385 -7099 +982 -2323 +-4803 -1371 +776 -1840 +3882 -1092 +-1029 -1629 +1588 451 +3072 1024 +-535 5239 +-863 2155 +3329 -3476 +-2224 1056 +2021 -2734 +-1765 -3890 +-5127 -114 +3620 1324 +-4390 3219 +-1664 -3730 +1021 -2222 +2454 2394 +3854 878 +1362 1206 +-433 -4691 +424 2472 +1493 -666 +-1466 -3699 +-2783 -2734 +2472 1278 +-1999 -3361 +-1393 512 +2201 -486 +-1024 0 +2201 486 +-1393 -512 +-1999 3361 +2472 -1278 +-2783 2734 +-1466 3699 +1493 666 +424 -2472 +-1315 4382 +-2645 4088 +481 -7084 +-424 614 +275 -4225 +1559 -1167 +-1665 5508 +1024 0 +4956 4214 +2225 3881 +-2768 3838 +-424 2062 +3320 137 +4213 -1420 +3994 -3772 +-2472 -424 +-1276 3938 +-102 2288 +-3914 -1947 +2472 170 +1595 1922 +-2391 440 +-2596 -3367 +-5120 0 +-2596 3367 +-2391 -440 +1595 -1922 +2472 -170 +-3914 1947 +-102 -2288 +-1276 -3938 +-2472 424 +3994 3772 +4213 1420 +3320 -137 +-424 -2062 +-2768 -3838 +2225 -3881 +4956 -4214 +1024 0 +-1665 -5508 +1559 1167 +275 4225 +-424 -614 +481 7084 +-2645 -4088 +-1315 -4382 +424 2472 +1493 -666 +-1466 -3699 +-2783 -2734 +2472 1278 +-1999 -3361 +-1393 512 +2201 -486 +6093 -1572 +-3028 -1440 +2591 -1068 +2099 -3185 +1586 -1130 +876 902 +-2851 -2659 +-29 -2963 +-3072 0 +-29 2963 +-2851 2659 +876 -902 +1586 1130 +2099 3185 +2591 1068 +-3028 1440 +6093 1572 +-2090 1985 +-4976 1912 +-1158 1057 +-1984 4996 +5278 4527 +2118 329 +-803 480 +-4096 -1024 +-1210 957 +1341 809 +-1392 -6894 +2584 -1996 +3621 2921 +1976 -3920 +-591 651 +-4045 5668 +-2539 -912 +409 -668 +551 3409 +1910 2330 +-4082 21 +-608 -956 +4497 24 +-1024 0 +4497 -24 +-608 956 +-4082 -21 +1910 -2330 +551 -3409 +409 668 +-2539 912 +-4045 -5668 +-591 -651 +1976 3920 +3621 -2921 +2584 1996 +-1392 6894 +1341 -809 +-1210 -957 +-4096 1024 +-803 -480 +2118 -329 +5278 -4527 +-1984 -4996 +-1158 -1057 +-4976 -1912 +-2090 -1985 +6093 -1572 +-3028 -1440 +2591 -1068 +2099 -3185 +1586 -1130 +876 902 +-2851 -2659 +-29 -2963 +-724 300 +5100 1748 +2647 -4270 +-1656 -150 +1030 -802 +-2117 -324 +-2057 843 +1028 -2082 +3072 0 +1028 2082 +-2057 -843 +-2117 324 +1030 802 +-1656 150 +2647 4270 +5100 -1748 +-724 -300 +3181 3692 +2057 -1245 +2105 3647 +2044 92 +1986 -1900 +-2370 1068 +-2962 439 +4096 3072 +-1695 -2068 +1381 -2104 +37 -1551 +-4092 692 +-5981 4199 +-7069 -5213 +3141 -4444 +724 1748 +610 893 +5261 -898 +1986 -2066 +-3078 -2694 +-2153 305 +150 2978 +-2610 -3633 +-3072 0 +-2610 3633 +150 -2978 +-2153 -305 +-3078 2694 +1986 2066 +5261 898 +610 -893 +724 -1748 +3141 4444 +-7069 5213 +-5981 -4199 +-4092 -692 +37 1551 +1381 2104 +-1695 2068 +4096 -3072 +-2962 -439 +-2370 -1068 +1986 1900 +2044 -92 +2105 -3647 +2057 1245 +3181 -3692 +-724 300 +5100 1748 +2647 -4270 +-1656 -150 +1030 -802 +-2117 -324 +-2057 843 +1028 -2082 +2348 3796 +-3196 -460 +-4580 -1543 +232 -581 +236 27 +2755 -2305 +-252 3698 +-2396 3492 +0 0 +-2396 -3492 +-252 -3698 +2755 2305 +236 -27 +232 581 +-4580 1543 +-3196 460 +2348 -3796 +88 -1502 +3403 -477 +-1823 -4909 +-2210 358 +3913 606 +413 1002 +2291 179 +-5120 -1024 +-1919 -1623 +7976 -602 +-1945 -959 +-1886 -6034 +3184 -1968 +1441 -661 +-382 2433 +3796 2348 +4378 -69 +936 160 +2871 -3159 +-4332 -2324 +-3393 -147 +-1145 1690 +-4655 -3966 +-2048 0 +-4655 3966 +-1145 -1690 +-3393 147 +-4332 2324 +2871 3159 +936 -160 +4378 69 +3796 -2348 +-382 -2433 +1441 661 +3184 1968 +-1886 6034 +-1945 959 +7976 602 +-1919 1623 +-5120 1024 +2291 -179 +413 -1002 +3913 -606 +-2210 -358 +-1823 4909 +3403 477 +88 1502 +2348 3796 +-3196 -460 +-4580 -1543 +232 -581 +236 27 +2755 -2305 +-252 3698 +-2396 3492 +-1572 -2772 +345 3244 +106 1740 +-2267 3312 +-2140 -751 +1367 -2528 +-344 1743 +-1759 -6215 +0 0 +-1759 6215 +-344 -1743 +1367 2528 +-2140 751 +-2267 -3312 +106 -1740 +345 -3244 +-1572 2772 +-170 -1710 +6658 -152 +5532 4332 +646 366 +1215 186 +-1552 -3470 +-980 2396 +1024 1024 +-4801 346 +-3356 1594 +720 655 +-1246 5310 +12 -450 +-2209 3032 +-1959 4864 +5668 -1324 +2673 -3871 +-1658 2644 +1187 5818 +-1356 1600 +-1973 2924 +2356 -3672 +860 -635 +-2048 0 +860 635 +2356 3672 +-1973 -2924 +-1356 -1600 +1187 -5818 +-1658 -2644 +2673 3871 +5668 1324 +-1959 -4864 +-2209 -3032 +12 450 +-1246 -5310 +720 -655 +-3356 -1594 +-4801 -346 +1024 -1024 +-980 -2396 +-1552 3470 +1215 -186 +646 -366 +5532 -4332 +6658 152 +-170 1710 +-1572 -2772 +345 3244 +106 1740 +-2267 3312 +-2140 -751 +1367 -2528 +-344 1743 +-1759 -6215 +-176 -3496 +-243 -947 +1770 1100 +-4003 -2522 +2036 2786 +1693 1257 +-322 3709 +706 1641 +-4096 0 +706 -1641 +-322 -3709 +1693 -1257 +2036 -2786 +-4003 2522 +1770 -1100 +-243 947 +-176 3496 +2974 -1953 +-287 2884 +2237 880 +1268 -2002 +-1482 1341 +3979 1412 +6751 -4869 +2048 -4096 +3106 1824 +-39 2300 +-4837 -956 +-1867 894 +-2088 4264 +3119 -1580 +1052 -76 +-5968 -600 +-3342 -2528 +-506 229 +278 3689 +-5532 110 +-3384 -5771 +477 2971 +582 -299 +4096 0 +582 299 +477 -2971 +-3384 5771 +-5532 -110 +278 -3689 +-506 -229 +-3342 2528 +-5968 600 +1052 76 +3119 1580 +-2088 -4264 +-1867 -894 +-4837 956 +-39 -2300 +3106 -1824 +2048 4096 +6751 4869 +3979 -1412 +-1482 -1341 +1268 2002 +2237 -880 +-287 -2884 +2974 1953 +-176 -3496 +-243 -947 +1770 1100 +-4003 -2522 +2036 2786 +1693 1257 +-322 3709 +706 1641 +-1324 -2948 +-1579 -2774 +-2213 -377 +-2340 3749 +-392 2889 +-705 1188 +-109 -192 +448 -6215 +1024 0 +448 6215 +-109 192 +-705 -1188 +-392 -2889 +-2340 -3749 +-2213 377 +-1579 2774 +-1324 2948 +-2055 732 +-5293 95 +-1464 1267 +946 1450 +4756 -432 +2488 -612 +307 950 +2048 1024 +-307 1166 +408 -1396 +-4756 5213 +-946 5794 +1464 -9223 +2397 -1797 +2055 2458 +-2772 -7292 +1579 -1372 +-683 -1515 +2340 -1215 +392 1456 +705 -2297 +3005 -592 +-448 -2835 +3072 0 +-448 2835 +3005 592 +705 2297 +392 -1456 +2340 1215 +-683 1515 +1579 1372 +-2772 7292 +2055 -2458 +2397 1797 +1464 9223 +-946 -5794 +-4756 -5213 +408 1396 +-307 -1166 +2048 -1024 +307 -950 +2488 612 +4756 432 +946 -1450 +-1464 -1267 +-5293 -95 +-2055 -732 +-1324 -2948 +-1579 -2774 +-2213 -377 +-2340 3749 +-392 2889 +-705 1188 +-109 -192 +448 -6215 +-3496 2048 +-5021 -1323 +-1763 1705 +4331 1542 +-2192 -5821 +-1382 1073 +-1623 1387 +-4833 521 +1024 0 +-4833 -521 +-1623 -1387 +-1382 -1073 +-2192 5821 +4331 -1542 +-1763 -1705 +-5021 1323 +-3496 -2048 +-1607 1902 +1624 -550 +2506 2868 +-2532 2531 +1444 -266 +4248 2270 +605 -3559 +1024 -2048 +194 -1196 +3816 -4750 +-908 -1852 +-964 4579 +-600 2513 +-1349 -6002 +5279 -984 +-600 2048 +-660 5 +2687 1531 +1124 1887 +1592 3773 +5071 1125 +551 282 +-5543 3409 +-3072 0 +-5543 -3409 +551 -282 +5071 -1125 +1592 -3773 +1124 -1887 +2687 -1531 +-660 -5 +-600 -2048 +5279 984 +-1349 6002 +-600 -2513 +-964 -4579 +-908 1852 +3816 4750 +194 1196 +1024 2048 +605 3559 +4248 -2270 +1444 266 +-2532 -2531 +2506 -2868 +1624 550 +-1607 -1902 +-3496 2048 +-5021 -1323 +-1763 1705 +4331 1542 +-2192 -5821 +-1382 1073 +-1623 1387 +-4833 521 +-300 724 +-4024 -2112 +6 4438 +5104 2141 +2768 -392 +2927 3789 +3106 1443 +91 2785 +-1024 0 +91 -2785 +3106 -1443 +2927 -3789 +2768 392 +5104 -2141 +6 -4438 +-4024 2112 +-300 -724 +2949 2605 +4949 -865 +79 -2229 +-3802 946 +2435 -713 +-941 7829 +-8230 109 +-2048 -1024 +1960 2950 +-470 -1748 +-575 1695 +306 946 +682 -3838 +100 -5090 +613 2040 +-1748 -724 +-5331 1547 +-959 2922 +-72 -3751 +-3368 392 +1006 -1381 +2401 565 +386 56 +1024 0 +386 -56 +2401 -565 +1006 1381 +-3368 -392 +-72 3751 +-959 -2922 +-5331 -1547 +-1748 724 +613 -2040 +100 5090 +682 3838 +306 -946 +-575 -1695 +-470 1748 +1960 -2950 +-2048 1024 +-8230 -109 +-941 -7829 +2435 713 +-3802 -946 +79 2229 +4949 865 +2949 -2605 +-300 724 +-4024 -2112 +6 4438 +5104 2141 +2768 -392 +2927 3789 +3106 1443 +91 2785 +2172 -1324 +-2222 -593 +3055 -3327 +1404 -6674 +92 -2200 +3357 -3310 +1070 -1835 +-2668 -2119 +-6144 0 +-2668 2119 +1070 1835 +3357 3310 +92 2200 +1404 6674 +3055 3327 +-2222 593 +2172 1324 +-1425 795 +-2050 608 +-995 1772 +-2694 1814 +7010 -2546 +-132 3655 +-4410 1755 +-1024 -1024 +-973 -340 +4412 -4253 +-1822 714 +-802 3862 +4007 394 +758 -381 +450 4748 +-2172 -2772 +-1028 -2373 +-563 5234 +-2408 -705 +-692 152 +1032 569 +1643 -3177 +691 -6896 +0 0 +691 6896 +1643 3177 +1032 -569 +-692 -152 +-2408 705 +-563 -5234 +-1028 2373 +-2172 2772 +450 -4748 +758 381 +4007 -394 +-802 -3862 +-1822 -714 +4412 4253 +-973 340 +-1024 1024 +-4410 -1755 +-132 -3655 +7010 2546 +-2694 -1814 +-995 -1772 +-2050 -608 +-1425 -795 +2172 -1324 +-2222 -593 +3055 -3327 +1404 -6674 +92 -2200 +3357 -3310 +1070 -1835 +-2668 -2119 +3796 1748 +1977 2320 +1132 100 +-1460 -3650 +-1331 4216 +-1089 4021 +-2027 3636 +-108 -1761 +-2048 0 +-108 1761 +-2027 -3636 +-1089 -4021 +-1331 -4216 +-1460 3650 +1132 -100 +1977 -2320 +3796 -1748 +-724 -8344 +1466 4784 +2702 2152 +1574 -5251 +18 2134 +807 -3604 +1723 -3947 +-5120 -1024 +-2362 -2844 +901 -1112 +-313 1533 +-5670 1142 +-2331 -1036 +4706 504 +2085 1383 +2348 300 +1125 726 +-312 2613 +-5591 -866 +-2765 -1919 +2271 -158 +1519 -2344 +2076 1976 +0 0 +2076 -1976 +1519 2344 +2271 158 +-2765 1919 +-5591 866 +-312 -2613 +1125 -726 +2348 -300 +2085 -1383 +4706 -504 +-2331 1036 +-5670 -1142 +-313 -1533 +901 1112 +-2362 2844 +-5120 1024 +1723 3947 +807 3604 +18 -2134 +1574 5251 +2702 -2152 +1466 -4784 +-724 8344 +3796 1748 +1977 2320 +1132 100 +-1460 -3650 +-1331 4216 +-1089 4021 +-2027 3636 +-108 -1761 +300 -2348 +1579 -3617 +-1045 838 +3378 2498 +-2550 -1586 +-396 997 +2732 -2659 +-981 -313 +2048 0 +-981 313 +2732 2659 +-396 -997 +-2550 1586 +3378 -2498 +-1045 -838 +1579 3617 +300 2348 +-375 647 +1111 -1388 +-2890 -433 +-208 1984 +681 3958 +3160 -609 +2709 -3335 +3072 -7168 +5735 -4085 +964 515 +-1002 2147 +-992 2584 +-373 -3931 +-3667 4628 +-1691 4557 +1748 -3796 +-3298 -2570 +705 2282 +-1682 5018 +-4442 -1910 +2284 15 +-3960 887 +-3679 -2342 +4096 0 +-3679 2342 +-3960 -887 +2284 -15 +-4442 1910 +-1682 -5018 +705 -2282 +-3298 2570 +1748 3796 +-1691 -4557 +-3667 -4628 +-373 3931 +-992 -2584 +-1002 -2147 +964 -515 +5735 4085 +3072 7168 +2709 3335 +3160 609 +681 -3958 +-208 -1984 +-2890 433 +1111 1388 +-375 -647 +300 -2348 +1579 -3617 +-1045 838 +3378 2498 +-2550 -1586 +-396 997 +2732 -2659 +-981 -313 +-1748 -4644 +-1797 -702 +2863 3074 +6290 -1133 +-1775 129 +2687 -4585 +1078 -2501 +-2755 -2768 +4096 0 +-2755 2768 +1078 2501 +2687 4585 +-1775 -129 +6290 1133 +2863 -3074 +-1797 702 +-1748 4644 +-2174 -499 +-1293 2981 +-718 1504 +-658 906 +-3231 1310 +-1749 -1312 +-865 -1805 +-1024 1024 +3309 -405 +6812 -1496 +-1295 539 +-6334 3203 +716 4694 +-1891 -2408 +-560 -998 +-300 2596 +-5284 1532 +1521 -1470 +2608 3436 +576 6264 +-1266 -2866 +851 1117 +4334 -296 +2048 0 +4334 296 +851 -1117 +-1266 2866 +576 -6264 +2608 -3436 +1521 1470 +-5284 -1532 +-300 -2596 +-560 998 +-1891 2408 +716 -4694 +-6334 -3203 +-1295 -539 +6812 1496 +3309 405 +-1024 -1024 +-865 1805 +-1749 1312 +-3231 -1310 +-658 -906 +-718 -1504 +-1293 -2981 +-2174 499 +-1748 -4644 +-1797 -702 +2863 3074 +6290 -1133 +-1775 129 +2687 -4585 +1078 -2501 +-2755 -2768 +3920 -3496 +-768 -2023 +-1270 2767 +-1162 -1034 +-2348 1650 +1396 -511 +726 -3167 +1832 1249 +4096 0 +1832 -1249 +726 3167 +1396 511 +-2348 -1650 +-1162 1034 +-1270 -2767 +-768 2023 +3920 3496 +-3592 3550 +-1366 3569 +1079 536 +-3796 1974 +-741 1336 +-2130 3548 +2899 353 +2048 4096 +-397 1091 +4962 -2912 +-1935 2207 +-3796 -6218 +318 -768 +1522 4028 +-1563 -161 +-1872 -600 +5923 -1689 +5210 -2308 +-235 -269 +-2348 6542 +1279 1382 +538 -3293 +-4334 -510 +-4096 0 +-4334 510 +538 3293 +1279 -1382 +-2348 -6542 +-235 269 +5210 2308 +5923 1689 +-1872 600 +-1563 161 +1522 -4028 +318 768 +-3796 6218 +-1935 -2207 +4962 2912 +-397 -1091 +2048 -4096 +2899 -353 +-2130 -3548 +-741 -1336 +-3796 -1974 +1079 -536 +-1366 -3569 +-3592 -3550 +3920 -3496 +-768 -2023 +-1270 2767 +-1162 -1034 +-2348 1650 +1396 -511 +726 -3167 +1832 1249 +300 -3196 +-1516 -882 +-1628 367 +1047 -60 +-632 -332 +1010 1930 +2349 1102 +-204 -4035 +-2048 0 +-204 4035 +2349 -1102 +1010 -1930 +-632 332 +1047 60 +-1628 -367 +-1516 882 +300 3196 +2776 -1084 +3759 -3137 +-2916 2507 +-1970 -222 +-1918 -1245 +-74 5030 +-4515 297 +-3072 -5120 +5080 -3176 +-2342 -3633 +3527 -2953 +-78 -1670 +508 -1430 +4969 635 +-5616 2055 +1748 1148 +4357 -3547 +2788 -4788 +1362 -742 +-1416 -1116 +-2619 -502 +-1630 -1574 +-361 -7007 +-4096 0 +-361 7007 +-1630 1574 +-2619 502 +-1416 1116 +1362 742 +2788 4788 +4357 3547 +1748 -1148 +-5616 -2055 +4969 -635 +508 1430 +-78 1670 +3527 2953 +-2342 3633 +5080 3176 +-3072 5120 +-4515 -297 +-74 -5030 +-1918 1245 +-1970 222 +-2916 -2507 +3759 3137 +2776 1084 +300 -3196 +-1516 -882 +-1628 367 +1047 -60 +-632 -332 +1010 1930 +2349 1102 +-204 -4035 +-4220 -1748 +-4234 -4738 +916 2698 +1015 -1118 +-2864 -912 +5414 1365 +4075 3135 +-3490 8151 +-1024 0 +-3490 -8151 +4075 -3135 +5414 -1365 +-2864 912 +1015 1118 +916 -2698 +-4234 4738 +-4220 1748 +1027 3573 +582 5640 +55 -1482 +1370 986 +-1278 1019 +1241 3318 +1418 -1650 +0 1024 +-1684 4721 +1147 865 +4087 4487 +-522 -1311 +754 -1364 +-2658 512 +-2104 -319 +124 -300 +-4266 -721 +2360 863 +2401 -2549 +-2080 -5480 +-863 -1681 +529 3102 +1747 -3347 +1024 0 +1747 3347 +529 -3102 +-863 1681 +-2080 5480 +2401 2549 +2360 -863 +-4266 721 +124 300 +-2104 319 +-2658 -512 +754 1364 +-522 1311 +4087 -4487 +1147 -865 +-1684 -4721 +0 -1024 +1418 1650 +1241 -3318 +-1278 -1019 +1370 -986 +55 1482 +582 -5640 +1027 -3573 +-4220 -1748 +-4234 -4738 +916 2698 +1015 -1118 +-2864 -912 +5414 1365 +4075 3135 +-3490 8151 +-724 -3196 +-3901 -2387 +-192 -347 +1275 1947 +-2838 666 +-1832 405 +-1464 2675 +-2231 -2616 +-3072 0 +-2231 2616 +-1464 -2675 +-1832 -405 +-2838 -666 +1275 -1947 +-192 347 +-3901 2387 +-724 3196 +-1257 -48 +631 -873 +1824 -746 +-1176 3348 +-398 4059 +3822 -3640 +-1692 -1063 +2048 1024 +4618 -1885 +-5610 -3077 +1880 -5081 +1176 -997 +3718 -165 +4941 -769 +-2213 1280 +724 1148 +-3774 5595 +412 6244 +1193 -109 +2838 -5010 +3926 -98 +-2541 3681 +-1136 -4518 +-1024 0 +-1136 4518 +-2541 -3681 +3926 98 +2838 5010 +1193 109 +412 -6244 +-3774 -5595 +724 -1148 +-2213 -1280 +4941 769 +3718 165 +1176 997 +1880 5081 +-5610 3077 +4618 1885 +2048 -1024 +-1692 1063 +3822 3640 +-398 -4059 +-1176 -3348 +1824 746 +631 873 +-1257 48 +-724 -3196 +-3901 -2387 +-192 -347 +1275 1947 +-2838 666 +-1832 405 +-1464 2675 +-2231 -2616 +-5668 -1748 +173 1692 +4304 -3880 +-1440 1316 +1286 730 +-2445 -4317 +1299 -3861 +6754 -917 +1024 0 +6754 917 +1299 3861 +-2445 4317 +1286 -730 +-1440 -1316 +4304 3880 +173 -1692 +-5668 1748 +-718 3003 +586 -3366 +-2816 -3180 +-3732 296 +-216 5804 +626 988 +2315 328 +2048 -1024 +-2565 2415 +-6199 1993 +-2375 -4067 +836 5840 +-1617 2353 +3419 314 +1512 2424 +1572 -300 +4826 1825 +-2516 1768 +81 121 +1610 -3378 +-757 -1458 +-1520 -927 +-712 -1093 +3072 0 +-712 1093 +-1520 927 +-757 1458 +1610 3378 +81 -121 +-2516 -1768 +4826 -1825 +1572 300 +1512 -2424 +3419 -314 +-1617 -2353 +836 -5840 +-2375 4067 +-6199 -1993 +-2565 -2415 +2048 1024 +2315 -328 +626 -988 +-216 -5804 +-3732 -296 +-2816 3180 +586 3366 +-718 -3003 +-5668 -1748 +173 1692 +4304 -3880 +-1440 1316 +1286 730 +-2445 -4317 +1299 -3861 +6754 -917 +1024 1872 +-2967 -5611 +-32 1829 +-593 3544 +-110 -1748 +-491 7786 +-3487 1951 +-1656 -545 +-1024 0 +-1656 545 +-3487 -1951 +-491 -7786 +-110 1748 +-593 -3544 +-32 -1829 +-2967 5611 +1024 -1872 +1183 -3149 +2057 -21 +3095 2002 +894 -300 +2510 -169 +913 2111 +4333 281 +1024 -4096 +-3953 -3417 +-625 -4386 +-1722 -2873 +2002 300 +2089 152 +-1089 4038 +1834 -3818 +1024 -3920 +-4514 1397 +-2135 1030 +2090 846 +-2786 -1748 +-1184 1542 +-3793 -1455 +-52 -906 +11264 0 +-52 906 +-3793 1455 +-1184 -1542 +-2786 1748 +2090 -846 +-2135 -1030 +-4514 -1397 +1024 3920 +1834 3818 +-1089 -4038 +2089 -152 +2002 -300 +-1722 2873 +-625 4386 +-3953 3417 +1024 4096 +4333 -281 +913 -2111 +2510 169 +894 300 +3095 -2002 +2057 21 +1183 3149 +1024 1872 +-2967 -5611 +-32 1829 +-593 3544 +-110 -1748 +-491 7786 +-3487 1951 +-1656 -545 +-2348 -1748 +3208 437 +1056 -1148 +-3150 -2620 +3862 -886 +-4255 3832 +-4533 4543 +2918 -2492 +2048 0 +2918 2492 +-4533 -4543 +-4255 -3832 +3862 886 +-3150 2620 +1056 1148 +3208 -437 +-2348 1748 +2778 -1198 +3244 -3586 +3123 -2487 +2200 -1560 +3926 5346 +323 5673 +748 -477 +1024 -1024 +-4480 -777 +3461 1513 +-393 877 +-152 -3008 +758 -2804 +-1677 -2542 +1057 -289 +-3796 -300 +-1930 -546 +-2623 3889 +1725 662 +-1814 -562 +-7526 4043 +749 1185 +1494 -1225 +0 0 +1494 1225 +749 -1185 +-7526 -4043 +-1814 562 +1725 -662 +-2623 -3889 +-1930 546 +-3796 300 +1057 289 +-1677 2542 +758 2804 +-152 3008 +-393 -877 +3461 -1513 +-4480 777 +1024 1024 +748 477 +323 -5673 +3926 -5346 +2200 1560 +3123 2487 +3244 3586 +2778 1198 +-2348 -1748 +3208 437 +1056 -1148 +-3150 -2620 +3862 -886 +-4255 3832 +-4533 4543 +2918 -2492 +3196 4220 +-754 -314 +-231 -2834 +-961 907 +6264 2884 +1490 1741 +-3029 -5180 +1875 -4241 +-3072 0 +1875 4241 +-3029 5180 +1490 -1741 +6264 -2884 +-961 -907 +-231 2834 +-754 314 +3196 -4220 +-994 3555 +619 -878 +2005 -5602 +-3203 3334 +-991 1886 +1154 1346 +-876 6295 +-6144 5120 +-2581 3490 +-2158 2506 +737 -2409 +906 -3658 +-1695 1911 +3061 1537 +-854 679 +-1148 -124 +-294 -1362 +2343 3553 +3547 -386 +129 -1684 +1661 956 +-1759 -3549 +-1314 236 +3072 0 +-1314 -236 +-1759 3549 +1661 -956 +129 1684 +3547 386 +2343 -3553 +-294 1362 +-1148 124 +-854 -679 +3061 -1537 +-1695 -1911 +906 3658 +737 2409 +-2158 -2506 +-2581 -3490 +-6144 -5120 +-876 -6295 +1154 -1346 +-991 -1886 +-3203 -3334 +2005 5602 +619 878 +-994 -3555 +3196 4220 +-754 -314 +-231 -2834 +-961 907 +6264 2884 +1490 1741 +-3029 -5180 +1875 -4241 +600 -1024 +4002 6431 +-1422 1827 +-984 462 +2916 254 +3231 852 +-77 853 +-224 2401 +4096 0 +-224 -2401 +-77 -853 +3231 -852 +2916 -254 +-984 -462 +-1422 -1827 +4002 -6431 +600 1024 +498 3351 +-49 -651 +-893 2639 +1808 -410 +-1937 -4323 +293 -3223 +772 3063 +-2048 -2048 +-2491 -1355 +-2681 1685 +-1326 -919 +240 3086 +2782 -417 +2125 3798 +7947 -2 +3496 -1024 +-8662 5144 +-1854 -275 +663 2924 +-868 -854 +-1535 -2591 +-4527 1159 +-1841 -3476 +0 0 +-1841 3476 +-4527 -1159 +-1535 2591 +-868 854 +663 -2924 +-1854 275 +-8662 -5144 +3496 1024 +7947 2 +2125 -3798 +2782 417 +240 -3086 +-1326 919 +-2681 -1685 +-2491 1355 +-2048 2048 +772 -3063 +293 3223 +-1937 4323 +1808 410 +-893 -2639 +-49 651 +498 -3351 +600 -1024 +4002 6431 +-1422 1827 +-984 462 +2916 254 +3231 852 +-77 853 +-224 2401 +-1748 -2772 +3534 -3470 +-1249 -3881 +871 -3207 +1560 -3908 +760 1820 +-391 3044 +-4836 -3384 +-3072 0 +-4836 3384 +-391 -3044 +760 -1820 +1560 3908 +871 3207 +-1249 3881 +3534 3470 +-1748 2772 +-2604 -1401 +1171 -493 +1419 3454 +562 -4358 +109 -1090 +-1190 1602 +1274 -2506 +2048 1024 +-359 -365 +4530 -158 +-1465 3649 +886 4682 +3908 -719 +-1835 587 +878 3706 +-300 -1324 +1976 2914 +-982 -335 +-4631 -655 +-3008 660 +-971 -4961 +-53 6284 +136 8054 +3072 0 +136 -8054 +-53 -6284 +-971 4961 +-3008 -660 +-4631 655 +-982 335 +1976 -2914 +-300 1324 +878 -3706 +-1835 -587 +3908 719 +886 -4682 +-1465 -3649 +4530 158 +-359 365 +2048 -1024 +1274 2506 +-1190 -1602 +109 1090 +562 4358 +1419 -3454 +1171 493 +-2604 1401 +-1748 -2772 +3534 -3470 +-1249 -3881 +871 -3207 +1560 -3908 +760 1820 +-391 3044 +-4836 -3384 +300 1148 +-2586 305 +3888 -749 +1014 791 +1814 287 +-2126 1645 +-822 -1024 +7425 -660 +4096 0 +7425 660 +-822 1024 +-2126 -1645 +1814 -287 +1014 -791 +3888 749 +-2586 -305 +300 -1148 +714 243 +-875 -1365 +3630 441 +152 -1936 +-3381 1422 +-132 781 +702 -4010 +1024 3072 +449 -412 +-4981 -2051 +-1350 5243 +-2200 6504 +-4402 3419 +-1580 -1209 +2508 -3019 +1748 -3196 +-3092 -1926 +4360 -3191 +-921 -1228 +-3862 -38 +1744 1158 +143 2288 +-327 -8085 +-2048 0 +-327 8085 +143 -2288 +1744 -1158 +-3862 38 +-921 1228 +4360 3191 +-3092 1926 +1748 3196 +2508 3019 +-1580 1209 +-4402 -3419 +-2200 -6504 +-1350 -5243 +-4981 2051 +449 412 +1024 -3072 +702 4010 +-132 -781 +-3381 -1422 +152 1936 +3630 -441 +-875 1365 +714 -243 +300 1148 +-2586 305 +3888 -749 +1014 791 +1814 287 +-2126 1645 +-822 -1024 +7425 -660 +-1448 -1448 +1542 -1505 +-659 -248 +905 3831 +744 -2870 +-1050 -527 +1448 -857 +-737 -4421 +-1024 0 +-737 4421 +1448 857 +-1050 527 +744 2870 +905 -3831 +-659 248 +1542 1505 +-1448 1448 +-59 4076 +-2815 -3109 +148 1605 +3980 350 +89 -1631 +-815 692 +1455 -4460 +-1024 0 +-5933 -1575 +2159 -1696 +2584 -1643 +2412 -4594 +1684 1418 +-4989 -5185 +-1200 -6757 +1448 1448 +605 -767 +-226 -3028 +1726 -1434 +-3040 2022 +-294 5499 +5897 5461 +-1465 1882 +-5120 0 +-1465 -1882 +5897 -5461 +-294 -5499 +-3040 -2022 +1726 1434 +-226 3028 +605 767 +1448 -1448 +-1200 6757 +-4989 5185 +1684 -1418 +2412 4594 +2584 1643 +2159 1696 +-5933 1575 +-1024 0 +1455 4460 +-815 -692 +89 1631 +3980 -350 +148 -1605 +-2815 3109 +-59 -4076 +-1448 -1448 +1542 -1505 +-659 -248 +905 3831 +744 -2870 +-1050 -527 +1448 -857 +-737 -4421 +-2172 -724 +-1784 224 +-746 414 +-4468 2527 +-2224 1944 +2019 2320 +-460 565 +-1863 -2518 +0 0 +-1863 2518 +-460 -565 +2019 -2320 +-2224 -1944 +-4468 -2527 +-746 -414 +-1784 -224 +-2172 724 +4646 -11 +1950 1711 +-870 2404 +-1006 3962 +-6463 4515 +177 -804 +1670 4202 +-1024 1024 +3637 -4968 +-961 -3480 +2934 3038 +2454 1065 +-7020 -7806 +-58 603 +2085 962 +2172 724 +3980 -211 +-1146 -1523 +-1003 -1072 +776 -4840 +3286 -325 +1243 -3241 +-787 578 +2048 0 +-787 -578 +1243 3241 +3286 325 +776 4840 +-1003 1072 +-1146 1523 +3980 211 +2172 -724 +2085 -962 +-58 -603 +-7020 7806 +2454 -1065 +2934 -3038 +-961 3480 +3637 4968 +-1024 -1024 +1670 -4202 +177 804 +-6463 -4515 +-1006 -3962 +-870 -2404 +1950 -1711 +4646 11 +-2172 -724 +-1784 224 +-746 414 +-4468 2527 +-2224 1944 +2019 2320 +-460 565 +-1863 -2518 +424 3072 +-557 -973 +-7 -5580 +2752 696 +2916 -798 +-125 4472 +643 7353 +-1055 -3613 +-3072 0 +-1055 3613 +643 -7353 +-125 -4472 +2916 798 +2752 -696 +-7 5580 +-557 973 +424 -3072 +-1158 3156 +-2151 709 +4418 3898 +1808 5218 +1238 -2281 +3124 -5295 +2138 -4813 +-1024 2048 +-2287 58 +3556 -4343 +-1901 163 +240 -326 +2547 1483 +822 -703 +-790 -2238 +-2472 3072 +1826 355 +-4457 72 +-1469 1041 +-868 3446 +-1668 4524 +-1531 -2305 +-3910 -725 +1024 0 +-3910 725 +-1531 2305 +-1668 -4524 +-868 -3446 +-1469 -1041 +-4457 -72 +1826 -355 +-2472 -3072 +-790 2238 +822 703 +2547 -1483 +240 326 +-1901 -163 +3556 4343 +-2287 -58 +-1024 -2048 +2138 4813 +3124 5295 +1238 2281 +1808 -5218 +4418 -3898 +-2151 -709 +-1158 -3156 +424 3072 +-557 -973 +-7 -5580 +2752 696 +2916 -798 +-125 4472 +643 7353 +-1055 -3613 +-3196 -2172 +-4891 559 +1407 -2604 +979 1098 +666 3094 +1891 5389 +-4063 1759 +-1034 -3130 +5120 0 +-1034 3130 +-4063 -1759 +1891 -5389 +666 -3094 +979 -1098 +1407 2604 +-4891 -559 +-3196 2172 +395 -1483 +-908 -3838 +-1677 1238 +3348 -4600 +1077 1788 +-3439 2709 +3541 -702 +2048 1024 +1828 -2041 +2775 -2615 +848 -3525 +997 -3752 +-4398 -411 +464 598 +2224 -3945 +1148 2172 +3839 426 +1933 -4048 +1312 2293 +-5010 1851 +-32 2530 +1831 -1787 +-5902 -2954 +-5120 0 +-5902 2954 +1831 1787 +-32 -2530 +-5010 -1851 +1312 -2293 +1933 4048 +3839 -426 +1148 -2172 +2224 3945 +464 -598 +-4398 411 +997 3752 +848 3525 +2775 2615 +1828 2041 +2048 -1024 +3541 702 +-3439 -2709 +1077 -1788 +3348 4600 +-1677 -1238 +-908 3838 +395 1483 +-3196 -2172 +-4891 559 +1407 -2604 +979 1098 +666 3094 +1891 5389 +-4063 1759 +-1034 -3130 +-1024 424 +-299 685 +198 -45 +-341 59 +-1264 -2302 +-4581 3349 +-643 -3329 +1121 417 +-3072 0 +1121 -417 +-643 3329 +-4581 -3349 +-1264 2302 +-341 -59 +198 45 +-299 -685 +-1024 -424 +1147 5757 +4513 1825 +-855 824 +-3940 -1638 +7522 -1549 +3973 -1182 +-2934 3106 +1024 4096 +-2262 1762 +3307 3097 +6151 -290 +-156 -1038 +388 -3654 +-2345 3118 +-1642 3925 +-1024 -2472 +-2752 1946 +-1166 2537 +-5432 2143 +-2832 -1194 +2940 -1202 +355 617 +1827 -3090 +5120 0 +1827 3090 +355 -617 +2940 1202 +-2832 1194 +-5432 -2143 +-1166 -2537 +-2752 -1946 +-1024 2472 +-1642 -3925 +-2345 -3118 +388 3654 +-156 1038 +6151 290 +3307 -3097 +-2262 -1762 +1024 -4096 +-2934 -3106 +3973 1182 +7522 1549 +-3940 1638 +-855 -824 +4513 -1825 +1147 -5757 +-1024 424 +-299 685 +198 -45 +-341 59 +-1264 -2302 +-4581 3349 +-643 -3329 +1121 417 +3496 -2896 +-120 2100 +-1921 1548 +-3493 -1210 +1084 1578 +3029 -5302 +-1762 -989 +-2543 2833 +-3072 0 +-2543 -2833 +-1762 989 +3029 5302 +1084 -1578 +-3493 1210 +-1921 -1548 +-120 -2100 +3496 2896 +-4039 2987 +-4981 4550 +2558 4293 +-144 2362 +-154 -2263 +363 701 +794 -1730 +1024 -2048 +389 2013 +-2855 -5211 +-2876 -4642 +3640 314 +1037 -3201 +701 -3578 +1246 1472 +600 2896 +1345 5961 +-791 -987 +3683 -2059 +-484 470 +-3783 -4437 +3054 3766 +2927 -1098 +1024 0 +2927 1098 +3054 -3766 +-3783 4437 +-484 -470 +3683 2059 +-791 987 +1345 -5961 +600 -2896 +1246 -1472 +701 3578 +1037 3201 +3640 -314 +-2876 4642 +-2855 5211 +389 -2013 +1024 2048 +794 1730 +363 -701 +-154 2263 +-144 -2362 +2558 -4293 +-4981 -4550 +-4039 -2987 +3496 -2896 +-120 2100 +-1921 1548 +-3493 -1210 +1084 1578 +3029 -5302 +-1762 -989 +-2543 2833 +3196 724 +-2679 -103 +2291 -2387 +6741 -1618 +1441 1860 +-4195 -6256 +-1669 -342 +588 -188 +-1024 0 +588 188 +-1669 342 +-4195 6256 +1441 -1860 +6741 1618 +2291 2387 +-2679 103 +3196 -724 +4869 -2748 +1092 2109 +2272 3950 +2898 2310 +-631 -4956 +-4417 892 +-538 1219 +0 -1024 +552 4154 +5320 552 +-3670 1142 +-4346 -2634 +-710 -2814 +-5320 -2015 +1175 -3683 +-1148 -724 +-2717 -703 +4834 1159 +833 958 +8 -2708 +-640 -1319 +-2130 2898 +-1251 -141 +-3072 0 +-1251 141 +-2130 -2898 +-640 1319 +8 2708 +833 -958 +4834 -1159 +-2717 703 +-1148 724 +1175 3683 +-5320 2015 +-710 2814 +-4346 2634 +-3670 -1142 +5320 -552 +552 -4154 +0 1024 +-538 -1219 +-4417 -892 +-631 4956 +2898 -2310 +2272 -3950 +1092 -2109 +4869 2748 +3196 724 +-2679 -103 +2291 -2387 +6741 -1618 +1441 1860 +-4195 -6256 +-1669 -342 +588 -188 +-2472 424 +42 -2055 +-220 -3771 +-939 -3731 +350 -1988 +-1340 -3603 +-5733 -5062 +-589 -2105 +5120 0 +-589 2105 +-5733 5062 +-1340 3603 +350 1988 +-939 3731 +-220 3771 +42 2055 +-2472 -424 +-456 -1801 +232 1064 +-906 895 +2022 -3216 +-5682 -1664 +1422 479 +3269 -506 +-3072 2048 +3696 1735 +-2102 -2041 +717 194 +-2870 -568 +-1042 -5604 +8016 -1309 +-206 2307 +424 -2472 +-1835 -388 +-2236 5495 +2209 2007 +4594 -3556 +1192 -3748 +620 -1554 +1873 194 +-3072 0 +1873 -194 +620 1554 +1192 3748 +4594 3556 +2209 -2007 +-2236 -5495 +-1835 388 +424 2472 +-206 -2307 +8016 1309 +-1042 5604 +-2870 568 +717 -194 +-2102 2041 +3696 -1735 +-3072 -2048 +3269 506 +1422 -479 +-5682 1664 +2022 3216 +-906 -895 +232 -1064 +-456 1801 +-2472 424 +42 -2055 +-220 -3771 +-939 -3731 +350 -1988 +-1340 -3603 +-5733 -5062 +-589 -2105 +-724 -724 +-717 220 +-931 670 +-2193 814 +1670 1914 +-5032 -655 +-2232 -1510 +2901 4413 +-2048 0 +2901 -4413 +-2232 1510 +-5032 655 +1670 -1914 +-2193 -814 +-931 -670 +-717 -220 +-724 724 +2221 -1057 +-906 2583 +-454 -2224 +-332 -6888 +2150 -633 +5367 -255 +5415 1632 +5120 -1024 +-2387 1219 +-1279 -883 +3927 -4493 +-1116 104 +-4634 -2755 +-5251 -574 +-1176 -1546 +724 724 +352 1746 +2992 270 +-966 -2024 +-222 -3113 +1410 1908 +-5951 -3213 +-816 3423 +8192 0 +-816 -3423 +-5951 3213 +1410 -1908 +-222 3113 +-966 2024 +2992 -270 +352 -1746 +724 -724 +-1176 1546 +-5251 574 +-4634 2755 +-1116 -104 +3927 4493 +-1279 883 +-2387 -1219 +5120 1024 +5415 -1632 +5367 255 +2150 633 +-332 6888 +-454 2224 +-906 -2583 +2221 1057 +-724 -724 +-717 220 +-931 670 +-2193 814 +1670 1914 +-5032 -655 +-2232 -1510 +2901 4413 +-176 -3072 +3471 -1081 +-134 1732 +827 2774 +710 3196 +62 1554 +720 -744 +-257 1701 +3072 0 +-257 -1701 +720 744 +62 -1554 +710 -3196 +827 -2774 +-134 -1732 +3471 1081 +-176 3072 +-282 55 +-2635 1254 +-1657 1717 +2602 -1148 +611 5310 +-932 -954 +1817 -4613 +1024 4096 +-1565 -469 +5056 -4295 +3693 -4045 +1494 1148 +-2214 3749 +79 589 +3003 -1873 +-5968 -3072 +727 4817 +-206 500 +-2957 -1054 +3386 3196 +1636 -3028 +-1948 300 +-6914 -85 +-9216 0 +-6914 85 +-1948 -300 +1636 3028 +3386 -3196 +-2957 1054 +-206 -500 +727 -4817 +-5968 3072 +3003 1873 +79 -589 +-2214 -3749 +1494 -1148 +3693 4045 +5056 4295 +-1565 469 +1024 -4096 +1817 4613 +-932 954 +611 -5310 +2602 1148 +-1657 -1717 +-2635 -1254 +-282 -55 +-176 -3072 +3471 -1081 +-134 1732 +827 2774 +710 3196 +62 1554 +720 -744 +-257 1701 +-900 900 +-2321 -1773 +2894 -3308 +-3751 -990 +-2154 1006 +-871 -1745 +-1251 -619 +4802 6136 +2048 0 +4802 -6136 +-1251 619 +-871 1745 +-2154 -1006 +-3751 990 +2894 3308 +-2321 1773 +-900 -900 +-2457 -1946 +-4226 621 +-1406 3848 +3972 -776 +1045 397 +-3422 3993 +4129 -516 +3072 1024 +6353 838 +2093 1162 +-2768 1702 +972 -2224 +-378 2777 +3338 776 +-923 586 +-5244 5244 +1029 -2688 +3787 -632 +-496 1118 +1306 -2454 +2833 1851 +-3213 1883 +-4819 2209 +-4096 0 +-4819 -2209 +-3213 -1883 +2833 -1851 +1306 2454 +-496 -1118 +3787 632 +1029 2688 +-5244 -5244 +-923 -586 +3338 -776 +-378 -2777 +972 2224 +-2768 -1702 +2093 -1162 +6353 -838 +3072 -1024 +4129 516 +-3422 -3993 +1045 -397 +3972 776 +-1406 -3848 +-4226 -621 +-2457 1946 +-900 900 +-2321 -1773 +2894 -3308 +-3751 -990 +-2154 1006 +-871 -1745 +-1251 -619 +4802 6136 +3620 -1748 +3396 1425 +1686 6428 +2467 2108 +-3124 -92 +-1821 -736 +-3365 3734 +20 1996 +7168 0 +20 -1996 +-3365 -3734 +-1821 736 +-3124 92 +2467 -2108 +1686 -6428 +3396 -1425 +3620 1748 +-6516 906 +912 2389 +-516 1911 +-6250 2694 +3698 -68 +2145 2341 +518 -488 +0 -3072 +-172 -2779 +2239 -2787 +1412 -422 +-2790 -802 +-6193 992 +4152 -3847 +1619 -2995 +-3620 -300 +3069 -1541 +243 -3976 +457 -4595 +-124 692 +495 -1186 +181 -173 +-1933 -504 +1024 0 +-1933 504 +181 173 +495 1186 +-124 -692 +457 4595 +243 3976 +3069 1541 +-3620 300 +1619 2995 +4152 3847 +-6193 -992 +-2790 802 +1412 422 +2239 2787 +-172 2779 +0 3072 +518 488 +2145 -2341 +3698 68 +-6250 -2694 +-516 -1911 +912 -2389 +-6516 -906 +3620 -1748 +3396 1425 +1686 6428 +2467 2108 +-3124 -92 +-1821 -736 +-3365 3734 +20 1996 +-600 1624 +1825 1807 +3646 -3278 +-2832 -69 +-2916 -1638 +5546 -4333 +1164 -5042 +-2430 -1356 +4096 0 +-2430 1356 +1164 5042 +5546 4333 +-2916 1638 +-2832 69 +3646 3278 +1825 -1807 +-600 -1624 +545 5255 +-1438 1740 +2878 2350 +-1808 -1194 +-3208 -2549 +-509 5 +-2728 -2288 +-2048 0 +-3441 -689 +2897 1778 +-488 4206 +-240 2302 +1184 905 +-638 -1380 +4926 496 +-3496 4520 +-3512 5228 +-371 -2738 +337 -2503 +868 1038 +-3418 -1623 +3440 3918 +4814 3163 +0 0 +4814 -3163 +3440 -3918 +-3418 1623 +868 -1038 +337 2503 +-371 2738 +-3512 -5228 +-3496 -4520 +4926 -496 +-638 1380 +1184 -905 +-240 -2302 +-488 -4206 +2897 -1778 +-3441 689 +-2048 0 +-2728 2288 +-509 -5 +-3208 2549 +-1808 1194 +2878 -2350 +-1438 -1740 +545 -5255 +-600 1624 +1825 1807 +3646 -3278 +-2832 -69 +-2916 -1638 +5546 -4333 +1164 -5042 +-2430 -1356 +4944 5968 +2081 -3029 +862 -5214 +-564 1883 +-600 3954 +1259 790 +1302 2288 +-21 -3831 +-2048 0 +-21 3831 +1302 -2288 +1259 -790 +-600 -3954 +-564 -1883 +862 5214 +2081 3029 +4944 -5968 +1178 -739 +1436 1906 +1533 -4708 +-3496 -495 +-464 -1354 +3948 15 +2120 377 +-2048 -2048 +1375 -2815 +2105 -141 +-2033 3423 +-3496 954 +-1999 3098 +392 3170 +-5208 3547 +-848 176 +-948 1284 +-4387 2382 +3927 -4542 +-600 -2506 +4135 -685 +2534 1652 +-6371 399 +-2048 0 +-6371 -399 +2534 -1652 +4135 685 +-600 2506 +3927 4542 +-4387 -2382 +-948 -1284 +-848 -176 +-5208 -3547 +392 -3170 +-1999 -3098 +-3496 -954 +-2033 -3423 +2105 141 +1375 2815 +-2048 2048 +2120 -377 +3948 -15 +-464 1354 +-3496 495 +1533 4708 +1436 -1906 +1178 739 +4944 5968 +2081 -3029 +862 -5214 +-564 1883 +-600 3954 +1259 790 +1302 2288 +-21 -3831 +2772 -4220 +1835 1123 +-3815 -2550 +-3742 -6332 +-92 5200 +-2052 542 +3568 -4370 +7198 -5628 +4096 0 +7198 5628 +3568 4370 +-2052 -542 +-92 -5200 +-3742 6332 +-3815 2550 +1835 -1123 +2772 4220 +3159 934 +-1191 -2327 +-2839 -253 +2694 1645 +-365 -4036 +-1783 2423 +2404 2010 +-1024 -1024 +-1994 -1594 +399 -2589 +-240 4808 +802 -403 +59 737 +-413 -420 +1659 -2303 +1324 124 +707 -3711 +-1573 1561 +-3055 -1815 +692 -3152 +649 -833 +-3384 -3538 +-3382 2673 +-2048 0 +-3382 -2673 +-3384 3538 +649 833 +692 3152 +-3055 1815 +-1573 -1561 +707 3711 +1324 -124 +1659 2303 +-413 420 +59 -737 +802 403 +-240 -4808 +399 2589 +-1994 1594 +-1024 1024 +2404 -2010 +-1783 -2423 +-365 4036 +2694 -1645 +-2839 253 +-1191 2327 +3159 -934 +2772 -4220 +1835 1123 +-3815 -2550 +-3742 -6332 +-92 5200 +-2052 542 +3568 -4370 +7198 -5628 +600 -1448 +-2357 -2091 +-1576 -1072 +-6417 995 +-1664 1182 +2793 4851 +3128 -1457 +757 1061 +-5120 0 +757 -1061 +3128 1457 +2793 -4851 +-1664 -1182 +-6417 -995 +-1576 1072 +-2357 2091 +600 1448 +2139 1690 +-849 -1153 +-111 344 +1352 -1818 +841 932 +-5081 1240 +-780 -2043 +3072 0 +-686 -142 +733 -2544 +-1478 2712 +-4000 2278 +-1294 -5989 +-615 415 +-806 4281 +3496 1448 +-1192 -1630 +-2753 2639 +2471 2119 +-3880 -5278 +3195 -1815 +7012 -2328 +2925 2719 +7168 0 +2925 -2719 +7012 2328 +3195 1815 +-3880 5278 +2471 -2119 +-2753 -2639 +-1192 1630 +3496 -1448 +-806 -4281 +-615 -415 +-1294 5989 +-4000 -2278 +-1478 -2712 +733 2544 +-686 142 +3072 0 +-780 2043 +-5081 -1240 +841 -932 +1352 1818 +-111 -344 +-849 1153 +2139 -1690 +600 -1448 +-2357 -2091 +-1576 -1072 +-6417 995 +-1664 1182 +2793 4851 +3128 -1457 +757 1061 +0 1448 +-1638 1843 +5511 2694 +-2087 3179 +-1932 1578 +2149 -680 +-368 426 +1469 2292 +-1024 0 +1469 -2292 +-368 -426 +2149 680 +-1932 -1578 +-2087 -3179 +5511 -2694 +-1638 -1843 +0 -1448 +-570 -892 +-6315 1567 +-3488 4027 +5088 2362 +1458 266 +-553 1615 +1789 -6 +-3072 -4096 +5666 -90 +1676 6679 +892 3981 +1304 314 +-7140 4155 +298 4751 +-1249 -575 +0 -1448 +353 1058 +-2391 1690 +2899 2374 +-364 470 +-476 -4165 +2141 -2354 +-27 2608 +-1024 0 +-27 -2608 +2141 2354 +-476 4165 +-364 -470 +2899 -2374 +-2391 -1690 +353 -1058 +0 1448 +-1249 575 +298 -4751 +-7140 -4155 +1304 -314 +892 -3981 +1676 -6679 +5666 90 +-3072 4096 +1789 6 +-553 -1615 +1458 -266 +5088 -2362 +-3488 -4027 +-6315 -1567 +-570 892 +0 1448 +-1638 1843 +5511 2694 +-2087 3179 +-1932 1578 +2149 -680 +-368 426 +1469 2292 +1448 1872 +-4263 1370 +-2119 -952 +-2228 -594 +-4474 -3456 +630 425 +2999 -1041 +3361 36 +4096 0 +3361 -36 +2999 1041 +630 -425 +-4474 3456 +-2228 594 +-2119 952 +-4263 -1370 +1448 -1872 +2486 4076 +3295 4557 +2812 -746 +534 -6472 +-185 -198 +-629 1463 +3848 -2044 +4096 0 +2639 2372 +-2166 -3926 +-785 -2290 +3210 1120 +-3507 -4047 +149 5941 +-828 934 +-1448 -3920 +1717 4048 +-4222 1136 +-1541 -122 +-3366 -1240 +-989 2467 +2693 2645 +-3168 -1045 +-4096 0 +-3168 1045 +2693 -2645 +-989 -2467 +-3366 1240 +-1541 122 +-4222 -1136 +1717 -4048 +-1448 3920 +-828 -934 +149 -5941 +-3507 4047 +3210 -1120 +-785 2290 +-2166 3926 +2639 -2372 +4096 0 +3848 2044 +-629 -1463 +-185 198 +534 6472 +2812 746 +3295 -4557 +2486 -4076 +1448 1872 +-4263 1370 +-2119 -952 +-2228 -594 +-4474 -3456 +630 425 +2999 -1041 +3361 36 +-3620 -1324 +-8483 -3453 +-522 -2304 +-1357 -3229 +2380 -392 +2618 3388 +-537 -4582 +-328 1653 +-4096 0 +-328 -1653 +-537 4582 +2618 -3388 +2380 392 +-1357 3229 +-522 2304 +-8483 3453 +-3620 1324 +-932 2386 +-3618 2804 +2281 -1434 +2270 946 +2673 1930 +-35 -7553 +556 272 +1024 3072 +-1122 -3114 +1667 -1501 +109 244 +378 946 +-4107 -2397 +-3218 976 +6936 -2553 +3620 -2772 +-2635 969 +-2530 -1221 +727 1586 +3164 392 +2848 39 +601 746 +215 3209 +2048 0 +215 -3209 +601 -746 +2848 -39 +3164 -392 +727 -1586 +-2530 1221 +-2635 -969 +3620 2772 +6936 2553 +-3218 -976 +-4107 2397 +378 -946 +109 -244 +1667 1501 +-1122 3114 +1024 -3072 +556 -272 +-35 7553 +2673 -1930 +2270 -946 +2281 1434 +-3618 -2804 +-932 -2386 +-3620 -1324 +-8483 -3453 +-522 -2304 +-1357 -3229 +2380 -392 +2618 3388 +-537 -4582 +-328 1653 +-2896 -1624 +690 -3300 +2387 -4247 +-302 -247 +-3700 -790 +3588 3078 +7352 2007 +109 -2916 +-2048 0 +109 2916 +7352 -2007 +3588 -3078 +-3700 790 +-302 247 +2387 4247 +690 3300 +-2896 1624 +1088 -3917 +-1355 -6024 +-4927 -2324 +84 -6138 +-598 -2077 +-882 3100 +-2453 1340 +-2048 -4096 +-739 1223 +594 -331 +1925 -1158 +-2132 7247 +194 -4246 +387 -2372 +1305 -1625 +2896 -4520 +-1516 1808 +-220 -3189 +1251 -107 +1652 1886 +-1131 -591 +-71 -142 +1515 -984 +-2048 0 +1515 984 +-71 142 +-1131 591 +1652 -1886 +1251 107 +-220 3189 +-1516 -1808 +2896 4520 +1305 1625 +387 2372 +194 4246 +-2132 -7247 +1925 1158 +594 331 +-739 -1223 +-2048 4096 +-2453 -1340 +-882 -3100 +-598 2077 +84 6138 +-4927 2324 +-1355 6024 +1088 3917 +-2896 -1624 +690 -3300 +2387 -4247 +-302 -247 +-3700 -790 +3588 3078 +7352 2007 +109 -2916 +300 -3796 +-657 1283 +-3115 1797 +-3605 1588 +-2044 5212 +-5162 -2670 +-1942 -612 +-50 2174 +-2048 0 +-50 -2174 +-1942 612 +-5162 2670 +-2044 -5212 +-3605 -1588 +-3115 -1797 +-657 -1283 +300 3796 +6066 2206 +-1040 -377 +-4759 -1554 +3078 2426 +3912 3499 +2052 -592 +1589 -3549 +5120 1024 +76 -2105 +-4100 192 +-1560 3405 +-1030 -4318 +2907 1992 +-1008 1515 +-1326 -1034 +1748 -2348 +1710 -4523 +1067 95 +-336 1958 +4092 4428 +-2984 2576 +-106 1396 +4178 -730 +-4096 0 +4178 730 +-106 -1396 +-2984 -2576 +4092 -4428 +-336 -1958 +1067 -95 +1710 4523 +1748 2348 +-1326 1034 +-1008 -1515 +2907 -1992 +-1030 4318 +-1560 -3405 +-4100 -192 +76 2105 +5120 -1024 +1589 3549 +2052 592 +3912 -3499 +3078 -2426 +-4759 1554 +-1040 377 +6066 -2206 +300 -3796 +-657 1283 +-3115 1797 +-3605 1588 +-2044 5212 +-5162 -2670 +-1942 -612 +-50 2174 +1748 4220 +-167 1920 +-3753 -2675 +114 594 +692 -2504 +3784 -7922 +4701 5192 +-1112 -3210 +-3072 0 +-1112 3210 +4701 -5192 +3784 7922 +692 2504 +114 -594 +-3753 2675 +-167 -1920 +1748 -4220 +-398 -1358 +617 643 +488 -1960 +802 1950 +-2382 525 +-1993 3381 +-1215 3675 +-4096 1024 +-139 1497 +321 4009 +590 7499 +2694 -3842 +-483 -3209 +-3188 3799 +-4596 2912 +300 -124 +2265 -1985 +532 1736 +2778 -2419 +-92 -3288 +905 335 +2764 -468 +-430 -904 +-1024 0 +-430 904 +2764 468 +905 -335 +-92 3288 +2778 2419 +532 -1736 +2265 1985 +300 124 +-4596 -2912 +-3188 -3799 +-483 3209 +2694 3842 +590 -7499 +321 -4009 +-139 -1497 +-4096 -1024 +-1215 -3675 +-1993 -3381 +-2382 -525 +802 -1950 +488 1960 +617 -643 +-398 1358 +1748 4220 +-167 1920 +-3753 -2675 +114 594 +692 -2504 +3784 -7922 +4701 5192 +-1112 -3210 +-1024 2472 +-1941 -695 +-1701 -3139 +6953 1359 +240 170 +-977 2 +2520 202 +235 2683 +3072 0 +235 -2683 +2520 -202 +-977 -2 +240 -170 +6953 -1359 +-1701 3139 +-1941 695 +-1024 -2472 +-1839 -2046 +-3463 2240 +-4096 3252 +2916 -2062 +2249 1133 +1586 -913 +-887 4398 +-1024 4096 +-1296 -942 +-4041 7032 +2919 4736 +-868 -614 +-2381 -1203 +2783 -371 +-1949 -1391 +-1024 -424 +617 -291 +-3412 -1169 +-2931 -2398 +1808 1278 +4057 4617 +5728 -2146 +1269 4321 +-5120 0 +1269 -4321 +5728 2146 +4057 -4617 +1808 -1278 +-2931 2398 +-3412 1169 +617 291 +-1024 424 +-1949 1391 +2783 371 +-2381 1203 +-868 614 +2919 -4736 +-4041 -7032 +-1296 942 +-1024 -4096 +-887 -4398 +1586 913 +2249 -1133 +2916 2062 +-4096 -3252 +-3463 -2240 +-1839 2046 +-1024 2472 +-1941 -695 +-1701 -3139 +6953 1359 +240 170 +-977 2 +2520 202 +235 2683 +3496 -1024 +-2643 -1945 +-2020 -50 +-536 953 +0 -1094 +6279 8723 +-55 5312 +-3959 1531 +2048 0 +-3959 -1531 +-55 -5312 +6279 -8723 +0 1094 +-536 -953 +-2020 50 +-2643 1945 +3496 1024 +4942 -2735 +-2091 -3407 +-4636 863 +0 -6002 +-931 19 +221 -279 +-830 -2972 +-4096 -2048 +1733 -3183 +887 3181 +-1240 -2752 +0 -458 +615 4096 +4767 -406 +2010 -73 +600 -1024 +1042 694 +-656 3050 +2340 -2257 +0 -1553 +-1891 3747 +-1053 -1852 +-2295 -2262 +-2048 0 +-2295 2262 +-1053 1852 +-1891 -3747 +0 1553 +2340 2257 +-656 -3050 +1042 -694 +600 1024 +2010 73 +4767 406 +615 -4096 +0 458 +-1240 2752 +887 -3181 +1733 3183 +-4096 2048 +-830 2972 +221 279 +-931 -19 +0 6002 +-4636 -863 +-2091 3407 +4942 2735 +3496 -1024 +-2643 -1945 +-2020 -50 +-536 953 +0 -1094 +6279 8723 +-55 5312 +-3959 1531 +3072 1448 +-144 -1187 +4148 -4197 +2861 1616 +-3954 2132 +454 -3755 +1490 1310 +-3807 -3080 +-6144 0 +-3807 3080 +1490 -1310 +454 3755 +-3954 -2132 +2861 -1616 +4148 4197 +-144 1187 +3072 -1448 +850 3414 +-225 5307 +-1123 3496 +495 3700 +3419 -1930 +48 -129 +1583 3204 +-2048 2048 +-3366 -3874 +-492 -3144 +-967 1776 +954 1652 +-917 4517 +-2007 75 +-2121 2361 +3072 -1448 +-593 -5530 +-4812 1861 +6539 -283 +2506 -84 +1320 -420 +1850 -1429 +-3987 -1469 +-2048 0 +-3987 1469 +1850 1429 +1320 420 +2506 84 +6539 283 +-4812 -1861 +-593 5530 +3072 1448 +-2121 -2361 +-2007 -75 +-917 -4517 +954 -1652 +-967 -1776 +-492 3144 +-3366 3874 +-2048 -2048 +1583 -3204 +48 129 +3419 1930 +495 -3700 +-1123 -3496 +-225 -5307 +850 -3414 +3072 1448 +-144 -1187 +4148 -4197 +2861 1616 +-3954 2132 +454 -3755 +1490 1310 +-3807 -3080 +-1748 2172 +162 1041 +5675 -609 +-5165 1344 +296 1260 +1110 3035 +-3935 -720 +-801 953 +-3072 0 +-801 -953 +-3935 720 +1110 -3035 +296 -1260 +-5165 -1344 +5675 609 +162 -1041 +-1748 -2172 +1191 -1994 +-2772 -1405 +-1101 2497 +-3378 -1186 +181 -5792 +613 -4868 +-1850 2804 +2048 1024 +2349 4480 +-338 6876 +-2484 -2775 +730 862 +1872 2117 +1700 3108 +1873 3189 +-300 -2172 +1238 -2538 +2389 529 +-2286 -3053 +-5840 -3308 +2081 4445 +4860 -321 +1631 -166 +3072 0 +1631 166 +4860 321 +2081 -4445 +-5840 3308 +-2286 3053 +2389 -529 +1238 2538 +-300 2172 +1873 -3189 +1700 -3108 +1872 -2117 +730 -862 +-2484 2775 +-338 -6876 +2349 -4480 +2048 -1024 +-1850 -2804 +613 4868 +181 5792 +-3378 1186 +-1101 -2497 +-2772 1405 +1191 1994 +-1748 2172 +162 1041 +5675 -609 +-5165 1344 +296 1260 +1110 3035 +-3935 -720 +-801 953 +-2172 -1148 +-257 -2311 +1906 362 +1323 -253 +-1645 18 +-2259 -4985 +2368 2095 +438 -686 +-5120 0 +438 686 +2368 -2095 +-2259 4985 +-1645 -18 +1323 253 +1906 -362 +-257 2311 +-2172 1148 +-2778 -5793 +680 -2693 +2613 -1322 +3152 1800 +5605 1449 +723 2538 +-1851 -2384 +-2048 1024 +-206 6058 +4341 -3111 +-5089 572 +-5200 1200 +888 3249 +-3864 6311 +1429 1717 +2172 3196 +38 2902 +2478 -2446 +-1040 -1177 +-403 3478 +-2042 -1892 +-440 -2448 +3186 2208 +1024 0 +3186 -2208 +-440 2448 +-2042 1892 +-403 -3478 +-1040 1177 +2478 2446 +38 -2902 +2172 -3196 +1429 -1717 +-3864 -6311 +888 -3249 +-5200 -1200 +-5089 -572 +4341 3111 +-206 -6058 +-2048 -1024 +-1851 2384 +723 -2538 +5605 -1449 +3152 -1800 +2613 1322 +680 2693 +-2778 5793 +-2172 -1148 +-257 -2311 +1906 362 +1323 -253 +-1645 18 +-2259 -4985 +2368 2095 +438 -686 +-5244 -4220 +125 611 +-1118 1027 +-1349 4641 +-432 3888 +-2009 -664 +-1271 3538 +-158 1399 +5120 0 +-158 -1399 +-1271 -3538 +-2009 664 +-432 -3888 +-1349 -4641 +-1118 -1027 +125 -611 +-5244 4220 +2803 273 +-2676 -4339 +-718 -1668 +6818 -346 +794 543 +2347 -248 +-571 -539 +0 -1024 +566 37 +2441 601 +748 -1775 +-426 -1546 +5712 -1279 +564 605 +-1011 639 +-900 124 +-4813 -1445 +-2562 -5971 +-749 2739 +-1865 3104 +3364 -8646 +2275 -4386 +-2734 377 +-1024 0 +-2734 -377 +2275 4386 +3364 8646 +-1865 -3104 +-749 -2739 +-2562 5971 +-4813 1445 +-900 -124 +-1011 -639 +564 -605 +5712 1279 +-426 1546 +748 1775 +2441 -601 +566 -37 +0 1024 +-571 539 +2347 248 +794 -543 +6818 346 +-718 1668 +-2676 4339 +2803 -273 +-5244 -4220 +125 611 +-1118 1027 +-1349 4641 +-432 3888 +-2009 -664 +-1271 3538 +-158 1399 +-3796 -2596 +34 3884 +3449 7966 +-875 -3094 +-3308 -2564 +-141 -495 +1260 7773 +1404 -1255 +2048 0 +1404 1255 +1260 -7773 +-141 495 +-3308 2564 +-875 3094 +3449 -7966 +34 -3884 +-3796 2596 +-1250 -5457 +542 2376 +-314 973 +-862 3118 +-1152 5110 +1377 -972 +4052 1197 +1024 1024 +-1376 -900 +671 2267 +43 443 +-1186 -1226 +1423 5882 +1506 3589 +-1426 5529 +-2348 4644 +-2709 -4042 +-1401 -961 +1984 -7 +1260 -1780 +-967 -596 +788 1259 +1271 -401 +0 0 +1271 401 +788 -1259 +-967 596 +1260 1780 +1984 7 +-1401 961 +-2709 4042 +-2348 -4644 +-1426 -5529 +1506 -3589 +1423 -5882 +-1186 1226 +43 -443 +671 -2267 +-1376 900 +1024 -1024 +4052 -1197 +1377 972 +-1152 -5110 +-862 -3118 +-314 -973 +542 -2376 +-1250 5457 +-3796 -2596 +34 3884 +3449 7966 +-875 -3094 +-3308 -2564 +-141 -495 +1260 7773 +1404 -1255 +4644 -1748 +3212 2895 +1451 1298 +1227 -2302 +-2330 -306 +-846 338 +-2042 -2050 +-1977 927 +0 0 +-1977 -927 +-2042 2050 +-846 -338 +-2330 306 +1227 2302 +1451 -1298 +3212 -2895 +4644 1748 +-1136 5889 +625 5976 +220 2785 +-1996 -2768 +-2949 1606 +1438 5044 +2746 1375 +-1024 3072 +-200 -3015 +1838 -1600 +3644 5303 +-4996 -3368 +139 -1428 +1763 1047 +-5351 -3439 +-2596 -300 +-3405 -191 +3154 766 +-257 1634 +1130 3802 +4615 1112 +-34 -5794 +319 922 +-2048 0 +319 -922 +-34 5794 +4615 -1112 +1130 -3802 +-257 -1634 +3154 -766 +-3405 191 +-2596 300 +-5351 3439 +1763 -1047 +139 1428 +-4996 3368 +3644 -5303 +1838 1600 +-200 3015 +-1024 -3072 +2746 -1375 +1438 -5044 +-2949 -1606 +-1996 2768 +220 -2785 +625 -5976 +-1136 -5889 +4644 -1748 +3212 2895 +1451 1298 +1227 -2302 +-2330 -306 +-846 338 +-2042 -2050 +-1977 927 +-5244 -7292 +1200 -1957 +2771 7134 +1833 -245 +-3308 2500 +2306 1997 +3856 1296 +-1804 -700 +0 0 +-1804 700 +3856 -1296 +2306 -1997 +-3308 -2500 +1833 245 +2771 -7134 +1200 1957 +-5244 7292 +-3127 -1443 +1801 4425 +-2364 2468 +-862 -66 +645 336 +-311 -4005 +-461 -4093 +1024 -1024 +461 -1087 +-537 1762 +-645 -3 +-1186 -5610 +2364 -3252 +3143 -2243 +3127 -1176 +-900 -2948 +-1200 2224 +2173 183 +-1833 -5475 +1260 148 +-2306 -2336 +-4705 2073 +1804 3706 +2048 0 +1804 -3706 +-4705 -2073 +-2306 2336 +1260 -148 +-1833 5475 +2173 -183 +-1200 -2224 +-900 2948 +3127 1176 +3143 2243 +2364 3252 +-1186 5610 +-645 3 +-537 -1762 +461 1087 +1024 1024 +-461 4093 +-311 4005 +645 -336 +-862 66 +-2364 -2468 +1801 -4425 +-3127 1443 +-5244 -7292 +1200 -1957 +2771 7134 +1833 -245 +-3308 2500 +2306 1997 +3856 1296 +-1804 -700 +-2772 -2172 +2667 -796 +6761 -545 +358 714 +3562 2994 +5427 1412 +-1345 815 +-2000 1150 +-2048 0 +-2000 -1150 +-1345 -815 +5427 -1412 +3562 -2994 +358 -714 +6761 545 +2667 796 +-2772 2172 +1103 -375 +2576 -1617 +-760 -405 +452 2440 +-1727 2854 +-474 -3485 +1444 -127 +-3072 5120 +-2993 -467 +868 -1437 +1872 -1270 +-1900 -1656 +1800 -1633 +-5984 -3665 +-8076 2181 +-1324 2172 +-806 -1761 +6535 2593 +-3853 513 +-2114 1102 +2675 2712 +-747 -2863 +2870 -810 +0 0 +2870 810 +-747 2863 +2675 -2712 +-2114 -1102 +-3853 -513 +6535 -2593 +-806 1761 +-1324 -2172 +-8076 -2181 +-5984 3665 +1800 1633 +-1900 1656 +1872 1270 +868 1437 +-2993 467 +-3072 -5120 +1444 127 +-474 3485 +-1727 -2854 +452 -2440 +-760 405 +2576 1617 +1103 375 +-2772 -2172 +2667 -796 +6761 -545 +358 714 +3562 2994 +5427 1412 +-1345 815 +-2000 1150 +2472 -2896 +1556 -1685 +-3189 -6397 +-333 -2305 +-1049 3366 +-4572 -1738 +-1501 -1063 +1910 -120 +4096 0 +1910 120 +-1501 1063 +-4572 1738 +-1049 -3366 +-333 2305 +-3189 6397 +1556 1685 +2472 2896 +-1201 749 +-796 -30 +-3143 -989 +-3844 -3210 +7547 754 +3232 482 +-819 823 +4096 2048 +1216 380 +956 2099 +-1383 2986 +5292 534 +141 -1653 +-4813 -1885 +426 -2592 +-424 2896 +3682 5025 +-3988 -2451 +-3346 2969 +-399 4474 +-705 -494 +1905 3880 +-979 564 +0 0 +-979 -564 +1905 -3880 +-705 494 +-399 -4474 +-3346 -2969 +-3988 2451 +3682 -5025 +-424 -2896 +426 2592 +-4813 1885 +141 1653 +5292 -534 +-1383 -2986 +956 -2099 +1216 -380 +4096 -2048 +-819 -823 +3232 -482 +7547 -754 +-3844 3210 +-3143 989 +-796 30 +-1201 -749 +2472 -2896 +1556 -1685 +-3189 -6397 +-333 -2305 +-1049 3366 +-4572 -1738 +-1501 -1063 +1910 -120 +0 0 +83 1837 +-1507 4870 +5294 -4430 +3400 -2002 +1653 1087 +82 -2488 +1386 965 +7168 0 +1386 -965 +82 2488 +1653 -1087 +3400 2002 +5294 4430 +-1507 -4870 +83 -1837 +0 0 +-464 -1787 +2794 801 +-5454 1944 +-1832 110 +4641 -563 +-137 2948 +-379 -219 +-3072 -2048 +-5277 -2425 +-3543 3039 +-480 -241 +384 -2786 +-1303 5131 +1995 -3515 +205 -2841 +0 0 +5527 3422 +2511 4798 +-754 -1832 +-1952 -894 +-3598 -2021 +-2194 180 +-1081 -5051 +-1024 0 +-1081 5051 +-2194 -180 +-3598 2021 +-1952 894 +-754 1832 +2511 -4798 +5527 -3422 +0 0 +205 2841 +1995 3515 +-1303 -5131 +384 2786 +-480 241 +-3543 -3039 +-5277 2425 +-3072 2048 +-379 219 +-137 -2948 +4641 563 +-1832 -110 +-5454 -1944 +2794 -801 +-464 1787 +0 0 +83 1837 +-1507 4870 +5294 -4430 +3400 -2002 +1653 1087 +82 -2488 +1386 965 +-2172 1748 +824 -4535 +-2519 -1004 +-1619 1270 +2394 822 +-3800 -3668 +-2851 -3571 +3738 371 +7168 0 +3738 -371 +-2851 3571 +-3800 3668 +2394 -822 +-1619 -1270 +-2519 1004 +824 4535 +-2172 -1748 +-1655 -1545 +-5149 1454 +-2530 -5805 +-1056 4612 +1980 4280 +3233 -4331 +1075 693 +2048 -3072 +-4077 -1588 +-817 1397 +-3861 -1279 +-1840 -3828 +3256 -1610 +-3579 4194 +130 69 +2172 300 +3597 3252 +1358 2048 +-2004 29 +502 -1070 +2784 1005 +2131 -590 +2160 246 +5120 0 +2160 -246 +2131 590 +2784 -1005 +502 1070 +-2004 -29 +1358 -2048 +3597 -3252 +2172 -300 +130 -69 +-3579 -4194 +3256 1610 +-1840 3828 +-3861 1279 +-817 -1397 +-4077 1588 +2048 3072 +1075 -693 +3233 4331 +1980 -4280 +-1056 -4612 +-2530 5805 +-5149 -1454 +-1655 1545 +-2172 1748 +824 -4535 +-2519 -1004 +-1619 1270 +2394 822 +-3800 -3668 +-2851 -3571 +3738 371 +0 -3920 +-476 1422 +5296 -2175 +3292 2734 +-5194 3380 +369 2690 +-1002 3961 +139 297 +10240 0 +139 -297 +-1002 -3961 +369 -2690 +-5194 -3380 +3292 -2734 +5296 2175 +-476 -1422 +0 3920 +2411 4170 +-140 1182 +127 -2453 +-626 -6536 +-2103 4194 +2995 -1243 +379 -3193 +0 0 +1143 -2163 +281 -799 +-660 -1339 +-5518 2752 +461 -2172 +2528 -1050 +517 4966 +0 1872 +-4019 -1275 +-691 2840 +-97 6684 +-950 1813 +-1390 914 +-1074 -621 +-93 83 +-2048 0 +-93 -83 +-1074 621 +-1390 -914 +-950 -1813 +-97 -6684 +-691 -2840 +-4019 1275 +0 -1872 +517 -4966 +2528 1050 +461 2172 +-5518 -2752 +-660 1339 +281 799 +1143 2163 +0 0 +379 3193 +2995 1243 +-2103 -4194 +-626 6536 +127 2453 +-140 -1182 +2411 -4170 +0 -3920 +-476 1422 +5296 -2175 +3292 2734 +-5194 3380 +369 2690 +-1002 3961 +139 297 +1448 -2472 +2685 -2171 +1811 125 +4852 4779 +-1468 1638 +-438 -2382 +763 7869 +-2535 2787 +2048 0 +-2535 -2787 +763 -7869 +-438 2382 +-1468 -1638 +4852 -4779 +1811 -125 +2685 2171 +1448 2472 +-1052 -2357 +940 -2794 +678 -3582 +-3256 1194 +-119 -1081 +-2949 -4551 +-5491 2556 +0 0 +-2134 2960 +1501 3201 +-949 -2968 +-1688 -2302 +1011 -3828 +508 -540 +1763 2632 +-1448 424 +2843 -3617 +-363 -4864 +-4293 -2257 +2316 -1038 +5051 863 +-2211 1083 +-1872 826 +6144 0 +-1872 -826 +-2211 -1083 +5051 -863 +2316 1038 +-4293 2257 +-363 4864 +2843 3617 +-1448 -424 +1763 -2632 +508 540 +1011 3828 +-1688 2302 +-949 2968 +1501 -3201 +-2134 -2960 +0 0 +-5491 -2556 +-2949 4551 +-119 1081 +-3256 -1194 +678 3582 +940 2794 +-1052 2357 +1448 -2472 +2685 -2171 +1811 125 +4852 4779 +-1468 1638 +-438 -2382 +763 7869 +-2535 2787 +-600 424 +-1255 -6919 +901 -1771 +3109 2472 +1762 -7580 +-2500 -4145 +2937 -1049 +2026 -1599 +-2048 0 +2026 1599 +2937 1049 +-2500 4145 +1762 7580 +3109 -2472 +901 1771 +-1255 6919 +-600 -424 +6468 1756 +879 -45 +-2102 -3165 +-3026 -3915 +-777 -1588 +1574 2482 +1760 1690 +2048 2048 +-4451 -1331 +3489 -3846 +2269 -1633 +-1918 780 +-520 1042 +-4064 711 +-559 -1745 +-3496 -2472 +-870 1851 +3483 -2769 +1080 -2050 +-914 -12 +-560 316 +-1008 5809 +-3119 -2990 +-2048 0 +-3119 2990 +-1008 -5809 +-560 -316 +-914 12 +1080 2050 +3483 2769 +-870 -1851 +-3496 2472 +-559 1745 +-4064 -711 +-520 -1042 +-1918 -780 +2269 1633 +3489 3846 +-4451 1331 +2048 -2048 +1760 -1690 +1574 -2482 +-777 1588 +-3026 3915 +-2102 3165 +879 45 +6468 -1756 +-600 424 +-1255 -6919 +901 -1771 +3109 2472 +1762 -7580 +-2500 -4145 +2937 -1049 +2026 -1599 +-3196 -300 +-1183 -2050 +303 1765 +-1452 3145 +3068 -2648 +3670 5842 +783 4518 +2161 -2535 +2048 0 +2161 2535 +783 -4518 +3670 -5842 +3068 2648 +-1452 -3145 +303 -1765 +-1183 2050 +-3196 300 +-1995 311 +-225 -1487 +-1124 -1516 +-2054 1466 +-1568 1193 +798 -5068 +4045 -212 +-1024 -1024 +-5134 402 +-4922 3624 +1358 -6310 +2054 -4926 +-3914 -740 +2781 1394 +1241 -219 +1148 -1748 +3504 -826 +36 -1781 +2706 -3122 +-3068 352 +325 5679 +444 1278 +-2639 4196 +4096 0 +-2639 -4196 +444 -1278 +325 -5679 +-3068 -352 +2706 3122 +36 1781 +3504 826 +1148 1748 +1241 219 +2781 -1394 +-3914 740 +2054 4926 +1358 6310 +-4922 -3624 +-5134 -402 +-1024 1024 +4045 212 +798 5068 +-1568 -1193 +-2054 -1466 +-1124 1516 +-225 1487 +-1995 -311 +-3196 -300 +-1183 -2050 +303 1765 +-1452 3145 +3068 -2648 +3670 5842 +783 4518 +2161 -2535 +0 4096 +628 937 +-3036 2002 +-270 4266 +3556 -3726 +1733 218 +4599 1404 +2982 -146 +-1024 0 +2982 146 +4599 -1404 +1733 -218 +3556 3726 +-270 -4266 +-3036 -2002 +628 -937 +0 -4096 +968 -1356 +-2685 -287 +-5562 2685 +-568 2630 +5131 652 +3201 -2287 +-3496 -1712 +1024 -2048 +654 106 +-1569 -1659 +1668 -1447 +3216 3830 +2937 -136 +-4151 2869 +1630 2016 +0 4096 +-8339 3114 +-16 -2941 +440 1161 +1988 -3267 +-284 139 +-4534 3319 +-820 -3149 +-1024 0 +-820 3149 +-4534 -3319 +-284 -139 +1988 3267 +440 -1161 +-16 2941 +-8339 -3114 +0 -4096 +1630 -2016 +-4151 -2869 +2937 136 +3216 -3830 +1668 1447 +-1569 1659 +654 -106 +1024 2048 +-3496 1712 +3201 2287 +5131 -652 +-568 -2630 +-5562 -2685 +-2685 287 +968 1356 +0 4096 +628 937 +-3036 2002 +-270 4266 +3556 -3726 +1733 218 +4599 1404 +2982 -146 +-124 724 +-1533 -773 +-509 -516 +-1239 2433 +-1272 -3260 +3990 -3992 +156 1826 +-144 2522 +6144 0 +-144 -2522 +156 -1826 +3990 3992 +-1272 3260 +-1239 -2433 +-509 516 +-1533 773 +-124 -724 +276 456 +443 2501 +1995 -2244 +406 -2810 +-1361 2008 +2135 -1421 +1012 -1515 +1024 -5120 +3099 -416 +865 5039 +1527 4362 +-3054 2486 +-1163 -2755 +3017 2042 +-3022 401 +4220 -724 +4280 -3377 +-2950 57 +407 1153 +-4272 -7828 +-4155 2250 +-3156 4634 +-3967 2673 +0 0 +-3967 -2673 +-3156 -4634 +-4155 -2250 +-4272 7828 +407 -1153 +-2950 -57 +4280 3377 +4220 724 +-3022 -401 +3017 -2042 +-1163 2755 +-3054 -2486 +1527 -4362 +865 -5039 +3099 416 +1024 5120 +1012 1515 +2135 1421 +-1361 -2008 +406 2810 +1995 2244 +443 -2501 +276 -456 +-124 724 +-1533 -773 +-509 -516 +-1239 2433 +-1272 -3260 +3990 -3992 +156 1826 +-144 2522 +-848 3672 +-1962 442 +-1385 -1233 +3036 -1926 +-2806 2976 +1064 1937 +-1297 -2685 +-2831 -3844 +6144 0 +-2831 3844 +-1297 2685 +1064 -1937 +-2806 -2976 +3036 1926 +-1385 1233 +-1962 -442 +-848 -3672 +-4664 -328 +-2310 -921 +-1226 -1508 +-2702 640 +-500 -6898 +1728 272 +534 1542 +4096 -2048 +2352 746 +-2548 3612 +-2929 2520 +-2243 -2856 +2813 4024 +602 -256 +734 53 +4944 6568 +4325 588 +1894 -999 +-838 -1678 +3654 -2376 +-1418 -1656 +-4875 3129 +1512 3697 +2048 0 +1512 -3697 +-4875 -3129 +-1418 1656 +3654 2376 +-838 1678 +1894 999 +4325 -588 +4944 -6568 +734 -53 +602 256 +2813 -4024 +-2243 2856 +-2929 -2520 +-2548 -3612 +2352 -746 +4096 2048 +534 -1542 +1728 -272 +-500 6898 +-2702 -640 +-1226 1508 +-2310 921 +-4664 328 +-848 3672 +-1962 442 +-1385 -1233 +3036 -1926 +-2806 2976 +1064 1937 +-1297 -2685 +-2831 -3844 +-1872 2896 +-875 -3104 +2303 -1735 +-2623 2392 +-1408 -4678 +4446 1014 +2503 -1047 +-3344 -1749 +-8192 0 +-3344 1749 +2503 1047 +4446 -1014 +-1408 4678 +-2623 -2392 +2303 1735 +-875 3104 +-1872 -2896 +-4237 3291 +483 1463 +3850 462 +-4424 1219 +-2313 -1733 +416 -2771 +-2394 -394 +-2048 2048 +3222 3784 +848 1234 +-4647 968 +928 -1678 +2631 -4005 +3457 1683 +3031 -5050 +3920 -2896 +4298 -1660 +-2147 -3837 +1493 4285 +808 1782 +-2838 1246 +329 -741 +299 -2083 +0 0 +299 2083 +329 741 +-2838 -1246 +808 -1782 +1493 -4285 +-2147 3837 +4298 1660 +3920 2896 +3031 5050 +3457 -1683 +2631 4005 +928 1678 +-4647 -968 +848 -1234 +3222 -3784 +-2048 -2048 +-2394 394 +416 2771 +-2313 1733 +-4424 -1219 +3850 -462 +483 -1463 +-4237 -3291 +-1872 2896 +-875 -3104 +2303 -1735 +-2623 2392 +-1408 -4678 +4446 1014 +2503 -1047 +-3344 -1749 +0 -424 +-693 -4304 +-2190 -1537 +-2106 -712 +2262 -1324 +-623 -1782 +-1011 3452 +-785 -2598 +-4096 0 +-785 2598 +-1011 -3452 +-623 1782 +2262 1324 +-2106 712 +-2190 1537 +-693 4304 +0 424 +525 -916 +-5723 -2464 +-1233 -7443 +7510 -2772 +-94 -3804 +-5505 2197 +221 -657 +2048 -2048 +-1669 1628 +-328 -1963 +1542 5785 +-518 2772 +2681 1412 +2107 -1420 +-1973 -4470 +0 2472 +-755 512 +1709 4277 +3554 4895 +-1063 -1324 +2071 905 +2747 2276 +-663 -1149 +0 0 +-663 1149 +2747 -2276 +2071 -905 +-1063 1324 +3554 -4895 +1709 -4277 +-755 -512 +0 -2472 +-1973 4470 +2107 1420 +2681 -1412 +-518 -2772 +1542 -5785 +-328 1963 +-1669 -1628 +2048 2048 +221 657 +-5505 -2197 +-94 3804 +7510 2772 +-1233 7443 +-5723 2464 +525 916 +0 -424 +-693 -4304 +-2190 -1537 +-2106 -712 +2262 -1324 +-623 -1782 +-1011 3452 +-785 -2598 +-2772 -4220 +-4171 -1658 +-1010 -5066 +-3546 -698 +1910 -706 +4311 -4085 +-874 5171 +754 -5844 +2048 0 +754 5844 +-874 -5171 +4311 4085 +1910 706 +-3546 698 +-1010 5066 +-4171 1658 +-2772 4220 +-92 3772 +293 76 +1195 -367 +2584 2524 +1492 2740 +-4565 2099 +1612 -2630 +5120 -3072 +1418 69 +285 1447 +-2717 -311 +-1984 476 +-1579 4106 +999 -1520 +-98 611 +-1324 124 +576 -3071 +-1482 -1825 +2363 1387 +1586 2754 +-1518 -2750 +-1839 5265 +1 6975 +4096 0 +1 -6975 +-1839 -5265 +-1518 2750 +1586 -2754 +2363 -1387 +-1482 1825 +576 3071 +-1324 -124 +-98 -611 +999 1520 +-1579 -4106 +-1984 -476 +-2717 311 +285 -1447 +1418 -69 +5120 3072 +1612 2630 +-4565 -2099 +1492 -2740 +2584 -2524 +1195 367 +293 -76 +-92 -3772 +-2772 -4220 +-4171 -1658 +-1010 -5066 +-3546 -698 +1910 -706 +4311 -4085 +-874 5171 +754 -5844 +-424 2472 +-1334 194 +-107 -1668 +-4167 456 +-1412 -190 +-6015 -1054 +-151 -18 +294 -593 +-9216 0 +294 593 +-151 18 +-6015 1054 +-1412 190 +-4167 -456 +-107 1668 +-1334 -194 +-424 -2472 +3811 92 +-2086 852 +6781 -1863 +5048 -2642 +-1059 1453 +-1187 5001 +-2455 2406 +1024 0 +1208 -2072 +3263 -5739 +-1747 -1221 +-952 3750 +-1623 -31 +-2518 19 +2175 1351 +2472 -424 +4245 1953 +-2281 -365 +3032 -3087 +5508 2486 +-995 -290 +-3124 -3730 +-2152 2796 +3072 0 +-2152 -2796 +-3124 3730 +-995 290 +5508 -2486 +3032 3087 +-2281 365 +4245 -1953 +2472 424 +2175 -1351 +-2518 -19 +-1623 31 +-952 -3750 +-1747 1221 +3263 5739 +1208 2072 +1024 0 +-2455 -2406 +-1187 -5001 +-1059 -1453 +5048 2642 +6781 1863 +-2086 -852 +3811 -92 +-424 2472 +-1334 194 +-107 -1668 +-4167 456 +-1412 -190 +-6015 -1054 +-151 -18 +294 -593 +2048 -6392 +-5020 2060 +-1309 759 +-752 -2197 +-170 1108 +310 -1613 +876 4552 +1652 1918 +-3072 0 +1652 -1918 +876 -4552 +310 1613 +-170 -1108 +-752 2197 +-1309 -759 +-5020 -2060 +2048 6392 +645 -1917 +-3324 -2358 +449 3866 +2062 2676 +-248 1572 +-94 3579 +-1702 2343 +1024 -2048 +7690 1895 +878 -738 +1581 -400 +-614 2676 +-1914 -2570 +1432 -50 +-2050 1034 +2048 2296 +1073 2147 +3201 -850 +4434 2074 +-1278 -1108 +-3860 -2239 +-1659 5117 +-2288 7082 +-7168 0 +-2288 -7082 +-1659 -5117 +-3860 2239 +-1278 1108 +4434 -2074 +3201 850 +1073 -2147 +2048 -2296 +-2050 -1034 +1432 50 +-1914 2570 +-614 -2676 +1581 400 +878 738 +7690 -1895 +1024 2048 +-1702 -2343 +-94 -3579 +-248 -1572 +2062 -2676 +449 -3866 +-3324 2358 +645 1917 +2048 -6392 +-5020 2060 +-1309 759 +-752 -2197 +-170 1108 +310 -1613 +876 4552 +1652 1918 +-1448 424 +-4745 -1915 +3862 -7301 +-311 478 +664 2402 +-924 -1343 +-3153 -4197 +-609 855 +-4096 0 +-609 -855 +-3153 4197 +-924 1343 +664 -2402 +-311 -478 +3862 7301 +-4745 1915 +-1448 -424 +344 3334 +-3068 3144 +3209 2424 +444 -5402 +198 -4650 +-1280 1556 +96 4453 +2048 0 +-4101 -671 +-49 -1683 +22 -4848 +-3340 -1058 +2363 -2436 +2180 1932 +-2132 697 +1448 -2472 +2957 534 +2818 296 +5883 975 +2232 1943 +1145 -734 +-1310 -4834 +-3396 3470 +0 0 +-3396 -3470 +-1310 4834 +1145 734 +2232 -1943 +5883 -975 +2818 -296 +2957 -534 +1448 2472 +-2132 -697 +2180 -1932 +2363 2436 +-3340 1058 +22 4848 +-49 1683 +-4101 671 +2048 0 +96 -4453 +-1280 -1556 +198 4650 +444 5402 +3209 -2424 +-3068 -3144 +344 -3334 +-1448 424 +-4745 -1915 +3862 -7301 +-311 478 +664 2402 +-924 -1343 +-3153 -4197 +-609 855 +-300 -2772 +-1227 -1748 +1055 -1529 +877 2349 +-5140 4397 +-136 1603 +547 -1331 +-4674 3234 +-1024 0 +-4674 -3234 +547 1331 +-136 -1603 +-5140 -4397 +877 -2349 +1055 1529 +-1227 1748 +-300 2772 +-294 3934 +-865 -3658 +3425 -6860 +-2813 -1167 +-37 938 +6862 -4073 +-924 -1906 +-2048 1024 +-3510 -301 +-1134 1499 +2033 946 +2214 4626 +-1238 1803 +-1876 346 +1776 2898 +-1748 -1324 +-697 2928 +-11 -259 +512 962 +1644 1396 +6150 -6947 +3614 1110 +-2036 589 +1024 0 +-2036 -589 +3614 -1110 +6150 6947 +1644 -1396 +512 -962 +-11 259 +-697 -2928 +-1748 1324 +1776 -2898 +-1876 -346 +-1238 -1803 +2214 -4626 +2033 -946 +-1134 -1499 +-3510 301 +-2048 -1024 +-924 1906 +6862 4073 +-37 -938 +-2813 1167 +3425 6860 +-865 3658 +-294 -3934 +-300 -2772 +-1227 -1748 +1055 -1529 +877 2349 +-5140 4397 +-136 1603 +547 -1331 +-4674 3234 +3372 -124 +-178 1261 +407 -4445 +508 -2689 +-1042 -3778 +-880 -4236 +-469 291 +3186 -2725 +4096 0 +3186 2725 +-469 -291 +-880 4236 +-1042 3778 +508 2689 +407 4445 +-178 -1261 +3372 124 +-881 2808 +-1593 -1609 +-873 -971 +-2824 -548 +-146 -2272 +3149 132 +743 2769 +-1024 1024 +-5019 -3 +-6150 1240 +1281 -340 +176 3548 +2758 4623 +-1866 -4285 +387 -1087 +4820 4220 +-4680 1157 +3053 1769 +-176 -1164 +-4502 -318 +-2471 4912 +3469 817 +6442 -1926 +-2048 0 +6442 1926 +3469 -817 +-2471 -4912 +-4502 318 +-176 1164 +3053 -1769 +-4680 -1157 +4820 -4220 +387 1087 +-1866 4285 +2758 -4623 +176 -3548 +1281 340 +-6150 -1240 +-5019 3 +-1024 -1024 +743 -2769 +3149 -132 +-146 2272 +-2824 548 +-873 971 +-1593 1609 +-881 -2808 +3372 -124 +-178 1261 +407 -4445 +508 -2689 +-1042 -3778 +-880 -4236 +-469 291 +3186 -2725 +-4820 -1148 +-1764 -2238 +557 -47 +-4347 2491 +-5070 3718 +2241 -5343 +3615 1084 +3246 -1141 +5120 0 +3246 1141 +3615 -1084 +2241 5343 +-5070 -3718 +-4347 -2491 +557 47 +-1764 2238 +-4820 1148 +-4958 -2462 +-2337 1644 +1589 -638 +2165 1716 +1140 -2913 +-2206 -24 +-5634 1679 +-2048 -3072 +844 4971 +469 928 +2551 -4468 +732 -932 +-643 1769 +2818 232 +-572 -1069 +-3372 3196 +2181 1176 +3059 -5461 +945 596 +2174 1826 +2315 -1325 +2218 3964 +864 -3815 +-1024 0 +864 3815 +2218 -3964 +2315 1325 +2174 -1826 +945 -596 +3059 5461 +2181 -1176 +-3372 -3196 +-572 1069 +2818 -232 +-643 -1769 +732 932 +2551 4468 +469 -928 +844 -4971 +-2048 3072 +-5634 -1679 +-2206 24 +1140 2913 +2165 -1716 +1589 638 +-2337 -1644 +-4958 2462 +-4820 -1148 +-1764 -2238 +557 -47 +-4347 2491 +-5070 3718 +2241 -5343 +3615 1084 +3246 -1141 +-2472 2224 +-1965 -1412 +5621 -1408 +-1128 391 +-3496 3086 +-1339 -1931 +631 -2548 +3227 1827 +1024 0 +3227 -1827 +631 2548 +-1339 1931 +-3496 -3086 +-1128 -391 +5621 1408 +-1965 1412 +-2472 -2224 +5 2628 +-2274 3244 +-368 -1410 +-600 -254 +-182 -2554 +2033 3341 +5520 122 +-1024 -2048 +-5076 -1031 +3031 -627 +2414 3492 +-600 -854 +1033 2305 +-910 -577 +-3345 188 +424 8016 +-1375 7869 +-1237 4579 +1792 -700 +-3496 410 +3571 -1839 +1298 -2620 +-2783 125 +5120 0 +-2783 -125 +1298 2620 +3571 1839 +-3496 -410 +1792 700 +-1237 -4579 +-1375 -7869 +424 -8016 +-3345 -188 +-910 577 +1033 -2305 +-600 854 +2414 -3492 +3031 627 +-5076 1031 +-1024 2048 +5520 -122 +2033 -3341 +-182 2554 +-600 254 +-368 1410 +-2274 -3244 +5 -2628 +-2472 2224 +-1965 -1412 +5621 -1408 +-1128 391 +-3496 3086 +-1339 -1931 +631 -2548 +3227 1827 +3196 -2772 +315 2298 +2067 1988 +-372 -452 +321 -318 +-259 -2598 +2021 -2277 +2982 1985 +-5120 0 +2982 -1985 +2021 2277 +-259 2598 +321 318 +-372 452 +2067 -1988 +315 -2298 +3196 2772 +248 3787 +836 -619 +-1242 -4502 +-5924 -3548 +-4028 2642 +2841 5306 +373 2864 +-6144 3072 +5293 2627 +-1576 414 +-3217 1606 +2428 548 +-1990 -1087 +3104 3625 +-3574 3982 +-1148 -1324 +3452 114 +-1911 2255 +28 -1485 +-921 -3778 +-505 -3789 +811 -2616 +2497 -6 +5120 0 +2497 6 +811 2616 +-505 3789 +-921 3778 +28 1485 +-1911 -2255 +3452 -114 +-1148 1324 +-3574 -3982 +3104 -3625 +-1990 1087 +2428 -548 +-3217 -1606 +-1576 -414 +5293 -2627 +-6144 -3072 +373 -2864 +2841 -5306 +-4028 -2642 +-5924 3548 +-1242 4502 +836 619 +248 -3787 +3196 -2772 +315 2298 +2067 1988 +-372 -452 +321 -318 +-259 -2598 +2021 -2277 +2982 1985 +-300 1324 +-197 -298 +519 -5472 +3087 -8551 +-921 -4442 +-5 -5522 +377 1894 +-1762 -523 +1024 0 +-1762 523 +377 -1894 +-5 5522 +-921 4442 +3087 8551 +519 5472 +-197 298 +-300 -1324 +-1389 -2609 +-2865 2880 +876 -1203 +2428 -992 +-909 4080 +2641 3034 +-708 881 +-2048 -1024 +309 -1114 +1299 2355 +2047 -1890 +-5924 208 +-2579 4113 +5696 -5368 +3397 2852 +-1748 2772 +-1812 -3168 +745 3017 +-1384 506 +321 -2550 +-1133 -2224 +-221 3219 +2162 1186 +-1024 0 +2162 -1186 +-221 -3219 +-1133 2224 +321 2550 +-1384 -506 +745 -3017 +-1812 3168 +-1748 -2772 +3397 -2852 +5696 5368 +-2579 -4113 +-5924 -208 +2047 1890 +1299 -2355 +309 1114 +-2048 1024 +-708 -881 +2641 -3034 +-909 -4080 +2428 992 +876 1203 +-2865 -2880 +-1389 2609 +-300 1324 +-197 -298 +519 -5472 +3087 -8551 +-921 -4442 +-5 -5522 +377 1894 +-1762 -523 +1024 -1024 +-297 1416 +437 587 +1458 209 +-3267 1748 +-1794 3089 +-1125 -1787 +1689 -211 +9216 0 +1689 211 +-1125 1787 +-1794 -3089 +-3267 -1748 +1458 -209 +437 -587 +-297 -1416 +1024 1024 +6012 824 +3635 806 +-5400 68 +-3830 300 +2142 3094 +599 -2070 +-3697 2193 +3072 0 +2420 22 +-939 1271 +4128 -6330 +2630 -300 +3249 1165 +489 1470 +326 -1472 +1024 -1024 +-4474 -265 +-1665 -2819 +-3091 5929 +-3726 1748 +-691 719 +-1431 2231 +-1980 -6705 +-3072 0 +-1980 6705 +-1431 -2231 +-691 -719 +-3726 -1748 +-3091 -5929 +-1665 2819 +-4474 265 +1024 1024 +326 1472 +489 -1470 +3249 -1165 +2630 300 +4128 6330 +-939 -1271 +2420 -22 +3072 0 +-3697 -2193 +599 2070 +2142 -3094 +-3830 -300 +-5400 -68 +3635 -806 +6012 -824 +1024 -1024 +-297 1416 +437 587 +1458 209 +-3267 1748 +-1794 3089 +-1125 -1787 +1689 -211 +3496 848 +1562 1977 +-1848 -2285 +3958 -3983 +-724 -2566 +-1333 -875 +2804 -3225 +919 -4635 +-1024 0 +919 4635 +2804 3225 +-1333 875 +-724 2566 +3958 3983 +-1848 2285 +1562 -1977 +3496 -848 +-896 3647 +3425 853 +1421 639 +724 214 +-1283 -2884 +4093 2649 +942 1335 +-9216 -2048 +-1990 375 +-1721 -4751 +1593 -870 +724 3111 +1730 -3649 +3191 684 +-2560 590 +600 -4944 +-1001 -2138 +-672 -1979 +-4213 2590 +-724 5462 +3919 -8 +-1081 -79 +-2767 778 +-5120 0 +-2767 -778 +-1081 79 +3919 8 +-724 -5462 +-4213 -2590 +-672 1979 +-1001 2138 +600 4944 +-2560 -590 +3191 -684 +1730 3649 +724 -3111 +1593 870 +-1721 4751 +-1990 -375 +-9216 2048 +942 -1335 +4093 -2649 +-1283 2884 +724 -214 +1421 -639 +3425 -853 +-896 -3647 +3496 848 +1562 1977 +-1848 -2285 +3958 -3983 +-724 -2566 +-1333 -875 +2804 -3225 +919 -4635 +-424 -5368 +-4584 -4477 +323 4232 +-1070 -727 +-1338 -1776 +1131 -6319 +-959 -3678 +-2562 -1581 +-3072 0 +-2562 1581 +-959 3678 +1131 6319 +-1338 1776 +-1070 727 +323 -4232 +-4584 4477 +-424 5368 +2822 -1417 +510 -964 +1923 -2977 +554 6353 +623 220 +-3113 -3058 +-2925 1023 +1024 -2048 +-4531 -335 +-1011 283 +1418 920 +-554 -4136 +4471 -1689 +2047 -299 +2161 -2131 +2472 3320 +-2407 -1900 +17 -6464 +2036 2326 +1338 3576 +1053 -861 +2187 4122 +441 2751 +-3072 0 +441 -2751 +2187 -4122 +1053 861 +1338 -3576 +2036 -2326 +17 6464 +-2407 1900 +2472 -3320 +2161 2131 +2047 299 +4471 1689 +-554 4136 +1418 -920 +-1011 -283 +-4531 335 +1024 2048 +-2925 -1023 +-3113 3058 +623 -220 +554 -6353 +1923 2977 +510 964 +2822 1417 +-424 -5368 +-4584 -4477 +323 4232 +-1070 -727 +-1338 -1776 +1131 -6319 +-959 -3678 +-2562 -1581 +7292 -1324 +825 616 +1967 -7252 +2870 -1502 +646 6962 +-2178 959 +-5578 -2212 +-2359 411 +1024 0 +-2359 -411 +-5578 2212 +-2178 -959 +646 -6962 +2870 1502 +1967 7252 +825 -616 +7292 1324 +766 -1309 +-3991 -1299 +3246 1525 +-1356 -1381 +-352 2358 +2232 -1399 +-1483 -4437 +0 -3072 +-2866 1122 +-821 -70 +1222 -751 +-2140 1515 +-664 -3325 +-1057 -2187 +1786 -3174 +2948 -2772 +-1160 -4698 +-1014 571 +-101 2003 +-1246 -4066 +-4044 1284 +70 -2251 +4490 2930 +3072 0 +4490 -2930 +70 2251 +-4044 -1284 +-1246 4066 +-101 -2003 +-1014 -571 +-1160 4698 +2948 2772 +1786 3174 +-1057 2187 +-664 3325 +-2140 -1515 +1222 751 +-821 70 +-2866 -1122 +0 3072 +-1483 4437 +2232 1399 +-352 -2358 +-1356 1381 +3246 -1525 +-3991 1299 +766 1309 +7292 -1324 +825 616 +1967 -7252 +2870 -1502 +646 6962 +-2178 959 +-5578 -2212 +-2359 411 +2772 -1324 +2405 -731 +-2437 -7171 +-1088 -2070 +1127 3078 +-5065 -470 +-3588 1026 +568 2922 +4096 0 +568 -2922 +-3588 -1026 +-5065 470 +1127 -3078 +-1088 2070 +-2437 7171 +2405 731 +2772 1324 +6103 1897 +-2479 -1453 +2599 5310 +4476 4092 +-37 -1251 +1784 4219 +-6983 1291 +-3072 -3072 +-2129 702 +2184 -1105 +3169 -1206 +-3876 2044 +694 2382 +-1341 2983 +-962 -865 +1324 -2772 +1590 1752 +-734 519 +-2854 -2426 +2369 -1030 +2582 -1052 +-1580 -1054 +-593 273 +2048 0 +-593 -273 +-1580 1054 +2582 1052 +2369 1030 +-2854 2426 +-734 -519 +1590 -1752 +1324 2772 +-962 865 +-1341 -2983 +694 -2382 +-3876 -2044 +3169 1206 +2184 1105 +-2129 -702 +-3072 3072 +-6983 -1291 +1784 -4219 +-37 1251 +4476 -4092 +2599 -5310 +-2479 1453 +6103 -1897 +2772 -1324 +2405 -731 +-2437 -7171 +-1088 -2070 +1127 3078 +-5065 -470 +-3588 1026 +568 2922 +5544 424 +-467 -2133 +-2721 -583 +-3201 -1864 +415 -1748 +2302 558 +-4592 -50 +-1218 1835 +4096 0 +-1218 -1835 +-4592 50 +2302 -558 +415 1748 +-3201 1864 +-2721 583 +-467 2133 +5544 -424 +350 -597 +-3510 -466 +-2156 -1806 +-1030 -300 +608 -2871 +513 -2536 +1438 967 +4096 2048 +-2722 3759 +-4009 -304 +1119 -2681 +-9707 300 +-1847 1224 +2910 2874 +-3082 -213 +2648 -2472 +2551 949 +2121 1027 +-1932 1109 +6226 -1748 +5106 -4153 +1096 -615 +3151 -610 +-4096 0 +3151 610 +1096 615 +5106 4153 +6226 1748 +-1932 -1109 +2121 -1027 +2551 -949 +2648 2472 +-3082 213 +2910 -2874 +-1847 -1224 +-9707 -300 +1119 2681 +-4009 304 +-2722 -3759 +4096 -2048 +1438 -967 +513 2536 +608 2871 +-1030 300 +-2156 1806 +-3510 466 +350 597 +5544 424 +-467 -2133 +-2721 -583 +-3201 -1864 +415 -1748 +2302 558 +-4592 -50 +-1218 1835 +4220 -724 +2128 -3521 +2213 -936 +-1175 -5467 +4456 392 +501 813 +-3155 -2696 +954 -4049 +-2048 0 +954 4049 +-3155 2696 +501 -813 +4456 -392 +-1175 5467 +2213 936 +2128 3521 +4220 724 +-1279 -6311 +-310 1563 +7968 3893 +-2335 -946 +-2223 -1570 +-8 1852 +-827 -2392 +3072 1024 +-787 2565 +-148 -1452 +924 1051 +-2010 -946 +-2409 -587 +-954 -2701 +663 -1176 +-124 724 +-4408 849 +-5045 767 +-1488 -551 +-112 -392 +3694 -5522 +-785 -4704 +-2237 -4594 +4096 0 +-2237 4594 +-785 4704 +3694 5522 +-112 392 +-1488 551 +-5045 -767 +-4408 -849 +-124 -724 +663 1176 +-954 2701 +-2409 587 +-2010 946 +924 -1051 +-148 1452 +-787 -2565 +3072 -1024 +-827 2392 +-8 -1852 +-2223 1570 +-2335 946 +7968 -3893 +-310 -1563 +-1279 6311 +4220 -724 +2128 -3521 +2213 -936 +-1175 -5467 +4456 392 +501 813 +-3155 -2696 +954 -4049 +-1324 -2348 +-7740 976 +3019 1123 +3965 -451 +-586 5370 +-811 1660 +-3266 3907 +-4140 198 +-5120 0 +-4140 -198 +-3266 -3907 +-811 -1660 +-586 -5370 +3965 451 +3019 -1123 +-7740 -976 +-1324 2348 +1188 -2049 +-1947 1391 +2188 -548 +188 -417 +-1986 5027 +-618 3262 +3328 -2712 +2048 -3072 +-107 1789 +-830 5533 +366 -956 +4756 -1016 +1386 3303 +3395 -4677 +2394 -1156 +-2772 -3796 +-306 -2668 +-1571 5594 +-859 -1124 +-262 -1874 +1544 917 +1818 2957 +-409 -681 +1024 0 +-409 681 +1818 -2957 +1544 -917 +-262 1874 +-859 1124 +-1571 -5594 +-306 2668 +-2772 3796 +2394 1156 +3395 4677 +1386 -3303 +4756 1016 +366 956 +-830 -5533 +-107 -1789 +2048 3072 +3328 2712 +-618 -3262 +-1986 -5027 +188 417 +2188 548 +-1947 -1391 +1188 2049 +-1324 -2348 +-7740 976 +3019 1123 +3965 -451 +-586 5370 +-811 1660 +-3266 3907 +-4140 198 +1624 5368 +4018 2685 +-3218 -1978 +-2355 -797 +2243 1324 +-1470 885 +2103 5862 +2880 -1767 +-1024 0 +2880 1767 +2103 -5862 +-1470 -885 +2243 -1324 +-2355 797 +-3218 1978 +4018 -2685 +1624 -5368 +-996 -2778 +-1926 -158 +2538 746 +2806 2772 +-2641 -1513 +-224 -2489 +-674 -1309 +-1024 2048 +-4090 -947 +-4500 -597 +-1827 3401 +-3654 -2772 +-279 -2430 +986 -941 +5174 1835 +4520 -3320 +-186 -3424 +61 1194 +-346 -4596 +2702 1324 +-5207 1812 +-1476 -3970 +5460 -3223 +-1024 0 +5460 3223 +-1476 3970 +-5207 -1812 +2702 -1324 +-346 4596 +61 -1194 +-186 3424 +4520 3320 +5174 -1835 +986 941 +-279 2430 +-3654 2772 +-1827 -3401 +-4500 597 +-4090 947 +-1024 -2048 +-674 1309 +-224 2489 +-2641 1513 +2806 -2772 +2538 -746 +-1926 158 +-996 2778 +1624 5368 +4018 2685 +-3218 -1978 +-2355 -797 +2243 1324 +-1470 885 +2103 5862 +2880 -1767 +-2348 -2596 +-5349 624 +3806 1647 +2719 -526 +-1186 -222 +881 1642 +2477 555 +1828 -2249 +2048 0 +1828 2249 +2477 -555 +881 -1642 +-1186 222 +2719 526 +3806 -1647 +-5349 -624 +-2348 2596 +3630 -567 +478 -2146 +1649 634 +-3308 -1116 +-1170 -734 +2369 127 +5140 -2421 +1024 -1024 +-4936 -1966 +4143 -2874 +-1948 892 +1260 332 +1138 1050 +-5110 1314 +1661 -890 +-3796 4644 +-3076 4846 +-870 1813 +2062 6734 +-862 1670 +-5332 -5809 +900 -3556 +1104 -3088 +0 0 +1104 3088 +900 3556 +-5332 5809 +-862 -1670 +2062 -6734 +-870 -1813 +-3076 -4846 +-3796 -4644 +1661 890 +-5110 -1314 +1138 -1050 +1260 -332 +-1948 -892 +4143 2874 +-4936 1966 +1024 1024 +5140 2421 +2369 -127 +-1170 734 +-3308 1116 +1649 -634 +478 2146 +3630 567 +-2348 -2596 +-5349 624 +3806 1647 +2719 -526 +-1186 -222 +881 1642 +2477 555 +1828 -2249 +724 4220 +7793 50 +-263 -3213 +-2660 -88 +488 1500 +-162 -1954 +-3309 2583 +-5655 -3375 +-2048 0 +-5655 3375 +-3309 -2583 +-162 1954 +488 -1500 +-2660 88 +-263 3213 +7793 -50 +724 -4220 +364 -7906 +2731 -255 +1263 1151 +1486 1730 +674 2790 +-1462 670 +-106 -1167 +1024 -7168 +-959 -1413 +2415 -270 +-1116 -2140 +1162 1730 +1297 -2914 +-1319 -883 +1556 2269 +-724 -124 +751 -339 +-5245 -1510 +338 -3738 +5056 -1500 +-5427 3055 +-1739 574 +2049 -1543 +0 0 +2049 1543 +-1739 -574 +-5427 -3055 +5056 1500 +338 3738 +-5245 1510 +751 339 +-724 124 +1556 -2269 +-1319 883 +1297 2914 +1162 -1730 +-1116 2140 +2415 270 +-959 1413 +1024 7168 +-106 1167 +-1462 -670 +674 -2790 +1486 -1730 +1263 -1151 +2731 255 +364 7906 +724 4220 +7793 50 +-263 -3213 +-2660 -88 +488 1500 +-162 -1954 +-3309 2583 +-5655 -3375 +-1572 1748 +-675 4223 +861 212 +-3081 1497 +-2080 92 +267 1242 +-2038 2790 +-2504 -1626 +-5120 0 +-2504 1626 +-2038 -2790 +267 -1242 +-2080 -92 +-3081 -1497 +861 -212 +-675 -4223 +-1572 -1748 +-2379 3582 +2536 3513 +344 1434 +-522 -2694 +2853 -975 +3094 -3096 +1844 -601 +-2048 3072 +-220 3300 +-2494 6336 +-396 -3461 +1370 802 +605 1788 +960 -7059 +1553 5075 +5668 300 +3068 -6514 +2635 305 +-1652 424 +-2864 -692 +1060 -2161 +2638 1347 +-688 1743 +-7168 0 +-688 -1743 +2638 -1347 +1060 2161 +-2864 692 +-1652 -424 +2635 -305 +3068 6514 +5668 -300 +1553 -5075 +960 7059 +605 -1788 +1370 -802 +-396 3461 +-2494 -6336 +-220 -3300 +-2048 -3072 +1844 601 +3094 3096 +2853 975 +-522 2694 +344 -1434 +2536 -3513 +-2379 -3582 +-1572 1748 +-675 4223 +861 212 +-3081 1497 +-2080 92 +267 1242 +-2038 2790 +-2504 -1626 +-724 124 +781 -955 +696 -1176 +1659 -1308 +-92 1924 +-146 -996 +5049 1983 +-957 319 +-6144 0 +-957 -319 +5049 -1983 +-146 996 +-92 -1924 +1659 1308 +696 1176 +781 955 +-724 -124 +-108 -3998 +-3592 716 +2869 -5261 +2694 -742 +755 5170 +3500 -1662 +839 2930 +7168 1024 +1410 -2023 +-5992 -214 +-2011 1758 +802 4202 +428 1136 +-688 2164 +-2008 247 +724 -4220 +1984 -2160 +-3409 -272 +4179 -1871 +692 -1076 +-7734 -408 +-3757 -3431 +-1942 -1047 +0 0 +-1942 1047 +-3757 3431 +-7734 408 +692 1076 +4179 1871 +-3409 272 +1984 2160 +724 4220 +-2008 -247 +-688 -2164 +428 -1136 +802 -4202 +-2011 -1758 +-5992 214 +1410 2023 +7168 -1024 +839 -2930 +3500 1662 +755 -5170 +2694 742 +2869 5261 +-3592 -716 +-108 3998 +-724 124 +781 -955 +696 -1176 +1659 -1308 +-92 1924 +-146 -996 +5049 1983 +-957 319 +2472 2472 +-687 -1089 +-5050 -225 +-250 354 +-2132 -6002 +-503 4851 +1128 -1806 +-2425 -5449 +-3072 0 +-2425 5449 +1128 1806 +-503 -4851 +-2132 6002 +-250 -354 +-5050 225 +-687 1089 +2472 -2472 +-3693 423 +1504 -2495 +540 3902 +-3700 -1553 +1320 -4863 +-2571 1174 +-2685 1480 +3072 0 +1543 -1112 +2112 130 +2230 -417 +1652 1094 +4055 -141 +4956 3233 +3782 6799 +-424 -424 +-2298 -7095 +-1410 -3936 +-1448 -63 +84 458 +-152 1531 +-669 -935 +671 2281 +1024 0 +671 -2281 +-669 935 +-152 -1531 +84 -458 +-1448 63 +-1410 3936 +-2298 7095 +-424 424 +3782 -6799 +4956 -3233 +4055 141 +1652 -1094 +2230 417 +2112 -130 +1543 1112 +3072 0 +-2685 -1480 +-2571 -1174 +1320 4863 +-3700 1553 +540 -3902 +1504 2495 +-3693 -423 +2472 2472 +-687 -1089 +-5050 -225 +-250 354 +-2132 -6002 +-503 4851 +1128 -1806 +-2425 -5449 +2896 2048 +-140 -56 +-3724 -3462 +-2701 -2643 +1350 3700 +-155 1637 +-4223 2244 +-2070 -4346 +-1024 0 +-2070 4346 +-4223 -2244 +-155 -1637 +1350 -3700 +-2701 2643 +-3724 3462 +-140 56 +2896 -2048 +-5016 -2725 +-3700 476 +1684 -1489 +226 -84 +2793 -2231 +3440 -546 +3294 3518 +-1024 0 +33 47 +528 5795 +-736 2031 +4470 -2132 +-2744 1542 +-121 -2319 +3053 -947 +-2896 2048 +3670 2483 +553 -2230 +-23 -3462 +6242 -1652 +1882 -6511 +-944 1200 +-2825 1524 +-5120 0 +-2825 -1524 +-944 -1200 +1882 6511 +6242 1652 +-23 3462 +553 2230 +3670 -2483 +-2896 -2048 +3053 947 +-121 2319 +-2744 -1542 +4470 2132 +-736 -2031 +528 -5795 +33 -47 +-1024 0 +3294 -3518 +3440 546 +2793 2231 +226 84 +1684 1489 +-3700 -476 +-5016 2725 +2896 2048 +-140 -56 +-3724 -3462 +-2701 -2643 +1350 3700 +-155 1637 +-4223 2244 +-2070 -4346 +2772 -8740 +620 -6290 +-2422 4209 +-1544 -957 +-3888 -4900 +-146 -2850 +834 1388 +776 -1887 +3072 0 +776 1887 +834 -1388 +-146 2850 +-3888 4900 +-1544 957 +-2422 -4209 +620 6290 +2772 8740 +-1852 289 +4702 68 +2184 -88 +346 103 +2920 888 +-3824 4055 +-189 -108 +-4096 1024 +-3935 721 +4304 -3890 +-363 -590 +-1546 -1345 +-1844 765 +1131 2679 +3080 2404 +1324 -1500 +608 157 +686 98 +1883 -3302 +-3104 3452 +2702 2052 +2782 556 +-4900 260 +-3072 0 +-4900 -260 +2782 -556 +2702 -2052 +-3104 -3452 +1883 3302 +686 -98 +608 -157 +1324 1500 +3080 -2404 +1131 -2679 +-1844 -765 +-1546 1345 +-363 590 +4304 3890 +-3935 -721 +-4096 -1024 +-189 108 +-3824 -4055 +2920 -888 +346 -103 +2184 88 +4702 -68 +-1852 -289 +2772 -8740 +620 -6290 +-2422 4209 +-1544 -957 +-3888 -4900 +-146 -2850 +834 1388 +776 -1887 +424 -2472 +3030 -810 +-2832 2749 +226 -3499 +444 -4194 +-2232 3451 +-717 663 +-770 -5457 +1024 0 +-770 5457 +-717 -663 +-2232 -3451 +444 4194 +226 3499 +-2832 -2749 +3030 810 +424 2472 +-1432 868 +2255 3986 +1501 538 +2232 -2422 +2323 5155 +-1546 6645 +1671 1783 +-1024 0 +-2942 -1370 +1427 1517 +-1986 3483 +664 -1822 +-4413 -3284 +81 -2250 +2815 -3173 +-2472 424 +5164 2432 +-2400 -296 +-1539 -635 +-3340 698 +-5465 2757 +3733 2898 +4050 -224 +5120 0 +4050 224 +3733 -2898 +-5465 -2757 +-3340 -698 +-1539 635 +-2400 296 +5164 -2432 +-2472 -424 +2815 3173 +81 2250 +-4413 3284 +664 1822 +-1986 -3483 +1427 -1517 +-2942 1370 +-1024 0 +1671 -1783 +-1546 -6645 +2323 -5155 +2232 2422 +1501 -538 +2255 -3986 +-1432 -868 +424 -2472 +3030 -810 +-2832 2749 +226 -3499 +444 -4194 +-2232 3451 +-717 663 +-770 -5457 +1748 -6692 +104 -561 +-5394 348 +78 2068 +3418 -3718 +-3028 -3993 +-1302 -2446 +109 -3619 +-2048 0 +109 3619 +-1302 2446 +-3028 3993 +3418 3718 +78 -2068 +-5394 -348 +104 561 +1748 6692 +-425 656 +-4117 -4510 +-2152 3334 +-32 -1716 +-1275 2986 +641 4251 +325 -1374 +1024 -1024 +-1319 -3812 +2883 -5521 +5488 -1134 +-816 932 +-218 -2582 +-1935 1937 +2463 1858 +300 548 +-4359 -454 +1558 -2093 +-3060 -2633 +1526 -1826 +4166 5226 +-525 866 +3101 -1035 +4096 0 +3101 1035 +-525 -866 +4166 -5226 +1526 1826 +-3060 2633 +1558 2093 +-4359 454 +300 -548 +2463 -1858 +-1935 -1937 +-218 2582 +-816 -932 +5488 1134 +2883 5521 +-1319 3812 +1024 1024 +325 1374 +641 -4251 +-1275 -2986 +-32 1716 +-2152 -3334 +-4117 4510 +-425 -656 +1748 -6692 +104 -561 +-5394 348 +78 2068 +3418 -3718 +-3028 -3993 +-1302 -2446 +109 -3619 +-1572 6093 +-5423 5761 +-696 -289 +-2363 -552 +476 4032 +1156 -3718 +15 -1813 +2522 -1723 +1024 0 +2522 1723 +15 1813 +1156 3718 +476 -4032 +-2363 552 +-696 289 +-5423 -5761 +-1572 -6093 +-111 -433 +-3982 6170 +-766 315 +706 138 +1571 2327 +900 480 +136 -1335 +-4096 1024 +-2101 2678 +5011 297 +96 -2101 +-2754 -462 +-1410 3145 +-4147 782 +-1620 -139 +5668 -4045 +474 -43 +136 1893 +5868 -1601 +-2524 -536 +1641 -3294 +2762 430 +330 -2824 +7168 0 +330 2824 +2762 -430 +1641 3294 +-2524 536 +5868 1601 +136 -1893 +474 43 +5668 4045 +-1620 139 +-4147 -782 +-1410 -3145 +-2754 462 +96 2101 +5011 -297 +-2101 -2678 +-4096 -1024 +136 1335 +900 -480 +1571 -2327 +706 -138 +-766 -315 +-3982 -6170 +-111 433 +-1572 6093 +-5423 5761 +-696 -289 +-2363 -552 +476 4032 +1156 -3718 +15 -1813 +2522 -1723 +424 -3920 +72 -539 +-663 -5089 +1856 -4515 +2916 1278 +-788 3499 +287 -4279 +-1425 4678 +-5120 0 +-1425 -4678 +287 4279 +-788 -3499 +2916 -1278 +1856 4515 +-663 5089 +72 539 +424 3920 +3927 4514 +-1566 800 +-4984 6515 +1808 614 +1636 90 +4674 -2944 +969 -3475 +-7168 2048 +-2342 1 +-1518 277 +211 -1051 +240 2062 +164 1223 +6290 -872 +-380 3 +-2472 1872 +1733 1379 +35 -2375 +747 1440 +-868 170 +1158 -2423 +653 1708 +-2554 4151 +-1024 0 +-2554 -4151 +653 -1708 +1158 2423 +-868 -170 +747 -1440 +35 2375 +1733 -1379 +-2472 -1872 +-380 -3 +6290 872 +164 -1223 +240 -2062 +211 1051 +-1518 -277 +-2342 -1 +-7168 -2048 +969 3475 +4674 2944 +1636 -90 +1808 -614 +-4984 -6515 +-1566 -800 +3927 -4514 +424 -3920 +72 -539 +-663 -5089 +1856 -4515 +2916 1278 +-788 3499 +287 -4279 +-1425 4678 +2472 3496 +4308 6000 +4813 -1319 +-1560 -2934 +-2062 424 +-1640 -408 +1760 1408 +4770 -230 +-2048 0 +4770 230 +1760 -1408 +-1640 408 +-2062 -424 +-1560 2934 +4813 1319 +4308 -6000 +2472 -3496 +-2342 -1001 +728 -2066 +-2252 -4187 +1278 -2472 +2708 921 +-5772 1429 +1349 2605 +0 -2048 +4404 1220 +3999 6661 +-4350 -641 +170 2472 +-2133 5771 +-3848 -2185 +-1503 -3875 +-424 600 +1545 1223 +1203 -1697 +-1415 1877 +614 424 +-944 -3977 +-2883 929 +-946 750 +-2048 0 +-946 -750 +-2883 -929 +-944 3977 +614 -424 +-1415 -1877 +1203 1697 +1545 -1223 +-424 -600 +-1503 3875 +-3848 2185 +-2133 -5771 +170 -2472 +-4350 641 +3999 -6661 +4404 -1220 +0 2048 +1349 -2605 +-5772 -1429 +2708 -921 +1278 2472 +-2252 4187 +728 2066 +-2342 1001 +2472 3496 +4308 6000 +4813 -1319 +-1560 -2934 +-2062 424 +-1640 -408 +1760 1408 +4770 -230 +-2048 -3072 +679 -3863 +767 -72 +-934 -720 +1134 -7580 +-1160 2382 +963 2065 +-190 979 +-6144 0 +-190 -979 +963 -2065 +-1160 -2382 +1134 7580 +-934 720 +767 72 +679 3863 +-2048 3072 +2797 -1650 +3022 -5581 +-3937 509 +130 -3915 +6680 -1752 +1846 -439 +-55 -1088 +-4096 0 +-5106 -466 +4326 2902 +767 38 +-978 780 +1552 -2715 +-3530 -4917 +-2273 -3070 +-2048 -3072 +364 -1341 +941 -2160 +-465 -937 +3810 -12 +-2502 976 +-142 -1621 +3784 -4142 +-2048 0 +3784 4142 +-142 1621 +-2502 -976 +3810 12 +-465 937 +941 2160 +364 1341 +-2048 3072 +-2273 3070 +-3530 4917 +1552 2715 +-978 -780 +767 -38 +4326 -2902 +-5106 466 +-4096 0 +-55 1088 +1846 439 +6680 1752 +130 3915 +-3937 -509 +3022 5581 +2797 1650 +-2048 -3072 +679 -3863 +767 -72 +-934 -720 +1134 -7580 +-1160 2382 +963 2065 +-190 979 +-2348 -1748 +2102 890 +2319 3713 +4462 -4932 +3308 -776 +-1067 2331 +-3992 240 +-1789 1330 +6144 0 +-1789 -1330 +-3992 -240 +-1067 -2331 +3308 776 +4462 4932 +2319 -3713 +2102 -890 +-2348 1748 +6253 -2943 +3391 2611 +-3557 1038 +862 -2454 +-1631 -3733 +-2028 -1638 +-35 -964 +-1024 -3072 +-998 2571 +240 -227 +-647 -1581 +1186 -1006 +-35 1481 +2181 7660 +-149 -562 +-3796 -300 +2259 2691 +-2098 -2760 +-631 -1617 +-1260 2224 +-2687 6814 +-13 5268 +-1851 1005 +0 0 +-1851 -1005 +-13 -5268 +-2687 -6814 +-1260 -2224 +-631 1617 +-2098 2760 +2259 -2691 +-3796 300 +-149 562 +2181 -7660 +-35 -1481 +1186 1006 +-647 1581 +240 227 +-998 -2571 +-1024 3072 +-35 964 +-2028 1638 +-1631 3733 +862 2454 +-3557 -1038 +3391 -2611 +6253 2943 +-2348 -1748 +2102 890 +2319 3713 +4462 -4932 +3308 -776 +-1067 2331 +-3992 240 +-1789 1330 +-2348 -1924 +-1555 -4280 +12 2019 +-3417 2288 +-5140 -2284 +121 6518 +4242 -4168 +1671 -739 +-1024 0 +1671 739 +4242 4168 +121 -6518 +-5140 2284 +-3417 -2288 +12 -2019 +-1555 4280 +-2348 1924 +62 6288 +-1177 1472 +-4265 513 +-2813 162 +-510 -432 +-208 -317 +1362 -1733 +2048 -3072 +146 -757 +364 2891 +2048 1475 +2214 162 +3292 -4204 +2441 1840 +-3179 2381 +-3796 -6268 +2455 -1843 +2820 748 +-962 -1654 +1644 2284 +3693 740 +-302 -6609 +-963 -502 +1024 0 +-963 502 +-302 6609 +3693 -740 +1644 -2284 +-962 1654 +2820 -748 +2455 1843 +-3796 6268 +-3179 -2381 +2441 -1840 +3292 4204 +2214 -162 +2048 -1475 +364 -2891 +146 757 +2048 3072 +1362 1733 +-208 317 +-510 432 +-2813 -162 +-4265 -513 +-1177 -1472 +62 -6288 +-2348 -1924 +-1555 -4280 +12 2019 +-3417 2288 +-5140 -2284 +121 6518 +4242 -4168 +1671 -739 +-2596 4644 +4346 6841 +-1056 1119 +5357 88 +-1416 -997 +-5222 -2799 +-1155 372 +-3419 -217 +2048 0 +-3419 217 +-1155 -372 +-5222 2799 +-1416 997 +5357 -88 +-1056 -1119 +4346 -6841 +-2596 -4644 +-1260 -378 +3398 -491 +-1090 -1111 +-78 -666 +1486 1235 +2881 -1216 +1317 259 +1024 3072 +-4375 -1473 +-665 1616 +2845 2297 +-1970 -5010 +1133 -2490 +1954 -647 +3163 -4418 +4644 -2596 +-1577 3680 +-4296 2821 +631 -5801 +-632 -3348 +653 1926 +-1061 -1636 +-3987 -939 +0 0 +-3987 939 +-1061 1636 +653 -1926 +-632 3348 +631 5801 +-4296 -2821 +-1577 -3680 +4644 2596 +3163 4418 +1954 647 +1133 2490 +-1970 5010 +2845 -2297 +-665 -1616 +-4375 1473 +1024 -3072 +1317 -259 +2881 1216 +1486 -1235 +-78 666 +-1090 1111 +3398 491 +-1260 378 +-2596 4644 +4346 6841 +-1056 1119 +5357 88 +-1416 -997 +-5222 -2799 +-1155 372 +-3419 -217 +-1148 3196 +-2790 1215 +2023 878 +-1500 488 +-2479 2010 +1628 -197 +0 -2139 +207 2853 +-2048 0 +207 -2853 +0 2139 +1628 197 +-2479 -2010 +-1500 -488 +2023 -878 +-2790 -1215 +-1148 -3196 +-2548 -2458 +-697 869 +-4193 -1441 +-596 -4456 +1936 984 +-2036 5263 +2622 1032 +-3072 3072 +-859 2811 +-639 -5357 +650 -769 +5540 -112 +2660 -5172 +1805 2372 +-3155 2562 +3196 -1148 +3380 389 +-3132 2322 +578 8125 +1630 2335 +4033 -3197 +2676 1407 +-2650 -2091 +-4096 0 +-2650 2091 +2676 -1407 +4033 3197 +1630 -2335 +578 -8125 +-3132 -2322 +3380 -389 +3196 1148 +-3155 -2562 +1805 -2372 +2660 5172 +5540 112 +650 769 +-639 5357 +-859 -2811 +-3072 -3072 +2622 -1032 +-2036 -5263 +1936 -984 +-596 4456 +-4193 1441 +-697 -869 +-2548 2458 +-1148 3196 +-2790 1215 +2023 878 +-1500 488 +-2479 2010 +1628 -197 +0 -2139 +207 2853 +2048 -3920 +-1918 2041 +-5213 -716 +3313 -1379 +5258 2976 +-780 -5664 +1252 4216 +1429 691 +-2048 0 +1429 -691 +1252 -4216 +-780 5664 +5258 -2976 +3313 1379 +-5213 716 +-1918 -2041 +2048 3920 +-467 -255 +1195 5121 +1297 4740 +-2426 640 +-1611 -1063 +-1237 -1277 +-2507 1713 +0 4096 +882 -1567 +-931 -4837 +-846 303 +-1318 -2856 +-2246 690 +-907 2669 +1292 -1238 +2048 1872 +-475 6553 +-2067 6952 +1420 1555 +2582 -2376 +-548 -331 +-285 912 +1763 -5979 +2048 0 +1763 5979 +-285 -912 +-548 331 +2582 2376 +1420 -1555 +-2067 -6952 +-475 -6553 +2048 -1872 +1292 1238 +-907 -2669 +-2246 -690 +-1318 2856 +-846 -303 +-931 4837 +882 1567 +0 -4096 +-2507 -1713 +-1237 1277 +-1611 1063 +-2426 -640 +1297 -4740 +1195 -5121 +-467 255 +2048 -3920 +-1918 2041 +-5213 -716 +3313 -1379 +5258 2976 +-780 -5664 +1252 4216 +1429 691 +-2772 124 +1697 2675 +-5380 -79 +-1160 2785 +572 -2044 +-5792 -4248 +-2957 5852 +97 2264 +4096 0 +97 -2264 +-2957 -5852 +-5792 4248 +572 2044 +-1160 -2785 +-5380 79 +1697 -2675 +-2772 -124 +-1651 519 +-2160 -2883 +3424 -385 +3138 3078 +-4311 1075 +232 -2856 +241 -1154 +5120 1024 +1813 3561 +2169 5052 +4992 3452 +-2538 1030 +909 -3369 +2124 -1894 +-2445 -3531 +-1324 -4220 +831 2852 +-1575 -1828 +611 -1544 +2924 4092 +1326 -735 +-643 -840 +-584 443 +2048 0 +-584 -443 +-643 840 +1326 735 +2924 -4092 +611 1544 +-1575 1828 +831 -2852 +-1324 4220 +-2445 3531 +2124 1894 +909 3369 +-2538 -1030 +4992 -3452 +2169 -5052 +1813 -3561 +5120 -1024 +241 1154 +232 2856 +-4311 -1075 +3138 -3078 +3424 385 +-2160 2883 +-1651 -519 +-2772 124 +1697 2675 +-5380 -79 +-1160 2785 +572 -2044 +-5792 -4248 +-2957 5852 +97 2264 +-3196 1324 +-2563 909 +-1320 2469 +5062 -370 +3562 -1186 +-4757 1536 +-2378 1001 +1551 -344 +5120 0 +1551 344 +-2378 -1001 +-4757 -1536 +3562 1186 +5062 370 +-1320 -2469 +-2563 -909 +-3196 -1324 +1330 -1302 +-3762 1210 +-880 -2698 +452 -3308 +2435 910 +3321 -3515 +-3243 -3153 +6144 3072 +-2199 -3447 +-3137 -8120 +3813 -2192 +-1900 -1260 +2024 -730 +-1626 4485 +-1813 5750 +1148 2772 +-1418 -538 +-284 -393 +475 1009 +-2114 -862 +-2379 -175 +995 1387 +2562 -838 +3072 0 +2562 838 +995 -1387 +-2379 175 +-2114 862 +475 -1009 +-284 393 +-1418 538 +1148 -2772 +-1813 -5750 +-1626 -4485 +2024 730 +-1900 1260 +3813 2192 +-3137 8120 +-2199 3447 +6144 -3072 +-3243 3153 +3321 3515 +2435 -910 +452 3308 +-880 2698 +-3762 -1210 +1330 1302 +-3196 1324 +-2563 909 +-1320 2469 +5062 -370 +3562 -1186 +-4757 1536 +-2378 1001 +1551 -344 +1448 424 +2479 63 +2393 -3438 +173 -3390 +-7464 -3170 +594 -1000 +2457 -1044 +-5811 2797 +-2048 0 +-5811 -2797 +2457 1044 +594 1000 +-7464 3170 +173 3390 +2393 3438 +2479 -63 +1448 -424 +2540 3829 +-3192 76 +-1807 -620 +3221 -1398 +-5412 367 +-4733 2836 +2174 1330 +0 0 +-221 -3646 +949 -1732 +-1460 -3659 +2572 -2846 +-1131 2902 +1624 -249 +4706 -3446 +-1448 -2472 +3636 4326 +-825 3114 +107 -320 +1672 1722 +-2650 -2066 +1327 -3524 +2082 -4301 +2048 0 +2082 4301 +1327 3524 +-2650 2066 +1672 -1722 +107 320 +-825 -3114 +3636 -4326 +-1448 2472 +4706 3446 +1624 249 +-1131 -2902 +2572 2846 +-1460 3659 +949 1732 +-221 3646 +0 0 +2174 -1330 +-4733 -2836 +-5412 -367 +3221 1398 +-1807 620 +-3192 -76 +2540 -3829 +1448 424 +2479 63 +2393 -3438 +173 -3390 +-7464 -3170 +594 -1000 +2457 -1044 +-5811 2797 +4344 848 +-454 470 +-1568 -7828 +-4783 -3463 +2172 -1338 +1764 2251 +-2040 1190 +926 1472 +-1024 0 +926 -1472 +-2040 -1190 +1764 -2251 +2172 1338 +-4783 3463 +-1568 7828 +-454 -470 +4344 -848 +-31 7099 +-3031 -825 +2349 -2816 +-2172 554 +1592 424 +4537 1403 +1548 4185 +-1024 2048 +133 4295 +2928 1311 +-2534 -1861 +-2172 554 +-2217 -3180 +-190 3492 +3466 -1336 +-4344 -4944 +-5198 542 +-1003 -1841 +-700 1220 +2172 1338 +4529 1777 +368 1118 +-390 -105 +3072 0 +-390 105 +368 -1118 +4529 -1777 +2172 -1338 +-700 -1220 +-1003 1841 +-5198 -542 +-4344 4944 +3466 1336 +-190 -3492 +-2217 3180 +-2172 -554 +-2534 1861 +2928 -1311 +133 -4295 +-1024 -2048 +1548 -4185 +4537 -1403 +1592 -424 +-2172 -554 +2349 2816 +-3031 825 +-31 -7099 +4344 848 +-454 470 +-1568 -7828 +-4783 -3463 +2172 -1338 +1764 2251 +-2040 1190 +926 1472 +-3920 2472 +4564 -3203 +3555 -1770 +1879 2375 +-470 -724 +-944 -15 +-1945 -2874 +-2233 4751 +3072 0 +-2233 -4751 +-1945 2874 +-944 15 +-470 724 +1879 -2375 +3555 1770 +4564 3203 +-3920 -2472 +-4887 4935 +263 1191 +1999 234 +314 724 +3852 -871 +-429 8408 +-3453 3747 +5120 -2048 +-1952 2998 +-3576 2900 +-1492 -1103 +-2362 -724 +-88 -532 +-43 239 +671 4320 +1872 -424 +4324 -4404 +2017 -3278 +2241 -1940 +-1578 -724 +-1655 -1417 +157 1463 +-2827 1492 +-1024 0 +-2827 -1492 +157 -1463 +-1655 1417 +-1578 724 +2241 1940 +2017 3278 +4324 4404 +1872 424 +671 -4320 +-43 -239 +-88 532 +-2362 724 +-1492 1103 +-3576 -2900 +-1952 -2998 +5120 2048 +-3453 -3747 +-429 -8408 +3852 871 +314 -724 +1999 -234 +263 -1191 +-4887 -4935 +-3920 2472 +4564 -3203 +3555 -1770 +1879 2375 +-470 -724 +-944 -15 +-1945 -2874 +-2233 4751 +-2472 -2472 +-1104 -1963 +2902 337 +1247 3289 +-84 2558 +-1872 681 +-1510 175 +-2194 1792 +-3072 0 +-2194 -1792 +-1510 -175 +-1872 -681 +-84 -2558 +1247 -3289 +2902 -337 +-1104 1963 +-2472 2472 +788 1539 +-2746 -898 +-1089 -1066 +-1652 -4138 +384 -1166 +1471 2960 +-1798 -1668 +-1024 0 +571 -1147 +1425 -2089 +-724 75 +3700 -3890 +-1467 2004 +-150 4610 +3336 163 +424 424 +5228 -1054 +-5799 1075 +-3804 3197 +2132 5883 +1532 1576 +4406 -1128 +966 11073 +1024 0 +966 -11073 +4406 1128 +1532 -1576 +2132 -5883 +-3804 -3197 +-5799 -1075 +5228 1054 +424 -424 +3336 -163 +-150 -4610 +-1467 -2004 +3700 3890 +-724 -75 +1425 2089 +571 1147 +-1024 0 +-1798 1668 +1471 -2960 +384 1166 +-1652 4138 +-1089 1066 +-2746 898 +788 -1539 +-2472 -2472 +-1104 -1963 +2902 337 +1247 3289 +-84 2558 +-1872 681 +-1510 175 +-2194 1792 +7292 -724 +344 3974 +1111 1647 +835 238 +-5140 -1500 +-4509 -5052 +1914 918 +996 23 +-7168 0 +996 -23 +1914 -918 +-4509 5052 +-5140 1500 +835 -238 +1111 -1647 +344 -3974 +7292 724 +-760 2978 +525 1271 +2379 -2920 +-2813 -1730 +1388 -174 +777 -1518 +-1660 -4809 +-2048 -3072 +-564 2704 +1115 -3566 +3683 -4180 +2214 -1730 +529 -44 +258 3319 +1477 -1317 +2948 724 +1835 -1277 +-1895 -3695 +-6639 1438 +1644 1500 +-3458 2246 +-3806 1130 +4125 498 +-1024 0 +4125 -498 +-3806 -1130 +-3458 -2246 +1644 -1500 +-6639 -1438 +-1895 3695 +1835 1277 +2948 -724 +1477 1317 +258 -3319 +529 44 +2214 1730 +3683 4180 +1115 3566 +-564 -2704 +-2048 3072 +-1660 4809 +777 1518 +1388 174 +-2813 1730 +2379 2920 +525 -1271 +-760 -2978 +7292 -724 +344 3974 +1111 1647 +835 238 +-5140 -1500 +-4509 -5052 +1914 918 +996 23 +-1448 3496 +-215 -102 +2583 -6899 +-3140 -1127 +-2062 4724 +-5914 -855 +-3251 -1431 +2485 3097 +1024 0 +2485 -3097 +-3251 1431 +-5914 855 +-2062 -4724 +-3140 1127 +2583 6899 +-215 102 +-1448 -3496 +-226 -372 +-3709 2104 +6237 2427 +1278 940 +-1012 2430 +1725 2647 +-956 975 +3072 2048 +-407 -1790 +-1422 -3644 +-2712 -377 +170 -3156 +-3001 -2232 +-4015 -740 +3921 -4802 +1448 600 +1634 3920 +1045 -41 +2359 1581 +614 -628 +1391 -2632 +-1148 -764 +-442 -3407 +9216 0 +-442 3407 +-1148 764 +1391 2632 +614 628 +2359 -1581 +1045 41 +1634 -3920 +1448 -600 +3921 4802 +-4015 740 +-3001 2232 +170 3156 +-2712 377 +-1422 3644 +-407 1790 +3072 -2048 +-956 -975 +1725 -2647 +-1012 -2430 +1278 -940 +6237 -2427 +-3709 -2104 +-226 372 +-1448 3496 +-215 -102 +2583 -6899 +-3140 -1127 +-2062 4724 +-5914 -855 +-3251 -1431 +2485 3097 +-1448 0 +3411 -1033 +-1818 799 +3248 2272 +-1482 1024 +-5870 -130 +-192 -2624 +849 -4462 +-1024 0 +849 4462 +-192 2624 +-5870 130 +-1482 -1024 +3248 -2272 +-1818 -799 +3411 1033 +-1448 0 +-5323 -596 +2022 -271 +-4889 327 +70 1024 +-1441 -3013 +-2194 -6859 +3215 -755 +-3072 4096 +3532 -1072 +5535 -1795 +4024 2540 +529 -1024 +-2754 -3387 +-2687 2913 +-1469 4304 +1448 0 +-2858 949 +-414 3585 +2148 2573 +4978 1024 +-259 258 +-252 695 +4436 1560 +-1024 0 +4436 -1560 +-252 -695 +-259 -258 +4978 -1024 +2148 -2573 +-414 -3585 +-2858 -949 +1448 0 +-1469 -4304 +-2687 -2913 +-2754 3387 +529 1024 +4024 -2540 +5535 1795 +3532 1072 +-3072 -4096 +3215 755 +-2194 6859 +-1441 3013 +70 -1024 +-4889 -327 +2022 271 +-5323 596 +-1448 0 +3411 -1033 +-1818 799 +3248 2272 +-1482 1024 +-5870 -130 +-192 -2624 +849 -4462 +-5668 -4820 +3084 3008 +-846 4430 +3845 345 +1246 862 +512 70 +2082 -1251 +-4750 -535 +-2048 0 +-4750 535 +2082 1251 +512 -70 +1246 -862 +3845 -345 +-846 -4430 +3084 -3008 +-5668 4820 +-771 3350 +2505 -1582 +-1672 -4129 +2140 -1260 +119 4134 +1653 -731 +1662 -1220 +1024 3072 +-3105 -903 +-4993 -1019 +-1791 -1419 +1356 -3308 +860 -414 +-1840 -614 +2056 -1550 +1572 -3372 +-1265 -2925 +3078 -2263 +6783 -5190 +-646 1186 +-2863 -198 +-1638 -6029 +-2705 3436 +0 0 +-2705 -3436 +-1638 6029 +-2863 198 +-646 -1186 +6783 5190 +3078 2263 +-1265 2925 +1572 3372 +2056 1550 +-1840 614 +860 414 +1356 3308 +-1791 1419 +-4993 1019 +-3105 903 +1024 -3072 +1662 1220 +1653 731 +119 -4134 +2140 1260 +-1672 4129 +2505 1582 +-771 -3350 +-5668 -4820 +3084 3008 +-846 4430 +3845 345 +1246 862 +512 70 +2082 -1251 +-4750 -535 +-4944 3672 +5 330 +2872 3607 +-4694 1475 +-470 -1408 +-1354 258 +567 2368 +7002 -1138 +2048 0 +7002 1138 +567 -2368 +-1354 -258 +-470 1408 +-4694 -1475 +2872 -3607 +5 -330 +-4944 -3672 +-3022 -2487 +-297 2997 +-816 -4566 +314 -4424 +-1756 -2740 +-490 477 +6230 2874 +0 -2048 +-1606 2325 +2657 -1755 +944 -4794 +-2362 -928 +-147 -2038 +9 -344 +786 1978 +848 6568 +-1112 352 +4409 -4050 +513 2621 +-1578 808 +-4275 -745 +-1535 -1704 +3303 -3195 +-2048 0 +3303 3195 +-1535 1704 +-4275 745 +-1578 -808 +513 -2621 +4409 4050 +-1112 -352 +848 -6568 +786 -1978 +9 344 +-147 2038 +-2362 928 +944 4794 +2657 1755 +-1606 -2325 +0 2048 +6230 -2874 +-490 -477 +-1756 2740 +314 4424 +-816 4566 +-297 -2997 +-3022 2487 +-4944 3672 +5 330 +2872 3607 +-4694 1475 +-470 -1408 +-1354 258 +567 2368 +7002 -1138 +1024 0 +-319 410 +-1793 -3835 +-350 -2106 +3326 -868 +1294 3714 +-3514 -4977 +-3141 -5093 +-2048 0 +-3141 5093 +-3514 4977 +1294 -3714 +3326 868 +-350 2106 +-1793 3835 +-319 -410 +1024 0 +-1329 695 +-466 -1160 +1938 7235 +2662 240 +3451 -1151 +-1375 178 +1362 -135 +2048 0 +-4970 -3487 +1339 2475 +2933 1811 +-14 -1808 +633 1619 +-3134 5232 +-1233 -738 +1024 0 +3769 2621 +4194 -2557 +2243 3171 +2218 2916 +-6349 136 +-3442 2680 +67 411 +-6144 0 +67 -411 +-3442 -2680 +-6349 -136 +2218 -2916 +2243 -3171 +4194 2557 +3769 -2621 +1024 0 +-1233 738 +-3134 -5232 +633 -1619 +-14 1808 +2933 -1811 +1339 -2475 +-4970 3487 +2048 0 +1362 135 +-1375 -178 +3451 1151 +2662 -240 +1938 -7235 +-466 1160 +-1329 -695 +1024 0 +-319 410 +-1793 -3835 +-350 -2106 +3326 -868 +1294 3714 +-3514 -4977 +-3141 -5093 +-1872 -3496 +-366 1538 +-198 -1275 +1986 -1217 +3714 4788 +1956 919 +950 92 +548 1874 +-2048 0 +548 -1874 +950 -92 +1956 -919 +3714 -4788 +1986 1217 +-198 1275 +-366 -1538 +-1872 3496 +-2279 807 +1519 530 +-756 1126 +-3411 -2113 +-4465 654 +710 2695 +2445 2823 +2048 2048 +987 3038 +-5278 1755 +-1153 -50 +-86 3680 +5385 -345 +-1195 -98 +-5227 5042 +3920 -600 +-5729 -4296 +-126 -3449 +714 946 +-4314 1004 +2125 -2823 +3618 3065 +3827 5334 +2048 0 +3827 -5334 +3618 -3065 +2125 2823 +-4314 -1004 +714 -946 +-126 3449 +-5729 4296 +3920 600 +-5227 -5042 +-1195 98 +5385 345 +-86 -3680 +-1153 50 +-5278 -1755 +987 -3038 +2048 -2048 +2445 -2823 +710 -2695 +-4465 -654 +-3411 2113 +-756 -1126 +1519 -530 +-2279 -807 +-1872 -3496 +-366 1538 +-198 -1275 +1986 -1217 +3714 4788 +1956 919 +950 92 +548 1874 +-300 900 +-3821 -1604 +-586 -468 +4760 543 +2624 -756 +1173 -722 +-1987 -1603 +1621 -2486 +8192 0 +1621 2486 +-1987 1603 +1173 722 +2624 756 +4760 -543 +-586 468 +-3821 1604 +-300 -900 +-3924 571 +-1196 -1747 +3772 2285 +-4286 2250 +-5208 -902 +6 6437 +-2305 1005 +-3072 -1024 +-278 -1962 +4118 3096 +5409 2638 +1390 -4142 +588 4137 +-1361 -2411 +-4534 2265 +-1748 5244 +-433 -2366 +246 2700 +2674 1622 +273 -1540 +-1583 -3618 +760 1159 +2089 2047 +2048 0 +2089 -2047 +760 -1159 +-1583 3618 +273 1540 +2674 -1622 +246 -2700 +-433 2366 +-1748 -5244 +-4534 -2265 +-1361 2411 +588 -4137 +1390 4142 +5409 -2638 +4118 -3096 +-278 1962 +-3072 1024 +-2305 -1005 +6 -6437 +-5208 902 +-4286 -2250 +3772 -2285 +-1196 1747 +-3924 -571 +-300 900 +-3821 -1604 +-586 -468 +4760 543 +2624 -756 +1173 -722 +-1987 -1603 +1621 -2486 +1748 3796 +1530 1226 +-3372 2489 +-1946 1787 +392 -3588 +5665 3157 +3885 -305 +-3843 -3054 +-4096 0 +-3843 3054 +3885 305 +5665 -3157 +392 3588 +-1946 -1787 +-3372 -2489 +1530 -1226 +1748 -3796 +834 -3479 +2351 -1723 +2318 2779 +-946 2094 +-575 3218 +4232 2086 +-1725 5369 +1024 3072 +4088 -4970 +-1676 -1254 +2161 808 +946 -202 +-2673 -1207 +-1123 -2388 +2052 1451 +300 2348 +-2848 -6834 +-752 -258 +-1483 1665 +-392 -2804 +-3467 5265 +-3545 -139 +-87 1954 +-2048 0 +-87 -1954 +-3545 139 +-3467 -5265 +-392 2804 +-1483 -1665 +-752 258 +-2848 6834 +300 -2348 +2052 -1451 +-1123 2388 +-2673 1207 +946 202 +2161 -808 +-1676 1254 +4088 4970 +1024 -3072 +-1725 -5369 +4232 -2086 +-575 -3218 +-946 -2094 +2318 -2779 +2351 1723 +834 3479 +1748 3796 +1530 1226 +-3372 2489 +-1946 1787 +392 -3588 +5665 3157 +3885 -305 +-3843 -3054 +-1448 -2472 +-2688 475 +6272 -1339 +-4620 -443 +-4494 -3112 +2804 -970 +-4056 -1190 +88 -2696 +6144 0 +88 2696 +-4056 1190 +2804 970 +-4494 3112 +-4620 443 +6272 1339 +-2688 -475 +-1448 2472 +-953 -958 +-3253 -3260 +191 4560 +-4170 2800 +435 2782 +-594 -1307 +-1795 -922 +2048 -2048 +-307 -6516 +1941 -302 +1102 3387 +74 2552 +114 -728 +1257 420 +4100 1183 +1448 424 +-459 -2429 +1517 -774 +4315 -749 +398 -5329 +-4341 -2522 +-3084 -3598 +2014 1198 +6144 0 +2014 -1198 +-3084 3598 +-4341 2522 +398 5329 +4315 749 +1517 774 +-459 2429 +1448 -424 +4100 -1183 +1257 -420 +114 728 +74 -2552 +1102 -3387 +1941 302 +-307 6516 +2048 2048 +-1795 922 +-594 1307 +435 -2782 +-4170 -2800 +191 -4560 +-3253 3260 +-953 958 +-1448 -2472 +-2688 475 +6272 -1339 +-4620 -443 +-4494 -3112 +2804 -970 +-4056 -1190 +88 -2696 +4220 -1748 +1692 279 +-1492 477 +1130 1431 +-836 -776 +-2679 -710 +886 -2900 +1401 1381 +-1024 0 +1401 -1381 +886 2900 +-2679 710 +-836 776 +1130 -1431 +-1492 -477 +1692 -279 +4220 1748 +1871 3373 +3261 2158 +-2261 -626 +-1286 -2454 +390 3007 +-2778 -1035 +3462 2023 +-2048 5120 +-2983 -386 +102 -31 +-2671 142 +-1610 -1006 +-7299 502 +-2153 5838 +-677 808 +-124 -300 +8258 -1588 +384 -2590 +420 6009 +3732 2224 +1385 -4035 +1790 -1889 +-1440 5658 +-3072 0 +-1440 -5658 +1790 1889 +1385 4035 +3732 -2224 +420 -6009 +384 2590 +8258 1588 +-124 300 +-677 -808 +-2153 -5838 +-7299 -502 +-1610 1006 +-2671 -142 +102 31 +-2983 386 +-2048 -5120 +3462 -2023 +-2778 1035 +390 -3007 +-1286 2454 +-2261 626 +3261 -2158 +1871 -3373 +4220 -1748 +1692 279 +-1492 477 +1130 1431 +-836 -776 +-2679 -710 +886 -2900 +1401 1381 +-2048 1448 +2554 5624 +-1041 -3010 +-762 1019 +614 3680 +-1565 1214 +-1732 1684 +504 2772 +-1024 0 +504 -2772 +-1732 -1684 +-1565 -1214 +614 -3680 +-762 -1019 +-1041 3010 +2554 -5624 +-2048 -1448 +-4875 4473 +5047 -2678 +-433 -850 +170 -4788 +1494 -1287 +-2156 5048 +1250 -848 +-1024 -2048 +3660 -3749 +4529 1432 +4833 3337 +1278 1004 +-957 1678 +1569 -4414 +-2799 -3600 +-2048 -1448 +-5345 -3232 +-1479 -2822 +1913 1270 +-2062 2113 +1268 -2621 +3456 -1203 +-742 -1001 +-5120 0 +-742 1001 +3456 1203 +1268 2621 +-2062 -2113 +1913 -1270 +-1479 2822 +-5345 3232 +-2048 1448 +-2799 3600 +1569 4414 +-957 -1678 +1278 -1004 +4833 -3337 +4529 -1432 +3660 3749 +-1024 2048 +1250 848 +-2156 -5048 +1494 1287 +170 4788 +-433 850 +5047 2678 +-4875 -4473 +-2048 1448 +2554 5624 +-1041 -3010 +-762 1019 +614 3680 +-1565 1214 +-1732 1684 +504 2772 +-300 -3796 +-1794 -3003 +1001 365 +4970 643 +4756 -2824 +-3454 -2412 +914 -1639 +3278 2734 +0 0 +3278 -2734 +914 1639 +-3454 2412 +4756 2824 +4970 -643 +1001 -365 +-1794 3003 +-300 3796 +3569 432 +-3515 -599 +1634 2820 +-586 -4502 +-3870 -610 +-1809 3261 +-3392 -4350 +5120 1024 +919 767 +-239 -1619 +1861 1769 +-262 1042 +44 616 +1467 1605 +4397 534 +-1748 -2348 +-3955 -2246 +-3049 -6353 +-1297 -5064 +188 176 +112 2574 +-2962 4952 +-3022 -2969 +2048 0 +-3022 2969 +-2962 -4952 +112 -2574 +188 -176 +-1297 5064 +-3049 6353 +-3955 2246 +-1748 2348 +4397 -534 +1467 -1605 +44 -616 +-262 -1042 +1861 -1769 +-239 1619 +919 -767 +5120 -1024 +-3392 4350 +-1809 -3261 +-3870 610 +-586 4502 +1634 -2820 +-3515 599 +3569 -432 +-300 -3796 +-1794 -3003 +1001 365 +4970 643 +4756 -2824 +-3454 -2412 +914 -1639 +3278 2734 +-1148 1324 +-1771 1222 +1201 -448 +2161 1465 +-92 2284 +-4474 2821 +-1032 -2378 +-795 -3959 +-7168 0 +-795 3959 +-1032 2378 +-4474 -2821 +-92 -2284 +2161 -1465 +1201 448 +-1771 -1222 +-1148 -1324 +2912 5002 +2828 -1498 +3392 -4560 +2694 -162 +-5045 -2717 +3840 -1777 +5045 -1121 +0 1024 +3558 1504 +-5952 -2405 +-1764 395 +802 -162 +-2831 -4698 +-1823 -4654 +1645 203 +3196 2772 +-3025 971 +3587 1388 +1950 -2803 +692 -2284 +818 1490 +-2648 -2345 +-1777 -408 +-5120 0 +-1777 408 +-2648 2345 +818 -1490 +692 2284 +1950 2803 +3587 -1388 +-3025 -971 +3196 -2772 +1645 -203 +-1823 4654 +-2831 4698 +802 162 +-1764 -395 +-5952 2405 +3558 -1504 +0 -1024 +5045 1121 +3840 1777 +-5045 2717 +2694 162 +3392 4560 +2828 1498 +2912 -5002 +-1148 1324 +-1771 1222 +1201 -448 +2161 1465 +-92 2284 +-4474 2821 +-1032 -2378 +-795 -3959 +5244 1748 +-1742 289 +-4428 -438 +-1324 -425 +2060 -417 +1778 -3432 +-1701 -2584 +486 -3710 +2048 0 +486 3710 +-1701 2584 +1778 3432 +2060 417 +-1324 425 +-4428 438 +-1742 -289 +5244 -1748 +-4520 2861 +-4400 2607 +1797 -2782 +-4182 -1874 +-829 -1536 +-969 -52 +-1854 -2784 +1024 3072 +1992 586 +4374 -1345 +959 3813 +-4507 -5370 +-4279 -1939 +683 -105 +-357 493 +900 300 +-61 -1327 +-4640 4717 +5135 380 +6628 1016 +2557 2100 +2889 92 +264 2616 +0 0 +264 -2616 +2889 -92 +2557 -2100 +6628 -1016 +5135 -380 +-4640 -4717 +-61 1327 +900 -300 +-357 -493 +683 105 +-4279 1939 +-4507 5370 +959 -3813 +4374 1345 +1992 -586 +1024 -3072 +-1854 2784 +-969 52 +-829 1536 +-4182 1874 +1797 2782 +-4400 -2607 +-4520 -2861 +5244 1748 +-1742 289 +-4428 -438 +-1324 -425 +2060 -417 +1778 -3432 +-1701 -2584 +486 -3710 +-1448 1024 +-1315 788 +-2927 -1300 +257 724 +4992 3870 +5631 2095 +2556 -3025 +2270 -3934 +6144 0 +2270 3934 +2556 3025 +5631 -2095 +4992 -3870 +257 -724 +-2927 1300 +-1315 -788 +-1448 -1024 +-2411 4873 +-1983 4219 +1022 -4128 +-2797 -2146 +-1040 1379 +3397 -3495 +2267 -878 +0 2048 +-3268 -1848 +-620 3002 +-869 4875 +-2148 -2746 +-2510 -1474 +1423 159 +-97 -2956 +1448 1024 +2494 2159 +-5202 -1559 +343 -837 +-4144 -374 +2959 1033 +3356 2529 +-5734 -244 +2048 0 +-5734 244 +3356 -2529 +2959 -1033 +-4144 374 +343 837 +-5202 1559 +2494 -2159 +1448 -1024 +-97 2956 +1423 -159 +-2510 1474 +-2148 2746 +-869 -4875 +-620 -3002 +-3268 1848 +0 -2048 +2267 878 +3397 3495 +-1040 -1379 +-2797 2146 +1022 4128 +-1983 -4219 +-2411 -4873 +-1448 1024 +-1315 788 +-2927 -1300 +257 724 +4992 3870 +5631 2095 +2556 -3025 +2270 -3934 +-2772 -300 +-2533 460 +416 -1092 +-1037 3862 +-1056 776 +-354 -4002 +1373 -5419 +2898 2191 +1024 0 +2898 -2191 +1373 5419 +-354 4002 +-1056 -776 +-1037 -3862 +416 1092 +-2533 -460 +-2772 300 +-2402 5141 +-83 -218 +3041 -3825 +502 2454 +-1503 9113 +2056 4065 +3190 2903 +0 -1024 +2326 156 +-2056 2393 +-2014 -3891 +2394 1006 +-3542 2193 +83 2353 +292 1071 +-1324 -1748 +-708 -875 +-416 -2129 +3755 -5516 +-1840 -2224 +1654 3103 +-1373 -2046 +-3062 2111 +7168 0 +-3062 -2111 +-1373 2046 +1654 -3103 +-1840 2224 +3755 5516 +-416 2129 +-708 875 +-1324 1748 +292 -1071 +83 -2353 +-3542 -2193 +2394 -1006 +-2014 3891 +-2056 -2393 +2326 -156 +0 1024 +3190 -2903 +2056 -4065 +-1503 -9113 +502 -2454 +3041 3825 +-83 218 +-2402 -5141 +-2772 -300 +-2533 460 +416 -1092 +-1037 3862 +-1056 776 +-354 -4002 +1373 -5419 +2898 2191 +-1624 600 +2430 -7760 +-1551 -2267 +-641 -5416 +1618 -3425 +797 965 +147 -1691 +-880 1670 +2048 0 +-880 -1670 +147 1691 +797 -965 +1618 3425 +-641 5416 +-1551 2267 +2430 7760 +-1624 -600 +-1410 -2400 +-984 5096 +-1088 -1609 +-3510 -987 +-244 -821 +3526 3155 +1117 6274 +4096 -2048 +1340 249 +-186 3036 +-1410 -279 +-834 -5932 +-711 -1977 +319 2760 +750 -13 +-4520 3496 +-203 -3526 +-681 -2965 +-1345 4918 +2726 2576 +4641 2842 +-591 -1325 +-3144 -1591 +2048 0 +-3144 1591 +-591 1325 +4641 -2842 +2726 -2576 +-1345 -4918 +-681 2965 +-203 3526 +-4520 -3496 +750 13 +319 -2760 +-711 1977 +-834 5932 +-1410 279 +-186 -3036 +1340 -249 +4096 2048 +1117 -6274 +3526 -3155 +-244 821 +-3510 987 +-1088 1609 +-984 -5096 +-1410 2400 +-1624 600 +2430 -7760 +-1551 -2267 +-641 -5416 +1618 -3425 +797 965 +147 -1691 +-880 1670 +1024 848 +754 -1287 +-3386 -1091 +-4507 -1412 +640 -5159 +766 -654 +400 3339 +671 1637 +2048 0 +671 -1637 +400 -3339 +766 654 +640 5159 +-4507 1412 +-3386 1091 +754 1287 +1024 -848 +3162 -6534 +544 -4021 +2815 3361 +-2376 -4614 +-2248 2355 +1986 4520 +-589 -6060 +0 2048 +-5093 1630 +754 424 +3018 1422 +2976 -3414 +1797 -113 +-4705 75 +-219 -3991 +1024 -4944 +1655 -1521 +-2342 -3005 +-2321 -4279 +2856 -1834 +681 -2496 +-1443 757 +-341 702 +2048 0 +-341 -702 +-1443 -757 +681 2496 +2856 1834 +-2321 4279 +-2342 3005 +1655 1521 +1024 4944 +-219 3991 +-4705 -75 +1797 113 +2976 3414 +3018 -1422 +754 -424 +-5093 -1630 +0 -2048 +-589 6060 +1986 -4520 +-2248 -2355 +-2376 4614 +2815 -3361 +544 4021 +3162 6534 +1024 848 +754 -1287 +-3386 -1091 +-4507 -1412 +640 -5159 +766 -654 +400 3339 +671 1637 +-1448 600 +2152 6283 +-3237 1353 +-2147 163 +2062 1108 +-1967 -475 +-1752 2191 +253 2634 +-1024 0 +253 -2634 +-1752 -2191 +-1967 475 +2062 -1108 +-2147 -163 +-3237 -1353 +2152 -6283 +-1448 -600 +-1737 729 +5288 4367 +3424 7895 +-1278 2676 +-2226 -2089 +-944 815 +3004 7596 +1024 -2048 +-1733 511 +3944 1924 +4799 -1277 +-170 2676 +-983 -3211 +-1829 1692 +-1575 -528 +1448 3496 +1159 4860 +-223 -4029 +-294 3052 +-614 -1108 +-607 -4168 +-1248 -1082 +-1523 -712 +-1024 0 +-1523 712 +-1248 1082 +-607 4168 +-614 1108 +-294 -3052 +-223 4029 +1159 -4860 +1448 -3496 +-1575 528 +-1829 -1692 +-983 3211 +-170 -2676 +4799 1277 +3944 -1924 +-1733 -511 +1024 2048 +3004 -7596 +-944 -815 +-2226 2089 +-1278 -2676 +3424 -7895 +5288 -4367 +-1737 -729 +-1448 600 +2152 6283 +-3237 1353 +-2147 163 +2062 1108 +-1967 -475 +-1752 2191 +253 2634 +-300 -3620 +-2234 -2433 +5891 -3304 +1729 -1879 +802 -2550 +659 5068 +-3061 2174 +885 -1458 +3072 0 +885 1458 +-3061 -2174 +659 -5068 +802 2550 +1729 1879 +5891 3304 +-2234 2433 +-300 3620 +3736 4128 +1173 4 +3238 2350 +-92 -208 +-4612 1468 +537 -2835 +4412 -7207 +-4096 -1024 +-2935 976 +1135 322 +-1520 1471 +692 992 +-1611 -2638 +1399 -4720 +523 -2536 +-1748 3620 +3088 545 +-2670 2676 +-901 1043 +2694 -4442 +-2774 1487 +-4403 -3114 +-1683 432 +1024 0 +-1683 -432 +-4403 3114 +-2774 -1487 +2694 4442 +-901 -1043 +-2670 -2676 +3088 -545 +-1748 -3620 +523 2536 +1399 4720 +-1611 2638 +692 -992 +-1520 -1471 +1135 -322 +-2935 -976 +-4096 1024 +4412 7207 +537 2835 +-4612 -1468 +-92 208 +3238 -2350 +1173 -4 +3736 -4128 +-300 -3620 +-2234 -2433 +5891 -3304 +1729 -1879 +802 -2550 +659 5068 +-3061 2174 +885 -1458 +6692 -1572 +2250 3020 +-88 2491 +-1730 -3608 +1744 -632 +2176 2588 +-163 3992 +1440 -4788 +-1024 0 +1440 4788 +-163 -3992 +2176 -2588 +1744 632 +-1730 3608 +-88 -2491 +2250 -3020 +6692 1572 +1597 -1888 +167 1971 +-2145 -2454 +-4826 -1970 +524 2075 +1744 -1590 +-1704 3131 +2048 1024 +4734 -3201 +-3976 -3259 +-1749 -1738 +-718 78 +1761 -1661 +3173 -1265 +-1786 356 +-548 5668 +-5845 4887 +-355 2962 +546 4089 +-4392 -1416 +617 1478 +-502 3028 +-686 -4072 +1024 0 +-686 4072 +-502 -3028 +617 -1478 +-4392 1416 +546 -4089 +-355 -2962 +-5845 -4887 +-548 -5668 +-1786 -356 +3173 1265 +1761 1661 +-718 -78 +-1749 1738 +-3976 3259 +4734 3201 +2048 -1024 +-1704 -3131 +1744 1590 +524 -2075 +-4826 1970 +-2145 2454 +167 -1971 +1597 1888 +6692 -1572 +2250 3020 +-88 2491 +-1730 -3608 +1744 -632 +2176 2588 +-163 3992 +1440 -4788 +0 -1448 +1824 -390 +-2983 -3294 +-143 -1813 +-724 -738 +-1184 -2552 +2525 -3501 +-2568 602 +-3072 0 +-2568 -602 +2525 3501 +-1184 2552 +-724 738 +-143 1813 +-2983 3294 +1824 390 +0 1448 +-203 2961 +-6238 -4275 +-611 399 +724 4050 +-5248 71 +1236 3575 +2219 -1117 +7168 -4096 +-1909 -1771 +-4956 -2037 +5808 -19 +724 -2942 +-1237 -744 +1618 1128 +-52 -1633 +0 1448 +1327 -1308 +-2286 -1191 +-906 3567 +-724 1938 +-2273 -434 +2891 -3807 +5154 -4153 +5120 0 +5154 4153 +2891 3807 +-2273 434 +-724 -1938 +-906 -3567 +-2286 1191 +1327 1308 +0 -1448 +-52 1633 +1618 -1128 +-1237 744 +724 2942 +5808 19 +-4956 2037 +-1909 1771 +7168 4096 +2219 1117 +1236 -3575 +-5248 -71 +724 -4050 +-611 -399 +-6238 4275 +-203 -2961 +0 -1448 +1824 -390 +-2983 -3294 +-143 -1813 +-724 -738 +-1184 -2552 +2525 -3501 +-2568 602 +3072 3496 +2022 6761 +2620 3306 +4451 -184 +-676 -1782 +-974 2891 +550 3754 +1032 1699 +0 0 +1032 -1699 +550 -3754 +-974 -2891 +-676 1782 +4451 184 +2620 -3306 +2022 -6761 +3072 -3496 +-1618 -356 +1437 -720 +-3949 2143 +-1224 -1678 +3113 2962 +-205 3869 +481 98 +-2048 4096 +2361 -3622 +360 -2811 +-1474 3085 +-575 1219 +946 -808 +-172 -2049 +-1890 2217 +3072 600 +-3866 1164 +212 1157 +769 -551 +-9812 4678 +-2881 -551 +3390 -4642 +1478 -67 +0 0 +1478 67 +3390 4642 +-2881 551 +-9812 -4678 +769 551 +212 -1157 +-3866 -1164 +3072 -600 +-1890 -2217 +-172 2049 +946 808 +-575 -1219 +-1474 -3085 +360 2811 +2361 3622 +-2048 -4096 +481 -98 +-205 -3869 +3113 -2962 +-1224 1678 +-3949 -2143 +1437 720 +-1618 356 +3072 3496 +2022 6761 +2620 3306 +4451 -184 +-676 -1782 +-974 2891 +550 3754 +1032 1699 +1924 -1748 +-757 336 +-1245 2329 +2417 -298 +-717 6829 +-3623 -1522 +1437 -4834 +2014 -5281 +-1024 0 +2014 5281 +1437 4834 +-3623 1522 +-717 -6829 +2417 298 +-1245 -2329 +-757 -336 +1924 1748 +-759 -671 +-1608 2677 +-513 -3056 +-3622 4281 +-4962 1629 +-2065 -1564 +-336 1947 +0 -3072 +-1732 -1109 +-3768 -4084 +273 -1025 +3622 4530 +-1100 -2436 +-2008 304 +4924 7258 +6268 -300 +1704 -654 +764 -606 +1651 -4195 +717 1612 +64 -453 +299 -1782 +734 -231 +1024 0 +734 231 +299 1782 +64 453 +717 -1612 +1651 4195 +764 606 +1704 654 +6268 300 +4924 -7258 +-2008 -304 +-1100 2436 +3622 -4530 +273 1025 +-3768 4084 +-1732 1109 +0 3072 +-336 -1947 +-2065 1564 +-4962 -1629 +-3622 -4281 +-513 3056 +-1608 -2677 +-759 671 +1924 -1748 +-757 336 +-1245 2329 +2417 -298 +-717 6829 +-3623 -1522 +1437 -4834 +2014 -5281 +-1872 -2472 +-1886 -1087 +2528 -6429 +677 1803 +-2158 6536 +-1543 1773 +442 1407 +143 -4454 +-3072 0 +143 4454 +442 -1407 +-1543 -1773 +-2158 -6536 +677 -1803 +2528 6429 +-1886 1087 +-1872 2472 +3765 2940 +4392 -2239 +-233 -2224 +-1154 -1813 +-1301 -2081 +3355 314 +-1510 1514 +-1024 -2048 +5588 434 +-3864 4502 +-235 3701 +-46 3380 +-3303 794 +-3571 3369 +-498 3546 +3920 424 +-2269 3021 +3644 -747 +-1605 327 +-4834 2752 +1749 -2672 +1266 -1811 +2459 -1090 +1024 0 +2459 1090 +1266 1811 +1749 2672 +-4834 -2752 +-1605 -327 +3644 747 +-2269 -3021 +3920 -424 +-498 -3546 +-3571 -3369 +-3303 -794 +-46 -3380 +-235 -3701 +-3864 -4502 +5588 -434 +-1024 2048 +-1510 -1514 +3355 -314 +-1301 2081 +-1154 1813 +-233 2224 +4392 2239 +3765 -2940 +-1872 -2472 +-1886 -1087 +2528 -6429 +677 1803 +-2158 6536 +-1543 1773 +442 1407 +143 -4454 +-1748 1324 +-1512 2492 +1594 1047 +-6784 4986 +-5166 106 +2854 -2828 +1812 -463 +-577 582 +-3072 0 +-577 -582 +1812 463 +2854 2828 +-5166 -106 +-6784 -4986 +1594 -1047 +-1512 -2492 +-1748 -1324 +-3975 696 +-1442 2948 +4714 280 +-268 -6020 +4020 -511 +5235 -819 +-665 1117 +0 -1024 +-1332 1349 +-3631 3565 +-518 -3928 +516 3020 +-738 1390 +1258 -2116 +-1939 638 +-300 2772 +746 1914 +-210 882 +4136 2804 +-3274 -3354 +-1893 298 +3576 3648 +3463 -1679 +7168 0 +3463 1679 +3576 -3648 +-1893 -298 +-3274 3354 +4136 -2804 +-210 -882 +746 -1914 +-300 -2772 +-1939 -638 +1258 2116 +-738 -1390 +516 -3020 +-518 3928 +-3631 -3565 +-1332 -1349 +0 1024 +-665 -1117 +5235 819 +4020 511 +-268 6020 +4714 -280 +-1442 -2948 +-3975 -696 +-1748 1324 +-1512 2492 +1594 1047 +-6784 4986 +-5166 106 +2854 -2828 +1812 -463 +-577 582 +3196 -724 +-1873 -2963 +2048 398 +2035 44 +2878 842 +5224 -4325 +-320 -4053 +1389 -1035 +3072 0 +1389 1035 +-320 4053 +5224 4325 +2878 -842 +2035 -44 +2048 -398 +-1873 2963 +3196 724 +-1267 -4646 +-1931 4557 +-5207 1214 +-4696 -5964 +5365 -1364 +2262 1887 +2435 391 +2048 -1024 +-3675 -208 +-2587 -1416 +-268 2133 +-1696 -171 +165 -2282 +-2637 292 +-2798 -4046 +-1148 724 +-1393 2363 +2520 -567 +-4801 3452 +-582 4951 +3279 829 +645 -3347 +1388 1635 +-3072 0 +1388 -1635 +645 3347 +3279 -829 +-582 -4951 +-4801 -3452 +2520 567 +-1393 -2363 +-1148 -724 +-2798 4046 +-2637 -292 +165 2282 +-1696 171 +-268 -2133 +-2587 1416 +-3675 208 +2048 1024 +2435 -391 +2262 -1887 +5365 1364 +-4696 5964 +-5207 -1214 +-1931 -4557 +-1267 4646 +3196 -724 +-1873 -2963 +2048 398 +2035 44 +2878 842 +5224 -4325 +-320 -4053 +1389 -1035 +2048 -2896 +-2993 -1854 +-869 2466 +-1646 2600 +2302 1808 +1183 1111 +-352 -3213 +717 222 +-5120 0 +717 -222 +-352 3213 +1183 -1111 +2302 -1808 +-1646 -2600 +-869 -2466 +-2993 1854 +2048 2896 +2988 -1562 +2445 -1543 +471 2252 +1638 -868 +6866 958 +3859 -699 +1113 2954 +-1024 8192 +-2300 -4166 +-519 -3883 +1753 -2742 +-1038 -2916 +-465 1369 +-3110 -3472 +-2477 1716 +2048 2896 +-4199 -294 +-1363 2598 +2969 1501 +1194 240 +-5338 -2227 +-92 -1171 +1358 1217 +-9216 0 +1358 -1217 +-92 1171 +-5338 2227 +1194 -240 +2969 -1501 +-1363 -2598 +-4199 294 +2048 -2896 +-2477 -1716 +-3110 3472 +-465 -1369 +-1038 2916 +1753 2742 +-519 3883 +-2300 4166 +-1024 -8192 +1113 -2954 +3859 699 +6866 -958 +1638 868 +471 -2252 +2445 1543 +2988 1562 +2048 -2896 +-2993 -1854 +-869 2466 +-1646 2600 +2302 1808 +1183 1111 +-352 -3213 +717 222 +-4096 -1624 +2194 5597 +1118 4713 +-1502 -563 +1264 -2387 +1312 -2917 +-187 -1600 +-908 236 +2048 0 +-908 -236 +-187 1600 +1312 2917 +1264 2387 +-1502 563 +1118 -4713 +2194 -5597 +-4096 1624 +74 -2142 +-3566 298 +-1666 6041 +3940 -3290 +-1766 126 +-2999 -1102 +3337 -3505 +6144 4096 +-586 1820 +-158 2838 +1056 -2477 +156 -4738 +-3494 -389 +-1158 -2533 +2245 -2020 +-4096 -4520 +-1616 -2579 +-491 -3448 +3766 -2971 +2832 938 +-3498 -2581 +-752 1445 +1053 2193 +2048 0 +1053 -2193 +-752 -1445 +-3498 2581 +2832 -938 +3766 2971 +-491 3448 +-1616 2579 +-4096 4520 +2245 2020 +-1158 2533 +-3494 389 +156 4738 +1056 2477 +-158 -2838 +-586 -1820 +6144 -4096 +3337 3505 +-2999 1102 +-1766 -126 +3940 3290 +-1666 -6041 +-3566 -298 +74 2142 +-4096 -1624 +2194 5597 +1118 4713 +-1502 -563 +1264 -2387 +1312 -2917 +-187 -1600 +-908 236 +2896 -2048 +-920 -312 +-2292 1171 +-773 -158 +-200 2498 +-2156 918 +-5392 2197 +-674 408 +5120 0 +-674 -408 +-5392 -2197 +-2156 -918 +-200 -2498 +-773 158 +-2292 -1171 +-920 312 +2896 2048 +2438 -1232 +2204 1549 +-259 450 +-8788 -2970 +-5940 707 +4067 -1565 +1747 -3484 +-1024 2048 +2406 -2830 +981 262 +-1301 796 +348 -1273 +2994 1593 +3303 -2287 +-855 3362 +-2896 -2048 +-903 2010 +880 4882 +2709 -1596 +449 7391 +-1068 2068 +4439 1327 +2552 3143 +-3072 0 +2552 -3143 +4439 -1327 +-1068 -2068 +449 -7391 +2709 1596 +880 -4882 +-903 -2010 +-2896 2048 +-855 -3362 +3303 2287 +2994 -1593 +348 1273 +-1301 -796 +981 -262 +2406 2830 +-1024 -2048 +1747 3484 +4067 1565 +-5940 -707 +-8788 2970 +-259 -450 +2204 -1549 +2438 1232 +2896 -2048 +-920 -312 +-2292 1171 +-773 -158 +-200 2498 +-2156 918 +-5392 2197 +-674 408 +3196 -1148 +-6228 801 +-2814 1300 +1228 -1350 +-5514 2510 +1862 574 +4297 -4668 +-1030 2175 +0 0 +-1030 -2175 +4297 4668 +1862 -574 +-5514 -2510 +1228 1350 +-2814 -1300 +-6228 -801 +3196 1148 +1595 2523 +-1630 -3464 +-1705 1766 +-67 6080 +4587 1015 +623 5269 +-1500 -713 +1024 1024 +167 1146 +1934 -1856 +2172 150 +67 -1512 +840 2589 +2858 -2561 +2412 -279 +-1148 3196 +-2004 4277 +-1310 2499 +1645 -3490 +5514 2186 +957 1090 +-3958 440 +-4997 -628 +-6144 0 +-4997 628 +-3958 -440 +957 -1090 +5514 -2186 +1645 3490 +-1310 -2499 +-2004 -4277 +-1148 -3196 +2412 279 +2858 2561 +840 -2589 +67 1512 +2172 -150 +1934 1856 +167 -1146 +1024 -1024 +-1500 713 +623 -5269 +4587 -1015 +-67 -6080 +-1705 -1766 +-1630 3464 +1595 -2523 +3196 -1148 +-6228 801 +-2814 1300 +1228 -1350 +-5514 2510 +1862 574 +4297 -4668 +-1030 2175 +-3072 848 +-1564 2341 +948 4049 +444 1057 +6896 -758 +4893 -978 +-1148 3346 +565 1541 +2048 0 +565 -1541 +-1148 -3346 +4893 978 +6896 758 +444 -1057 +948 -4049 +-1564 -2341 +-3072 -848 +1684 -1267 +2038 2121 +4322 3381 +-1233 -654 +-5994 -2094 +2846 2352 +-276 2938 +-4096 2048 +-241 -1002 +-2402 1792 +2166 738 +984 195 +-6553 2117 +194 -3791 +2106 -1111 +-3072 -4944 +-658 -2497 +-284 -1272 +-1998 208 +1544 5702 +2719 -1854 +-2192 4782 +-1616 2399 +2048 0 +-1616 -2399 +-2192 -4782 +2719 1854 +1544 -5702 +-1998 -208 +-284 1272 +-658 2497 +-3072 4944 +2106 1111 +194 3791 +-6553 -2117 +984 -195 +2166 -738 +-2402 -1792 +-241 1002 +-4096 -2048 +-276 -2938 +2846 -2352 +-5994 2094 +-1233 654 +4322 -3381 +2038 -2121 +1684 1267 +-3072 848 +-1564 2341 +948 4049 +444 1057 +6896 -758 +4893 -978 +-1148 3346 +565 1541 +-5244 2172 +-259 -1392 +-3158 1872 +-539 1103 +-1311 -318 +-1007 5596 +631 2377 +1963 4659 +7168 0 +1963 -4659 +631 -2377 +-1007 -5596 +-1311 318 +-539 -1103 +-3158 -1872 +-259 1392 +-5244 -2172 +-827 -5569 +3769 -3116 +-222 -2433 +912 -3548 +-867 2128 +5689 1092 +1243 134 +-4096 -1024 +3281 155 +-3797 611 +-2827 -513 +5480 548 +1585 -2868 +-2985 2716 +-2410 645 +-900 -2172 +1040 -1603 +2374 -136 +-1504 5014 +-986 -3778 +-412 -4085 +-2523 1239 +1762 137 +5120 0 +1762 -137 +-2523 -1239 +-412 4085 +-986 3778 +-1504 -5014 +2374 136 +1040 1603 +-900 2172 +-2410 -645 +-2985 -2716 +1585 2868 +5480 -548 +-2827 513 +-3797 -611 +3281 -155 +-4096 1024 +1243 -134 +5689 -1092 +-867 -2128 +912 3548 +-222 2433 +3769 3116 +-827 5569 +-5244 2172 +-259 -1392 +-3158 1872 +-539 1103 +-1311 -318 +-1007 5596 +631 2377 +1963 4659 +3072 -5120 +-674 1191 +-754 1135 +-1017 -1576 +543 3446 +3786 -2532 +384 -2704 +-2275 1450 +-1024 0 +-2275 -1450 +384 2704 +3786 2532 +543 -3446 +-1017 1576 +-754 -1135 +-674 -1191 +3072 5120 +4038 -1109 +189 -2582 +-3758 3257 +-4808 326 +144 -3576 +1225 -2134 +-3933 935 +-1024 2048 +2140 -3504 +-2792 698 +-2093 -3047 +2760 -5218 +3610 1222 +3595 -2738 +2927 2111 +3072 -5120 +1957 -2747 +-3030 2805 +-3948 -4611 +-2591 -798 +-2517 -3491 +1183 1440 +1612 3320 +-1024 0 +1612 -3320 +1183 -1440 +-2517 3491 +-2591 798 +-3948 4611 +-3030 -2805 +1957 2747 +3072 5120 +2927 -2111 +3595 2738 +3610 -1222 +2760 5218 +-2093 3047 +-2792 -698 +2140 3504 +-1024 -2048 +-3933 -935 +1225 2134 +144 3576 +-4808 -326 +-3758 -3257 +189 2582 +4038 1109 +3072 -5120 +-674 1191 +-754 1135 +-1017 -1576 +543 3446 +3786 -2532 +384 -2704 +-2275 1450 +-424 -2048 +1583 1040 +4308 -1846 +-362 -2813 +-3954 4808 +-3593 2876 +-3317 -2395 +-401 1303 +0 0 +-401 -1303 +-3317 2395 +-3593 -2876 +-3954 -4808 +-362 2813 +4308 1846 +1583 -1040 +-424 2048 +-101 823 +1689 -473 +1395 2986 +495 2591 +-5892 -2723 +-4861 -2765 +-753 4322 +-2048 4096 +2730 -2897 +2868 -208 +1503 4385 +954 543 +-390 -1857 +-3020 -1701 +3351 -1933 +2472 -2048 +-609 -4108 +5713 -2278 +-2651 498 +2506 -2760 +-1595 -1431 +-3378 2055 +5784 -642 +0 0 +5784 642 +-3378 -2055 +-1595 1431 +2506 2760 +-2651 -498 +5713 2278 +-609 4108 +2472 2048 +3351 1933 +-3020 1701 +-390 1857 +954 -543 +1503 -4385 +2868 208 +2730 2897 +-2048 -4096 +-753 -4322 +-4861 2765 +-5892 2723 +495 -2591 +1395 -2986 +1689 473 +-101 -823 +-424 -2048 +1583 1040 +4308 -1846 +-362 -2813 +-3954 4808 +-3593 2876 +-3317 -2395 +-401 1303 +4045 2348 +134 5840 +5219 -972 +-2246 -2115 +1645 -2270 +5644 -421 +375 2849 +824 -3327 +-4096 0 +824 3327 +375 -2849 +5644 421 +1645 2270 +-2246 2115 +5219 972 +134 -5840 +4045 -2348 +-3085 1956 +-4364 1016 +1274 178 +-3152 -3164 +1531 1293 +2438 675 +77 -6567 +1024 -1024 +3796 1040 +-1979 -2378 +-1662 528 +5200 2380 +710 1610 +-2096 -616 +-2453 1207 +-6093 3796 +-5061 403 +1241 1037 +24 2347 +403 -378 +518 855 +-834 3987 +-25 3942 +-2048 0 +-25 -3942 +-834 -3987 +518 -855 +403 378 +24 -2347 +1241 -1037 +-5061 -403 +-6093 -3796 +-2453 -1207 +-2096 616 +710 -1610 +5200 -2380 +-1662 -528 +-1979 2378 +3796 -1040 +1024 1024 +77 6567 +2438 -675 +1531 -1293 +-3152 3164 +1274 -178 +-4364 -1016 +-3085 -1956 +4045 2348 +134 5840 +5219 -972 +-2246 -2115 +1645 -2270 +5644 -421 +375 2849 +824 -3327 +-1024 -5968 +161 -3583 +1486 -174 +-156 -5415 +2122 -2532 +935 1674 +-3424 4456 +-735 5137 +1024 0 +-735 -5137 +-3424 -4456 +935 -1674 +2122 2532 +-156 5415 +1486 174 +161 3583 +-1024 5968 +2041 -3354 +2170 -1368 +-2146 653 +-2446 1592 +-2335 2740 +2442 -2664 +-1280 3627 +-1024 4096 +3910 -1239 +-4110 4461 +2248 4749 +2446 2192 +59 -1455 +1066 -2271 +-221 1903 +-1024 -176 +-5765 -2616 +3966 -3626 +676 -2175 +-2122 -964 +719 -1753 +-3597 -228 +1889 -1682 +5120 0 +1889 1682 +-3597 228 +719 1753 +-2122 964 +676 2175 +3966 3626 +-5765 2616 +-1024 176 +-221 -1903 +1066 2271 +59 1455 +2446 -2192 +2248 -4749 +-4110 -4461 +3910 1239 +-1024 -4096 +-1280 -3627 +2442 2664 +-2335 -2740 +-2446 -1592 +-2146 -653 +2170 1368 +2041 3354 +-1024 -5968 +161 -3583 +1486 -174 +-156 -5415 +2122 -2532 +935 1674 +-3424 4456 +-735 5137 +424 -5544 +3288 134 +2848 1451 +3906 -5447 +1553 3700 +306 -1598 +1655 -1144 +-1316 -2200 +-4096 0 +-1316 2200 +1655 1144 +306 1598 +1553 -3700 +3906 5447 +2848 -1451 +3288 -134 +424 5544 +-3519 -6284 +-2942 -1196 +-2338 1356 +-458 -84 +405 -1568 +3289 -703 +5012 -1605 +-2048 -2048 +-1655 4467 +1619 2312 +1015 -2224 +6002 -2132 +2960 3996 +-1506 4037 +294 -2031 +-2472 -2648 +-1631 1295 +-1296 886 +-744 -2010 +1094 -1652 +-5510 -963 +-3666 1264 +-474 -2742 +-4096 0 +-474 2742 +-3666 -1264 +-5510 963 +1094 1652 +-744 2010 +-1296 -886 +-1631 -1295 +-2472 2648 +294 2031 +-1506 -4037 +2960 -3996 +6002 2132 +1015 2224 +1619 -2312 +-1655 -4467 +-2048 2048 +5012 1605 +3289 703 +405 1568 +-458 84 +-2338 -1356 +-2942 1196 +-3519 6284 +424 -5544 +3288 134 +2848 1451 +3906 -5447 +1553 3700 +306 -1598 +1655 -1144 +-1316 -2200 +-1148 724 +351 -1408 +-2341 -2240 +1219 -400 +4190 3574 +2027 -685 +-379 -4703 +630 -1573 +3072 0 +630 1573 +-379 4703 +2027 685 +4190 -3574 +1219 400 +-2341 2240 +351 1408 +-1148 -724 +-1389 1229 +1104 -358 +-1646 -1173 +-2705 1232 +-1328 1718 +2216 3483 +1237 1891 +-4096 5120 +-4483 -1920 +-2400 -3577 +-1248 1856 +-2839 -2016 +2435 630 +4284 3598 +-1713 5564 +3196 -724 +3639 1279 +3945 -797 +2457 -4478 +-6838 5466 +1878 -65 +1762 -1157 +-4064 -909 +1024 0 +-4064 909 +1762 1157 +1878 65 +-6838 -5466 +2457 4478 +3945 797 +3639 -1279 +3196 724 +-1713 -5564 +4284 -3598 +2435 -630 +-2839 2016 +-1248 -1856 +-2400 3577 +-4483 1920 +-4096 -5120 +1237 -1891 +2216 -3483 +-1328 -1718 +-2705 -1232 +-1646 1173 +1104 358 +-1389 -1229 +-1148 724 +351 -1408 +-2341 -2240 +1219 -400 +4190 3574 +2027 -685 +-379 -4703 +630 -1573 +-2596 -2348 +-319 -2606 +5075 2189 +-1064 2376 +-2948 756 +731 2740 +-1877 -2263 +-379 -2824 +0 0 +-379 2824 +-1877 2263 +731 -2740 +-2948 -756 +-1064 -2376 +5075 -2189 +-319 2606 +-2596 2348 +-4412 914 +-1213 -1832 +1203 -2435 +-282 -2250 +-5512 -6557 +-3833 -5789 +1657 4464 +-1024 3072 +3340 -112 +1276 3215 +-635 -176 +3178 4142 +3900 -17 +-15 -1480 +647 5016 +4644 -3796 +-2835 1045 +-951 3459 +1962 353 +52 1540 +-585 -4239 +1537 178 +2301 776 +-2048 0 +2301 -776 +1537 -178 +-585 4239 +52 -1540 +1962 -353 +-951 -3459 +-2835 -1045 +4644 3796 +647 -5016 +-15 1480 +3900 17 +3178 -4142 +-635 176 +1276 -3215 +3340 112 +-1024 -3072 +1657 -4464 +-3833 5789 +-5512 6557 +-282 2250 +1203 2435 +-1213 1832 +-4412 -914 +-2596 -2348 +-319 -2606 +5075 2189 +-1064 2376 +-2948 756 +731 2740 +-1877 -2263 +-379 -2824 +-3496 -3672 +-3512 7171 +807 730 +-535 -2545 +4170 -4664 +4296 -1854 +2734 2617 +2290 -830 +-2048 0 +2290 830 +2734 -2617 +4296 1854 +4170 4664 +-535 2545 +807 -730 +-3512 -7171 +-3496 3672 +1218 4614 +1834 141 +407 2396 +-398 -2108 +-807 3084 +-2495 1095 +-1029 -617 +-2048 -2048 +3140 -1080 +3823 1878 +-1911 -2820 +4494 540 +3110 -775 +-946 2033 +-1053 722 +-600 -6568 +-2004 -1615 +-7487 1162 +-765 -2505 +-74 -880 +-3796 2264 +1730 -1833 +951 1624 +-2048 0 +951 -1624 +1730 1833 +-3796 -2264 +-74 880 +-765 2505 +-7487 -1162 +-2004 1615 +-600 6568 +-1053 -722 +-946 -2033 +3110 775 +4494 -540 +-1911 2820 +3823 -1878 +3140 1080 +-2048 2048 +-1029 617 +-2495 -1095 +-807 -3084 +-398 2108 +407 -2396 +1834 -141 +1218 -4614 +-3496 -3672 +-3512 7171 +807 730 +-535 -2545 +4170 -4664 +4296 -1854 +2734 2617 +2290 -830 +-424 1024 +1753 2573 +2117 -4141 +-1792 -905 +-444 2930 +3490 2304 +2333 71 +-3842 -577 +-5120 0 +-3842 577 +2333 -71 +3490 -2304 +-444 -2930 +-1792 905 +2117 4141 +1753 -2573 +-424 -1024 +777 -1815 +-1289 -6452 +3427 1577 +-2232 -1519 +-1360 1207 +3132 2251 +-2309 -7699 +1024 -2048 +-2025 -2794 +-1684 -6294 +-2200 -2096 +-664 1978 +2062 2136 +-159 -345 +2614 2878 +2472 1024 +3992 2432 +-3565 4952 +-4346 -1065 +3340 -3530 +719 57 +-885 2473 +-960 -2398 +-1024 0 +-960 2398 +-885 -2473 +719 -57 +3340 3530 +-4346 1065 +-3565 -4952 +3992 -2432 +2472 -1024 +2614 -2878 +-159 345 +2062 -2136 +-664 -1978 +-2200 2096 +-1684 6294 +-2025 2794 +1024 2048 +-2309 7699 +3132 -2251 +-1360 -1207 +-2232 1519 +3427 -1577 +-1289 6452 +777 1815 +-424 1024 +1753 2573 +2117 -4141 +-1792 -905 +-444 2930 +3490 2304 +2333 71 +-3842 -577 +-1024 -1872 +565 1509 +380 1609 +652 -2351 +-4882 -1988 +-2325 -3418 +4398 -218 +1978 5045 +-1024 0 +1978 -5045 +4398 218 +-2325 3418 +-4882 1988 +652 2351 +380 -1609 +565 -1509 +-1024 1872 +-3475 -3139 +1539 4474 +1937 2011 +1903 -3216 +2112 3968 +-2512 -942 +258 454 +1024 -4096 +-2444 -3574 +-164 -1466 +207 -5675 +145 -568 +814 -5746 +-431 -5039 +-1685 925 +-1024 3920 +1698 -1303 +-1488 -1232 +-507 3363 +6930 -3556 +2902 144 +-1722 1391 +-2688 1055 +-5120 0 +-2688 -1055 +-1722 -1391 +2902 -144 +6930 3556 +-507 -3363 +-1488 1232 +1698 1303 +-1024 -3920 +-1685 -925 +-431 5039 +814 5746 +145 568 +207 5675 +-164 1466 +-2444 3574 +1024 4096 +258 -454 +-2512 942 +2112 -3968 +1903 3216 +1937 -2011 +1539 -4474 +-3475 3139 +-1024 -1872 +565 1509 +380 1609 +652 -2351 +-4882 -1988 +-2325 -3418 +4398 -218 +1978 5045 +1324 300 +1596 -1743 +-1623 -1470 +4447 1618 +-1030 802 +-5209 -5099 +-3717 -4771 +-275 -752 +3072 0 +-275 752 +-3717 4771 +-5209 5099 +-1030 -802 +4447 -1618 +-1623 1470 +1596 1743 +1324 -300 +-5903 -898 +-163 3801 +-1249 3181 +-2044 -92 +-1107 2356 +2901 138 +809 -1350 +0 -1024 +3781 -2534 +-6398 435 +1325 -674 +4092 -692 +-567 -414 +-436 -7419 +-3238 -3691 +2772 1748 +625 518 +1023 1338 +3370 -1429 +3078 2694 +-1010 5853 +221 -228 +2604 1136 +-3072 0 +2604 -1136 +221 228 +-1010 -5853 +3078 -2694 +3370 1429 +1023 -1338 +625 -518 +2772 -1748 +-3238 3691 +-436 7419 +-567 414 +4092 692 +1325 674 +-6398 -435 +3781 2534 +0 1024 +809 1350 +2901 -138 +-1107 -2356 +-2044 92 +-1249 -3181 +-163 -3801 +-5903 898 +1324 300 +1596 -1743 +-1623 -1470 +4447 1618 +-1030 802 +-5209 -5099 +-3717 -4771 +-275 -752 +-300 -2172 +5512 -3700 +-3967 -624 +3117 1647 +4926 -946 +-2852 -4178 +-1749 -3324 +-1232 -744 +3072 0 +-1232 744 +-1749 3324 +-2852 4178 +4926 946 +3117 -1647 +-3967 624 +5512 3700 +-300 2172 +-234 -1356 +284 -1428 +186 511 +-2648 -392 +-3898 -2905 +8464 -1903 +-877 2426 +-4096 5120 +338 4502 +-3676 3041 +1289 1699 +352 -392 +-4913 -1877 +-2397 -580 +-3290 1919 +-1748 2172 +3125 425 +287 -224 +4065 740 +1466 946 +-2787 -426 +2754 -1621 +2451 -1332 +1024 0 +2451 1332 +2754 1621 +-2787 426 +1466 -946 +4065 -740 +287 224 +3125 -425 +-1748 -2172 +-3290 -1919 +-2397 580 +-4913 1877 +352 392 +1289 -1699 +-3676 -3041 +338 -4502 +-4096 -5120 +-877 -2426 +8464 1903 +-3898 2905 +-2648 392 +186 -511 +284 1428 +-234 1356 +-300 -2172 +5512 -3700 +-3967 -624 +3117 1647 +4926 -946 +-2852 -4178 +-1749 -3324 +-1232 -744 +-4220 -724 +-326 -4571 +964 3909 +-2953 5515 +1402 1970 +-5635 -994 +-1407 5126 +5536 -2384 +0 0 +5536 2384 +-1407 -5126 +-5635 994 +1402 -1970 +-2953 -5515 +964 -3909 +-326 4571 +-4220 724 +1692 -2953 +-543 3482 +1028 -1786 +3404 1416 +-247 653 +-2023 -1543 +-2242 -2059 +1024 -1024 +1629 445 +419 -5888 +857 -4335 +4188 -632 +6020 3723 +727 -862 +200 -4136 +124 724 +-3133 -396 +-2348 435 +-310 1562 +3294 78 +1241 -2427 +-3981 -782 +-3355 1103 +-2048 0 +-3355 -1103 +-3981 782 +1241 2427 +3294 -78 +-310 -1562 +-2348 -435 +-3133 396 +124 -724 +200 4136 +727 862 +6020 -3723 +4188 632 +857 4335 +419 5888 +1629 -445 +1024 1024 +-2242 2059 +-2023 1543 +-247 -653 +3404 -1416 +1028 1786 +-543 -3482 +1692 2953 +-4220 -724 +-326 -4571 +964 3909 +-2953 5515 +1402 1970 +-5635 -994 +-1407 5126 +5536 -2384 +3496 -2472 +5293 4206 +-398 105 +-1656 -971 +-4364 1038 +-2535 -3380 +947 5998 +2450 930 +6144 0 +2450 -930 +947 -5998 +-2535 3380 +-4364 -1038 +-1656 971 +-398 -105 +5293 -4206 +3496 2472 +216 1337 +975 1976 +1759 3172 +-360 -2302 +-2432 -3465 +1317 1881 +-498 1162 +0 0 +-1916 -3809 +-1657 -2619 +6320 3320 +1208 1194 +-76 -1107 +3149 -1105 +1256 5223 +600 424 +-84 -3088 +-830 1408 +-1356 -100 +-580 -1638 +-5817 -612 +-3503 2287 +-924 -6269 +-6144 0 +-924 6269 +-3503 -2287 +-5817 612 +-580 1638 +-1356 100 +-830 -1408 +-84 3088 +600 -424 +1256 -5223 +3149 1105 +-76 1107 +1208 -1194 +6320 -3320 +-1657 2619 +-1916 3809 +0 0 +-498 -1162 +1317 -1881 +-2432 3465 +-360 2302 +1759 -3172 +975 -1976 +216 -1337 +3496 -2472 +5293 4206 +-398 105 +-1656 -971 +-4364 1038 +-2535 -3380 +947 5998 +2450 930 +1872 -4520 +1145 -850 +-1531 419 +2703 1526 +1024 2062 +-589 -31 +-2151 -4320 +-3391 -6166 +-1024 0 +-3391 6166 +-2151 4320 +-589 31 +1024 -2062 +2703 -1526 +-1531 -419 +1145 850 +1872 4520 +9 -817 +3124 -1616 +853 -1818 +1024 -1278 +3472 3570 +-7 -3720 +-4196 -2515 +-1024 0 +637 2245 +-4457 441 +694 -5537 +1024 170 +-2922 1983 +3556 -2660 +-1622 -5957 +-3920 -1624 +2923 -5307 +643 -3160 +45 635 +1024 -614 +1537 3269 +822 -1408 +-1298 7510 +-1024 0 +-1298 -7510 +822 1408 +1537 -3269 +1024 614 +45 -635 +643 3160 +2923 5307 +-3920 1624 +-1622 5957 +3556 2660 +-2922 -1983 +1024 -170 +694 5537 +-4457 -441 +637 -2245 +-1024 0 +-4196 2515 +-7 3720 +3472 -3570 +1024 1278 +853 1818 +3124 1616 +9 817 +1872 -4520 +1145 -850 +-1531 419 +2703 1526 +1024 2062 +-589 -31 +-2151 -4320 +-3391 -6166 +-2772 -300 +-2509 -929 +-156 761 +-950 -3140 +1526 527 +3350 -509 +3077 -3745 +-851 1604 +-3072 0 +-851 -1604 +3077 3745 +3350 509 +1526 -527 +-950 3140 +-156 -761 +-2509 929 +-2772 300 +3103 -3367 +-671 -533 +-4643 -4026 +-816 980 +5119 4633 +-2701 -4145 +-3764 -948 +2048 1024 +-1943 835 +2581 3919 +1605 4167 +-32 7372 +-315 3066 +3007 -809 +2926 -1174 +-1324 -1748 +503 2754 +-5077 163 +2804 -546 +3418 1770 +-1177 3251 +-62 4816 +-3258 -2588 +-1024 0 +-3258 2588 +-62 -4816 +-1177 -3251 +3418 -1770 +2804 546 +-5077 -163 +503 -2754 +-1324 1748 +2926 1174 +3007 809 +-315 -3066 +-32 -7372 +1605 -4167 +2581 -3919 +-1943 -835 +2048 -1024 +-3764 948 +-2701 4145 +5119 -4633 +-816 -980 +-4643 4026 +-671 533 +3103 3367 +-2772 -300 +-2509 -929 +-156 761 +-950 -3140 +1526 527 +3350 -509 +3077 -3745 +-851 1604 +1748 2348 +-1730 -1689 +739 -1805 +-1055 2010 +-2884 -4632 +-2365 -229 +-1664 992 +-105 -2832 +-2048 0 +-105 2832 +-1664 -992 +-2365 229 +-2884 4632 +-1055 -2010 +739 1805 +-1730 1689 +1748 -2348 +-688 1070 +5790 3519 +6863 798 +-3334 -3634 +554 3118 +-4117 3779 +649 -1018 +7168 -3072 +440 1784 +3777 1768 +-343 -1722 +-3658 3958 +-1824 1663 +-1666 1967 +1442 2617 +300 3796 +-591 3547 +-1967 -2643 +-199 -3673 +1684 -64 +-1629 1052 +-893 -5899 +583 -2246 +0 0 +583 2246 +-893 5899 +-1629 -1052 +1684 64 +-199 3673 +-1967 2643 +-591 -3547 +300 -3796 +1442 -2617 +-1666 -1967 +-1824 -1663 +-3658 -3958 +-343 1722 +3777 -1768 +440 -1784 +7168 3072 +649 1018 +-4117 -3779 +554 -3118 +-3334 3634 +6863 -798 +5790 -3519 +-688 -1070 +1748 2348 +-1730 -1689 +739 -1805 +-1055 2010 +-2884 -4632 +-2365 -229 +-1664 992 +-105 -2832 +-548 124 +-2815 -3966 +330 -3053 +635 -4187 +92 1056 +-1613 -5337 +-2719 -2086 +1427 8192 +1024 0 +1427 -8192 +-2719 2086 +-1613 5337 +92 -1056 +635 4187 +330 3053 +-2815 3966 +-548 -124 +-1895 -5719 +-1228 4025 +-3821 -1419 +-2694 -502 +448 2123 +899 -5201 +1407 514 +-2048 1024 +750 924 +993 1960 +1918 3357 +-802 2394 +-2006 -3925 +2012 -479 +4243 -3463 +6692 -4220 +1146 1445 +-1114 -3147 +-3056 -2471 +-692 1840 +1703 20 +827 -642 +1529 1427 +-1024 0 +1529 -1427 +827 642 +1703 -20 +-692 -1840 +-3056 2471 +-1114 3147 +1146 -1445 +6692 4220 +4243 3463 +2012 479 +-2006 3925 +-802 -2394 +1918 -3357 +993 -1960 +750 -924 +-2048 -1024 +1407 -514 +899 5201 +448 -2123 +-2694 502 +-3821 1419 +-1228 -4025 +-1895 5719 +-548 124 +-2815 -3966 +330 -3053 +635 -4187 +92 1056 +-1613 -5337 +-2719 -2086 +1427 8192 +-2896 3496 +-1847 1064 +3803 -405 +-3621 276 +-1952 3726 +-540 5832 +-2229 -6256 +2303 -4524 +1024 0 +2303 4524 +-2229 6256 +-540 -5832 +-1952 -3726 +-3621 -276 +3803 405 +-1847 -1064 +-2896 -3496 +731 132 +-2271 -2525 +-2962 -709 +384 -2630 +-2823 -939 +-1334 5075 +324 864 +-1024 4096 +4080 2412 +330 -2494 +1465 -2822 +-1832 -3830 +-907 -772 +5951 610 +-951 1600 +2896 600 +5644 2284 +-1691 3540 +-3654 917 +3400 3267 +1457 1732 +-2560 -1313 +1302 -242 +1024 0 +1302 242 +-2560 1313 +1457 -1732 +3400 -3267 +-3654 -917 +-1691 -3540 +5644 -2284 +2896 -600 +-951 -1600 +5951 -610 +-907 772 +-1832 3830 +1465 2822 +330 2494 +4080 -2412 +-1024 -4096 +324 -864 +-1334 -5075 +-2823 939 +384 2630 +-2962 709 +-2271 2525 +731 -132 +-2896 3496 +-1847 1064 +3803 -405 +-3621 276 +-1952 3726 +-540 5832 +-2229 -6256 +2303 -4524 +-1748 -724 +2446 617 +983 -785 +-2185 1204 +802 -1886 +-2246 -2979 +-2642 -3863 +821 -4279 +-1024 0 +821 4279 +-2642 3863 +-2246 2979 +802 1886 +-2185 -1204 +983 785 +2446 -617 +-1748 724 +343 340 +6613 3332 +735 4036 +-92 236 +1967 6095 +-3327 1133 +4768 -377 +2048 -1024 +-4029 -535 +-3029 1614 +-7229 57 +692 4332 +2196 -3500 +-716 -2500 +205 3046 +-300 724 +2119 -1328 +-1087 -951 +1710 3604 +2694 -2210 +-740 -4699 +3205 248 +-881 3104 +-7168 0 +-881 -3104 +3205 -248 +-740 4699 +2694 2210 +1710 -3604 +-1087 951 +2119 1328 +-300 -724 +205 -3046 +-716 2500 +2196 3500 +692 -4332 +-7229 -57 +-3029 -1614 +-4029 535 +2048 1024 +4768 377 +-3327 -1133 +1967 -6095 +-92 -236 +735 -4036 +6613 -3332 +343 -340 +-1748 -724 +2446 617 +983 -785 +-2185 1204 +802 -1886 +-2246 -2979 +-2642 -3863 +821 -4279 +-600 -2472 +-2927 -3177 +3295 1440 +-2446 2397 +-4338 3556 +-1821 73 +3380 1123 +5601 -1757 +0 0 +5601 1757 +3380 -1123 +-1821 -73 +-4338 -3556 +-2446 -2397 +3295 -1440 +-2927 3177 +-600 2472 +-1445 327 +-5182 3527 +-2660 -760 +-2905 -568 +2052 927 +-3431 -1507 +-3043 -3941 +4096 -2048 +-1836 -2175 +-1461 4481 +3337 6092 +2905 -3216 +56 -1300 +938 -1353 +-2331 3348 +-3496 424 +4486 -3250 +948 1872 +-301 -1058 +4338 1988 +1782 -1221 +1512 -3327 +1495 2267 +0 0 +1495 -2267 +1512 3327 +1782 1221 +4338 -1988 +-301 1058 +948 -1872 +4486 3250 +-3496 -424 +-2331 -3348 +938 1353 +56 1300 +2905 3216 +3337 -6092 +-1461 -4481 +-1836 2175 +4096 2048 +-3043 3941 +-3431 1507 +2052 -927 +-2905 568 +-2660 760 +-5182 -3527 +-1445 -327 +-600 -2472 +-2927 -3177 +3295 1440 +-2446 2397 +-4338 3556 +-1821 73 +3380 1123 +5601 -1757 +4944 -4520 +1613 -3582 +-2501 -3102 +-1989 1644 +1208 -374 +1956 -2019 +-3375 1792 +-146 -114 +2048 0 +-146 114 +-3375 -1792 +1956 2019 +1208 374 +-1989 -1644 +-2501 3102 +1613 3582 +4944 4520 +-1550 2949 +4986 277 +-2329 6667 +-4364 2746 +902 -5529 +-4531 1488 +1396 3523 +-2048 0 +941 1175 +5300 -2792 +3827 -3454 +-580 2146 +-6095 1984 +1814 -1015 +-167 -2085 +-848 -1624 +2352 -1083 +-1402 610 +-1618 4449 +-360 3870 +-445 887 +-290 921 +1354 533 +2048 0 +1354 -533 +-290 -921 +-445 -887 +-360 -3870 +-1618 -4449 +-1402 -610 +2352 1083 +-848 1624 +-167 2085 +1814 1015 +-6095 -1984 +-580 -2146 +3827 3454 +5300 2792 +941 -1175 +-2048 0 +1396 -3523 +-4531 -1488 +902 5529 +-4364 -2746 +-2329 -6667 +4986 -277 +-1550 -2949 +4944 -4520 +1613 -3582 +-2501 -3102 +-1989 1644 +1208 -374 +1956 -2019 +-3375 1792 +-146 -114 +-1448 600 +-964 -2382 +713 -1718 +-3211 -5741 +-5024 -1866 +1873 2745 +1085 -595 +-3378 -674 +-3072 0 +-3378 674 +1085 595 +1873 -2745 +-5024 1866 +-3211 5741 +713 1718 +-964 2382 +-1448 -600 +-1408 -3513 +-512 -1161 +-1099 2322 +-2688 -3330 +-689 -1723 +1274 3364 +4213 -5304 +5120 4096 +2529 2105 +4114 -3436 +767 1010 +-4904 -2481 +-156 1038 +1896 -4826 +480 490 +1448 3496 +-1892 -2751 +-896 949 +2898 3807 +328 6811 +-383 -661 +520 -3309 +421 -1054 +1024 0 +421 1054 +520 3309 +-383 661 +328 -6811 +2898 -3807 +-896 -949 +-1892 2751 +1448 -3496 +480 -490 +1896 4826 +-156 -1038 +-4904 2481 +767 -1010 +4114 3436 +2529 -2105 +5120 -4096 +4213 5304 +1274 -3364 +-689 1723 +-2688 3330 +-1099 -2322 +-512 1161 +-1408 3513 +-1448 600 +-964 -2382 +713 -1718 +-3211 -5741 +-5024 -1866 +1873 2745 +1085 -595 +-3378 -674 +-1872 -2048 +-56 -4320 +-1052 3576 +-4955 -2646 +-1103 -1698 +2112 3961 +2195 -2988 +2693 -2258 +0 0 +2693 2258 +2195 2988 +2112 -3961 +-1103 1698 +-4955 2646 +-1052 -3576 +-56 4320 +-1872 2048 +-1571 -3879 +531 387 +-3162 -960 +-4560 -26 +-1599 1069 +1811 -2301 +693 -2380 +-2048 -2048 +-2202 175 +681 1196 +1640 1555 +-6777 4918 +1402 3221 +3749 -213 +163 -704 +3920 -2048 +576 -4536 +3764 -2976 +2252 3281 +4248 2546 +-3482 -1229 +-3487 -508 +5497 -1427 +0 0 +5497 1427 +-3487 508 +-3482 1229 +4248 -2546 +2252 -3281 +3764 2976 +576 4536 +3920 2048 +163 704 +3749 213 +1402 -3221 +-6777 -4918 +1640 -1555 +681 -1196 +-2202 -175 +-2048 2048 +693 2380 +1811 2301 +-1599 -1069 +-4560 26 +-3162 960 +531 -387 +-1571 3879 +-1872 -2048 +-56 -4320 +-1052 3576 +-4955 -2646 +-1103 -1698 +2112 3961 +2195 -2988 +2693 -2258 +-3496 -3320 +1456 740 +-1412 3274 +-356 -3478 +2132 3411 +-247 224 +87 -1649 +1451 -732 +2048 0 +1451 732 +87 1649 +-247 -224 +2132 -3411 +-356 3478 +-1412 -3274 +1456 -740 +-3496 3320 +170 820 +1973 3985 +-3895 2507 +3700 4314 +3555 -3202 +-342 -1881 +518 -1310 +-4096 0 +-1153 8385 +223 -2065 +-1000 -2814 +-1652 3714 +-4962 2120 +363 -1403 +2563 -16 +-600 5368 +-1941 3848 +-3820 -1670 +-2820 -1893 +-84 86 +3931 -2420 +2928 266 +2730 1866 +6144 0 +2730 -1866 +2928 -266 +3931 2420 +-84 -86 +-2820 1893 +-3820 1670 +-1941 -3848 +-600 -5368 +2563 16 +363 1403 +-4962 -2120 +-1652 -3714 +-1000 2814 +223 2065 +-1153 -8385 +-4096 0 +518 1310 +-342 1881 +3555 3202 +3700 -4314 +-3895 -2507 +1973 -3985 +170 -820 +-3496 -3320 +1456 740 +-1412 3274 +-356 -3478 +2132 3411 +-247 224 +87 -1649 +1451 -732 +2172 300 +1045 2495 +-3021 -1431 +440 -4943 +1260 -876 +1840 2151 +1328 -2177 +928 -2547 +5120 0 +928 2547 +1328 2177 +1840 -2151 +1260 876 +440 4943 +-3021 1431 +1045 -2495 +2172 -300 +1117 1626 +3741 -5644 +1426 -3486 +-1186 4586 +4560 -1213 +-633 214 +-4135 3412 +0 -3072 +-1787 -359 +-594 618 +-1981 -1420 +-862 1090 +-3140 -773 +-4081 1533 +-5616 4312 +-2172 1748 +4342 -176 +464 -4178 +5738 -3120 +-3308 1476 +-3090 850 +2796 -2012 +-1687 -3991 +3072 0 +-1687 3991 +2796 2012 +-3090 -850 +-3308 -1476 +5738 3120 +464 4178 +4342 176 +-2172 -1748 +-5616 -4312 +-4081 -1533 +-3140 773 +-862 -1090 +-1981 1420 +-594 -618 +-1787 359 +0 3072 +-4135 -3412 +-633 -214 +4560 1213 +-1186 -4586 +1426 3486 +3741 5644 +1117 -1626 +2172 300 +1045 2495 +-3021 -1431 +440 -4943 +1260 -876 +1840 2151 +1328 -2177 +928 -2547 +2348 724 +-2663 -1375 +426 890 +-4445 1715 +536 2284 +683 2165 +-1464 1574 +3359 5642 +-1024 0 +3359 -5642 +-1464 -1574 +683 -2165 +536 -2284 +-4445 -1715 +426 -890 +-2663 1375 +2348 -724 +2238 -5647 +685 -5411 +1141 2333 +-462 -162 +-1829 -4439 +-2059 -2262 +2355 -4232 +0 3072 +804 3093 +-1400 -3631 +-1199 2009 +-138 -162 +-775 1177 +2316 4885 +1383 -1328 +3796 -724 +-3414 -857 +-3426 -482 +3400 1904 +-4032 -2284 +-2768 -4926 +4923 -4639 +1730 -2469 +-3072 0 +1730 2469 +4923 4639 +-2768 4926 +-4032 2284 +3400 -1904 +-3426 482 +-3414 857 +3796 724 +1383 1328 +2316 -4885 +-775 -1177 +-138 162 +-1199 -2009 +-1400 3631 +804 -3093 +0 -3072 +2355 4232 +-2059 2262 +-1829 4439 +-462 162 +1141 -2333 +685 5411 +2238 5647 +2348 724 +-2663 -1375 +426 890 +-4445 1715 +536 2284 +683 2165 +-1464 1574 +3359 5642 +-1748 124 +5256 4692 +-1460 596 +-5705 1563 +2538 -1766 +-1102 -1071 +-4175 1071 +1897 -1194 +7168 0 +1897 1194 +-4175 -1071 +-1102 1071 +2538 1766 +-5705 -1563 +-1460 -596 +5256 -4692 +-1748 -124 +889 4404 +910 -302 +-690 2756 +-572 -2100 +2701 -1897 +-740 -749 +-2540 -3997 +2048 3072 +-3969 0 +2632 -2797 +637 -2662 +-2924 -900 +3054 5467 +-126 1746 +96 -2608 +-300 -4220 +2006 -2496 +676 -2644 +-1773 -5404 +-3138 -5226 +-2917 -3846 +2283 977 +2157 415 +1024 0 +2157 -415 +2283 -977 +-2917 3846 +-3138 5226 +-1773 5404 +676 2644 +2006 2496 +-300 4220 +96 2608 +-126 -1746 +3054 -5467 +-2924 900 +637 2662 +2632 2797 +-3969 0 +2048 -3072 +-2540 3997 +-740 749 +2701 1897 +-572 2100 +-690 -2756 +910 302 +889 -4404 +-1748 124 +5256 4692 +-1460 596 +-5705 1563 +2538 -1766 +-1102 -1071 +-4175 1071 +1897 -1194 +-424 -424 +4665 1763 +-971 -1107 +2430 -321 +2316 2062 +-3762 3743 +-1841 -2637 +105 1498 +1024 0 +105 -1498 +-1841 2637 +-3762 -3743 +2316 -2062 +2430 321 +-971 1107 +4665 -1763 +-424 424 +-1190 1650 +1276 -3088 +-2485 -968 +-1688 -1278 +-2423 1472 +-262 168 +3087 -1759 +3072 0 +-1639 5533 +-1306 8792 +975 -275 +-3256 170 +1037 7306 +2508 2548 +2638 -1146 +2472 2472 +-3217 -3359 +-2814 -746 +-3879 1602 +-1468 -614 +2314 1502 +3408 -4420 +1343 4595 +-3072 0 +1343 -4595 +3408 4420 +2314 -1502 +-1468 614 +-3879 -1602 +-2814 746 +-3217 3359 +2472 -2472 +2638 1146 +2508 -2548 +1037 -7306 +-3256 -170 +975 275 +-1306 -8792 +-1639 -5533 +3072 0 +3087 1759 +-262 -168 +-2423 -1472 +-1688 1278 +-2485 968 +1276 3088 +-1190 -1650 +-424 -424 +4665 1763 +-971 -1107 +2430 -321 +2316 2062 +-3762 3743 +-1841 -2637 +105 1498 +300 -724 +334 2716 +-1522 1236 +-4068 4236 +1476 392 +-1175 -1541 +2878 -4599 +5068 623 +-3072 0 +5068 -623 +2878 4599 +-1175 1541 +1476 -392 +-4068 -4236 +-1522 -1236 +334 -2716 +300 724 +2284 4474 +2814 -2223 +310 1814 +-1090 -946 +1156 -2846 +-1836 2830 +265 2131 +2048 1024 +-1097 3128 +112 -954 +2955 -3963 +4586 -946 +2437 1384 +-294 -656 +-2450 -7414 +1748 724 +-169 1892 +-5094 332 +1321 5560 +-876 -392 +-2936 -935 +-5250 815 +-4236 5285 +3072 0 +-4236 -5285 +-5250 -815 +-2936 935 +-876 392 +1321 -5560 +-5094 -332 +-169 -1892 +1748 -724 +-2450 7414 +-294 656 +2437 -1384 +4586 946 +2955 3963 +112 954 +-1097 -3128 +2048 -1024 +265 -2131 +-1836 -2830 +1156 2846 +-1090 946 +310 -1814 +2814 2223 +2284 -4474 +300 -724 +334 2716 +-1522 1236 +-4068 4236 +1476 392 +-1175 -1541 +2878 -4599 +5068 623 +-2472 -3920 +-3227 3958 +635 1311 +-443 794 +3026 412 +3199 -1140 +-3026 2748 +-3166 -4 +-1024 0 +-3166 4 +-3026 -2748 +3199 1140 +3026 -412 +-443 -794 +635 -1311 +-3227 -3958 +-2472 3920 +2709 -1414 +2295 -5227 +4360 -3868 +914 -3253 +-2676 2739 +1845 -3047 +-8 -3673 +-1024 0 +97 1658 +-737 -5420 +978 -2043 +-1762 6388 +-810 -3235 +380 1389 +-4462 1243 +424 1872 +2084 5691 +-3311 1209 +-211 -1361 +1918 -7156 +1396 -2443 +1917 -1024 +180 -1657 +-1024 0 +180 1657 +1917 1024 +1396 2443 +1918 7156 +-211 1361 +-3311 -1209 +2084 -5691 +424 -1872 +-4462 -1243 +380 -1389 +-810 3235 +-1762 -6388 +978 2043 +-737 5420 +97 -1658 +-1024 0 +-8 3673 +1845 3047 +-2676 -2739 +914 3253 +4360 3868 +2295 5227 +2709 1414 +-2472 -3920 +-3227 3958 +635 1311 +-443 794 +3026 412 +3199 -1140 +-3026 2748 +-3166 -4 +3496 0 +1463 -6012 +-1615 1779 +-2462 341 +2605 -3165 +1839 -1192 +-2008 1374 +-608 2915 +-1024 0 +-608 -2915 +-2008 -1374 +1839 1192 +2605 3165 +-2462 -341 +-1615 -1779 +1463 6012 +3496 0 +660 -896 +2502 -2034 +-1827 -2481 +-6086 6633 +1074 -334 +-2575 474 +-11 -1057 +5120 -4096 +365 -1267 +-1705 -2083 +-1191 -2655 +2590 -8200 +334 -2959 +-1210 -806 +1826 -2151 +600 0 +-2382 973 +-877 2345 +170 749 +-3205 620 +2062 438 +-705 -1034 +-1313 -6909 +7168 0 +-1313 6909 +-705 1034 +2062 -438 +-3205 -620 +170 -749 +-877 -2345 +-2382 -973 +600 0 +1826 2151 +-1210 806 +334 2959 +2590 8200 +-1191 2655 +-1705 2083 +365 1267 +5120 4096 +-11 1057 +-2575 -474 +1074 334 +-6086 -6633 +-1827 2481 +2502 2034 +660 896 +3496 0 +1463 -6012 +-1615 1779 +-2462 341 +2605 -3165 +1839 -1192 +-2008 1374 +-608 2915 +-4344 0 +-1172 2346 +-434 -5488 +2091 -5650 +3170 2232 +-1997 -5794 +3183 1650 +513 -60 +-9216 0 +513 60 +3183 -1650 +-1997 5794 +3170 -2232 +2091 5650 +-434 5488 +-1172 -2346 +-4344 0 +-2400 -202 +-1031 1996 +-587 -2667 +1398 -3340 +1249 -3552 +-863 304 +-3501 -640 +-3072 -4096 +-1494 2069 +1239 3148 +1643 3783 +-2846 -444 +-1205 -3477 +507 -199 +859 1455 +4344 0 +-183 -928 +-739 -804 +2598 168 +-1722 664 +2001 2664 +6329 5290 +1585 -3261 +-1024 0 +1585 3261 +6329 -5290 +2001 -2664 +-1722 -664 +2598 -168 +-739 804 +-183 928 +4344 0 +859 -1455 +507 199 +-1205 3477 +-2846 444 +1643 -3783 +1239 -3148 +-1494 -2069 +-3072 4096 +-3501 640 +-863 -304 +1249 3552 +1398 3340 +-587 2667 +-1031 -1996 +-2400 202 +-4344 0 +-1172 2346 +-434 -5488 +2091 -5650 +3170 2232 +-1997 -5794 +3183 1650 +513 -60 +-4096 2648 +719 -542 +1505 -893 +-1101 -459 +-1388 -3570 +2352 -3510 +1577 1271 +-686 3878 +-1024 0 +-686 -3878 +1577 -1271 +2352 3510 +-1388 3570 +-1101 459 +1505 893 +719 542 +-4096 -2648 +-55 -1404 +3839 -821 +-2661 23 +280 3894 +-1987 668 +-1288 -389 +-322 1519 +1024 -4096 +4361 -668 +-4128 4335 +4603 -1651 +4064 998 +533 2774 +1430 -1761 +-6315 3755 +-4096 5544 +-4814 2805 +3114 4050 +2990 314 +-2956 674 +1063 4087 +2142 -644 +1320 -3169 +-1024 0 +1320 3169 +2142 644 +1063 -4087 +-2956 -674 +2990 -314 +3114 -4050 +-4814 -2805 +-4096 -5544 +-6315 -3755 +1430 1761 +533 -2774 +4064 -998 +4603 1651 +-4128 -4335 +4361 668 +1024 4096 +-322 -1519 +-1288 389 +-1987 -668 +280 -3894 +-2661 -23 +3839 821 +-55 1404 +-4096 2648 +719 -542 +1505 -893 +-1101 -459 +-1388 -3570 +2352 -3510 +1577 1271 +-686 3878 +-724 -5244 +-4450 -7812 +4089 -914 +-2635 150 +-946 -3902 +5026 -320 +-4876 -523 +21 3878 +7168 0 +21 -3878 +-4876 523 +5026 320 +-946 3902 +-2635 -150 +4089 914 +-4450 7812 +-724 5244 +-598 1720 +-1515 -3476 +1232 -657 +-392 3672 +770 3780 +-967 4297 +17 931 +4096 -3072 +1754 -3757 +-2410 -751 +637 -1214 +392 -672 +1056 697 +-1421 2032 +-1793 1725 +724 -900 +-3623 4920 +543 2326 +108 -1692 +946 -442 +-401 -1778 +-1636 -429 +2880 -5670 +1024 0 +2880 5670 +-1636 429 +-401 1778 +946 442 +108 1692 +543 -2326 +-3623 -4920 +724 900 +-1793 -1725 +-1421 -2032 +1056 -697 +392 672 +637 1214 +-2410 751 +1754 3757 +4096 3072 +17 -931 +-967 -4297 +770 -3780 +-392 -3672 +1232 657 +-1515 3476 +-598 -1720 +-724 -5244 +-4450 -7812 +4089 -914 +-2635 150 +-946 -3902 +5026 -320 +-4876 -523 +21 3878 +424 0 +-1962 -2215 +827 -842 +2140 1103 +-3151 3156 +-203 526 +577 257 +-2081 -2720 +-2048 0 +-2081 2720 +577 -257 +-203 -526 +-3151 -3156 +2140 -1103 +827 842 +-1962 2215 +424 0 +-2085 5163 +260 6280 +750 110 +3306 4724 +2209 -1604 +-2802 -3787 +4285 1474 +0 0 +-1592 -2588 +910 -851 +-1233 605 +5982 628 +3212 1918 +-1044 -232 +-3372 5819 +-2472 0 +1388 -4121 +-44 4219 +4362 1905 +-2042 940 +-5445 483 +1315 4376 +-373 5651 +-2048 0 +-373 -5651 +1315 -4376 +-5445 -483 +-2042 -940 +4362 -1905 +-44 -4219 +1388 4121 +-2472 0 +-3372 -5819 +-1044 232 +3212 -1918 +5982 -628 +-1233 -605 +910 851 +-1592 2588 +0 0 +4285 -1474 +-2802 3787 +2209 1604 +3306 -4724 +750 -110 +260 -6280 +-2085 -5163 +424 0 +-1962 -2215 +827 -842 +2140 1103 +-3151 3156 +-203 526 +577 257 +-2081 -2720 +2048 -2648 +1479 1104 +1222 -3829 +-566 -1883 +-2772 1338 +155 -4843 +2665 1299 +153 -107 +-3072 0 +153 107 +2665 -1299 +155 4843 +-2772 -1338 +-566 1883 +1222 3829 +1479 -1104 +2048 2648 +-1351 1972 +-1026 -1816 +-2417 1463 +-1324 -554 +2445 -2110 +1048 6426 +1006 -490 +-1024 0 +2884 6976 +437 4378 +-5164 2690 +-1324 -554 +-2343 1625 +3178 232 +5373 -882 +2048 -5544 +-2605 -4196 +-5071 1781 +2429 -2627 +-2772 -1338 +-333 -821 +5739 749 +-1146 1541 +-3072 0 +-1146 -1541 +5739 -749 +-333 821 +-2772 1338 +2429 2627 +-5071 -1781 +-2605 4196 +2048 5544 +5373 882 +3178 -232 +-2343 -1625 +-1324 554 +-5164 -2690 +437 -4378 +2884 -6976 +-1024 0 +1006 490 +1048 -6426 +2445 2110 +-1324 554 +-2417 -1463 +-1026 1816 +-1351 -1972 +2048 -2648 +1479 1104 +1222 -3829 +-566 -1883 +-2772 1338 +155 -4843 +2665 1299 +153 -107 +-1748 -4045 +1174 -1984 +-3404 990 +1901 705 +-790 -986 +-2168 3480 +-1587 6373 +-3408 -1229 +4096 0 +-3408 1229 +-1587 -6373 +-2168 -3480 +-790 986 +1901 -705 +-3404 -990 +1174 1984 +-1748 4045 +1782 -3789 +-1113 -6199 +-2096 3142 +872 5480 +-4867 1729 +921 -2360 +437 -4003 +1024 -3072 +2525 -2051 +-1520 279 +1836 -2041 +3224 -912 +-2586 2833 +-2383 -1491 +-717 2669 +-300 6093 +4441 -456 +-92 2519 +1452 3010 +4886 -1311 +735 2566 +987 3259 +-442 76 +-2048 0 +-442 -76 +987 -3259 +735 -2566 +4886 1311 +1452 -3010 +-92 -2519 +4441 456 +-300 -6093 +-717 -2669 +-2383 1491 +-2586 -2833 +3224 912 +1836 2041 +-1520 -279 +2525 2051 +1024 3072 +437 4003 +921 2360 +-4867 -1729 +872 -5480 +-2096 -3142 +-1113 6199 +1782 3789 +-1748 -4045 +1174 -1984 +-3404 990 +1901 705 +-790 -986 +-2168 3480 +-1587 6373 +-3408 -1229 +3496 -2048 +2411 1889 +1060 -2443 +1521 -3122 +-568 1714 +-4252 -2740 +-1815 -110 +1316 633 +1024 0 +1316 -633 +-1815 110 +-4252 2740 +-568 -1714 +1521 3122 +1060 2443 +2411 -1889 +3496 2048 +-209 -670 +-3673 -2536 +203 853 +1988 -1078 +558 -87 +1377 977 +-2668 -1317 +-3072 2048 +1101 -1771 +-2641 1605 +-1165 2678 +3556 619 +247 4672 +-267 621 +-1387 2817 +600 -2048 +7433 918 +-1216 1503 +-7084 -1308 +3216 8174 +4179 3050 +-1016 4833 +-2205 4026 +-3072 0 +-2205 -4026 +-1016 -4833 +4179 -3050 +3216 -8174 +-7084 1308 +-1216 -1503 +7433 -918 +600 2048 +-1387 -2817 +-267 -621 +247 -4672 +3556 -619 +-1165 -2678 +-2641 -1605 +1101 1771 +-3072 -2048 +-2668 1317 +1377 -977 +558 87 +1988 1078 +203 -853 +-3673 2536 +-209 670 +3496 -2048 +2411 1889 +1060 -2443 +1521 -3122 +-568 1714 +-4252 -2740 +-1815 -110 +1316 633 +6992 -3920 +-76 -2457 +-2142 -766 +5265 83 +-1384 -3510 +-2645 -1085 +-837 3696 +1029 -4186 +4096 0 +1029 4186 +-837 -3696 +-2645 1085 +-1384 3510 +5265 -83 +-2142 766 +-76 2457 +6992 3920 +80 58 +2542 -2441 +158 317 +-1604 2726 +1647 -841 +1975 -1865 +238 3317 +0 -2048 +3176 -2442 +-866 2923 +-3626 -1537 +-5388 -1618 +-353 -2254 +134 -328 +956 5440 +1200 1872 +-6311 -1608 +-533 -2914 +-2853 -775 +184 -834 +2407 -5923 +-272 -4700 +909 -2829 +-4096 0 +909 2829 +-272 4700 +2407 5923 +184 834 +-2853 775 +-533 2914 +-6311 1608 +1200 -1872 +956 -5440 +134 328 +-353 2254 +-5388 1618 +-3626 1537 +-866 -2923 +3176 2442 +0 2048 +238 -3317 +1975 1865 +1647 841 +-1604 -2726 +158 -317 +2542 2441 +80 -58 +6992 -3920 +-76 -2457 +-2142 -766 +5265 83 +-1384 -3510 +-2645 -1085 +-837 3696 +1029 -4186 +-1748 -1324 +2532 2583 +-3038 -1154 +-2334 -3492 +1800 -5440 +4141 -994 +2027 -5274 +-2522 1351 +-3072 0 +-2522 -1351 +2027 5274 +4141 994 +1800 5440 +-2334 3492 +-3038 1154 +2532 -2583 +-1748 1324 +-3317 4492 +1834 -3640 +1364 -445 +3478 -4562 +-750 -3593 +-1745 648 +3920 -2289 +-2048 -1024 +-4999 2510 +-607 -1180 +1698 -4931 +18 -466 +105 -3556 +3842 196 +1122 125 +-300 -2772 +-98 42 +-2638 -4899 +-3807 -819 +-1200 1344 +5376 855 +324 1750 +-2431 -3544 +3072 0 +-2431 3544 +324 -1750 +5376 -855 +-1200 -1344 +-3807 819 +-2638 4899 +-98 -42 +-300 2772 +1122 -125 +3842 -196 +105 3556 +18 466 +1698 4931 +-607 1180 +-4999 -2510 +-2048 1024 +3920 2289 +-1745 -648 +-750 3593 +3478 4562 +1364 445 +1834 3640 +-3317 -4492 +-1748 -1324 +2532 2583 +-3038 -1154 +-2334 -3492 +1800 -5440 +4141 -994 +2027 -5274 +-2522 1351 +4220 -300 +4855 2533 +3449 -2133 +1280 1259 +-208 -5056 +-3925 -2702 +1260 1000 +4472 -212 +1024 0 +4472 212 +1260 -1000 +-3925 2702 +-208 5056 +1280 -1259 +3449 2133 +4855 -2533 +4220 300 +4588 1743 +542 -3344 +-3553 3586 +-4442 -1162 +-966 -217 +1377 549 +-3525 -1413 +-4096 -1024 +-1588 877 +671 -715 +1803 -7165 +-2550 1486 +762 -199 +1506 597 +-6278 4409 +-124 -1748 +5083 813 +-1401 1978 +-3602 3204 +-992 -488 +2408 -1790 +788 1832 +-1815 -2612 +-1024 0 +-1815 2612 +788 -1832 +2408 1790 +-992 488 +-3602 -3204 +-1401 -1978 +5083 -813 +-124 1748 +-6278 -4409 +1506 -597 +762 199 +-2550 -1486 +1803 7165 +671 715 +-1588 -877 +-4096 1024 +-3525 1413 +1377 -549 +-966 217 +-4442 1162 +-3553 -3586 +542 3344 +4588 -1743 +4220 -300 +4855 2533 +3449 -2133 +1280 1259 +-208 -5056 +-3925 -2702 +1260 1000 +4472 -212 +5544 2472 +-977 2818 +-5623 1785 +118 -2295 +1108 -6002 +-3602 2539 +125 -2622 +226 1026 +-4096 0 +226 -1026 +125 2622 +-3602 -2539 +1108 6002 +118 2295 +-5623 -1785 +-977 -2818 +5544 -2472 +4505 -819 +-709 -1224 +-2121 4549 +2676 -1553 +1891 902 +-4488 -513 +-4594 -3303 +-2048 4096 +-1144 901 +-236 -357 +-1258 -415 +-2676 1094 +-2971 -296 +-230 -2488 +4375 2311 +2648 -424 +345 -4801 +2467 1047 +-140 -95 +-1108 458 +2290 4393 +503 -1318 +3057 3857 +8192 0 +3057 -3857 +503 1318 +2290 -4393 +-1108 -458 +-140 95 +2467 -1047 +345 4801 +2648 424 +4375 -2311 +-230 2488 +-2971 296 +-2676 -1094 +-1258 415 +-236 357 +-1144 -901 +-2048 -4096 +-4594 3303 +-4488 513 +1891 -902 +2676 1553 +-2121 -4549 +-709 1224 +4505 819 +5544 2472 +-977 2818 +-5623 1785 +118 -2295 +1108 -6002 +-3602 2539 +125 -2622 +226 1026 +600 424 +1596 -1211 +-2326 1485 +-4814 3706 +684 2302 +549 -1656 +-2939 -874 +-2981 1219 +-2048 0 +-2981 -1219 +-2939 874 +549 1656 +684 -2302 +-4814 -3706 +-2326 -1485 +1596 1211 +600 -424 +6188 -470 +3735 -1524 +1229 1737 +5148 1638 +-3232 -2322 +-2260 1235 +3410 -4492 +0 -4096 +-28 -2006 +-1695 -2106 +755 -31 +-204 1038 +-2182 1620 +2126 -2188 +-1747 1451 +3496 -2472 +6675 1566 +-4734 747 +-6025 -7607 +-1533 1194 +2136 164 +-98 430 +-1528 -300 +2048 0 +-1528 300 +-98 -430 +2136 -164 +-1533 -1194 +-6025 7607 +-4734 -747 +6675 -1566 +3496 2472 +-1747 -1451 +2126 2188 +-2182 -1620 +-204 -1038 +755 31 +-1695 2106 +-28 2006 +0 4096 +3410 4492 +-2260 -1235 +-3232 2322 +5148 -1638 +1229 -1737 +3735 1524 +6188 470 +600 424 +1596 -1211 +-2326 1485 +-4814 3706 +684 2302 +549 -1656 +-2939 -874 +-2981 1219 +-3496 3920 +796 6072 +1826 -3193 +1174 2121 +2362 2440 +-1229 -2354 +96 3337 +85 785 +-4096 0 +85 -785 +96 -3337 +-1229 2354 +2362 -2440 +1174 -2121 +1826 3193 +796 -6072 +-3496 -3920 +-1893 -988 +1282 -1135 +2728 -3415 +470 -5909 +-1017 345 +-151 1374 +-1216 -138 +0 -4096 +-2289 2809 +-2865 -10 +2981 -2309 +1578 7476 +2221 -286 +3950 469 +-904 -18 +-600 -1872 +-2463 -1895 +-4162 -2195 +557 1375 +-314 -1344 +-1623 2467 +24 -3521 +2092 -8615 +4096 0 +2092 8615 +24 3521 +-1623 -2467 +-314 1344 +557 -1375 +-4162 2195 +-2463 1895 +-600 1872 +-904 18 +3950 -469 +2221 286 +1578 -7476 +2981 2309 +-2865 10 +-2289 -2809 +0 4096 +-1216 138 +-151 -1374 +-1017 -345 +470 5909 +2728 3415 +1282 1135 +-1893 988 +-3496 3920 +796 6072 +1826 -3193 +1174 2121 +2362 2440 +-1229 -2354 +96 3337 +85 785 +1448 2472 +1736 -6137 +5439 4246 +343 7320 +1154 60 +223 1235 +467 602 +729 3828 +-8192 0 +729 -3828 +467 -602 +223 -1235 +1154 -60 +343 -7320 +5439 -4246 +1736 6137 +1448 -2472 +-3347 -236 +-2876 5203 +911 -1226 +4834 -1168 +3514 1097 +-950 1190 +1856 -436 +-4096 2048 +124 3214 +3626 185 +2873 681 +2158 -2616 +-2849 -867 +1767 1523 +935 -2422 +-1448 -424 +-1541 -2362 +-4331 -2133 +-3757 2487 +46 -1508 +-1259 -395 +-3143 4187 +-491 1702 +0 0 +-491 -1702 +-3143 -4187 +-1259 395 +46 1508 +-3757 -2487 +-4331 2133 +-1541 2362 +-1448 424 +935 2422 +1767 -1523 +-2849 867 +2158 2616 +2873 -681 +3626 -185 +124 -3214 +-4096 -2048 +1856 436 +-950 -1190 +3514 -1097 +4834 1168 +911 1226 +-2876 -5203 +-3347 236 +1448 2472 +1736 -6137 +5439 4246 +343 7320 +1154 60 +223 1235 +467 602 +729 3828 +0 -600 +-505 22 +-3150 5547 +464 1492 +2616 -554 +3736 5546 +-2305 109 +-7267 1713 +-1024 0 +-7267 -1713 +-2305 -109 +3736 -5546 +2616 554 +464 -1492 +-3150 -5547 +-505 -22 +0 600 +6445 1511 +2012 -458 +-4706 -445 +60 -1338 +1421 -2724 +296 1260 +396 -383 +1024 -4096 +405 1359 +2704 4248 +828 -2670 +-1508 -1338 +-1693 -1543 +1447 -1878 +742 1000 +0 -3496 +4021 3564 +-309 1224 +1502 -6687 +-1168 554 +-1552 -1395 +-696 -5313 +-4237 -4067 +-1024 0 +-4237 4067 +-696 5313 +-1552 1395 +-1168 -554 +1502 6687 +-309 -1224 +4021 -3564 +0 3496 +742 -1000 +1447 1878 +-1693 1543 +-1508 1338 +828 2670 +2704 -4248 +405 -1359 +1024 4096 +396 383 +296 -1260 +1421 2724 +60 1338 +-4706 445 +2012 458 +6445 -1511 +0 -600 +-505 22 +-3150 5547 +464 1492 +2616 -554 +3736 5546 +-2305 109 +-7267 1713 +8316 -7117 +876 -6651 +-3913 -4402 +172 -2270 +-2694 -197 +2420 705 +374 2556 +-1280 -3509 +2048 0 +-1280 3509 +374 -2556 +2420 -705 +-2694 197 +172 2270 +-3913 4402 +876 6651 +8316 7117 +-4150 2007 +-1309 -386 +49 -538 +-692 1704 +272 -1958 +-76 -914 +1333 1740 +-3072 -3072 +3800 -449 +1368 -1034 +-3034 2108 +92 6648 +-739 922 +-1403 -2722 +814 -1659 +3972 3021 +-1324 1418 +-367 -831 +-1049 -54 +-802 1046 +1910 -423 +-2866 -5572 +-68 -247 +4096 0 +-68 247 +-2866 5572 +1910 423 +-802 -1046 +-1049 54 +-367 831 +-1324 -1418 +3972 -3021 +814 1659 +-1403 2722 +-739 -922 +92 -6648 +-3034 -2108 +1368 1034 +3800 449 +-3072 3072 +1333 -1740 +-76 914 +272 1958 +-692 -1704 +49 538 +-1309 386 +-4150 -2007 +8316 -7117 +876 -6651 +-3913 -4402 +172 -2270 +-2694 -197 +2420 705 +374 2556 +-1280 -3509 +2348 300 +-2598 4163 +130 -2026 +1600 -2073 +282 5214 +-2014 -2635 +-1013 -1319 +1416 -974 +-2048 0 +1416 974 +-1013 1319 +-2014 2635 +282 -5214 +1600 2073 +130 2026 +-2598 -4163 +2348 -300 +981 -2297 +-2692 2332 +-4800 -445 +-52 -1681 +187 1758 +-846 -2499 +1070 200 +-5120 -1024 +-2151 1589 +-1490 6045 +-1578 1696 +2948 1815 +3584 -51 +-323 -3776 +1577 -322 +3796 1748 +-3744 342 +-10 1214 +-1951 -5413 +-3178 -5814 +4971 2885 +6245 -1225 +3450 -3302 +0 0 +3450 3302 +6245 1225 +4971 -2885 +-3178 5814 +-1951 5413 +-10 -1214 +-3744 -342 +3796 -1748 +1577 322 +-323 3776 +3584 51 +2948 -1815 +-1578 -1696 +-1490 -6045 +-2151 -1589 +-5120 1024 +1070 -200 +-846 2499 +187 -1758 +-52 1681 +-4800 445 +-2692 -2332 +981 2297 +2348 300 +-2598 4163 +130 -2026 +1600 -2073 +282 5214 +-2014 -2635 +-1013 -1319 +1416 -974 +4045 300 +-2837 2201 +-129 72 +3294 3416 +-2818 1006 +-187 -786 +-972 -3635 +-441 -2741 +4096 0 +-441 2741 +-972 3635 +-187 786 +-2818 -1006 +3294 -3416 +-129 -72 +-2837 -2201 +4045 -300 +-2795 -2744 +-2828 -6204 +4193 2484 +3528 -776 +-2050 -3496 +-3378 -14 +1782 2308 +-3072 -1024 +440 -2606 +2270 -2387 +-1823 -2952 +4312 -2224 +733 -4631 +153 412 +-3367 399 +-6093 1748 +2967 2878 +2805 2448 +2244 4029 +-926 -2454 +-611 1907 +2081 5358 +-1542 2161 +-2048 0 +-1542 -2161 +2081 -5358 +-611 -1907 +-926 2454 +2244 -4029 +2805 -2448 +2967 -2878 +-6093 -1748 +-3367 -399 +153 -412 +733 4631 +4312 2224 +-1823 2952 +2270 2387 +440 2606 +-3072 1024 +1782 -2308 +-3378 14 +-2050 3496 +3528 776 +4193 -2484 +-2828 6204 +-2795 2744 +4045 300 +-2837 2201 +-129 72 +3294 3416 +-2818 1006 +-187 -786 +-972 -3635 +-441 -2741 +-4220 1324 +2790 -4217 +928 -1726 +-1131 3635 +3647 1370 +3426 1305 +624 -1105 +467 -2334 +6144 0 +467 2334 +624 1105 +3426 -1305 +3647 -1370 +-1131 -3635 +928 1726 +2790 4217 +-4220 -1324 +4743 379 +-1349 -2157 +-1562 -4212 +2103 -2080 +-4435 108 +2806 4623 +-500 -360 +-1024 -1024 +2336 3643 +365 720 +-3356 2041 +-5600 2864 +-3298 -1479 +-2619 -2926 +211 2106 +124 2772 +1833 -3191 +4240 -1938 +1765 -1552 +-4246 -522 +-2995 -20 +3197 -5695 +-295 -2799 +-4096 0 +-295 2799 +3197 5695 +-2995 20 +-4246 522 +1765 1552 +4240 1938 +1833 3191 +124 -2772 +211 -2106 +-2619 2926 +-3298 1479 +-5600 -2864 +-3356 -2041 +365 -720 +2336 -3643 +-1024 1024 +-500 360 +2806 -4623 +-4435 -108 +2103 2080 +-1562 4212 +-1349 2157 +4743 -379 +-4220 1324 +2790 -4217 +928 -1726 +-1131 3635 +3647 1370 +3426 1305 +624 -1105 +467 -2334 +-848 -3072 +-90 -464 +1225 694 +-292 5806 +-3256 -1638 +3167 -5639 +-36 -4632 +-4283 -552 +2048 0 +-4283 552 +-36 4632 +3167 5639 +-3256 1638 +-292 -5806 +1225 -694 +-90 464 +-848 3072 +3739 5410 +-1480 -1886 +-4628 -3356 +2316 -1194 +-1582 1428 +-1812 -2053 +-2567 -2524 +-2048 -2048 +-1908 1632 +-3421 2227 +3057 -1158 +-1468 2302 +-1374 -1102 +1361 -594 +446 -2110 +4944 -3072 +-73 1503 +1790 1798 +3190 -968 +-1688 1038 +4255 8037 +2372 1920 +-1057 -717 +2048 0 +-1057 717 +2372 -1920 +4255 -8037 +-1688 -1038 +3190 968 +1790 -1798 +-73 -1503 +4944 3072 +446 2110 +1361 594 +-1374 1102 +-1468 -2302 +3057 1158 +-3421 -2227 +-1908 -1632 +-2048 2048 +-2567 2524 +-1812 2053 +-1582 -1428 +2316 1194 +-4628 3356 +-1480 1886 +3739 -5410 +-848 -3072 +-90 -464 +1225 694 +-292 5806 +-3256 -1638 +3167 -5639 +-36 -4632 +-4283 -552 +-7716 6268 +115 3861 +-2366 -3491 +1108 -1295 +2030 -3078 +-2404 1070 +-1302 1034 +-1103 -2175 +0 0 +-1103 2175 +-1302 -1034 +-2404 -1070 +2030 3078 +1108 1295 +-2366 3491 +115 -3861 +-7716 -6268 +-103 -2 +5367 2163 +-2911 1467 +248 -4092 +-1652 -19 +-3524 319 +-2060 -1814 +3072 -1024 +2670 -146 +-1383 -281 +6631 -1280 +3248 -2044 +-536 -1668 +-918 5659 +-4069 967 +-476 1924 +-2623 5667 +814 -5 +3668 744 +-1430 1030 +1889 2653 +3313 -434 +1381 -1581 +2048 0 +1381 1581 +3313 434 +1889 -2653 +-1430 -1030 +3668 -744 +814 5 +-2623 -5667 +-476 -1924 +-4069 -967 +-918 -5659 +-536 1668 +3248 2044 +6631 1280 +-1383 281 +2670 146 +3072 1024 +-2060 1814 +-3524 -319 +-1652 19 +248 4092 +-2911 -1467 +5367 -2163 +-103 2 +-7716 6268 +115 3861 +-2366 -3491 +1108 -1295 +2030 -3078 +-2404 1070 +-1302 1034 +-1103 -2175 +-300 -2772 +-2213 248 +1794 -771 +-3385 2863 +-5240 32 +-1532 -1216 +-308 -2 +1333 -873 +1024 0 +1333 873 +-308 2 +-1532 1216 +-5240 -32 +-3385 -2863 +1794 771 +-2213 -248 +-300 2772 +3495 -501 +2782 -2497 +1279 2537 +4227 -1526 +1264 -1588 +-9075 896 +-4335 4266 +0 -3072 +2766 -1407 +-946 2344 +-8256 -3840 +118 3418 +-2122 206 +-789 -1049 +3735 1328 +-1748 -1324 +4560 -947 +4902 -677 +2 2687 +895 816 +1164 -1349 +1640 -1446 +2245 864 +3072 0 +2245 -864 +1640 1446 +1164 1349 +895 -816 +2 -2687 +4902 677 +4560 947 +-1748 1324 +3735 -1328 +-789 1049 +-2122 -206 +118 -3418 +-8256 3840 +-946 -2344 +2766 1407 +0 3072 +-4335 -4266 +-9075 -896 +1264 1588 +4227 1526 +1279 -2537 +2782 2497 +3495 501 +-300 -2772 +-2213 248 +1794 -771 +-3385 2863 +-5240 32 +-1532 -1216 +-308 -2 +1333 -873 +-600 1024 +5393 7247 +-2181 4670 +1578 -114 +4254 -300 +1268 922 +1139 5059 +-1710 -2721 +0 0 +-1710 2721 +1139 -5059 +1268 -922 +4254 300 +1578 114 +-2181 -4670 +5393 -7247 +-600 -1024 +-1760 -1728 +1820 106 +3865 39 +1254 -1748 +-5442 4039 +-1915 7886 +-363 459 +0 -4096 +3559 1239 +1796 -1507 +-1474 -1820 +794 1748 +-2733 938 +516 1269 +1648 1738 +-3496 1024 +1400 -1014 +-3052 1086 +-4039 -2195 +-2206 -300 +1184 3995 +1877 -1667 +-2373 3870 +0 0 +-2373 -3870 +1877 1667 +1184 -3995 +-2206 300 +-4039 2195 +-3052 -1086 +1400 1014 +-3496 -1024 +1648 -1738 +516 -1269 +-2733 -938 +794 -1748 +-1474 1820 +1796 1507 +3559 -1239 +0 4096 +-363 -459 +-1915 -7886 +-5442 -4039 +1254 1748 +3865 -39 +1820 -106 +-1760 1728 +-600 1024 +5393 7247 +-2181 4670 +1578 -114 +4254 -300 +1268 922 +1139 5059 +-1710 -2721 +-1624 5120 +-1250 1645 +-3332 -2160 +250 83 +496 2422 +-1492 -569 +2611 1018 +-603 507 +-3072 0 +-603 -507 +2611 -1018 +-1492 569 +496 -2422 +250 -83 +-3332 2160 +-1250 -1645 +-1624 -5120 +1604 -4219 +-2698 246 +-4856 507 +-2860 -698 +2315 -289 +3852 2223 +-2935 1363 +-1024 2048 +-2062 2643 +-1295 -1118 +3832 -298 +4059 -4194 +-247 -2601 +3926 -419 +2161 -208 +-4520 5120 +4404 -162 +-792 4392 +-1148 4689 +6497 -1822 +1346 248 +-2271 -1462 +-1320 6436 +1024 0 +-1320 -6436 +-2271 1462 +1346 -248 +6497 1822 +-1148 -4689 +-792 -4392 +4404 162 +-4520 -5120 +2161 208 +3926 419 +-247 2601 +4059 4194 +3832 298 +-1295 1118 +-2062 -2643 +-1024 -2048 +-2935 -1363 +3852 -2223 +2315 289 +-2860 698 +-4856 -507 +-2698 -246 +1604 4219 +-1624 5120 +-1250 1645 +-3332 -2160 +250 83 +496 2422 +-1492 -569 +2611 1018 +-603 507 +5968 -2472 +4034 -2509 +1161 -869 +982 937 +-1892 -3270 +-249 -2742 +845 352 +-1636 -7201 +-5120 0 +-1636 7201 +845 -352 +-249 2742 +-1892 3270 +982 -937 +1161 869 +4034 2509 +5968 2472 +-1881 6084 +-418 2445 +-652 -2601 +-784 5643 +-3913 1046 +-1329 -3859 +2515 -921 +-5120 0 +936 5821 +2556 -519 +2092 -2130 +784 -750 +-170 -2790 +758 3110 +-4890 -514 +176 424 +1169 2015 +1395 -1363 +3624 2138 +1892 973 +-1715 -562 +-4969 92 +-247 271 +3072 0 +-247 -271 +-4969 -92 +-1715 562 +1892 -973 +3624 -2138 +1395 1363 +1169 -2015 +176 -424 +-4890 514 +758 -3110 +-170 2790 +784 750 +2092 2130 +2556 519 +936 -5821 +-5120 0 +2515 921 +-1329 3859 +-3913 -1046 +-784 -5643 +-652 2601 +-418 -2445 +-1881 -6084 +5968 -2472 +4034 -2509 +1161 -869 +982 937 +-1892 -3270 +-249 -2742 +845 352 +-1636 -7201 +-2472 -1448 +2472 -974 +-2166 -616 +4254 390 +2856 3711 +-1136 1381 +541 -860 +-2139 4960 +2048 0 +-2139 -4960 +541 860 +-1136 -1381 +2856 -3711 +4254 -390 +-2166 616 +2472 974 +-2472 1448 +3492 1736 +1594 -2103 +-575 -938 +2976 6062 +-8868 3181 +-4159 227 +1612 162 +-2048 0 +-275 4779 +2267 1076 +-666 -3721 +-2376 1966 +-3661 4833 +-2377 2841 +2471 337 +424 1448 +2030 775 +2950 -4328 +221 -1611 +640 385 +4640 -1291 +1351 11 +-3870 -1543 +-2048 0 +-3870 1543 +1351 -11 +4640 1291 +640 -385 +221 1611 +2950 4328 +2030 -775 +424 -1448 +2471 -337 +-2377 -2841 +-3661 -4833 +-2376 -1966 +-666 3721 +2267 -1076 +-275 -4779 +-2048 0 +1612 -162 +-4159 -227 +-8868 -3181 +2976 -6062 +-575 938 +1594 2103 +3492 -1736 +-2472 -1448 +2472 -974 +-2166 -616 +4254 390 +2856 3711 +-1136 1381 +541 -860 +-2139 4960 +-2772 4644 +-2002 1388 +-920 -1921 +-81 524 +972 -2259 +-160 -3876 +2416 -5931 +787 -1466 +-1024 0 +787 1466 +2416 5931 +-160 3876 +972 2259 +-81 -524 +-920 1921 +-2002 -1388 +-2772 -4644 +947 -608 +-2893 -4226 +-1538 1089 +-2154 2982 +-838 -928 +-3927 1411 +-5732 -1798 +6144 -1024 +867 439 +-3354 -1709 +-2120 3954 +1306 6478 +1889 -3461 +725 -3103 +5395 520 +-1324 -2596 +-555 3965 +1888 148 +1298 -3066 +3972 1659 +1550 -818 +-2128 -85 +294 -3658 +5120 0 +294 3658 +-2128 85 +1550 818 +3972 -1659 +1298 3066 +1888 -148 +-555 -3965 +-1324 2596 +5395 -520 +725 3103 +1889 3461 +1306 -6478 +-2120 -3954 +-3354 1709 +867 -439 +6144 1024 +-5732 1798 +-3927 -1411 +-838 928 +-2154 -2982 +-1538 -1089 +-2893 4226 +947 608 +-2772 4644 +-2002 1388 +-920 -1921 +-81 524 +972 -2259 +-160 -3876 +2416 -5931 +787 -1466 +-176 -2472 +1457 -821 +-1392 -1371 +-841 -169 +-4594 124 +-2063 296 +278 -1606 +-1692 -1465 +1024 0 +-1692 1465 +278 1606 +-2063 -296 +-4594 -124 +-841 169 +-1392 1371 +1457 821 +-176 2472 +382 -5463 +2503 -5331 +1565 235 +2870 -4220 +954 528 +1930 647 +1544 1180 +3072 -2048 +2961 -3104 +-2779 -1025 +-1010 -1786 +-2022 4220 +-5027 -1702 +2442 -2759 +4922 -1658 +-5968 424 +-969 4627 +6337 -1850 +-1490 -1768 +-350 124 +-3674 -2610 +-1127 -5858 +2980 -2820 +-3072 0 +2980 2820 +-1127 5858 +-3674 2610 +-350 -124 +-1490 1768 +6337 1850 +-969 -4627 +-5968 -424 +4922 1658 +2442 2759 +-5027 1702 +-2022 -4220 +-1010 1786 +-2779 1025 +2961 3104 +3072 2048 +1544 -1180 +1930 -647 +954 -528 +2870 4220 +1565 -235 +2503 5331 +382 5463 +-176 -2472 +1457 -821 +-1392 -1371 +-841 -169 +-4594 124 +-2063 296 +278 -1606 +-1692 -1465 +900 2596 +1477 -630 +1451 157 +233 3549 +-2754 -2335 +-4364 -5506 +255 918 +2257 837 +-2048 0 +2257 -837 +255 -918 +-4364 5506 +-2754 2335 +233 -3549 +1451 -157 +1477 630 +900 -2596 +-578 -3436 +2942 1142 +621 1965 +476 -112 +2662 4034 +-1225 918 +-1307 -2727 +1024 1024 +-3411 -1747 +117 -1822 +7016 5 +-2524 -4456 +-2342 -5172 +-5618 -3018 +-2238 -2433 +5244 -4644 +-3774 -1784 +1225 5571 +-967 1658 +706 -2010 +2934 -163 +853 -1962 +1781 -874 +-4096 0 +1781 874 +853 1962 +2934 163 +706 2010 +-967 -1658 +1225 -5571 +-3774 1784 +5244 4644 +-2238 2433 +-5618 3018 +-2342 5172 +-2524 4456 +7016 -5 +117 1822 +-3411 1747 +1024 -1024 +-1307 2727 +-1225 -918 +2662 -4034 +476 112 +621 -1965 +2942 -1142 +-578 3436 +900 2596 +1477 -630 +1451 157 +233 3549 +-2754 -2335 +-4364 -5506 +255 918 +2257 837 +-1024 600 +-2590 918 +1861 -1956 +-302 -2378 +-1278 3072 +885 313 +6509 6918 +3283 -946 +-4096 0 +3283 946 +6509 -6918 +885 -313 +-1278 -3072 +-302 2378 +1861 1956 +-2590 -918 +-1024 -600 +3840 1218 +-4183 6338 +-4699 -1596 +-614 3072 +-422 3573 +3395 455 +-1157 3382 +-4096 -2048 +-2290 -3105 +-4467 1852 +701 2603 +2062 -3072 +527 -901 +5108 2694 +1138 2876 +-1024 3496 +2075 1628 +-1586 -2887 +-2207 -1391 +-170 3072 +-275 46 +1555 1471 +1493 1140 +0 0 +1493 -1140 +1555 -1471 +-275 -46 +-170 -3072 +-2207 1391 +-1586 2887 +2075 -1628 +-1024 -3496 +1138 -2876 +5108 -2694 +527 901 +2062 3072 +701 -2603 +-4467 -1852 +-2290 3105 +-4096 2048 +-1157 -3382 +3395 -455 +-422 -3573 +-614 -3072 +-4699 1596 +-4183 -6338 +3840 -1218 +-1024 600 +-2590 918 +1861 -1956 +-302 -2378 +-1278 3072 +885 313 +6509 6918 +3283 -946 +-4644 300 +1844 -1171 +-1144 265 +-556 -27 +-1552 692 +-3865 -918 +-2486 782 +-912 -1429 +4096 0 +-912 1429 +-2486 -782 +-3865 918 +-1552 -692 +-556 27 +-1144 -265 +1844 1171 +-4644 -300 +-1660 -5149 +-981 -237 +-1648 1645 +3362 802 +277 -939 +-5937 1870 +-1275 5177 +7168 1024 +819 1207 +1813 -1470 +4260 -2791 +-1665 -2694 +761 -2695 +3537 -901 +-592 -2182 +2596 1748 +4192 1609 +1484 1967 +3009 3568 +-8337 -92 +-2239 -1246 +3713 -1226 +-2416 3123 +2048 0 +-2416 -3123 +3713 1226 +-2239 1246 +-8337 92 +3009 -3568 +1484 -1967 +4192 -1609 +2596 -1748 +-592 2182 +3537 901 +761 2695 +-1665 2694 +4260 2791 +1813 1470 +819 -1207 +7168 -1024 +-1275 -5177 +-5937 -1870 +277 939 +3362 -802 +-1648 -1645 +-981 237 +-1660 5149 +-4644 300 +1844 -1171 +-1144 265 +-556 -27 +-1552 692 +-3865 -918 +-2486 782 +-912 -1429 +-1324 -4220 +-6926 528 +4246 1431 +4134 678 +1670 -1102 +3735 1142 +3281 4786 +861 4398 +-2048 0 +861 -4398 +3281 -4786 +3735 -1142 +1670 1102 +4134 -678 +4246 -1431 +-6926 -528 +-1324 4220 +3792 2244 +-800 -809 +4160 -2559 +-332 -1656 +-1784 513 +-1262 -3594 +-5440 -540 +3072 1024 +709 4655 +-4635 1978 +497 -1229 +-1116 2440 +-1297 1026 +237 3195 +-536 -1907 +-2772 124 +-2123 -582 +2110 -3219 +-1204 -1189 +-222 -2994 +3344 1212 +-3177 -5006 +-1922 -3300 +4096 0 +-1922 3300 +-3177 5006 +3344 -1212 +-222 2994 +-1204 1189 +2110 3219 +-2123 582 +-2772 -124 +-536 1907 +237 -3195 +-1297 -1026 +-1116 -2440 +497 1229 +-4635 -1978 +709 -4655 +3072 -1024 +-5440 540 +-1262 3594 +-1784 -513 +-332 1656 +4160 2559 +-800 809 +3792 -2244 +-1324 -4220 +-6926 528 +4246 1431 +4134 678 +1670 -1102 +3735 1142 +3281 4786 +861 4398 +-2648 -2472 +-2097 -3785 +-917 1026 +3138 -1508 +-554 1508 +-589 1294 +3079 437 +3455 3652 +6144 0 +3455 -3652 +3079 -437 +-589 -1294 +-554 -1508 +3138 1508 +-917 -1026 +-2097 3785 +-2648 2472 +3895 2851 +2137 5071 +-6696 -1033 +-1338 -2616 +1234 -483 +1687 2665 +655 -2901 +-2048 2048 +364 130 +3037 -5739 +3140 2321 +1338 -1168 +470 1317 +-1197 1222 +-3249 -1485 +-5544 424 +2131 -1231 +4073 -3178 +-5160 -4390 +554 -60 +-1330 -945 +-3707 1048 +639 4492 +-2048 0 +639 -4492 +-3707 -1048 +-1330 945 +554 60 +-5160 4390 +4073 3178 +2131 1231 +-5544 -424 +-3249 1485 +-1197 -1222 +470 -1317 +1338 1168 +3140 -2321 +3037 5739 +364 -130 +-2048 -2048 +655 2901 +1687 -2665 +1234 483 +-1338 2616 +-6696 1033 +2137 -5071 +3895 -2851 +-2648 -2472 +-2097 -3785 +-917 1026 +3138 -1508 +-554 1508 +-589 1294 +3079 437 +3455 3652 +0 -1448 +-1164 942 +-1754 3186 +-3213 -1794 +954 -1264 +-623 470 +-3805 -5259 +858 -874 +-1024 0 +858 874 +-3805 5259 +-623 -470 +954 1264 +-3213 1794 +-1754 -3186 +-1164 -942 +0 1448 +-965 3180 +7174 -934 +-1968 -4968 +-3954 -3940 +3463 -2397 +-6637 2207 +-2146 -660 +-1024 -6144 +14 -1894 +4065 4086 +2759 2681 +2506 156 +-802 2729 +291 322 +1300 -409 +0 1448 +-1388 1782 +83 6261 +631 43 +495 -2832 +-247 3352 +584 -1054 +3491 -2240 +3072 0 +3491 2240 +584 1054 +-247 -3352 +495 2832 +631 -43 +83 -6261 +-1388 -1782 +0 -1448 +1300 409 +291 -322 +-802 -2729 +2506 -156 +2759 -2681 +4065 -4086 +14 1894 +-1024 6144 +-2146 660 +-6637 -2207 +3463 2397 +-3954 3940 +-1968 4968 +7174 934 +-965 -3180 +0 -1448 +-1164 942 +-1754 3186 +-3213 -1794 +954 -1264 +-623 470 +-3805 -5259 +858 -874 +5668 1748 +-548 -3657 +301 5694 +1854 -2111 +2754 -3634 +1057 1008 +-2364 -2488 +-287 579 +-1024 0 +-287 -579 +-2364 2488 +1057 -1008 +2754 3634 +1854 2111 +301 -5694 +-548 3657 +5668 -1748 +2760 -8599 +919 -201 +-191 -875 +-476 -64 +26 -990 +1210 1810 +1230 3111 +-2048 -3072 +1400 -2313 +-2030 -3747 +-113 447 +2524 4632 +-1896 6488 +-2627 4486 +-940 -3648 +-1572 300 +-5056 1247 +207 1889 +-1334 -103 +-706 -3958 +597 2437 +-3808 -173 +1441 -1778 +5120 0 +1441 1778 +-3808 173 +597 -2437 +-706 3958 +-1334 103 +207 -1889 +-5056 -1247 +-1572 -300 +-940 3648 +-2627 -4486 +-1896 -6488 +2524 -4632 +-113 -447 +-2030 3747 +1400 2313 +-2048 3072 +1230 -3111 +1210 -1810 +26 990 +-476 64 +-191 875 +919 201 +2760 8599 +5668 1748 +-548 -3657 +301 5694 +1854 -2111 +2754 -3634 +1057 1008 +-2364 -2488 +-287 579 +1448 2048 +5236 3559 +-220 -2754 +-1313 622 +999 2002 +-1045 3805 +-1088 2162 +98 -1980 +-3072 0 +98 1980 +-1088 -2162 +-1045 -3805 +999 -2002 +-1313 -622 +-220 2754 +5236 -3559 +1448 -2048 +-2035 3680 +4654 -2711 +-2066 -1311 +-1796 -110 +1166 1319 +1339 1168 +586 1128 +-7168 6144 +-4030 1567 +-3910 1168 +5498 2111 +7340 2786 +-814 1303 +2811 -2711 +-1811 4041 +-1448 2048 +1745 897 +-1452 2754 +-3375 -2105 +1649 894 +1950 1083 +-2133 -2162 +211 -1677 +1024 0 +211 1677 +-2133 2162 +1950 -1083 +1649 -894 +-3375 2105 +-1452 -2754 +1745 -897 +-1448 -2048 +-1811 -4041 +2811 2711 +-814 -1303 +7340 -2786 +5498 -2111 +-3910 -1168 +-4030 -1567 +-7168 -6144 +586 -1128 +1339 -1168 +1166 -1319 +-1796 110 +-2066 1311 +4654 2711 +-2035 -3680 +1448 2048 +5236 3559 +-220 -2754 +-1313 622 +999 2002 +-1045 3805 +-1088 2162 +98 -1980 +1024 -1024 +-482 646 +-104 -1536 +-331 1393 +334 4749 +83 -3838 +443 -3090 +4311 -3261 +3072 0 +4311 3261 +443 3090 +83 3838 +334 -4749 +-331 -1393 +-104 1536 +-482 -646 +1024 1024 +-5088 -3557 +-4134 -1920 +-733 3218 +3126 3759 +4254 -2348 +2830 -61 +3466 1558 +1024 -4096 +1972 3725 +-2490 -504 +-867 -1806 +2667 3160 +1796 -5318 +10 311 +-783 2030 +1024 -1024 +-2783 2854 +1332 872 +-82 1527 +-6126 -1252 +-4119 -3940 +2113 -250 +-614 -52 +-9216 0 +-614 52 +2113 250 +-4119 3940 +-6126 1252 +-82 -1527 +1332 -872 +-2783 -2854 +1024 1024 +-783 -2030 +10 -311 +1796 5318 +2667 -3160 +-867 1806 +-2490 504 +1972 -3725 +1024 4096 +3466 -1558 +2830 61 +4254 2348 +3126 -3759 +-733 -3218 +-4134 1920 +-5088 3557 +1024 -1024 +-482 646 +-104 -1536 +-331 1393 +334 4749 +83 -3838 +443 -3090 +4311 -3261 +4820 -2772 +-83 787 +-906 1092 +3786 298 +-2813 -2466 +27 4923 +-1176 205 +-3237 -2342 +4096 0 +-3237 2342 +-1176 -205 +27 -4923 +-2813 2466 +3786 -298 +-906 -1092 +-83 -787 +4820 2772 +1651 6521 +-1255 23 +-142 2344 +1644 1444 +-2428 60 +-4169 4672 +-1903 3906 +1024 -1024 +2452 149 +4132 -561 +374 343 +-5140 4692 +-2386 20 +-2346 142 +2815 1288 +3372 -1324 +-599 -4453 +3307 1924 +309 1162 +2214 -6575 +460 -857 +-5780 -2541 +-1097 152 +2048 0 +-1097 -152 +-5780 2541 +460 857 +2214 6575 +309 -1162 +3307 -1924 +-599 4453 +3372 1324 +2815 -1288 +-2346 -142 +-2386 -20 +-5140 -4692 +374 -343 +4132 561 +2452 -149 +1024 1024 +-1903 -3906 +-4169 -4672 +-2428 -60 +1644 -1444 +-142 -2344 +-1255 -23 +1651 -6521 +4820 -2772 +-83 787 +-906 1092 +3786 298 +-2813 -2466 +27 4923 +-1176 205 +-3237 -2342 +-7117 1748 +4354 -1732 +2147 2849 +-4863 2248 +-2624 5056 +3558 2364 +1129 1874 +-1456 2187 +3072 0 +-1456 -2187 +1129 -1874 +3558 -2364 +-2624 -5056 +-4863 -2248 +2147 -2849 +4354 1732 +-7117 -1748 +-793 -6249 +2786 -3475 +-777 -4215 +4286 1162 +-1563 2169 +-197 3764 +905 3313 +0 -1024 +2735 -4073 +-2699 -2133 +-3120 50 +-1390 -1486 +2573 -55 +111 -2912 +-2659 1269 +3021 300 +-902 -639 +750 3507 +3067 216 +-273 488 +1126 -2786 +-4026 -1978 +-2184 316 +5120 0 +-2184 -316 +-4026 1978 +1126 2786 +-273 -488 +3067 -216 +750 -3507 +-902 639 +3021 -300 +-2659 -1269 +111 2912 +2573 55 +-1390 1486 +-3120 -50 +-2699 2133 +2735 4073 +0 1024 +905 -3313 +-197 -3764 +-1563 -2169 +4286 -1162 +-777 4215 +2786 3475 +-793 6249 +-7117 1748 +4354 -1732 +2147 2849 +-4863 2248 +-2624 5056 +3558 2364 +1129 1874 +-1456 2187 +-3920 4944 +955 3987 +-2917 -2427 +320 -5852 +614 -1533 +-5154 7124 +2107 1285 +2909 4468 +-2048 0 +2909 -4468 +2107 -1285 +-5154 -7124 +614 1533 +320 5852 +-2917 2427 +955 -3987 +-3920 -4944 +-350 1251 +2972 -1862 +-143 -3278 +170 -204 +-41 1468 +772 -325 +-2584 2556 +2048 0 +2536 -2928 +-1895 1124 +2260 -663 +1278 -5148 +4782 -5219 +3044 -414 +-599 -1126 +1872 -848 +-1573 -1142 +-203 979 +-1175 24 +-2062 684 +-849 6635 +-3880 -2733 +-1293 -1337 +2048 0 +-1293 1337 +-3880 2733 +-849 -6635 +-2062 -684 +-1175 -24 +-203 -979 +-1573 1142 +1872 848 +-599 1126 +3044 414 +4782 5219 +1278 5148 +2260 663 +-1895 -1124 +2536 2928 +2048 0 +-2584 -2556 +772 325 +-41 -1468 +170 204 +-143 3278 +2972 1862 +-350 -1251 +-3920 4944 +955 3987 +-2917 -2427 +320 -5852 +614 -1533 +-5154 7124 +2107 1285 +2909 4468 +1448 -1872 +3162 648 +-2455 799 +2197 1869 +3241 3086 +-2791 -2420 +968 3713 +1899 -1528 +0 0 +1899 1528 +968 -3713 +-2791 2420 +3241 -3086 +2197 -1869 +-2455 -799 +3162 -648 +1448 1872 +954 -3436 +-3593 -2015 +-3533 333 +6376 -254 +3494 -835 +2977 2407 +1535 3082 +-2048 -2048 +1006 -4912 +1368 -305 +-1883 -2022 +-4328 -854 +-2105 6547 +-752 477 +2619 3983 +-1448 3920 +-7623 -1790 +-1890 493 +-1023 1759 +-1193 410 +-149 -3750 +3376 567 +2240 -1041 +-4096 0 +2240 1041 +3376 -567 +-149 3750 +-1193 -410 +-1023 -1759 +-1890 -493 +-7623 1790 +-1448 -3920 +2619 -3983 +-752 -477 +-2105 -6547 +-4328 854 +-1883 2022 +1368 305 +1006 4912 +-2048 2048 +1535 -3082 +2977 -2407 +3494 835 +6376 254 +-3533 -333 +-3593 2015 +954 3436 +1448 -1872 +3162 648 +-2455 799 +2197 1869 +3241 3086 +-2791 -2420 +968 3713 +1899 -1528 +-3196 -300 +1457 2946 +-285 5133 +-4982 -1510 +1500 -1919 +-58 481 +-2253 494 +-862 -3806 +0 0 +-862 3806 +-2253 -494 +-58 -481 +1500 1919 +-4982 1510 +-285 -5133 +1457 -2946 +-3196 300 +21 -2462 +-3765 -1990 +1617 -5437 +1730 -1142 +1545 1676 +1482 109 +-5056 587 +1024 -1024 +-1011 3819 +-34 2652 +-21 2658 +-1730 5251 +2805 206 +2316 -1178 +1697 -1718 +1148 -1748 +3745 327 +-1163 975 +-5441 -727 +-1500 4216 +4535 813 +3701 -9039 +10 1374 +2048 0 +10 -1374 +3701 9039 +4535 -813 +-1500 -4216 +-5441 727 +-1163 -975 +3745 -327 +1148 1748 +1697 1718 +2316 1178 +2805 -206 +-1730 -5251 +-21 -2658 +-34 -2652 +-1011 -3819 +1024 1024 +-5056 -587 +1482 -109 +1545 -1676 +1730 1142 +1617 5437 +-3765 1990 +21 2462 +-3196 -300 +1457 2946 +-285 5133 +-4982 -1510 +1500 -1919 +-58 481 +-2253 494 +-862 -3806 +-1148 -2172 +-1278 5056 +-5825 5160 +-331 114 +332 1636 +-444 -1984 +1386 -2779 +2917 1120 +3072 0 +2917 -1120 +1386 2779 +-444 1984 +332 -1636 +-331 -114 +-5825 -5160 +-1278 -5056 +-1148 2172 +-5149 2157 +2185 4551 +-49 1508 +222 -1710 +-1746 1273 +-3728 -241 +-84 936 +-2048 -1024 +-597 -1019 +-1180 839 +-782 -2708 +-1670 2035 +536 823 +2264 -4777 +5698 -1619 +3196 2172 +-3055 4400 +4273 -3400 +-1724 -4246 +1116 6204 +4539 2747 +626 -1437 +1549 1965 +-3072 0 +1549 -1965 +626 1437 +4539 -2747 +1116 -6204 +-1724 4246 +4273 3400 +-3055 -4400 +3196 -2172 +5698 1619 +2264 4777 +536 -823 +-1670 -2035 +-782 2708 +-1180 -839 +-597 1019 +-2048 1024 +-84 -936 +-3728 241 +-1746 -1273 +222 1710 +-49 -1508 +2185 -4551 +-5149 -2157 +-1148 -2172 +-1278 5056 +-5825 5160 +-331 114 +332 1636 +-444 -1984 +1386 -2779 +2917 1120 +1448 -2472 +2310 5518 +805 3870 +-751 -1807 +470 1932 +570 1063 +-2412 -740 +-3106 -1296 +-2048 0 +-3106 1296 +-2412 740 +570 -1063 +470 -1932 +-751 1807 +805 -3870 +2310 -5518 +1448 2472 +809 -5627 +3574 -124 +5628 1132 +-314 -5088 +-6057 3127 +-217 -3062 +4661 -2442 +-2048 -2048 +-6200 -1661 +-2799 1218 +-947 -2732 +2362 1304 +6202 2031 +1659 1168 +-3109 -2162 +-1448 424 +-2257 715 +-3141 -1378 +953 -502 +1578 364 +194 3854 +2531 -1972 +1099 2444 +-2048 0 +1099 -2444 +2531 1972 +194 -3854 +1578 -364 +953 502 +-3141 1378 +-2257 -715 +-1448 -424 +-3109 2162 +1659 -1168 +6202 -2031 +2362 -1304 +-947 2732 +-2799 -1218 +-6200 1661 +-2048 2048 +4661 2442 +-217 3062 +-6057 -3127 +-314 5088 +5628 -1132 +3574 124 +809 5627 +1448 -2472 +2310 5518 +805 3870 +-751 -1807 +470 1932 +570 1063 +-2412 -740 +-3106 -1296 +1448 -3496 +-2835 -77 +374 4890 +898 -178 +3666 2492 +-517 3189 +-514 1986 +-1052 369 +-9216 0 +-1052 -369 +-514 -1986 +-517 -3189 +3666 -2492 +898 178 +374 -4890 +-2835 77 +1448 3496 +313 1052 +-2770 973 +-929 1768 +-1462 4280 +4210 2079 +1562 5000 +3068 -1293 +5120 -2048 +-2508 3939 +-1717 1624 +-1059 1960 +1214 -2712 +3515 -1248 +1506 3909 +-1362 3388 +-1448 -600 +987 -2259 +-3205 -257 +-588 3193 +4774 -1292 +262 -3069 +-3426 4526 +-2404 -5601 +-1024 0 +-2404 5601 +-3426 -4526 +262 3069 +4774 1292 +-588 -3193 +-3205 257 +987 2259 +-1448 600 +-1362 -3388 +1506 -3909 +3515 1248 +1214 2712 +-1059 -1960 +-1717 -1624 +-2508 -3939 +5120 2048 +3068 1293 +1562 -5000 +4210 -2079 +-1462 -4280 +-929 -1768 +-2770 -973 +313 -1052 +1448 -3496 +-2835 -77 +374 4890 +898 -178 +3666 2492 +-517 3189 +-514 1986 +-1052 369 +1748 5244 +-323 221 +-3674 -2008 +-283 1438 +872 -1984 +1509 5296 +2893 -596 +-1976 133 +-6144 0 +-1976 -133 +2893 596 +1509 -5296 +872 1984 +-283 -1438 +-3674 2008 +-323 -221 +1748 -5244 +-1237 114 +-933 -2344 +2284 2982 +4886 1910 +1206 3332 +29 4009 +2687 -1158 +-1024 1024 +344 1523 +-629 408 +-6822 -747 +-790 -1586 +1565 -2452 +-2564 4612 +-2116 6171 +300 900 +5005 2291 +178 1971 +-2679 -633 +3224 2584 +-2573 2657 +-3493 -1805 +3408 4796 +4096 0 +3408 -4796 +-3493 1805 +-2573 -2657 +3224 -2584 +-2679 633 +178 -1971 +5005 -2291 +300 -900 +-2116 -6171 +-2564 -4612 +1565 2452 +-790 1586 +-6822 747 +-629 -408 +344 -1523 +-1024 -1024 +2687 1158 +29 -4009 +1206 -3332 +4886 -1910 +2284 -2982 +-933 2344 +-1237 -114 +1748 5244 +-323 221 +-3674 -2008 +-283 1438 +872 -1984 +1509 5296 +2893 -596 +-1976 133 +-3620 -3196 +-2960 1380 +5610 2354 +-7 762 +-1500 1051 +-1595 4548 +192 3466 +6661 486 +3072 0 +6661 -486 +192 -3466 +-1595 -4548 +-1500 -1051 +-7 -762 +5610 -2354 +-2960 -1380 +-3620 3196 +-1740 2499 +2541 -3469 +833 238 +-1730 1382 +-2033 -1298 +-4941 -160 +-625 -1880 +-2048 -1024 +210 -1370 +-631 -485 +742 -2446 +1730 -7058 +-2549 1577 +1464 1099 +-707 -3928 +3620 1148 +5848 1641 +-3822 2214 +-1852 -1302 +1500 -1300 +-5124 617 +-412 -3791 +4899 1280 +1024 0 +4899 -1280 +-412 3791 +-5124 -617 +1500 1300 +-1852 1302 +-3822 -2214 +5848 -1641 +3620 -1148 +-707 3928 +1464 -1099 +-2549 -1577 +1730 7058 +742 2446 +-631 485 +210 1370 +-2048 1024 +-625 1880 +-4941 160 +-2033 1298 +-1730 -1382 +833 -238 +2541 3469 +-1740 -2499 +-3620 -3196 +-2960 1380 +5610 2354 +-7 762 +-1500 1051 +-1595 4548 +192 3466 +6661 486 +2472 2048 +2501 -3047 +-3468 -1773 +2467 45 +1932 4170 +1547 -1424 +4656 185 +-88 1658 +-4096 0 +-88 -1658 +4656 -185 +1547 1424 +1932 -4170 +2467 -45 +-3468 1773 +2501 3047 +2472 -2048 +-5455 -2432 +2064 686 +472 -3198 +-5088 -398 +938 -5582 +-811 -2410 +-3212 -191 +-4096 2048 +-3540 4455 +2076 -1302 +-1550 1646 +-1304 -4494 +5265 -4437 +1876 -1990 +-444 -1624 +-424 2048 +-178 -1497 +3624 -903 +2939 -3501 +364 -74 +-495 6436 +-1824 923 +-1170 -2364 +0 0 +-1170 2364 +-1824 -923 +-495 -6436 +364 74 +2939 3501 +3624 903 +-178 1497 +-424 -2048 +-444 1624 +1876 1990 +5265 4437 +-1304 4494 +-1550 -1646 +2076 1302 +-3540 -4455 +-4096 -2048 +-3212 191 +-811 2410 +938 5582 +-5088 398 +472 3198 +2064 -686 +-5455 2432 +2472 2048 +2501 -3047 +-3468 -1773 +2467 45 +1932 4170 +1547 -1424 +4656 185 +-88 1658 +2772 1148 +-227 545 +242 2997 +-3224 2043 +-1741 692 +213 -2123 +-1077 3183 +-449 4196 +-1024 0 +-449 -4196 +-1077 -3183 +213 2123 +-1741 -692 +-3224 -2043 +242 -2997 +-227 -545 +2772 -1148 +3303 2256 +-1701 2053 +-3970 -4475 +-4646 802 +-5018 -1000 +-488 -405 +3099 1718 +0 -3072 +2138 -4379 +-960 2546 +-2031 4197 +2598 -2694 +88 88 +3149 4233 +1167 4760 +1324 -3196 +2437 968 +1206 6176 +5778 -3200 +-307 -92 +2372 -798 +-371 -1432 +-5677 2700 +1024 0 +-5677 -2700 +-371 1432 +2372 798 +-307 92 +5778 3200 +1206 -6176 +2437 -968 +1324 3196 +1167 -4760 +3149 -4233 +88 -88 +2598 2694 +-2031 -4197 +-960 -2546 +2138 4379 +0 3072 +3099 -1718 +-488 405 +-5018 1000 +-4646 -802 +-3970 4475 +-1701 -2053 +3303 -2256 +2772 1148 +-227 545 +242 2997 +-3224 2043 +-1741 692 +213 -2123 +-1077 3183 +-449 4196 +2772 900 +816 603 +-2742 -4030 +-1614 -2333 +502 666 +1179 -3849 +-4171 -587 +799 -1807 +11264 0 +799 1807 +-4171 587 +1179 3849 +502 -666 +-1614 2333 +-2742 4030 +816 -603 +2772 -900 +70 3884 +-1865 2880 +-557 1480 +-1840 3348 +-2044 -2145 +-1090 815 +-2206 1216 +2048 1024 +783 686 +1718 -909 +3641 1643 +-1056 -997 +-964 576 +-1292 360 +2075 4097 +1324 5244 +3071 -629 +1802 -2586 +-7330 -3244 +2394 -5010 +1895 -2827 +-553 2959 +385 -4755 +-7168 0 +385 4755 +-553 -2959 +1895 2827 +2394 5010 +-7330 3244 +1802 2586 +3071 629 +1324 -5244 +2075 -4097 +-1292 -360 +-964 -576 +-1056 997 +3641 -1643 +1718 909 +783 -686 +2048 -1024 +-2206 -1216 +-1090 -815 +-2044 2145 +-1840 -3348 +-557 -1480 +-1865 -2880 +70 -3884 +2772 900 +816 603 +-2742 -4030 +-1614 -2333 +502 666 +1179 -3849 +-4171 -587 +799 -1807 +2472 -600 +1158 288 +1462 0 +2348 -425 +1592 -1818 +-968 2183 +-2449 -1643 +447 -306 +2048 0 +447 306 +-2449 1643 +-968 -2183 +1592 1818 +2348 425 +1462 0 +1158 -288 +2472 600 +2244 3477 +2555 3167 +-2375 -61 +-964 -5278 +2219 -132 +-957 3563 +3602 4868 +2048 4096 +114 677 +173 -4057 +-2457 -2648 +-2532 -1182 +-3490 -5215 +-663 1211 +-4775 -3934 +-424 -3496 +4269 2428 +-3354 -260 +621 1640 +-2192 -2278 +-1690 4069 +3233 3912 +-1267 -7743 +-2048 0 +-1267 7743 +3233 -3912 +-1690 -4069 +-2192 2278 +621 -1640 +-3354 260 +4269 -2428 +-424 3496 +-4775 3934 +-663 -1211 +-3490 5215 +-2532 1182 +-2457 2648 +173 4057 +114 -677 +2048 -4096 +3602 -4868 +-957 -3563 +2219 132 +-964 5278 +-2375 61 +2555 -3167 +2244 -3477 +2472 -600 +1158 288 +1462 0 +2348 -425 +1592 -1818 +-968 2183 +-2449 -1643 +447 -306 +-1448 -1624 +354 -1114 +2166 -1362 +1541 3714 +-2122 2497 +-2782 -5048 +-875 -5541 +-3777 35 +-2048 0 +-3777 -35 +-875 5541 +-2782 5048 +-2122 -2497 +1541 -3714 +2166 1362 +354 1114 +-1448 1624 +6404 4010 +-829 -303 +-1867 1726 +2446 2396 +2477 -5938 +1852 -2604 +-3272 1980 +2048 2048 +103 2858 +3720 -1600 +3010 3691 +-2446 6740 +499 -143 +-3176 3377 +1826 350 +1448 -4520 +-3232 1367 +-3954 -750 +-2390 -1046 +2122 1848 +-487 -563 +1095 752 +1594 1363 +-2048 0 +1594 -1363 +1095 -752 +-487 563 +2122 -1848 +-2390 1046 +-3954 750 +-3232 -1367 +1448 4520 +1826 -350 +-3176 -3377 +499 143 +-2446 -6740 +3010 -3691 +3720 1600 +103 -2858 +2048 -2048 +-3272 -1980 +1852 2604 +2477 5938 +2446 -2396 +-1867 -1726 +-829 303 +6404 -4010 +-1448 -1624 +354 -1114 +2166 -1362 +1541 3714 +-2122 2497 +-2782 -5048 +-875 -5541 +-3777 35 +-848 -2896 +-700 -3641 +-33 2953 +7043 -1858 +-594 360 +-5577 728 +-1743 -2199 +-2347 548 +1024 0 +-2347 -548 +-1743 2199 +-5577 -728 +-594 -360 +7043 1858 +-33 -2953 +-700 3641 +-848 2896 +485 -3420 +-2541 -423 +-1323 -3736 +4534 580 +3628 -931 +1665 -3666 +-391 825 +1024 -4096 +1267 126 +-1481 458 +-3037 -6714 +1858 -4364 +-199 1523 +-2847 2134 +945 -169 +4944 2896 +5271 -2685 +-1571 -3293 +1398 2892 +-1702 -1208 +-1933 850 +359 3427 +-4531 762 +-3072 0 +-4531 -762 +359 -3427 +-1933 -850 +-1702 1208 +1398 -2892 +-1571 3293 +5271 2685 +4944 -2896 +945 169 +-2847 -2134 +-199 -1523 +1858 4364 +-3037 6714 +-1481 -458 +1267 -126 +1024 4096 +-391 -825 +1665 3666 +3628 931 +4534 -580 +-1323 3736 +-2541 423 +485 3420 +-848 -2896 +-700 -3641 +-33 2953 +7043 -1858 +-594 360 +-5577 728 +-1743 -2199 +-2347 548 +-3196 1748 +5272 -1494 +1956 1210 +413 4067 +-262 666 +-2946 -557 +5332 -1173 +2309 1371 +-2048 0 +2309 -1371 +5332 1173 +-2946 557 +-262 -666 +413 -4067 +1956 -1210 +5272 1494 +-3196 -1748 +5789 1063 +1982 921 +-305 1963 +4756 3348 +-1903 -1382 +-2136 -1102 +-6008 -493 +-3072 1024 +-468 1459 +1248 -1166 +3407 -656 +188 -997 +-3483 -663 +-6446 -2131 +-621 -469 +1148 300 +-2192 -3454 +-3285 5627 +-1738 5651 +-586 -5010 +763 -357 +1348 2805 +1712 -436 +4096 0 +1712 436 +1348 -2805 +763 357 +-586 5010 +-1738 -5651 +-3285 -5627 +-2192 3454 +1148 -300 +-621 469 +-6446 2131 +-3483 663 +188 997 +3407 656 +1248 1166 +-468 -1459 +-3072 -1024 +-6008 493 +-2136 1102 +-1903 1382 +4756 -3348 +-305 -1963 +1982 -921 +5789 -1063 +-3196 1748 +5272 -1494 +1956 1210 +413 4067 +-262 666 +-2946 -557 +5332 -1173 +2309 1371 +1024 -2472 +-477 -1380 +-3856 300 +1384 2718 +2122 -4255 +-1349 -1243 +1594 5761 +-1810 -350 +-7168 0 +-1810 350 +1594 -5761 +-1349 1243 +2122 4255 +1384 -2718 +-3856 -300 +-477 1380 +1024 2472 +1960 -2465 +1720 -3529 +-2840 3849 +-2446 4112 +414 1540 +3216 693 +2446 578 +3072 -2048 +1785 2431 +-3336 -1172 +3520 1101 +2446 8808 +-3635 2155 +616 3907 +-1044 1076 +1024 424 +1128 -2960 +-1376 -3952 +1306 1252 +-2122 -3337 +1200 -1724 +1421 -2329 +-3988 2515 +-3072 0 +-3988 -2515 +1421 2329 +1200 1724 +-2122 3337 +1306 -1252 +-1376 3952 +1128 2960 +1024 -424 +-1044 -1076 +616 -3907 +-3635 -2155 +2446 -8808 +3520 -1101 +-3336 1172 +1785 -2431 +3072 2048 +2446 -578 +3216 -693 +414 -1540 +-2446 -4112 +-2840 -3849 +1720 3529 +1960 2465 +1024 -2472 +-477 -1380 +-3856 300 +1384 2718 +2122 -4255 +-1349 -1243 +1594 5761 +-1810 -350 +-3196 124 +4548 4038 +3383 3105 +1816 -143 +-2030 -946 +-3143 -2268 +-5352 1804 +-3536 552 +7168 0 +-3536 -552 +-5352 -1804 +-3143 2268 +-2030 946 +1816 143 +3383 -3105 +4548 -4038 +-3196 -124 +1463 -4621 +1239 -2354 +-1397 -370 +-248 -392 +869 3737 +1558 -895 +-2904 -192 +4096 -1024 +1604 -2739 +114 3829 +2574 -65 +-3248 -392 +-1857 1589 +1333 -3294 +1634 -3119 +1148 -4220 +2820 -1336 +-163 52 +1676 -4890 +1430 946 +-6331 5458 +-2112 -1177 +164 -4298 +-3072 0 +164 4298 +-2112 1177 +-6331 -5458 +1430 -946 +1676 4890 +-163 -52 +2820 1336 +1148 4220 +1634 3119 +1333 3294 +-1857 -1589 +-3248 392 +2574 65 +114 -3829 +1604 2739 +4096 1024 +-2904 192 +1558 895 +869 -3737 +-248 392 +-1397 370 +1239 2354 +1463 4621 +-3196 124 +4548 4038 +3383 3105 +1816 -143 +-2030 -946 +-3143 -2268 +-5352 1804 +-3536 552 +-1748 4220 +3242 2730 +1848 -4418 +-265 -2376 +2694 -2210 +3718 -315 +1279 -4755 +2677 4370 +5120 0 +2677 -4370 +1279 4755 +3718 315 +2694 2210 +-265 2376 +1848 4418 +3242 -2730 +-1748 -4220 +-4678 874 +-1392 -2318 +788 3226 +692 -4332 +1795 -4778 +396 2981 +-3999 2714 +-4096 -1024 +-761 -2032 +-1180 5249 +-2788 1900 +-92 -236 +3234 -562 +3284 -2578 +1959 1558 +-300 -124 +-3420 -1469 +-3740 2462 +-860 -3347 +802 -1886 +171 2658 +-495 -2865 +-813 5446 +-1024 0 +-813 -5446 +-495 2865 +171 -2658 +802 1886 +-860 3347 +-3740 -2462 +-3420 1469 +-300 124 +1959 -1558 +3284 2578 +3234 562 +-92 236 +-2788 -1900 +-1180 -5249 +-761 2032 +-4096 1024 +-3999 -2714 +396 -2981 +1795 4778 +692 4332 +788 -3226 +-1392 2318 +-4678 -874 +-1748 4220 +3242 2730 +1848 -4418 +-265 -2376 +2694 -2210 +3718 -315 +1279 -4755 +2677 4370 +-1148 -7117 +-1895 -433 +-3627 -103 +-731 -4414 +782 -1526 +-2803 -4900 +95 1116 +928 -1638 +-3072 0 +928 1638 +95 -1116 +-2803 4900 +782 1526 +-731 4414 +-3627 103 +-1895 433 +-1148 7117 +-126 2766 +3426 -2611 +-1722 -3613 +-4796 816 +2457 -2501 +77 2137 +-633 718 +2048 -3072 +1017 34 +3263 -3407 +-3211 584 +-2445 -32 +1911 162 +-4091 37 +1351 -1216 +3196 3021 +-897 1196 +1395 -2544 +4326 -5043 +6459 -3418 +-227 2945 +-539 4428 +255 -2493 +-5120 0 +255 2493 +-539 -4428 +-227 -2945 +6459 3418 +4326 5043 +1395 2544 +-897 -1196 +3196 -3021 +1351 1216 +-4091 -37 +1911 -162 +-2445 32 +-3211 -584 +3263 3407 +1017 -34 +2048 3072 +-633 -718 +77 -2137 +2457 2501 +-4796 -816 +-1722 3613 +3426 2611 +-126 -2766 +-1148 -7117 +-1895 -433 +-3627 -103 +-731 -4414 +782 -1526 +-2803 -4900 +95 1116 +928 -1638 +1448 2896 +2944 -1062 +82 1842 +1537 908 +-1324 4494 +-1333 1790 +3252 -4438 +-154 1546 +-1024 0 +-154 -1546 +3252 4438 +-1333 -1790 +-1324 -4494 +1537 -908 +82 -1842 +2944 1062 +1448 -2896 +1345 -1794 +2310 516 +2627 -2602 +-2772 4170 +1652 -1228 +5238 -2337 +-3021 3153 +-1024 -2048 +-882 -305 +1598 1279 +3164 3073 +-2772 74 +-7685 -898 +-2374 2253 +1381 -4019 +-1448 -2896 +2578 1292 +-1714 3991 +-1591 117 +-1324 -398 +-4162 7625 +-200 3957 +1601 3245 +3072 0 +1601 -3245 +-200 -3957 +-4162 -7625 +-1324 398 +-1591 -117 +-1714 -3991 +2578 -1292 +-1448 2896 +1381 4019 +-2374 -2253 +-7685 898 +-2772 -74 +3164 -3073 +1598 -1279 +-882 305 +-1024 2048 +-3021 -3153 +5238 2337 +1652 1228 +-2772 -4170 +2627 2602 +2310 -516 +1345 1794 +1448 2896 +2944 -1062 +82 1842 +1537 908 +-1324 4494 +-1333 1790 +3252 -4438 +-154 1546 +-724 1148 +1319 -1149 +-1917 3107 +3679 -1734 +3152 536 +-1360 2639 +-3185 3123 +-1659 7628 +3072 0 +-1659 -7628 +-3185 -3123 +-1360 -2639 +3152 -536 +3679 1734 +-1917 -3107 +1319 1149 +-724 -1148 +-1215 -2195 +2293 -3990 +162 -5008 +-403 -462 +-817 -6065 +-2563 -432 +-2908 117 +2048 1024 +676 2377 +1115 -1577 +4157 5057 +-1645 138 +-606 -2555 +-845 2287 +550 1855 +724 -3196 +-1983 -1144 +3365 1969 +-4123 -3749 +-5200 -4032 +4701 -1852 +1736 2724 +-572 2274 +1024 0 +-572 -2274 +1736 -2724 +4701 1852 +-5200 4032 +-4123 3749 +3365 -1969 +-1983 1144 +724 3196 +550 -1855 +-845 -2287 +-606 2555 +-1645 -138 +4157 -5057 +1115 1577 +676 -2377 +2048 -1024 +-2908 -117 +-2563 432 +-817 6065 +-403 462 +162 5008 +2293 3990 +-1215 2195 +-724 1148 +1319 -1149 +-1917 3107 +3679 -1734 +3152 536 +-1360 2639 +-3185 3123 +-1659 7628 +1024 -4944 +-758 -464 +35 837 +4044 -3583 +1988 -654 +-1021 -5969 +-826 -3770 +-2566 -204 +-4096 0 +-2566 204 +-826 3770 +-1021 5969 +1988 654 +4044 3583 +35 -837 +-758 464 +1024 4944 +940 2078 +-1828 -3261 +-3124 -849 +3216 5702 +4041 -3723 +625 2333 +1181 2500 +-2048 -2048 +-351 4056 +2234 2045 +-850 5267 +-568 758 +-4327 -2179 +-4669 -2294 +460 -1869 +1024 848 +3822 63 +-530 1330 +-3273 -3986 +3556 -195 +-1282 2926 +-3234 -3511 +3064 -487 +4096 0 +3064 487 +-3234 3511 +-1282 -2926 +3556 195 +-3273 3986 +-530 -1330 +3822 -63 +1024 -848 +460 1869 +-4669 2294 +-4327 2179 +-568 -758 +-850 -5267 +2234 -2045 +-351 -4056 +-2048 2048 +1181 -2500 +625 -2333 +4041 3723 +3216 -5702 +-3124 849 +-1828 3261 +940 -2078 +1024 -4944 +-758 -464 +35 837 +4044 -3583 +1988 -654 +-1021 -5969 +-826 -3770 +-2566 -204 +-1148 124 +4716 3037 +-2036 -835 +1625 107 +912 -2884 +-136 1702 +2194 3978 +-902 -3167 +-1024 0 +-902 3167 +2194 -3978 +-136 -1702 +912 2884 +1625 -107 +-2036 835 +4716 -3037 +-1148 -124 +-6389 674 +-3225 -247 +-5676 -68 +-986 -3334 +287 2583 +-2256 1072 +1319 4718 +2048 5120 +-2763 -3707 +-1684 -5921 +-380 -204 +-1311 3658 +2130 -4519 +393 953 +3149 471 +3196 -4220 +-1476 -106 +772 -364 +1921 3011 +5480 1684 +229 3080 +-2350 3014 +2346 -2123 +1024 0 +2346 2123 +-2350 -3014 +229 -3080 +5480 -1684 +1921 -3011 +772 364 +-1476 106 +3196 4220 +3149 -471 +393 -953 +2130 4519 +-1311 -3658 +-380 204 +-1684 5921 +-2763 3707 +2048 -5120 +1319 -4718 +-2256 -1072 +287 -2583 +-986 3334 +-5676 68 +-3225 247 +-6389 -674 +-1148 124 +4716 3037 +-2036 -835 +1625 107 +912 -2884 +-136 1702 +2194 3978 +-902 -3167 +848 2472 +-2742 2255 +2485 4775 +-1238 -2834 +1892 -1278 +-1175 -1945 +-193 -1169 +4964 1983 +0 0 +4964 -1983 +-193 1169 +-1175 1945 +1892 1278 +-1238 2834 +2485 -4775 +-2742 -2255 +848 -2472 +515 -1803 +-5851 5219 +764 -620 +784 -614 +3578 1257 +3145 -857 +-3755 -1962 +-4096 0 +-2204 -4548 +-638 -6494 +-1158 -2200 +-784 -2062 +-1851 429 +1439 -1838 +2792 1348 +-4944 -424 +-3462 -2001 +6023 3849 +5221 986 +-1892 -170 +1650 1384 +1782 3022 +-1902 -1673 +0 0 +-1902 1673 +1782 -3022 +1650 -1384 +-1892 170 +5221 -986 +6023 -3849 +-3462 2001 +-4944 424 +2792 -1348 +1439 1838 +-1851 -429 +-784 2062 +-1158 2200 +-638 6494 +-2204 4548 +-4096 0 +-3755 1962 +3145 857 +3578 -1257 +784 614 +764 620 +-5851 -5219 +515 1803 +848 2472 +-2742 2255 +2485 4775 +-1238 -2834 +1892 -1278 +-1175 -1945 +-193 -1169 +4964 1983 +-1748 2172 +-4970 -2389 +313 2247 +3454 766 +2804 -836 +2528 -1563 +3280 -2840 +2428 6438 +1024 0 +2428 -6438 +3280 2840 +2528 1563 +2804 836 +3454 -766 +313 -2247 +-4970 2389 +-1748 -2172 +3046 3678 +436 6220 +1364 3061 +-202 -1286 +-5613 -6610 +604 947 +3826 -4342 +-2048 -3072 +-972 -64 +1508 -2578 +483 2276 +-2094 1610 +-4145 2169 +-1440 167 +-962 502 +-300 -2172 +431 230 +-5101 1589 +-1353 -17 +3588 3732 +-2511 -1895 +400 1012 +2966 1257 +-1024 0 +2966 -1257 +400 -1012 +-2511 1895 +3588 -3732 +-1353 17 +-5101 -1589 +431 -230 +-300 2172 +-962 -502 +-1440 -167 +-4145 -2169 +-2094 -1610 +483 -2276 +1508 2578 +-972 64 +-2048 3072 +3826 4342 +604 -947 +-5613 6610 +-202 1286 +1364 -3061 +436 -6220 +3046 -3678 +-1748 2172 +-4970 -2389 +313 2247 +3454 766 +2804 -836 +2528 -1563 +3280 -2840 +2428 6438 +2348 724 +-3823 285 +3146 -609 +-992 1065 +-4162 586 +4980 -76 +62 -3060 +-4617 548 +-5120 0 +-4617 -548 +62 3060 +4980 76 +-4162 -586 +-992 -1065 +3146 609 +-3823 -285 +2348 -724 +-2177 1133 +-1542 -2420 +642 -722 +-3948 -188 +1631 433 +4183 -1967 +2265 -5177 +-2048 1024 +-3359 877 +-1232 -2475 +-299 -1570 +-1596 4756 +-686 3033 +-638 -3240 +3216 1648 +3796 -724 +1455 -657 +6026 6781 +148 7154 +1514 262 +369 -3256 +-1814 1351 +1247 -1174 +-3072 0 +1247 1174 +-1814 -1351 +369 3256 +1514 -262 +148 -7154 +6026 -6781 +1455 657 +3796 724 +3216 -1648 +-638 3240 +-686 -3033 +-1596 -4756 +-299 1570 +-1232 2475 +-3359 -877 +-2048 -1024 +2265 5177 +4183 1967 +1631 -433 +-3948 188 +642 722 +-1542 2420 +-2177 -1133 +2348 724 +-3823 285 +3146 -609 +-992 1065 +-4162 586 +4980 -76 +62 -3060 +-4617 548 +1148 -1748 +-1031 3667 +762 -3443 +383 -4071 +3334 4142 +-3773 3186 +-5146 3152 +3163 4602 +6144 0 +3163 -4602 +-5146 -3152 +-3773 -3186 +3334 -4142 +383 4071 +762 3443 +-1031 -3667 +1148 1748 +2035 1396 +-3181 -1125 +3822 2626 +-1684 -756 +-4687 -916 +-692 1747 +-473 -410 +-1024 -1024 +-3920 -510 +2548 1931 +2188 1965 +2884 1540 +-201 4951 +365 4263 +1849 2396 +-3196 -300 +6045 -1779 +855 1839 +20 1933 +3658 2250 +-3542 1025 +-3702 -1769 +-1875 1979 +0 0 +-1875 -1979 +-3702 1769 +-3542 -1025 +3658 -2250 +20 -1933 +855 -1839 +6045 1779 +-3196 300 +1849 -2396 +365 -4263 +-201 -4951 +2884 -1540 +2188 -1965 +2548 -1931 +-3920 510 +-1024 1024 +-473 410 +-692 -1747 +-4687 916 +-1684 756 +3822 -2626 +-3181 1125 +2035 -1396 +1148 -1748 +-1031 3667 +762 -3443 +383 -4071 +3334 4142 +-3773 3186 +-5146 3152 +3163 4602 +-2048 -1024 +-1610 839 +274 -516 +-1634 -27 +240 3686 +-870 677 +-3298 2353 +-2423 -4596 +0 0 +-2423 4596 +-3298 -2353 +-870 -677 +240 -3686 +-1634 27 +274 516 +-1610 -839 +-2048 1024 +9067 1790 +1009 3223 +-3249 1478 +2916 3242 +-2514 1343 +4597 2152 +5997 1005 +0 -2048 +-1448 2109 +-2261 3535 +1782 -2355 +-868 -4350 +142 -643 +2007 1619 +-1021 3992 +-2048 -1024 +-1972 4570 +-393 5904 +-1940 -1726 +1808 1010 +2491 -1608 +-1934 -2169 +-797 -5077 +0 0 +-797 5077 +-1934 2169 +2491 1608 +1808 -1010 +-1940 1726 +-393 -5904 +-1972 -4570 +-2048 1024 +-1021 -3992 +2007 -1619 +142 643 +-868 4350 +1782 2355 +-2261 -3535 +-1448 -2109 +0 2048 +5997 -1005 +4597 -2152 +-2514 -1343 +2916 -3242 +-3249 -1478 +1009 -3223 +9067 -1790 +-2048 -1024 +-1610 839 +274 -516 +-1634 -27 +240 3686 +-870 677 +-3298 2353 +-2423 -4596 +-424 -848 +-279 -2616 +6138 -709 +5026 -3140 +504 -195 +2337 3740 +1608 -3667 +-601 -3728 +-2048 0 +-601 3728 +1608 3667 +2337 -3740 +504 195 +5026 3140 +6138 709 +-279 2616 +-424 848 +1402 -909 +1446 -1661 +383 -1528 +1064 -758 +-1153 1711 +-2407 2741 +-6093 -3094 +-2048 -2048 +404 846 +-6047 405 +4239 -1120 +3281 -5702 +-516 -264 +-3237 1355 +-3914 -1065 +2472 4944 +-1434 2772 +4342 828 +-2884 1876 +-4848 -654 +4154 -908 +-1844 -1565 +-1071 -212 +2048 0 +-1071 212 +-1844 1565 +4154 908 +-4848 654 +-2884 -1876 +4342 -828 +-1434 -2772 +2472 -4944 +-3914 1065 +-3237 -1355 +-516 264 +3281 5702 +4239 1120 +-6047 -405 +404 -846 +-2048 2048 +-6093 3094 +-2407 -2741 +-1153 -1711 +1064 758 +383 1528 +1446 1661 +1402 909 +-424 -848 +-279 -2616 +6138 -709 +5026 -3140 +504 -195 +2337 3740 +1608 -3667 +-601 -3728 +2472 -2472 +-3974 3417 +1255 913 +6027 -2333 +-1688 -3170 +-1085 655 +2857 656 +-23 1560 +-3072 0 +-23 -1560 +2857 -656 +-1085 -655 +-1688 3170 +6027 2333 +1255 -913 +-3974 -3417 +2472 2472 +-363 2974 +-1489 4630 +-840 5613 +-1468 -1398 +-2435 5519 +-6741 86 +-1034 -3187 +3072 4096 +4559 1748 +2957 1350 +-700 796 +2316 -2846 +2055 -3291 +-78 690 +-4928 -1823 +-424 424 +3234 1914 +312 -757 +3223 -203 +-3256 1722 +-452 3390 +928 -3488 +-3263 -483 +1024 0 +-3263 483 +928 3488 +-452 -3390 +-3256 -1722 +3223 203 +312 757 +3234 -1914 +-424 -424 +-4928 1823 +-78 -690 +2055 3291 +2316 2846 +-700 -796 +2957 -1350 +4559 -1748 +3072 -4096 +-1034 3187 +-6741 -86 +-2435 -5519 +-1468 1398 +-840 -5613 +-1489 -4630 +-363 -2974 +2472 -2472 +-3974 3417 +1255 913 +6027 -2333 +-1688 -3170 +-1085 655 +2857 656 +-23 1560 +724 3620 +-4753 -188 +2790 -197 +2668 3317 +-1356 -2524 +1072 -4119 +-642 2473 +-1495 4916 +-4096 0 +-1495 -4916 +-642 -2473 +1072 4119 +-1356 2524 +2668 -3317 +2790 197 +-4753 188 +724 -3620 +511 1068 +3354 -2390 +404 -7380 +-1246 -2754 +1338 -4320 +865 -4176 +3785 1807 +-1024 3072 +549 650 +-3725 -1472 +-1825 184 +646 -706 +-4500 1329 +3142 5371 +171 -3164 +-724 -3620 +287 1957 +-2294 -3131 +-140 -1528 +-2140 476 +982 1703 +4701 5526 +946 -72 +-2048 0 +946 72 +4701 -5526 +982 -1703 +-2140 -476 +-140 1528 +-2294 3131 +287 -1957 +-724 3620 +171 3164 +3142 -5371 +-4500 -1329 +646 706 +-1825 -184 +-3725 1472 +549 -650 +-1024 -3072 +3785 -1807 +865 4176 +1338 4320 +-1246 2754 +404 7380 +3354 2390 +511 -1068 +724 3620 +-4753 -188 +2790 -197 +2668 3317 +-1356 -2524 +1072 -4119 +-642 2473 +-1495 4916 +-848 1448 +3257 3734 +-2481 -4149 +3584 -1954 +3170 2232 +2716 -472 +2392 -113 +-4627 -2083 +-1024 0 +-4627 2083 +2392 113 +2716 472 +3170 -2232 +3584 1954 +-2481 4149 +3257 -3734 +-848 -1448 +4633 839 +2774 1308 +-3890 3257 +1398 -3340 +-400 -1116 +-184 2521 +-2118 -461 +-1024 6144 +2877 5787 +2296 -1722 +-3975 -1006 +-2846 -444 +1840 -1302 +-3778 -3584 +-1421 -4976 +4944 -1448 +1100 339 +-2308 -743 +1601 -909 +-1722 664 +-1476 -1115 +1288 -4130 +-3701 -1558 +-5120 0 +-3701 1558 +1288 4130 +-1476 1115 +-1722 -664 +1601 909 +-2308 743 +1100 -339 +4944 1448 +-1421 4976 +-3778 3584 +1840 1302 +-2846 444 +-3975 1006 +2296 1722 +2877 -5787 +-1024 -6144 +-2118 461 +-184 -2521 +-400 1116 +1398 3340 +-3890 -3257 +2774 -1308 +4633 -839 +-848 1448 +3257 3734 +-2481 -4149 +3584 -1954 +3170 2232 +2716 -472 +2392 -113 +-4627 -2083 +-5968 424 +-608 3089 +-316 -2490 +-773 2540 +2087 605 +-972 -2954 +-1468 -585 +-686 -1743 +1024 0 +-686 1743 +-1468 585 +-972 2954 +2087 -605 +-773 -2540 +-316 2490 +-608 -3089 +-5968 -424 +2588 3834 +4156 -2415 +3280 1035 +1542 1612 +716 -1687 +327 -4015 +-3214 -2931 +3072 2048 +2106 3273 +154 3450 +-3392 1617 +-6486 5956 +-604 4793 +1676 806 +-1480 1039 +-176 -2472 +1717 2126 +-1421 -82 +3449 1659 +-1238 3740 +-1703 -4886 +5084 2256 +-422 4372 +-3072 0 +-422 -4372 +5084 -2256 +-1703 4886 +-1238 -3740 +3449 -1659 +-1421 82 +1717 -2126 +-176 2472 +-1480 -1039 +1676 -806 +-604 -4793 +-6486 -5956 +-3392 -1617 +154 -3450 +2106 -3273 +3072 -2048 +-3214 2931 +327 4015 +716 1687 +1542 -1612 +3280 -1035 +4156 2415 +2588 -3834 +-5968 424 +-608 3089 +-316 -2490 +-773 2540 +2087 605 +-972 -2954 +-1468 -585 +-686 -1743 +-600 2048 +2201 3811 +3982 4785 +-1032 -1862 +-2062 -3340 +2734 5104 +-346 4520 +-145 707 +1024 0 +-145 -707 +-346 -4520 +2734 -5104 +-2062 3340 +-1032 1862 +3982 -4785 +2201 -3811 +-600 -2048 +-2192 -466 +6161 2168 +2431 -509 +1278 664 +3332 5877 +-2893 -1969 +-1455 -82 +-1024 0 +1515 -8090 +152 2534 +-346 2144 +170 -2232 +-1955 -404 +-2000 -559 +-2373 4120 +-3496 2048 +-2749 3230 +1747 2376 +-1899 1288 +614 444 +2528 -3724 +1390 1680 +-594 -468 +-7168 0 +-594 468 +1390 -1680 +2528 3724 +614 -444 +-1899 -1288 +1747 -2376 +-2749 -3230 +-3496 -2048 +-2373 -4120 +-2000 559 +-1955 404 +170 2232 +-346 -2144 +152 -2534 +1515 8090 +-1024 0 +-1455 82 +-2893 1969 +3332 -5877 +1278 -664 +2431 509 +6161 -2168 +-2192 466 +-600 2048 +2201 3811 +3982 4785 +-1032 -1862 +-2062 -3340 +2734 5104 +-346 4520 +-145 707 +-1148 3196 +-2136 -1505 +293 1361 +2893 -605 +-1286 -2030 +-4736 -178 +-5041 -4205 +-2806 1895 +-2048 0 +-2806 -1895 +-5041 4205 +-4736 178 +-1286 2030 +2893 605 +293 -1361 +-2136 1505 +-1148 -3196 +-535 1381 +1743 2491 +939 -1259 +3732 -248 +1516 -5176 +686 -1057 +-1162 3067 +-3072 -1024 +2622 -1150 +1650 -81 +2241 4443 +-836 3248 +2727 -2594 +1272 -482 +-3531 263 +3196 -1148 +2418 2292 +-412 962 +-8127 -3553 +-1610 1430 +2546 -1219 +-192 -5907 +5131 3985 +4096 0 +5131 -3985 +-192 5907 +2546 1219 +-1610 -1430 +-8127 3553 +-412 -962 +2418 -2292 +3196 1148 +-3531 -263 +1272 482 +2727 2594 +-836 -3248 +2241 -4443 +1650 81 +2622 1150 +-3072 1024 +-1162 -3067 +686 1057 +1516 5176 +3732 248 +939 1259 +1743 -2491 +-535 -1381 +-1148 3196 +-2136 -1505 +293 1361 +2893 -605 +-1286 -2030 +-4736 -178 +-5041 -4205 +-2806 1895 +1748 1324 +-1758 4506 +601 429 +-658 -3473 +-2296 -208 +1064 -1738 +-253 3823 +1946 -918 +3072 0 +1946 918 +-253 -3823 +1064 1738 +-2296 208 +-658 3473 +601 -429 +-1758 -4506 +1748 -1324 +-3828 -6152 +-2198 2585 +-408 1681 +-618 -4442 +2668 627 +1314 -2869 +-171 -3456 +2048 -3072 +6396 -4500 +410 -1981 +-2371 1858 +-4078 2550 +-4472 -162 +-322 -1879 +2617 -903 +300 2772 +-4600 743 +6015 900 +2403 1630 +-5296 -992 +1774 2970 +2626 2858 +-602 -126 +-3072 0 +-602 126 +2626 -2858 +1774 -2970 +-5296 992 +2403 -1630 +6015 -900 +-4600 -743 +300 -2772 +2617 903 +-322 1879 +-4472 162 +-4078 -2550 +-2371 -1858 +410 1981 +6396 4500 +2048 3072 +-171 3456 +1314 2869 +2668 -627 +-618 4442 +-408 -1681 +-2198 -2585 +-3828 6152 +1748 1324 +-1758 4506 +601 429 +-658 -3473 +-2296 -208 +1064 -1738 +-253 3823 +1946 -918 +4096 -1448 +-1594 -1007 +-2936 1021 +689 848 +678 -3156 +3220 -2838 +535 -2507 +-180 -469 +1024 0 +-180 469 +535 2507 +3220 2838 +678 3156 +689 -848 +-2936 -1021 +-1594 1007 +4096 1448 +1106 -1556 +3624 -2291 +-2268 -236 +-2882 -4724 +-1765 1825 +-3897 -66 +159 -8076 +-3072 -2048 +-1059 -3352 +2655 -66 +2738 885 +-5558 -628 +-1546 2920 +4729 -2291 +-2614 -928 +4096 1448 +885 379 +-5416 -1021 +-2227 -4004 +-430 -940 +1159 3778 +708 2507 +3297 -4255 +5120 0 +3297 4255 +708 -2507 +1159 -3778 +-430 940 +-2227 4004 +-5416 1021 +885 -379 +4096 -1448 +-2614 928 +4729 2291 +-1546 -2920 +-5558 628 +2738 -885 +2655 66 +-1059 3352 +-3072 2048 +159 8076 +-3897 66 +-1765 -1825 +-2882 4724 +-2268 236 +3624 2291 +1106 1556 +4096 -1448 +-1594 -1007 +-2936 1021 +689 848 +678 -3156 +3220 -2838 +535 -2507 +-180 -469 +3072 -3920 +-1090 -4832 +-2003 -3910 +3133 -2045 +-230 300 +-4948 -2442 +2132 -5327 +2166 -351 +-5120 0 +2166 351 +2132 5327 +-4948 2442 +-230 -300 +3133 2045 +-2003 3910 +-1090 4832 +3072 3920 +3644 -1390 +-44 -3092 +-4153 2649 +3230 1748 +1792 1852 +-790 3619 +-1851 -588 +-3072 0 +1891 -1709 +-2226 499 +-1896 3587 +-3230 -1748 +1353 522 +5276 -1969 +1921 -2299 +3072 1872 +-250 -3958 +-333 2138 +-2341 5583 +230 300 +-4524 1649 +-2012 -689 +5154 -458 +-1024 0 +5154 458 +-2012 689 +-4524 -1649 +230 -300 +-2341 -5583 +-333 -2138 +-250 3958 +3072 -1872 +1921 2299 +5276 1969 +1353 -522 +-3230 1748 +-1896 -3587 +-2226 -499 +1891 1709 +-3072 0 +-1851 588 +-790 -3619 +1792 -1852 +3230 -1748 +-4153 -2649 +-44 3092 +3644 1390 +3072 -3920 +-1090 -4832 +-2003 -3910 +3133 -2045 +-230 300 +-4948 -2442 +2132 -5327 +2166 -351 +7416 -1024 +-3040 578 +3374 171 +4243 -2985 +-2602 -2976 +-926 -54 +-3752 3683 +-1896 143 +-1024 0 +-1896 -143 +-3752 -3683 +-926 54 +-2602 2976 +4243 2985 +3374 -171 +-3040 -578 +7416 1024 +-1654 -852 +-3506 4972 +-2121 4435 +-3386 -640 +2358 1816 +151 -1614 +5700 -1329 +3072 4096 +-896 2203 +1077 -4067 +2005 -3333 +-710 2856 +-2939 174 +3846 -156 +3040 295 +-1272 -1024 +-2131 6232 +-817 3389 +-750 -1587 +-1494 2376 +-1869 2784 +-372 2553 +876 860 +-1024 0 +876 -860 +-372 -2553 +-1869 -2784 +-1494 -2376 +-750 1587 +-817 -3389 +-2131 -6232 +-1272 1024 +3040 -295 +3846 156 +-2939 -174 +-710 -2856 +2005 3333 +1077 4067 +-896 -2203 +3072 -4096 +5700 1329 +151 1614 +2358 -1816 +-3386 640 +-2121 -4435 +-3506 -4972 +-1654 852 +7416 -1024 +-3040 578 +3374 171 +4243 -2985 +-2602 -2976 +-926 -54 +-3752 3683 +-1896 143 +848 1448 +1695 686 +-433 1717 +-1476 1460 +-2042 3100 +-4132 1977 +-40 -1974 +449 -459 +-1024 0 +449 459 +-40 1974 +-4132 -1977 +-2042 -3100 +-1476 -1460 +-433 -1717 +1695 -686 +848 -1448 +1471 1388 +-4550 5530 +-1435 196 +5982 -3581 +4140 -1826 +527 -1074 +2074 -1815 +3072 -6144 +454 -4578 +-343 2683 +193 2669 +3306 1364 +-3031 241 +-838 -2690 +583 -1807 +-4944 -1448 +-2182 -97 +-1171 1152 +2158 -2982 +-3151 -2252 +3583 950 +-1343 434 +-4545 1480 +11264 0 +-4545 -1480 +-1343 -434 +3583 -950 +-3151 2252 +2158 2982 +-1171 -1152 +-2182 97 +-4944 1448 +583 1807 +-838 2690 +-3031 -241 +3306 -1364 +193 -2669 +-343 -2683 +454 4578 +3072 6144 +2074 1815 +527 1074 +4140 1826 +5982 3581 +-1435 -196 +-4550 -5530 +1471 -1388 +848 1448 +1695 686 +-433 1717 +-1476 1460 +-2042 3100 +-4132 1977 +-40 -1974 +449 -459 +2172 -1748 +-158 3742 +6166 2641 +-2428 2586 +-392 -112 +685 946 +-3887 6430 +245 843 +-3072 0 +245 -843 +-3887 -6430 +685 -946 +-392 112 +-2428 -2586 +6166 -2641 +-158 -3742 +2172 1748 +-625 117 +-551 -4217 +2369 -689 +946 -2010 +1871 796 +-5373 2998 +-341 -2755 +4096 -3072 +-1391 -3548 +-1308 -3210 +3213 387 +-946 2335 +-3435 4362 +1880 -1125 +-1049 -5439 +-2172 -300 +-385 150 +-1703 -1949 +-1857 585 +392 4456 +-417 4958 +4775 1346 +3704 -381 +-5120 0 +3704 381 +4775 -1346 +-417 -4958 +392 -4456 +-1857 -585 +-1703 1949 +-385 -150 +-2172 300 +-1049 5439 +1880 1125 +-3435 -4362 +-946 -2335 +3213 -387 +-1308 3210 +-1391 3548 +4096 3072 +-341 2755 +-5373 -2998 +1871 -796 +946 2010 +2369 689 +-551 4217 +-625 -117 +2172 -1748 +-158 3742 +6166 2641 +-2428 2586 +-392 -112 +685 946 +-3887 6430 +245 843 +-600 -2896 +154 -5691 +-506 429 +-7895 3034 +724 -1338 +-2639 2299 +-780 2279 +4692 3096 +-3072 0 +4692 -3096 +-780 -2279 +-2639 -2299 +724 1338 +-7895 -3034 +-506 -429 +154 5691 +-600 2896 +1433 -4823 +-2734 -2404 +-1269 3234 +-724 554 +248 1398 +-2766 -5697 +-495 -5905 +5120 -2048 +1260 -1595 +874 2551 +3077 2613 +-724 554 +-4397 -258 +1950 2709 +1799 -623 +-3496 2896 +190 3579 +1290 -1108 +2417 2921 +724 1338 +-1127 172 +2672 176 +2553 3093 +1024 0 +2553 -3093 +2672 -176 +-1127 -172 +724 -1338 +2417 -2921 +1290 1108 +190 -3579 +-3496 -2896 +1799 623 +1950 -2709 +-4397 258 +-724 -554 +3077 -2613 +874 -2551 +1260 1595 +5120 2048 +-495 5905 +-2766 5697 +248 -1398 +-724 -554 +-1269 -3234 +-2734 2404 +1433 4823 +-600 -2896 +154 -5691 +-506 429 +-7895 3034 +724 -1338 +-2639 2299 +-780 2279 +4692 3096 +6268 724 +1127 3913 +-3887 1772 +-2170 -4085 +-3008 -1056 +3578 3180 +2213 1904 +-2606 -2801 +-2048 0 +-2606 2801 +2213 -1904 +3578 -3180 +-3008 1056 +-2170 4085 +-3887 -1772 +1127 -3913 +6268 -724 +-2471 -1794 +-2073 505 +388 325 +886 502 +-1622 -413 +604 -3658 +1909 -440 +-1024 -5120 +2202 -1538 +179 6898 +1788 -2767 +562 -2394 +444 835 +181 -4051 +-276 -1740 +1924 -724 +1620 237 +5779 1865 +1338 500 +1560 -1840 +-3743 -1438 +-2997 460 +-1505 -2393 +-12288 0 +-1505 2393 +-2997 -460 +-3743 1438 +1560 1840 +1338 -500 +5779 -1865 +1620 -237 +1924 724 +-276 1740 +181 4051 +444 -835 +562 2394 +1788 2767 +179 -6898 +2202 1538 +-1024 5120 +1909 440 +604 3658 +-1622 413 +886 -502 +388 -325 +-2073 -505 +-2471 1794 +6268 724 +1127 3913 +-3887 1772 +-2170 -4085 +-3008 -1056 +3578 3180 +2213 1904 +-2606 -2801 +4220 1748 +-11 -835 +-4403 2710 +-680 1190 +-1970 138 +-1330 97 +2123 -1292 +3719 2327 +5120 0 +3719 -2327 +2123 1292 +-1330 -97 +-1970 -138 +-680 -1190 +-4403 -2710 +-11 835 +4220 -1748 +-283 -1066 +357 1389 +-369 4349 +-1416 -536 +2634 336 +3935 7741 +2289 -6042 +-2048 -3072 +-1319 -206 +2406 -544 +-88 5856 +-632 -4032 +175 -3549 +2438 -124 +4052 1999 +-124 300 +705 -1084 +-1289 370 +-5807 -528 +-78 462 +-328 -2 +-5567 5792 +-3361 924 +-1024 0 +-3361 -924 +-5567 -5792 +-328 2 +-78 -462 +-5807 528 +-1289 -370 +705 1084 +-124 -300 +4052 -1999 +2438 124 +175 3549 +-632 4032 +-88 -5856 +2406 544 +-1319 206 +-2048 3072 +2289 6042 +3935 -7741 +2634 -336 +-1416 536 +-369 -4349 +357 -1389 +-283 1066 +4220 1748 +-11 -835 +-4403 2710 +-680 1190 +-1970 138 +-1330 97 +2123 -1292 +3719 2327 +0 -5544 +1418 -128 +1008 1979 +1127 1866 +-724 1338 +-2874 3322 +1468 1336 +372 -826 +-1024 0 +372 826 +1468 -1336 +-2874 -3322 +-724 -1338 +1127 -1866 +1008 -1979 +1418 128 +0 5544 +2480 4759 +530 -5168 +6322 -7342 +724 -554 +-5323 3106 +1678 -2024 +-2632 -68 +-3072 0 +-2159 -3757 +-3570 -456 +-3341 -4246 +724 -554 +300 -788 +-1313 -1384 +2178 6172 +0 -2648 +-1642 -155 +-224 1805 +2954 -5201 +-724 -1338 +834 -786 +424 231 +-16 -1166 +7168 0 +-16 1166 +424 -231 +834 786 +-724 1338 +2954 5201 +-224 -1805 +-1642 155 +0 2648 +2178 -6172 +-1313 1384 +300 788 +724 554 +-3341 4246 +-3570 456 +-2159 3757 +-3072 0 +-2632 68 +1678 2024 +-5323 -3106 +724 554 +6322 7342 +530 5168 +2480 -4759 +0 -5544 +1418 -128 +1008 1979 +1127 1866 +-724 1338 +-2874 3322 +1468 1336 +372 -826 +5244 300 +285 -917 +3332 -3666 +-1592 -4565 +-4128 332 +4914 -95 +1614 -4148 +-1023 2416 +-2048 0 +-1023 -2416 +1614 4148 +4914 95 +-4128 -332 +-1592 4565 +3332 3666 +285 917 +5244 -300 +-5265 -1009 +-951 -2659 +2060 1782 +-2570 222 +4024 -4039 +3863 753 +6555 -2423 +1024 -3072 +-2474 1318 +-248 -919 +826 -658 +-678 1670 +-4304 3987 +-785 -88 +-293 -3082 +900 1748 +3057 1061 +2500 445 +-1516 1418 +-4912 1116 +-4412 -1875 +-1133 -3316 +-841 3541 +-4096 0 +-841 -3541 +-1133 3316 +-4412 1875 +-4912 -1116 +-1516 -1418 +2500 -445 +3057 -1061 +900 -1748 +-293 3082 +-785 88 +-4304 -3987 +-678 -1670 +826 658 +-248 919 +-2474 -1318 +1024 3072 +6555 2423 +3863 -753 +4024 4039 +-2570 -222 +2060 -1782 +-951 2659 +-5265 1009 +5244 300 +285 -917 +3332 -3666 +-1592 -4565 +-4128 332 +4914 -95 +1614 -4148 +-1023 2416 +1200 2048 +-551 -4533 +4086 -2997 +756 3988 +4764 1918 +604 1038 +-1280 -135 +1675 -3408 +-7168 0 +1675 3408 +-1280 135 +604 -1038 +4764 -1918 +756 -3988 +4086 2997 +-551 4533 +1200 -2048 +-1028 1685 +563 1615 +-1042 -3755 +-4932 -1762 +507 -1305 +-373 -1285 +2215 -1187 +-1024 -2048 +-3439 -2700 +-3448 -5501 +-4102 -2888 +2636 -914 +1796 -522 +-5730 3375 +-155 1301 +6992 2048 +166 365 +-118 -6331 +2273 813 +1629 3026 +-794 -1053 +-1892 1215 +1118 -1890 +1024 0 +1118 1890 +-1892 -1215 +-794 1053 +1629 -3026 +2273 -813 +-118 6331 +166 -365 +6992 -2048 +-155 -1301 +-5730 -3375 +1796 522 +2636 914 +-4102 2888 +-3448 5501 +-3439 2700 +-1024 2048 +2215 1187 +-373 1285 +507 1305 +-4932 1762 +-1042 3755 +563 -1615 +-1028 -1685 +1200 2048 +-551 -4533 +4086 -2997 +756 3988 +4764 1918 +604 1038 +-1280 -135 +1675 -3408 +848 1448 +-2879 66 +-129 3633 +850 5270 +-3798 444 +2130 -2066 +2548 -1461 +-963 712 +1024 0 +-963 -712 +2548 1461 +2130 2066 +-3798 -444 +850 -5270 +-129 -3633 +-2879 -66 +848 -1448 +-3062 2477 +-5414 -1481 +-920 309 +1759 2232 +501 643 +-1806 7143 +-140 -2323 +1024 -2048 +-1470 -1677 +-3242 -2694 +1049 2664 +3785 -664 +-2749 4684 +-93 1914 +3818 -1617 +-4944 -1448 +-2989 953 +1541 1459 +363 -3351 +6446 -3340 +4568 -4160 +-1596 1512 +1894 -746 +5120 0 +1894 746 +-1596 -1512 +4568 4160 +6446 3340 +363 3351 +1541 -1459 +-2989 -953 +-4944 1448 +3818 1617 +-93 -1914 +-2749 -4684 +3785 664 +1049 -2664 +-3242 2694 +-1470 1677 +1024 2048 +-140 2323 +-1806 -7143 +501 -643 +1759 -2232 +-920 -309 +-5414 1481 +-3062 -2477 +848 1448 +-2879 66 +-129 3633 +850 5270 +-3798 444 +2130 -2066 +2548 -1461 +-963 712 +3372 3196 +3068 298 +-3999 -6278 +3437 228 +-2948 -4937 +-2660 -4100 +1915 -950 +-574 -3064 +3072 0 +-574 3064 +1915 950 +-2660 4100 +-2948 4937 +3437 -228 +-3999 6278 +3068 -298 +3372 -3196 +-2707 2447 +352 -1695 +-1080 -1347 +-282 -3498 +-1565 -4997 +1891 -1535 +2269 4185 +-2048 1024 +-256 -1624 +-1043 -1471 +1726 -3689 +3178 -3746 +-2776 -5165 +-5296 1357 +1314 354 +4820 -1148 +1221 505 +-946 -558 +-2477 -1149 +52 -3504 +-398 2511 +-1066 -683 +1457 -5642 +1024 0 +1457 5642 +-1066 683 +-398 -2511 +52 3504 +-2477 1149 +-946 558 +1221 -505 +4820 1148 +1314 -354 +-5296 -1357 +-2776 5165 +3178 3746 +1726 3689 +-1043 1471 +-256 1624 +-2048 -1024 +2269 -4185 +1891 1535 +-1565 4997 +-282 3498 +-1080 1347 +352 1695 +-2707 -2447 +3372 3196 +3068 298 +-3999 -6278 +3437 228 +-2948 -4937 +-2660 -4100 +1915 -950 +-574 -3064 +1748 -4644 +-1928 -2973 +-1771 199 +-79 -2134 +2644 776 +4254 -941 +261 -8039 +-2564 4947 +-2048 0 +-2564 -4947 +261 8039 +4254 941 +2644 -776 +-79 2134 +-1771 -199 +-1928 2973 +1748 4644 +1098 4991 +-3672 3652 +-1673 151 +418 2454 +-2517 -1462 +-94 -5406 +4761 2420 +3072 1024 +-1377 1620 +-2841 802 +1175 309 +4527 1006 +-203 -1862 +-2840 560 +1199 -3100 +300 2596 +-2586 234 +-1606 -892 +-3396 2339 +-3492 -2224 +2439 4929 +4372 263 +1397 -395 +0 0 +1397 395 +4372 -263 +2439 -4929 +-3492 2224 +-3396 -2339 +-1606 892 +-2586 -234 +300 -2596 +1199 3100 +-2840 -560 +-203 1862 +4527 -1006 +1175 -309 +-2841 -802 +-1377 -1620 +3072 -1024 +4761 -2420 +-94 5406 +-2517 1462 +418 -2454 +-1673 -151 +-3672 -3652 +1098 -4991 +1748 -4644 +-1928 -2973 +-1771 199 +-79 -2134 +2644 776 +4254 -941 +261 -8039 +-2564 4947 +-3196 724 +-533 -2096 +-1455 -2950 +-3451 16 +1246 2774 +4244 -2596 +-2077 -2169 +-393 -177 +3072 0 +-393 177 +-2077 2169 +4244 2596 +1246 -2774 +-3451 -16 +-1455 2950 +-533 2096 +-3196 -724 +-1761 -3162 +5598 -208 +280 2817 +2140 4228 +1055 -989 +-2095 695 +303 -1348 +-4096 -7168 +2597 1334 +1091 -1353 +-477 -4618 +1356 -5661 +-1413 -6328 +-1918 1840 +-2239 -1021 +1148 -724 +-580 820 +3568 902 +2130 3183 +-646 -4470 +3426 -2674 +-2711 4217 +-3187 1843 +1024 0 +-3187 -1843 +-2711 -4217 +3426 2674 +-646 4470 +2130 -3183 +3568 -902 +-580 -820 +1148 724 +-2239 1021 +-1918 -1840 +-1413 6328 +1356 5661 +-477 4618 +1091 1353 +2597 -1334 +-4096 7168 +303 1348 +-2095 -695 +1055 989 +2140 -4228 +280 -2817 +5598 208 +-1761 3162 +-3196 724 +-533 -2096 +-1455 -2950 +-3451 16 +1246 2774 +4244 -2596 +-2077 -2169 +-393 -177 +-5244 -124 +2509 -1780 +5374 -175 +386 1645 +5166 1970 +-1427 1353 +-2288 4255 +-484 -284 +-3072 0 +-484 284 +-2288 -4255 +-1427 -1353 +5166 -1970 +386 -1645 +5374 175 +2509 1780 +-5244 124 +6056 -2356 +-1384 -978 +-5028 -3176 +268 1416 +1804 2492 +1534 -588 +-2682 -844 +-2048 -3072 +-4323 726 +2265 -928 +2640 -3550 +-516 -632 +-1213 126 +-5740 -5102 +-2690 -6725 +-900 4220 +1045 3074 +-1146 -1053 +-146 1345 +3274 78 +2984 485 +1385 -1699 +570 -674 +3072 0 +570 674 +1385 1699 +2984 -485 +3274 -78 +-146 -1345 +-1146 1053 +1045 -3074 +-900 -4220 +-2690 6725 +-5740 5102 +-1213 -126 +-516 632 +2640 3550 +2265 928 +-4323 -726 +-2048 3072 +-2682 844 +1534 588 +1804 -2492 +268 -1416 +-5028 3176 +-1384 978 +6056 2356 +-5244 -124 +2509 -1780 +5374 -175 +386 1645 +5166 1970 +-1427 1353 +-2288 4255 +-484 -284 +-6217 424 +-130 2853 +223 2418 +-663 -2040 +1108 -254 +4814 -1971 +-1412 -1995 +-3024 -3624 +5120 0 +-3024 3624 +-1412 1995 +4814 1971 +1108 254 +-663 2040 +223 -2418 +-130 -2853 +-6217 -424 +989 2015 +2928 1256 +-2582 -3348 +2676 410 +1679 2443 +363 3660 +-4703 -2004 +-5120 -4096 +1188 -2412 +1973 -2356 +1809 -1259 +-2676 -3086 +869 498 +87 -517 +139 -693 +8265 -2472 +128 -3033 +-342 -1294 +2168 -1994 +-1108 854 +3491 -1420 +-3820 -1124 +-6173 -2448 +1024 0 +-6173 2448 +-3820 1124 +3491 1420 +-1108 -854 +2168 1994 +-342 1294 +128 3033 +8265 2472 +139 693 +87 517 +869 -498 +-2676 3086 +1809 1259 +1973 2356 +1188 2412 +-5120 4096 +-4703 2004 +363 -3660 +1679 -2443 +2676 -410 +-2582 3348 +2928 -1256 +989 -2015 +-6217 424 +-130 2853 +223 2418 +-663 -2040 +1108 -254 +4814 -1971 +-1412 -1995 +-3024 -3624 +0 424 +3967 3227 +-373 615 +-2718 -3251 +-2446 1988 +-737 -1000 +5839 -2528 +4097 -2206 +0 0 +4097 2206 +5839 2528 +-737 1000 +-2446 -1988 +-2718 3251 +-373 -615 +3967 -3227 +0 -424 +1199 2229 +-455 672 +-4530 -2629 +-2122 3216 +-4025 3641 +-3121 2583 +2318 2776 +2048 6144 +-3133 -98 +-1771 -5989 +-174 1152 +2122 568 +2504 587 +-3789 127 +2436 -1901 +0 -2472 +-1809 4308 +4616 4632 +-1049 -764 +2446 3556 +-856 -528 +-947 -252 +2511 2804 +-4096 0 +2511 -2804 +-947 252 +-856 528 +2446 -3556 +-1049 764 +4616 -4632 +-1809 -4308 +0 2472 +2436 1901 +-3789 -127 +2504 -587 +2122 -568 +-174 -1152 +-1771 5989 +-3133 98 +2048 -6144 +2318 -2776 +-3121 -2583 +-4025 -3641 +-2122 -3216 +-4530 2629 +-455 -672 +1199 -2229 +0 424 +3967 3227 +-373 615 +-2718 -3251 +-2446 1988 +-737 -1000 +5839 -2528 +4097 -2206 +0 3920 +2774 9 +99 5202 +2974 -35 +-2362 -3196 +2071 -3944 +2437 354 +-1805 2315 +4096 0 +-1805 -2315 +2437 -354 +2071 3944 +-2362 3196 +2974 35 +99 -5202 +2774 -9 +0 -3920 +998 -6004 +3102 -169 +-5119 -3551 +-470 1148 +1565 -593 +747 -4100 +2442 4465 +2048 8192 +1063 -1797 +-3763 -1149 +-3529 3305 +-1578 -1148 +170 3729 +2130 2012 +1799 -259 +0 -1872 +-1107 -607 +-2435 3970 +-4706 -621 +314 -3196 +781 -2494 +-2318 1398 +-371 -935 +0 0 +-371 935 +-2318 -1398 +781 2494 +314 3196 +-4706 621 +-2435 -3970 +-1107 607 +0 1872 +1799 259 +2130 -2012 +170 -3729 +-1578 1148 +-3529 -3305 +-3763 1149 +1063 1797 +2048 -8192 +2442 -4465 +747 4100 +1565 593 +-470 -1148 +-5119 3551 +3102 169 +998 6004 +0 3920 +2774 9 +99 5202 +2974 -35 +-2362 -3196 +2071 -3944 +2437 354 +-1805 2315 +2896 -1448 +434 5324 +3704 4313 +6591 2044 +-280 2122 +-785 -622 +967 -2060 +-2244 1800 +-3072 0 +-2244 -1800 +967 2060 +-785 622 +-280 -2122 +6591 -2044 +3704 -4313 +434 -5324 +2896 1448 +790 2421 +-2566 1318 +629 -2816 +2956 -2446 +235 4809 +1042 1477 +-603 -221 +-1024 -4096 +713 -1116 +-1366 3044 +3000 -21 +1388 -2446 +-3453 -144 +-2001 5102 +-3953 -3370 +-2896 1448 +-3063 3685 +864 -529 +2025 965 +-4064 -2122 +3342 4321 +-642 3627 +-3659 3911 +5120 0 +-3659 -3911 +-642 -3627 +3342 -4321 +-4064 2122 +2025 -965 +864 529 +-3063 -3685 +-2896 -1448 +-3953 3370 +-2001 -5102 +-3453 144 +1388 2446 +3000 21 +-1366 -3044 +713 1116 +-1024 4096 +-603 221 +1042 -1477 +235 -4809 +2956 2446 +629 2816 +-2566 -1318 +790 -2421 +2896 -1448 +434 5324 +3704 4313 +6591 2044 +-280 2122 +-785 -622 +967 -2060 +-2244 1800 +4096 3672 +-904 -97 +-4016 -5711 +2812 194 +1228 3290 +-2612 4769 +878 1188 +-437 -3599 +-4096 0 +-437 3599 +878 -1188 +-2612 -4769 +1228 -3290 +2812 -194 +-4016 5711 +-904 97 +4096 -3672 +-1242 -1105 +-1484 -753 +1512 -1240 +340 -938 +-199 1085 +-1130 -1288 +-160 99 +0 0 +-1525 -1944 +1758 -3900 +2683 -3439 +2557 -2387 +3350 -459 +-1672 1191 +-401 314 +4096 6568 +1868 -3597 +3076 -2234 +573 3042 +-4124 -4738 +-2328 -1044 +-5602 -5496 +-2991 2236 +4096 0 +-2991 -2236 +-5602 5496 +-2328 1044 +-4124 4738 +573 -3042 +3076 2234 +1868 3597 +4096 -6568 +-401 -314 +-1672 -1191 +3350 459 +2557 2387 +2683 3439 +1758 3900 +-1525 1944 +0 0 +-160 -99 +-1130 1288 +-199 -1085 +340 938 +1512 1240 +-1484 753 +-1242 1105 +4096 3672 +-904 -97 +-4016 -5711 +2812 194 +1228 3290 +-2612 4769 +878 1188 +-437 -3599 +3072 3072 +142 5405 +-5961 -2330 +1336 -2209 +2048 374 +-476 2755 +694 2945 +-1738 -3830 +-3072 0 +-1738 3830 +694 -2945 +-476 -2755 +2048 -374 +1336 2209 +-5961 2330 +142 -5405 +3072 -3072 +-1304 -2019 +622 2564 +1691 3871 +2048 -2746 +-1408 384 +-2338 -3440 +-942 -1974 +-3072 2048 +575 -2753 +3955 -4823 +4246 34 +2048 -2146 +974 -3015 +1234 4168 +-2702 -1011 +3072 3072 +4751 67 +-2887 -3058 +463 2004 +2048 -3870 +-1033 -2217 +-3511 -3129 +-4576 1722 +-3072 0 +-4576 -1722 +-3511 3129 +-1033 2217 +2048 3870 +463 -2004 +-2887 3058 +4751 -67 +3072 -3072 +-2702 1011 +1234 -4168 +974 3015 +2048 2146 +4246 -34 +3955 4823 +575 2753 +-3072 -2048 +-942 1974 +-2338 3440 +-1408 -384 +2048 2746 +1691 -3871 +622 -2564 +-1304 2019 +3072 3072 +142 5405 +-5961 -2330 +1336 -2209 +2048 374 +-476 2755 +694 2945 +-1738 -3830 +-3320 2472 +-825 -1518 +-440 1214 +2626 5207 +-554 -2412 +2542 -1692 +569 2473 +-4284 -1037 +3072 0 +-4284 1037 +569 -2473 +2542 1692 +-554 2412 +2626 -5207 +-440 -1214 +-825 1518 +-3320 -2472 +-670 -962 +-3023 -943 +-1627 -582 +-1338 -744 +-2933 2015 +547 4735 +-1482 -121 +3072 2048 +-882 2332 +-2035 -1762 +1691 -1919 +1338 -3040 +-858 1220 +-4937 3117 +5021 1979 +5368 -424 +-4854 -2221 +-4385 1646 +-1028 -4734 +554 -3980 +5381 2221 +5512 -1977 +2185 2602 +3072 0 +2185 -2602 +5512 1977 +5381 -2221 +554 3980 +-1028 4734 +-4385 -1646 +-4854 2221 +5368 424 +5021 -1979 +-4937 -3117 +-858 -1220 +1338 3040 +1691 1919 +-2035 1762 +-882 -2332 +3072 -2048 +-1482 121 +547 -4735 +-2933 -2015 +-1338 744 +-1627 582 +-3023 943 +-670 962 +-3320 2472 +-825 -1518 +-440 1214 +2626 5207 +-554 -2412 +2542 -1692 +569 2473 +-4284 -1037 +424 1872 +-678 -469 +-2858 -475 +-1009 437 +-424 -614 +3921 -4748 +4390 -447 +-518 -2229 +-3072 0 +-518 2229 +4390 447 +3921 4748 +-424 614 +-1009 -437 +-2858 475 +-678 469 +424 -1872 +-3294 -1206 +2229 2504 +1983 2532 +2472 -170 +2690 -2767 +-2703 4376 +-40 3238 +-5120 2048 +-1171 -406 +2923 -2461 +-2278 4844 +2472 1278 +-3949 -123 +-4017 2439 +2041 1730 +-2472 -3920 +-3182 -3145 +-1147 2107 +519 1684 +-424 2062 +3915 3150 +1182 3499 +1049 -5567 +9216 0 +1049 5567 +1182 -3499 +3915 -3150 +-424 -2062 +519 -1684 +-1147 -2107 +-3182 3145 +-2472 3920 +2041 -1730 +-4017 -2439 +-3949 123 +2472 -1278 +-2278 -4844 +2923 2461 +-1171 406 +-5120 -2048 +-40 -3238 +-2703 -4376 +2690 2767 +2472 170 +1983 -2532 +2229 -2504 +-3294 1206 +424 1872 +-678 -469 +-2858 -475 +-1009 437 +-424 -614 +3921 -4748 +4390 -447 +-518 -2229 +600 1024 +1572 -218 +790 652 +271 -2994 +3440 3086 +-17 4101 +-1745 476 +3657 -1453 +2048 0 +3657 1453 +-1745 -476 +-17 -4101 +3440 -3086 +271 2994 +790 -652 +1572 218 +600 -1024 +-3691 -6259 +3231 925 +-992 460 +-7705 -254 +-2781 1518 +-3015 810 +-562 795 +0 -2048 +1728 -1144 +1567 -3158 +-2333 -1248 +-136 -854 +1733 -7145 +-1783 -2895 +3679 3477 +3496 1024 +-2449 -3039 +658 2520 +-5476 3507 +305 410 +3802 -1147 +297 -5644 +1857 505 +-2048 0 +1857 -505 +297 5644 +3802 1147 +305 -410 +-5476 -3507 +658 -2520 +-2449 3039 +3496 -1024 +3679 -3477 +-1783 2895 +1733 7145 +-136 854 +-2333 1248 +1567 3158 +1728 1144 +0 2048 +-562 -795 +-3015 -810 +-2781 -1518 +-7705 254 +-992 -460 +3231 -925 +-3691 6259 +600 1024 +1572 -218 +790 652 +271 -2994 +3440 3086 +-17 4101 +-1745 476 +3657 -1453 +-424 -424 +-707 4311 +-5494 -2283 +711 295 +3810 1952 +1579 -3457 +-708 -895 +-1125 3529 +1024 0 +-1125 -3529 +-708 895 +1579 3457 +3810 -1952 +711 -295 +-5494 2283 +-707 -4311 +-424 424 +-1427 3004 +2758 101 +-492 -1533 +-978 -384 +403 2723 +2023 1672 +4067 5124 +1024 -2048 +-1964 -1853 +-4530 1348 +-1941 -4964 +130 -1832 +186 1587 +1654 4669 +-1720 3861 +2472 2472 +3854 1457 +-3014 6851 +-405 1466 +1134 -3400 +-42 5996 +-881 570 +-977 5989 +1024 0 +-977 -5989 +-881 -570 +-42 -5996 +1134 3400 +-405 -1466 +-3014 -6851 +3854 -1457 +2472 -2472 +-1720 -3861 +1654 -4669 +186 -1587 +130 1832 +-1941 4964 +-4530 -1348 +-1964 1853 +1024 2048 +4067 -5124 +2023 -1672 +403 -2723 +-978 384 +-492 1533 +2758 -101 +-1427 -3004 +-424 -424 +-707 4311 +-5494 -2283 +711 295 +3810 1952 +1579 -3457 +-708 -895 +-1125 3529 +3620 -5244 +5246 1121 +-5667 -348 +1197 -867 +-1730 4946 +1250 5085 +5190 2076 +-1622 1260 +3072 0 +-1622 -1260 +5190 -2076 +1250 -5085 +-1730 -4946 +1197 867 +-5667 348 +5246 -1121 +3620 5244 +1346 3642 +1045 -1640 +-2754 1338 +1500 2056 +354 2952 +-1395 4178 +-238 -1435 +2048 -3072 +-1135 684 +48 1974 +1493 -1036 +-1500 -3489 +-2066 2113 +951 1673 +2201 -5193 +-3620 -900 +-3441 -508 +-2121 -4531 +1406 -238 +1730 -2298 +-880 -2761 +1950 3912 +-2358 2115 +-7168 0 +-2358 -2115 +1950 -3912 +-880 2761 +1730 2298 +1406 238 +-2121 4531 +-3441 508 +-3620 900 +2201 5193 +951 -1673 +-2066 -2113 +-1500 3489 +1493 1036 +48 -1974 +-1135 -684 +2048 3072 +-238 1435 +-1395 -4178 +354 -2952 +1500 -2056 +-2754 -1338 +1045 1640 +1346 -3642 +3620 -5244 +5246 1121 +-5667 -348 +1197 -867 +-1730 4946 +1250 5085 +5190 2076 +-1622 1260 +1324 -2772 +306 -2934 +-460 -340 +-1987 -6300 +-5166 -1546 +-577 705 +-58 2771 +-4881 2377 +-2048 0 +-4881 -2377 +-58 -2771 +-577 -705 +-5166 1546 +-1987 6300 +-460 340 +306 2934 +1324 2772 +3402 -6534 +-961 2432 +-713 939 +-268 -3888 +-430 -1161 +-1146 -1295 +818 -821 +5120 -1024 +-2594 4632 +-746 -407 +566 -2410 +516 3104 +3418 -1030 +177 -2032 +782 -6306 +2772 -1324 +3078 7258 +1243 1669 +2417 235 +-3274 346 +-2693 2142 +1950 3909 +-912 -1019 +0 0 +-912 1019 +1950 -3909 +-2693 -2142 +-3274 -346 +2417 -235 +1243 -1669 +3078 -7258 +2772 1324 +782 6306 +177 2032 +3418 1030 +516 -3104 +566 2410 +-746 407 +-2594 -4632 +5120 1024 +818 821 +-1146 1295 +-430 1161 +-268 3888 +-713 -939 +-961 -2432 +3402 6534 +1324 -2772 +306 -2934 +-460 -340 +-1987 -6300 +-5166 -1546 +-577 705 +-58 2771 +-4881 2377 +724 724 +2194 -688 +-3529 -1999 +-1916 -282 +2610 -162 +-1910 577 +-1578 -3108 +635 -1132 +2048 0 +635 1132 +-1578 3108 +-1910 -577 +2610 162 +-1916 282 +-3529 1999 +2194 688 +724 -724 +579 2339 +-3142 1782 +-1990 2776 +-960 -2284 +1054 -3164 +3324 2064 +1140 2332 +-1024 1024 +-924 -169 +4768 3224 +-1300 -1448 +3608 -2284 +2979 5612 +-3236 4198 +6785 347 +-724 -724 +-1310 3809 +17 2718 +-4187 664 +2934 162 +1476 -7109 +-4818 -5621 +-3306 -2048 +0 0 +-3306 2048 +-4818 5621 +1476 7109 +2934 -162 +-4187 -664 +17 -2718 +-1310 -3809 +-724 724 +6785 -347 +-3236 -4198 +2979 -5612 +3608 2284 +-1300 1448 +4768 -3224 +-924 169 +-1024 -1024 +1140 -2332 +3324 -2064 +1054 3164 +-960 2284 +-1990 -2776 +-3142 -1782 +579 -2339 +724 724 +2194 -688 +-3529 -1999 +-1916 -282 +2610 -162 +-1910 577 +-1578 -3108 +635 -1132 +1448 -3920 +4509 -2510 +83 -1545 +1032 -995 +-5583 -2036 +-2465 -1700 +1166 -3439 +-1596 85 +4096 0 +-1596 -85 +1166 3439 +-2465 1700 +-5583 2036 +1032 995 +83 1545 +4509 2510 +1448 3920 +1337 2985 +4554 890 +1220 4124 +-2141 -1268 +-4767 -165 +-3302 1630 +1274 -1560 +0 4096 +-3565 -740 +-822 -2429 +731 1674 +5886 -1867 +2095 -1162 +-1998 1386 +-600 422 +-1448 1872 +3651 2532 +257 -4951 +-324 1133 +-2258 5532 +-3314 -5021 +61 579 +782 5719 +4096 0 +782 -5719 +61 -579 +-3314 5021 +-2258 -5532 +-324 -1133 +257 4951 +3651 -2532 +-1448 -1872 +-600 -422 +-1998 -1386 +2095 1162 +5886 1867 +731 -1674 +-822 2429 +-3565 740 +0 -4096 +1274 1560 +-3302 -1630 +-4767 165 +-2141 1268 +1220 -4124 +4554 -890 +1337 -2985 +1448 -3920 +4509 -2510 +83 -1545 +1032 -995 +-5583 -2036 +-2465 -1700 +1166 -3439 +-1596 85 +1024 848 +-638 2534 +219 -2582 +-2160 -4407 +-2062 -3700 +2321 485 +434 1650 +-93 -2613 +-2048 0 +-93 2613 +434 -1650 +2321 -485 +-2062 3700 +-2160 4407 +219 2582 +-638 -2534 +1024 -848 +-5978 5397 +-3804 701 +-2743 -3872 +1278 84 +-842 657 +-2239 -124 +6933 1327 +-2048 0 +3198 -1728 +1574 -1352 +-6353 532 +170 2132 +-1149 1941 +3360 1041 +2392 -2798 +1024 -4944 +6232 -632 +3121 25 +-1308 -795 +614 1652 +650 -3434 +-2666 -5773 +-461 1463 +2048 0 +-461 -1463 +-2666 5773 +650 3434 +614 -1652 +-1308 795 +3121 -25 +6232 632 +1024 4944 +2392 2798 +3360 -1041 +-1149 -1941 +170 -2132 +-6353 -532 +1574 1352 +3198 1728 +-2048 0 +6933 -1327 +-2239 124 +-842 -657 +1278 -84 +-2743 3872 +-3804 -701 +-5978 -5397 +1024 848 +-638 2534 +219 -2582 +-2160 -4407 +-2062 -3700 +2321 485 +434 1650 +-93 -2613 +1024 -4944 +4932 641 +-109 -922 +5777 -963 +-5088 2158 +-5761 -2855 +258 -4006 +-3788 1614 +2048 0 +-3788 -1614 +258 4006 +-5761 2855 +-5088 -2158 +5777 963 +-109 922 +4932 -641 +1024 4944 +228 3612 +1812 -566 +-1389 1814 +364 1154 +-628 -259 +142 -1875 +-1384 -2568 +0 2048 +822 -327 +1751 -1027 +3200 5646 +1932 -46 +-3168 -3291 +-1028 4379 +6580 3647 +1024 848 +-1275 -1806 +-674 -4022 +-982 2850 +-1304 4834 +-2843 1767 +-2150 3158 +-323 1827 +2048 0 +-323 -1827 +-2150 -3158 +-2843 -1767 +-1304 -4834 +-982 -2850 +-674 4022 +-1275 1806 +1024 -848 +6580 -3647 +-1028 -4379 +-3168 3291 +1932 46 +3200 -5646 +1751 1027 +822 327 +0 -2048 +-1384 2568 +142 1875 +-628 259 +364 -1154 +-1389 -1814 +1812 566 +228 -3612 +1024 -4944 +4932 641 +-109 -922 +5777 -963 +-5088 2158 +-5761 -2855 +258 -4006 +-3788 1614 +-4944 0 +2340 -418 +706 -1968 +-808 -32 +4904 -3746 +1607 -3872 +-5070 1026 +-1800 -2825 +7168 0 +-1800 2825 +-5070 -1026 +1607 3872 +4904 3746 +-808 32 +706 1968 +2340 418 +-4944 0 +851 -390 +-1794 -511 +3398 961 +5024 -2074 +-1796 -1579 +3906 606 +1946 -999 +1024 -2048 +-292 -3927 +1630 -3518 +-431 1616 +-328 6966 +626 3724 +-5811 -3068 +1135 -345 +848 0 +-542 -1104 +-93 2308 +-1649 -989 +2688 498 +-947 3283 +-1665 -2253 +-3638 -1670 +-9216 0 +-3638 1670 +-1665 2253 +-947 -3283 +2688 -498 +-1649 989 +-93 -2308 +-542 1104 +848 0 +1135 345 +-5811 3068 +626 -3724 +-328 -6966 +-431 -1616 +1630 3518 +-292 3927 +1024 2048 +1946 999 +3906 -606 +-1796 1579 +5024 2074 +3398 -961 +-1794 511 +851 390 +-4944 0 +2340 -418 +706 -1968 +-808 -32 +4904 -3746 +1607 -3872 +-5070 1026 +-1800 -2825 +5544 3920 +-2406 3365 +-480 -105 +-137 -707 +-2362 -1711 +-2180 2926 +-5192 3239 +1238 738 +6144 0 +1238 -738 +-5192 -3239 +-2180 -2926 +-2362 1711 +-137 707 +-480 105 +-2406 -3365 +5544 -3920 +879 3091 +-1474 2258 +22 1251 +-470 3300 +-1757 933 +-1799 -826 +630 3311 +-2048 0 +-347 -5541 +-129 -3058 +-300 -1706 +-1578 2701 +-241 -1010 +-2910 -1082 +-5180 2328 +2648 -1872 +2922 5009 +3664 -339 +-1212 -905 +314 5208 +5805 -4916 +128 -2575 +2263 -454 +6144 0 +2263 454 +128 2575 +5805 4916 +314 -5208 +-1212 905 +3664 339 +2922 -5009 +2648 1872 +-5180 -2328 +-2910 1082 +-241 1010 +-1578 -2701 +-300 1706 +-129 3058 +-347 5541 +-2048 0 +630 -3311 +-1799 826 +-1757 -933 +-470 -3300 +22 -1251 +-1474 -2258 +879 -3091 +5544 3920 +-2406 3365 +-480 -105 +-137 -707 +-2362 -1711 +-2180 2926 +-5192 3239 +1238 738 +-4520 -1024 +9849 -320 +3735 2207 +-1156 -642 +-1918 -2292 +348 741 +-144 1789 +-3288 1371 +3072 0 +-3288 -1371 +-144 -1789 +348 -741 +-1918 2292 +-1156 642 +3735 -2207 +9849 320 +-4520 1024 +-3542 504 +-1730 995 +-1744 1218 +1762 4508 +65 5121 +3186 3210 +-3693 4869 +-1024 4096 +2381 -537 +-1138 -4254 +377 -1630 +-914 3060 +1633 -1105 +3778 -2226 +-640 1557 +-1624 -1024 +-315 932 +-1687 364 +-950 -5257 +-3026 843 +1427 517 +2192 -3460 +-752 4367 +3072 0 +-752 -4367 +2192 3460 +1427 -517 +-3026 -843 +-950 5257 +-1687 -364 +-315 -932 +-1624 1024 +-640 -1557 +3778 2226 +1633 1105 +-914 -3060 +377 1630 +-1138 4254 +2381 537 +-1024 -4096 +-3693 -4869 +3186 -3210 +65 -5121 +1762 -4508 +-1744 -1218 +-1730 -995 +-3542 -504 +-4520 -1024 +9849 -320 +3735 2207 +-1156 -642 +-1918 -2292 +348 741 +-144 1789 +-3288 1371 +1624 -2472 +-3514 1196 +-1490 -98 +-2339 -2299 +-2602 1084 +2477 213 +292 846 +-2788 1070 +-7168 0 +-2788 -1070 +292 -846 +2477 -213 +-2602 -1084 +-2339 2299 +-1490 98 +-3514 -1196 +1624 2472 +-5198 -37 +2438 1985 +2371 1641 +-3386 -144 +1554 737 +109 -4287 +7256 -746 +1024 2048 +-3839 -1525 +3388 881 +2852 387 +-710 -3640 +-1274 -5245 +-1838 -1186 +-2592 971 +4520 424 +4624 4276 +2089 3919 +2571 2093 +-1494 -484 +-2419 324 +3204 3122 +258 -3178 +-7168 0 +258 3178 +3204 -3122 +-2419 -324 +-1494 484 +2571 -2093 +2089 -3919 +4624 -4276 +4520 -424 +-2592 -971 +-1838 1186 +-1274 5245 +-710 3640 +2852 -387 +3388 -881 +-3839 1525 +1024 -2048 +7256 746 +109 4287 +1554 -737 +-3386 144 +2371 -1641 +2438 -1985 +-5198 37 +1624 -2472 +-3514 1196 +-1490 -98 +-2339 -2299 +-2602 1084 +2477 213 +292 846 +-2788 1070 +2048 1872 +-466 2039 +4552 -547 +-891 -2429 +-3894 -2772 +7621 -6033 +-66 1311 +-3436 -9 +2048 0 +-3436 9 +-66 -1311 +7621 6033 +-3894 2772 +-891 2429 +4552 547 +-466 -2039 +2048 -1872 +-4304 -3856 +-2727 2955 +-965 -750 +-674 -1324 +1615 3940 +-1288 -746 +-1854 -4007 +-4096 -4096 +66 2059 +2856 -1530 +3957 -5560 +3570 1324 +1185 2180 +-1057 1063 +299 -2630 +2048 -3920 +-3539 -2069 +-768 -1346 +1112 -953 +998 -2772 +-2048 -1588 +-1502 -2095 +1648 -3373 +-2048 0 +1648 3373 +-1502 2095 +-2048 1588 +998 2772 +1112 953 +-768 1346 +-3539 2069 +2048 3920 +299 2630 +-1057 -1063 +1185 -2180 +3570 -1324 +3957 5560 +2856 1530 +66 -2059 +-4096 4096 +-1854 4007 +-1288 746 +1615 -3940 +-674 1324 +-965 750 +-2727 -2955 +-4304 3856 +2048 1872 +-466 2039 +4552 -547 +-891 -2429 +-3894 -2772 +7621 -6033 +-66 1311 +-3436 -9 +-2048 -2472 +-221 -1811 +-903 1034 +-4064 2898 +2602 724 +938 1610 +-2181 -6514 +2312 -545 +2048 0 +2312 545 +-2181 6514 +938 -1610 +2602 -724 +-4064 -2898 +-903 -1034 +-221 1811 +-2048 2472 +-3681 5066 +-3863 -179 +-163 1027 +3386 -724 +1357 -3240 +-4029 1171 +-409 -2968 +0 -6144 +-746 4743 +-695 439 +-1433 -5865 +710 724 +-3091 -422 +2923 3020 +4759 2224 +-2048 424 +-177 -351 +-2253 469 +-931 3901 +1494 724 +1593 -1779 +2809 -4106 +3956 3143 +6144 0 +3956 -3143 +2809 4106 +1593 1779 +1494 -724 +-931 -3901 +-2253 -469 +-177 351 +-2048 -424 +4759 -2224 +2923 -3020 +-3091 422 +710 -724 +-1433 5865 +-695 -439 +-746 -4743 +0 6144 +-409 2968 +-4029 -1171 +1357 3240 +3386 724 +-163 -1027 +-3863 179 +-3681 -5066 +-2048 -2472 +-221 -1811 +-903 1034 +-4064 2898 +2602 724 +938 1610 +-2181 -6514 +2312 -545 +3496 -1448 +5225 999 +274 367 +-1576 2343 +-588 3026 +-3498 144 +-2690 -1408 +717 -1477 +-1024 0 +717 1477 +-2690 1408 +-3498 -144 +-588 -3026 +-1576 -2343 +274 -367 +5225 -999 +3496 1448 +-1762 627 +-1524 -4839 +-4686 -1526 +-2716 914 +-2563 -2456 +-5146 2019 +-1919 2545 +3072 -4096 +5260 -651 +-462 2359 +-2493 -934 +419 1762 +6688 2969 +1928 -715 +-1846 -395 +600 1448 +2608 1764 +3914 860 +-2435 584 +6980 1918 +-1024 -190 +-4486 -1149 +3302 2066 +-5120 0 +3302 -2066 +-4486 1149 +-1024 190 +6980 -1918 +-2435 -584 +3914 -860 +2608 -1764 +600 -1448 +-1846 395 +1928 715 +6688 -2969 +419 -1762 +-2493 934 +-462 -2359 +5260 651 +3072 4096 +-1919 -2545 +-5146 -2019 +-2563 2456 +-2716 -914 +-4686 1526 +-1524 4839 +-1762 -627 +3496 -1448 +5225 999 +274 367 +-1576 2343 +-588 3026 +-3498 144 +-2690 -1408 +717 -1477 +3072 -4520 +-3611 -944 +65 2934 +-598 2905 +398 -2673 +-947 -350 +-1937 2914 +2822 2132 +3072 0 +2822 -2132 +-1937 -2914 +-947 350 +398 2673 +-598 -2905 +65 -2934 +-3611 944 +3072 4520 +3658 125 +-992 939 +1048 -555 +74 -8364 +2786 -3017 +2769 -218 +1497 7032 +1024 2048 +896 -2323 +2463 5798 +-1838 718 +-4170 -772 +279 1060 +1111 2712 +-2033 -1595 +3072 -1624 +419 -1088 +-3081 -4057 +3055 1239 +-4494 -2023 +-3786 -1578 +-399 206 +-3647 -3606 +-1024 0 +-3647 3606 +-399 -206 +-3786 1578 +-4494 2023 +3055 -1239 +-3081 4057 +419 1088 +3072 1624 +-2033 1595 +1111 -2712 +279 -1060 +-4170 772 +-1838 -718 +2463 -5798 +896 2323 +1024 -2048 +1497 -7032 +2769 218 +2786 3017 +74 8364 +1048 555 +-992 -939 +3658 -125 +3072 -4520 +-3611 -944 +65 2934 +-598 2905 +398 -2673 +-947 -350 +-1937 2914 +2822 2132 +-724 300 +493 4105 +807 -422 +-2665 -3048 +-1260 -1800 +4071 3792 +-1221 -1901 +-757 -5434 +7168 0 +-757 5434 +-1221 1901 +4071 -3792 +-1260 1800 +-2665 3048 +807 422 +493 -4105 +-724 -300 +2263 908 +236 -516 +-1245 1542 +1186 -3478 +1739 -46 +2331 -712 +-734 -3696 +-6144 -3072 +-9189 -2992 +-2212 -2096 +668 -835 +862 18 +4275 -888 +-2572 1088 +-384 -2972 +724 1748 +1651 574 +4425 -4966 +-3470 3203 +3308 1200 +2419 403 +-1794 1717 +864 712 +-3072 0 +864 -712 +-1794 -1717 +2419 -403 +3308 -1200 +-3470 -3203 +4425 4966 +1651 -574 +724 -1748 +-384 2972 +-2572 -1088 +4275 888 +862 -18 +668 835 +-2212 2096 +-9189 2992 +-6144 3072 +-734 3696 +2331 712 +1739 46 +1186 3478 +-1245 -1542 +236 516 +2263 -908 +-724 300 +493 4105 +807 -422 +-2665 -3048 +-1260 -1800 +4071 3792 +-1221 -1901 +-757 -5434 +3072 1024 +-2492 -1997 +-666 532 +-5804 -1091 +1338 -4305 +815 -511 +-3883 4327 +2179 2548 +-1024 0 +2179 -2548 +-3883 -4327 +815 511 +1338 4305 +-5804 1091 +-666 -532 +-2492 1997 +3072 -1024 +2166 -2527 +4871 2760 +5561 -1510 +-554 -1528 +1810 5244 +-1226 -3923 +-1254 -4691 +-3072 4096 +-2935 -29 +-222 -2370 +882 -5027 +554 -3824 +-3436 3223 +-3423 -2148 +893 -2594 +3072 1024 +1000 -3551 +2114 -2543 +-106 -2497 +-1338 -2088 +278 1695 +2435 122 +443 26 +-5120 0 +443 -26 +2435 -122 +278 -1695 +-1338 2088 +-106 2497 +2114 2543 +1000 3551 +3072 -1024 +893 2594 +-3423 2148 +-3436 -3223 +554 3824 +882 5027 +-222 2370 +-2935 29 +-3072 -4096 +-1254 4691 +-1226 3923 +1810 -5244 +-554 1528 +5561 1510 +4871 -2760 +2166 2527 +3072 1024 +-2492 -1997 +-666 532 +-5804 -1091 +1338 -4305 +815 -511 +-3883 4327 +2179 2548 +-3072 1448 +860 -707 +-727 -3788 +236 1458 +808 1678 +-3748 2186 +3686 -5206 +5582 -951 +0 0 +5582 951 +3686 5206 +-3748 -2186 +808 -1678 +236 -1458 +-727 3788 +860 707 +-3072 -1448 +4424 189 +4078 -2344 +-4504 1558 +928 -4678 +-748 -3599 +-2376 -973 +2124 -873 +2048 0 +2785 1773 +2073 4599 +2403 -3128 +-4424 -1782 +-6482 984 +3646 1661 +244 1603 +-3072 -1448 +-1951 -96 +-2901 2000 +-394 3320 +-1408 1219 +1651 3636 +713 4986 +-2481 1380 +0 0 +-2481 -1380 +713 -4986 +1651 -3636 +-1408 -1219 +-394 -3320 +-2901 -2000 +-1951 96 +-3072 1448 +244 -1603 +3646 -1661 +-6482 -984 +-4424 1782 +2403 3128 +2073 -4599 +2785 -1773 +2048 0 +2124 873 +-2376 973 +-748 3599 +928 4678 +-4504 -1558 +4078 2344 +4424 -189 +-3072 1448 +860 -707 +-727 -3788 +236 1458 +808 1678 +-3748 2186 +3686 -5206 +5582 -951 +3196 724 +-3753 177 +-6708 -2172 +10 2712 +-452 -2080 +4895 -4611 +235 1015 +-3733 1540 +3072 0 +-3733 -1540 +235 -1015 +4895 4611 +-452 2080 +10 -2712 +-6708 2172 +-3753 -177 +3196 -724 +1125 1650 +2572 -351 +651 -2064 +2114 -522 +1123 -2553 +-2161 -2907 +2752 5040 +0 -1024 +-1171 -182 +2994 1697 +-900 -2966 +-3562 -1370 +413 -3531 +1175 -3627 +-2763 -7512 +-1148 -724 +-1290 2693 +-4032 96 +255 -633 +1900 -2864 +-654 1950 +-2267 -3402 +3040 -522 +9216 0 +3040 522 +-2267 3402 +-654 -1950 +1900 2864 +255 633 +-4032 -96 +-1290 -2693 +-1148 724 +-2763 7512 +1175 3627 +413 3531 +-3562 1370 +-900 2966 +2994 -1697 +-1171 182 +0 1024 +2752 -5040 +-2161 2907 +1123 2553 +2114 522 +651 2064 +2572 351 +1125 -1650 +3196 724 +-3753 177 +-6708 -2172 +10 2712 +-452 -2080 +4895 -4611 +235 1015 +-3733 1540 +2896 424 +2143 -479 +-2488 -4651 +911 -1443 +-2602 6556 +223 4433 +2488 1384 +-397 1715 +4096 0 +-397 -1715 +2488 -1384 +223 -4433 +-2602 -6556 +911 1443 +-2488 4651 +2143 479 +2896 -424 +-1593 1467 +-531 -1990 +-150 -2302 +-3386 2891 +2670 -2647 +2161 -3748 +-2265 -3241 +2048 -2048 +-82 2786 +-373 -2744 +-4639 -278 +-710 244 +2019 -1464 +-5041 1690 +-4527 -2849 +-2896 -2472 +3977 260 +2268 2538 +-2779 -1814 +-1494 -1012 +1746 2032 +1516 -6172 +2744 215 +8192 0 +2744 -215 +1516 6172 +1746 -2032 +-1494 1012 +-2779 1814 +2268 -2538 +3977 -260 +-2896 2472 +-4527 2849 +-5041 -1690 +2019 1464 +-710 -244 +-4639 278 +-373 2744 +-82 -2786 +2048 2048 +-2265 3241 +2161 3748 +2670 2647 +-3386 -2891 +-150 2302 +-531 1990 +-1593 -1467 +2896 424 +2143 -479 +-2488 -4651 +911 -1443 +-2602 6556 +223 4433 +2488 1384 +-397 1715 +0 -600 +2825 1498 +945 596 +-3574 3123 +2218 7620 +5406 -4356 +990 -734 +134 -3766 +1024 0 +134 3766 +990 734 +5406 4356 +2218 -7620 +-3574 -3123 +945 -596 +2825 -1498 +0 600 +-2342 -4148 +-2128 -942 +2945 -2843 +-14 -1957 +-1598 -730 +2789 2383 +-870 3067 +1024 2048 +3032 2669 +-1157 -774 +3046 2523 +2662 -260 +-2775 -312 +-4709 3782 +-5370 -2993 +0 -3496 +-227 4770 +-3998 31 +949 -3048 +3326 2268 +1393 -639 +-925 1674 +-2976 2688 +-3072 0 +-2976 -2688 +-925 -1674 +1393 639 +3326 -2268 +949 3048 +-3998 -31 +-227 -4770 +0 3496 +-5370 2993 +-4709 -3782 +-2775 312 +2662 260 +3046 -2523 +-1157 774 +3032 -2669 +1024 -2048 +-870 -3067 +2789 -2383 +-1598 730 +-14 1957 +2945 2843 +-2128 942 +-2342 4148 +0 -600 +2825 1498 +945 596 +-3574 3123 +2218 7620 +5406 -4356 +990 -734 +134 -3766 +1448 -2472 +-2318 533 +221 2097 +1584 -2914 +710 2772 +-1438 -4072 +-6544 -3250 +-2544 2807 +2048 0 +-2544 -2807 +-6544 3250 +-1438 4072 +710 -2772 +1584 2914 +221 -2097 +-2318 -533 +1448 2472 +-3047 -5515 +-1449 -4216 +4632 -3199 +2602 1324 +-1193 -194 +-3449 -4268 +2291 142 +-2048 2048 +-1637 1531 +5644 4136 +1104 -432 +1494 -1324 +-3490 4475 +-5492 -367 +-1548 812 +-1448 424 +4016 -237 +2623 55 +170 122 +3386 2772 +4424 -838 +253 1765 +-1007 -1655 +2048 0 +-1007 1655 +253 -1765 +4424 838 +3386 -2772 +170 -122 +2623 -55 +4016 237 +-1448 -424 +-1548 -812 +-5492 367 +-3490 -4475 +1494 1324 +1104 432 +5644 -4136 +-1637 -1531 +-2048 -2048 +2291 -142 +-3449 4268 +-1193 194 +2602 -1324 +4632 3199 +-1449 4216 +-3047 5515 +1448 -2472 +-2318 533 +221 2097 +1584 -2914 +710 2772 +-1438 -4072 +-6544 -3250 +-2544 2807 +-300 1748 +3054 1565 +-2656 2396 +-1530 -707 +-3778 -462 +1345 3142 +3179 2049 +-683 3138 +6144 0 +-683 -3138 +3179 -2049 +1345 -3142 +-3778 462 +-1530 707 +-2656 -2396 +3054 -1565 +-300 -1748 +139 -3315 +-878 -3006 +-1271 -457 +-548 -4032 +-2980 -1107 +1781 4461 +2072 -2576 +-3072 1024 +-5356 1329 +5500 -4932 +3156 1995 +-3548 -536 +2215 -3703 +3045 -1843 +2636 -4103 +-1748 300 +851 2111 +1689 3360 +-744 4141 +-318 -138 +-5985 848 +-3467 1343 +3079 -563 +4096 0 +3079 563 +-3467 -1343 +-5985 -848 +-318 138 +-744 -4141 +1689 -3360 +851 -2111 +-1748 -300 +2636 4103 +3045 1843 +2215 3703 +-3548 536 +3156 -1995 +5500 4932 +-5356 -1329 +-3072 -1024 +2072 2576 +1781 -4461 +-2980 1107 +-548 4032 +-1271 457 +-878 3006 +139 3315 +-300 1748 +3054 1565 +-2656 2396 +-1530 -707 +-3778 -462 +1345 3142 +3179 2049 +-683 3138 +1148 3796 +-4300 966 +-5322 219 +-4545 53 +-946 4262 +1455 670 +2006 -2768 +-785 -1970 +-6144 0 +-785 1970 +2006 2768 +1455 -670 +-946 -4262 +-4545 -53 +-5322 -219 +-4300 -966 +1148 -3796 +-1857 718 +-1564 3854 +861 -2874 +-392 -3092 +4915 927 +1644 5558 +-120 415 +3072 1024 +1316 1544 +913 962 +3364 372 +392 -3692 +-4691 3075 +2792 -3123 +229 -1684 +-3196 2348 +5279 -840 +1198 3892 +-761 -1985 +946 -765 +-599 -913 +-1666 -7124 +239 -2565 +4096 0 +239 2565 +-1666 7124 +-599 913 +946 765 +-761 1985 +1198 -3892 +5279 840 +-3196 -2348 +229 1684 +2792 3123 +-4691 -3075 +392 3692 +3364 -372 +913 -962 +1316 -1544 +3072 -1024 +-120 -415 +1644 -5558 +4915 -927 +-392 3092 +861 2874 +-1564 -3854 +-1857 -718 +1148 3796 +-4300 966 +-5322 219 +-4545 53 +-946 4262 +1455 670 +2006 -2768 +-785 -1970 +-2348 -2172 +1545 2882 +2638 -638 +85 -1773 +3248 1056 +2926 244 +2229 -664 +3716 -4187 +3072 0 +3716 4187 +2229 664 +2926 -244 +3248 -1056 +85 1773 +2638 638 +1545 -2882 +-2348 2172 +-2131 -3574 +-2938 -1043 +649 1867 +2030 -502 +-2056 4618 +-3822 -2432 +-5217 -1241 +-2048 3072 +2130 782 +4606 -4260 +5348 -573 +-1430 2394 +-1711 -4155 +1046 2793 +-4536 -1019 +-3796 2172 +10 4448 +-746 -5415 +-1479 -97 +248 1840 +2030 1116 +-3012 -2860 +-1309 -2999 +5120 0 +-1309 2999 +-3012 2860 +2030 -1116 +248 -1840 +-1479 97 +-746 5415 +10 -4448 +-3796 -2172 +-4536 1019 +1046 -2793 +-1711 4155 +-1430 -2394 +5348 573 +4606 4260 +2130 -782 +-2048 -3072 +-5217 1241 +-3822 2432 +-2056 -4618 +2030 502 +649 -1867 +-2938 1043 +-2131 3574 +-2348 -2172 +1545 2882 +2638 -638 +85 -1773 +3248 1056 +2926 244 +2229 -664 +3716 -4187 +1024 1624 +-1179 1099 +2119 -791 +-2082 2292 +-1422 1508 +811 1385 +-701 -757 +2235 -594 +1024 0 +2235 594 +-701 757 +811 -1385 +-1422 -1508 +-2082 -2292 +2119 791 +-1179 -1099 +1024 -1624 +238 -227 +-978 -1617 +-4284 4251 +-1098 -2616 +1576 -5987 +-2034 192 +5060 -4903 +3072 -6144 +-2105 -1913 +446 2084 +2905 149 +3146 -1168 +-2371 -89 +-7530 -2401 +1257 423 +1024 4520 +-4749 5343 +2293 8 +-1966 -839 +3470 -60 +5412 -1042 +-1806 2649 +-757 -2209 +-3072 0 +-757 2209 +-1806 -2649 +5412 1042 +3470 60 +-1966 839 +2293 -8 +-4749 -5343 +1024 -4520 +1257 -423 +-7530 2401 +-2371 89 +3146 1168 +2905 -149 +446 -2084 +-2105 1913 +3072 6144 +5060 4903 +-2034 -192 +1576 5987 +-1098 2616 +-4284 -4251 +-978 1617 +238 227 +1024 1624 +-1179 1099 +2119 -791 +-2082 2292 +-1422 1508 +811 1385 +-701 -757 +2235 -594 +-5544 -424 +4695 3000 +2351 3747 +-87 1842 +978 964 +-3914 -2120 +6018 -1254 +6200 3382 +0 0 +6200 -3382 +6018 1254 +-3914 2120 +978 -964 +-87 -1842 +2351 -3747 +4695 -3000 +-5544 424 +1869 -527 +2 -439 +-165 2598 +-1134 2192 +-4098 1999 +2111 2341 +-1521 -955 +4096 -2048 +3027 -274 +-2399 -779 +-5140 -529 +-3810 1592 +2134 2111 +-970 684 +676 22 +-2648 2472 +-3015 1333 +-184 -5519 +-3891 -3896 +-130 2532 +3575 -1975 +1262 -4762 +-345 1083 +0 0 +-345 -1083 +1262 4762 +3575 1975 +-130 -2532 +-3891 3896 +-184 5519 +-3015 -1333 +-2648 -2472 +676 -22 +-970 -684 +2134 -2111 +-3810 -1592 +-5140 529 +-2399 779 +3027 274 +4096 2048 +-1521 955 +2111 -2341 +-4098 -1999 +-1134 -2192 +-165 -2598 +2 439 +1869 527 +-5544 -424 +4695 3000 +2351 3747 +-87 1842 +978 964 +-3914 -2120 +6018 -1254 +6200 3382 +-1624 1448 +-1489 -753 +957 147 +-569 3381 +-384 4254 +-1373 -4702 +-1496 -3321 +-4587 4108 +-6144 0 +-4587 -4108 +-1496 3321 +-1373 4702 +-384 -4254 +-569 -3381 +957 -147 +-1489 753 +-1624 -1448 +3578 -452 +-773 1069 +2471 -3580 +-3400 1254 +-4391 -1026 +4537 -2799 +474 3433 +2048 4096 +2258 7006 +-478 697 +-2831 -2200 +1952 -794 +1477 -1969 +1269 469 +4676 -4592 +-4520 -1448 +2132 3294 +5539 453 +643 -441 +1832 -2206 +-1220 -936 +-1364 -175 +-1250 353 +-2048 0 +-1250 -353 +-1364 175 +-1220 936 +1832 2206 +643 441 +5539 -453 +2132 -3294 +-4520 1448 +4676 4592 +1269 -469 +1477 1969 +1952 794 +-2831 2200 +-478 -697 +2258 -7006 +2048 -4096 +474 -3433 +4537 2799 +-4391 1026 +-3400 -1254 +2471 3580 +-773 -1069 +3578 452 +-1624 1448 +-1489 -753 +957 147 +-569 3381 +-384 4254 +-1373 -4702 +-1496 -3321 +-4587 4108 +2772 1148 +-11 -1393 +3037 -1465 +1383 -1469 +-2488 -756 +-5447 5207 +-3015 -719 +-167 -3346 +-5120 0 +-167 3346 +-3015 719 +-5447 -5207 +-2488 756 +1383 1469 +3037 1465 +-11 1393 +2772 -1148 +168 4029 +-219 2747 +-2708 -254 +847 2250 +5134 1597 +-1462 -3110 +-1047 610 +-4096 1024 +-2722 -4794 +4258 2278 +1353 -949 +-1695 -4142 +161 3194 +-3225 1364 +-526 -1210 +1324 -3196 +-959 1138 +3304 1282 +276 -3643 +7432 -1540 +5640 -2329 +-2676 -885 +-528 527 +-3072 0 +-528 -527 +-2676 885 +5640 2329 +7432 1540 +276 3643 +3304 -1282 +-959 -1138 +1324 3196 +-526 1210 +-3225 -1364 +161 -3194 +-1695 4142 +1353 949 +4258 -2278 +-2722 4794 +-4096 -1024 +-1047 -610 +-1462 3110 +5134 -1597 +847 -2250 +-2708 254 +-219 -2747 +168 -4029 +2772 1148 +-11 -1393 +3037 -1465 +1383 -1469 +-2488 -756 +-5447 5207 +-3015 -719 +-167 -3346 +-4220 -2772 +-2442 -1388 +-129 1200 +-1697 -2216 +-1670 1546 +2832 -1494 +2001 -3266 +3818 2757 +10240 0 +3818 -2757 +2001 3266 +2832 1494 +-1670 -1546 +-1697 2216 +-129 -1200 +-2442 1388 +-4220 2772 +1372 2139 +1078 -866 +441 -1440 +332 3888 +-75 445 +4053 -163 +-1720 -2765 +-1024 3072 +-233 3003 +-2161 -3948 +2900 -1726 +1116 -3104 +3890 3560 +-294 701 +-4545 -2482 +124 -1324 +-2394 -4489 +-655 368 +-2193 903 +222 -346 +5487 1202 +-3893 -519 +-5441 -6437 +0 0 +-5441 6437 +-3893 519 +5487 -1202 +222 346 +-2193 -903 +-655 -368 +-2394 4489 +124 1324 +-4545 2482 +-294 -701 +3890 -3560 +1116 3104 +2900 1726 +-2161 3948 +-233 -3003 +-1024 -3072 +-1720 2765 +4053 163 +-75 -445 +332 -3888 +441 1440 +1078 866 +1372 -2139 +-4220 -2772 +-2442 -1388 +-129 1200 +-1697 -2216 +-1670 1546 +2832 -1494 +2001 -3266 +3818 2757 +-2596 -4220 +-1506 -1510 +1262 -733 +1051 -2140 +-1090 5566 +-655 -49 +-216 1973 +1257 -4504 +3072 0 +1257 4504 +-216 -1973 +-655 49 +-1090 -5566 +1051 2140 +1262 733 +-1506 1510 +-2596 4220 +-2089 -4871 +369 -1775 +1334 -5604 +-876 -5024 +-33 -3510 +871 -2994 +-23 3227 +2048 1024 +1561 -2314 +-807 -318 +933 -1154 +1476 -3328 +-625 -164 +-3422 -666 +-2290 2371 +4644 124 +533 -2393 +-8098 1841 +457 -429 +4586 4323 +-2462 5981 +1848 703 +2556 -596 +-3072 0 +2556 596 +1848 -703 +-2462 -5981 +4586 -4323 +457 429 +-8098 -1841 +533 2393 +4644 -124 +-2290 -2371 +-3422 666 +-625 164 +1476 3328 +933 1154 +-807 318 +1561 2314 +2048 -1024 +-23 -3227 +871 2994 +-33 3510 +-876 5024 +1334 5604 +369 1775 +-2089 4871 +-2596 -4220 +-1506 -1510 +1262 -733 +1051 -2140 +-1090 5566 +-655 -49 +-216 1973 +1257 -4504 +-3620 -3196 +1357 1731 +-3511 3753 +-2579 1356 +-4128 2140 +-2064 3355 +4821 4046 +562 -2416 +-1024 0 +562 2416 +4821 -4046 +-2064 -3355 +-4128 -2140 +-2579 -1356 +-3511 -3753 +1357 -1731 +-3620 3196 +-2498 1432 +810 -1467 +180 -3665 +-2570 -646 +-1050 -4566 +4130 -2893 +2280 6888 +2048 3072 +-961 1119 +-413 2015 +1405 268 +-678 -1246 +-2378 2100 +-1998 2982 +136 -2031 +3620 1148 +2573 -1409 +106 -2201 +993 2842 +-4912 1356 +5493 -89 +4248 -2035 +-3448 431 +5120 0 +-3448 -431 +4248 2035 +5493 89 +-4912 -1356 +993 -2842 +106 2201 +2573 1409 +3620 -1148 +136 2031 +-1998 -2982 +-2378 -2100 +-678 1246 +1405 -268 +-413 -2015 +-961 -1119 +2048 -3072 +2280 -6888 +4130 2893 +-1050 4566 +-2570 646 +180 3665 +810 1467 +-2498 -1432 +-3620 -3196 +1357 1731 +-3511 3753 +-2579 1356 +-4128 2140 +-2064 3355 +4821 4046 +562 -2416 +1448 3920 +-5434 3385 +-389 71 +-2938 -1166 +-1663 -2552 +2920 2330 +-4050 2186 +1438 1023 +10240 0 +1438 -1023 +-4050 -2186 +2920 -2330 +-1663 2552 +-2938 1166 +-389 -71 +-5434 -3385 +1448 -3920 +2720 -314 +1271 1593 +885 -3124 +-4014 -3112 +938 4407 +821 1165 +-250 4920 +2048 4096 +84 2066 +-1761 1009 +706 -723 +4014 5329 +-1008 -343 +-644 2857 +1276 1771 +-1448 -1872 +-3675 1155 +-4335 -2902 +605 3267 +1663 2800 +3684 -2347 +893 1754 +-1951 4372 +2048 0 +-1951 -4372 +893 -1754 +3684 2347 +1663 -2800 +605 -3267 +-4335 2902 +-3675 -1155 +-1448 1872 +1276 -1771 +-644 -2857 +-1008 343 +4014 -5329 +706 723 +-1761 -1009 +84 -2066 +2048 -4096 +-250 -4920 +821 -1165 +938 -4407 +-4014 3112 +885 3124 +1271 -1593 +2720 314 +1448 3920 +-5434 3385 +-389 71 +-2938 -1166 +-1663 -2552 +2920 2330 +-4050 2186 +1438 1023 +-2472 -2048 +335 1249 +1454 1459 +-267 2977 +4064 -1578 +682 4169 +-3341 1171 +1521 1314 +4096 0 +1521 -1314 +-3341 -1171 +682 -4169 +4064 1578 +-267 -2977 +1454 -1459 +335 -1249 +-2472 2048 +1064 7730 +865 440 +-2293 -2161 +-1388 -2362 +-1012 1746 +-1819 -5094 +1687 -4903 +0 2048 +-610 -3168 +1154 -3866 +-6451 -2997 +-2956 -314 +878 -1577 +-1309 100 +4752 120 +424 -2048 +1209 2156 +1886 1098 +-7895 -487 +280 -470 +4773 1185 +1109 2953 +1628 3244 +0 0 +1628 -3244 +1109 -2953 +4773 -1185 +280 470 +-7895 487 +1886 -1098 +1209 -2156 +424 2048 +4752 -120 +-1309 -100 +878 1577 +-2956 314 +-6451 2997 +1154 3866 +-610 3168 +0 -2048 +1687 4903 +-1819 5094 +-1012 -1746 +-1388 2362 +-2293 2161 +865 -440 +1064 -7730 +-2472 -2048 +335 1249 +1454 1459 +-267 2977 +4064 -1578 +682 4169 +-3341 1171 +1521 1314 +-3072 1448 +-897 -2643 +-2895 -1721 +2974 311 +-144 -894 +-3351 153 +1152 -2797 +-524 -4238 +0 0 +-524 4238 +1152 2797 +-3351 -153 +-144 894 +2974 -311 +-2895 1721 +-897 2643 +-3072 -1448 +-1057 -1191 +1702 3341 +-936 3931 +-484 2786 +4189 2750 +2144 -3107 +57 191 +2048 0 +1242 -5508 +3753 1617 +-1909 -931 +1084 -110 +2218 1835 +-1139 2401 +3720 8757 +-3072 -1448 +-4662 -1970 +-3460 4878 +-1361 1928 +3640 -2002 +3967 -1899 +-1256 3425 +-3671 -2037 +0 0 +-3671 2037 +-1256 -3425 +3967 1899 +3640 2002 +-1361 -1928 +-3460 -4878 +-4662 1970 +-3072 1448 +3720 -8757 +-1139 -2401 +2218 -1835 +1084 110 +-1909 931 +3753 -1617 +1242 5508 +2048 0 +57 -191 +2144 3107 +4189 -2750 +-484 -2786 +-936 -3931 +1702 -3341 +-1057 1191 +-3072 1448 +-897 -2643 +-2895 -1721 +2974 311 +-144 -894 +-3351 153 +1152 -2797 +-524 -4238 +300 -2172 +-7125 1415 +-1325 -1702 +1774 -1952 +2768 52 +1117 3561 +1135 6121 +3643 2178 +1024 0 +3643 -2178 +1135 -6121 +1117 -3561 +2768 -52 +1774 1952 +-1325 1702 +-7125 -1415 +300 2172 +3344 3994 +-743 -519 +1128 1340 +-3802 3178 +-4790 989 +4775 938 +-4052 2001 +-6144 3072 +2052 -981 +-1943 -3379 +1907 1983 +306 282 +1557 -2914 +899 1789 +-432 2855 +1748 2172 +-1138 2798 +5266 1610 +-2242 -86 +-3368 -2948 +-451 -350 +130 3547 +3709 192 +-1024 0 +3709 -192 +130 -3547 +-451 350 +-3368 2948 +-2242 86 +5266 -1610 +-1138 -2798 +1748 -2172 +-432 -2855 +899 -1789 +1557 2914 +306 -282 +1907 -1983 +-1943 3379 +2052 981 +-6144 -3072 +-4052 -2001 +4775 -938 +-4790 -989 +-3802 -3178 +1128 -1340 +-743 519 +3344 -3994 +300 -2172 +-7125 1415 +-1325 -1702 +1774 -1952 +2768 52 +1117 3561 +1135 6121 +3643 2178 +3196 -1148 +1425 -5985 +-1049 -1353 +88 753 +3178 -2250 +3275 1976 +896 2642 +-159 1490 +0 0 +-159 -1490 +896 -2642 +3275 -1976 +3178 2250 +88 -753 +-1049 1353 +1425 5985 +3196 1148 +2183 -3226 +771 1815 +-540 1460 +-2948 1540 +-4074 4809 +-1446 -2843 +1550 395 +1024 1024 +-601 -6531 +-2 -2179 +882 4378 +52 -756 +-285 -2721 +677 1371 +275 668 +-1148 3196 +-98 -6010 +2497 -1987 +2305 288 +-282 -4142 +-1651 6209 +-2344 -4874 +-4574 -4528 +-6144 0 +-4574 4528 +-2344 4874 +-1651 -6209 +-282 4142 +2305 -288 +2497 1987 +-98 6010 +-1148 -3196 +275 -668 +677 -1371 +-285 2721 +52 756 +882 -4378 +-2 2179 +-601 6531 +1024 -1024 +1550 -395 +-1446 2843 +-4074 -4809 +-2948 -1540 +-540 -1460 +771 -1815 +2183 3226 +3196 -1148 +1425 -5985 +-1049 -1353 +88 753 +3178 -2250 +3275 1976 +896 2642 +-159 1490 +-3620 724 +1198 -633 +568 1037 +411 -2895 +4142 78 +1998 4986 +-3741 -3005 +-5530 3655 +-4096 0 +-5530 -3655 +-3741 3005 +1998 -4986 +4142 -78 +411 2895 +568 -1037 +1198 633 +-3620 -724 +-2536 -2359 +-141 1510 +1417 1896 +-756 632 +-483 2 +2226 -7789 +-3175 -2412 +-1024 1024 +235 -878 +-215 171 +10 -1056 +-1540 -1416 +2517 -1772 +-1411 7591 +971 4239 +3620 -724 +1254 1806 +3880 450 +118 4211 +2250 1970 +-196 -3460 +-1167 -1820 +1791 6438 +-2048 0 +1791 -6438 +-1167 1820 +-196 3460 +2250 -1970 +118 -4211 +3880 -450 +1254 -1806 +3620 724 +971 -4239 +-1411 -7591 +2517 1772 +-1540 1416 +10 1056 +-215 -171 +235 878 +-1024 -1024 +-3175 2412 +2226 7789 +-483 -2 +-756 -632 +1417 -1896 +-141 -1510 +-2536 2359 +-3620 724 +1198 -633 +568 1037 +411 -2895 +4142 78 +1998 4986 +-3741 -3005 +-5530 3655 +-2896 -600 +-3727 -1785 +606 -758 +-1615 1002 +2856 145 +2599 -406 +3927 2007 +3325 459 +-3072 0 +3325 -459 +3927 -2007 +2599 406 +2856 -145 +-1615 -1002 +606 758 +-3727 1785 +-2896 600 +1133 1130 +-4463 -1651 +1613 -1141 +2976 -4882 +-4394 -7798 +-1914 818 +1360 -1290 +-1024 0 +-3061 699 +362 2894 +-2508 2410 +-2376 -6930 +5100 -2375 +-445 2954 +-1229 3886 +2896 -3496 +-640 -3578 +1405 -1629 +1583 -1245 +640 1903 +3416 -2379 +521 1269 +-2953 -4189 +-3072 0 +-2953 4189 +521 -1269 +3416 2379 +640 -1903 +1583 1245 +1405 1629 +-640 3578 +2896 3496 +-1229 -3886 +-445 -2954 +5100 2375 +-2376 6930 +-2508 -2410 +362 -2894 +-3061 -699 +-1024 0 +1360 1290 +-1914 -818 +-4394 7798 +2976 4882 +1613 1141 +-4463 1651 +1133 -1130 +-2896 -600 +-3727 -1785 +606 -758 +-1615 1002 +2856 145 +2599 -406 +3927 2007 +3325 459 +-600 0 +3961 3412 +-1943 1527 +-783 1561 +1592 1918 +-755 -944 +-436 2380 +-1345 -1048 +1024 0 +-1345 1048 +-436 -2380 +-755 944 +1592 -1918 +-783 -1561 +-1943 -1527 +3961 -3412 +-600 0 +-89 1067 +-4009 1314 +-4280 -873 +-964 -1762 +-2108 -1014 +2827 3396 +647 4563 +-3072 2048 +-1173 2964 +1452 -728 +-540 1294 +-2532 -914 +-1773 -4791 +2717 -1242 +568 -3351 +-3496 0 +2479 -470 +4435 -1187 +835 4098 +-2192 3026 +9404 1243 +3149 -3607 +-5049 -4776 +5120 0 +-5049 4776 +3149 3607 +9404 -1243 +-2192 -3026 +835 -4098 +4435 1187 +2479 470 +-3496 0 +568 3351 +2717 1242 +-1773 4791 +-2532 914 +-540 -1294 +1452 728 +-1173 -2964 +-3072 -2048 +647 -4563 +2827 -3396 +-2108 1014 +-964 1762 +-4280 873 +-4009 -1314 +-89 -1067 +-600 0 +3961 3412 +-1943 1527 +-783 1561 +1592 1918 +-755 -944 +-436 2380 +-1345 -1048 +-424 1024 +-1712 619 +-6924 1548 +-2070 -2477 +628 1010 +3082 -237 +-97 -1336 +-3679 7708 +-1024 0 +-3679 -7708 +-97 1336 +3082 237 +628 -1010 +-2070 2477 +-6924 -1548 +-1712 -619 +-424 -1024 +-3073 13 +1371 -2331 +-2699 -2017 +-3156 4350 +3704 4035 +3626 4484 +1398 -995 +1024 -2048 +82 -1456 +-589 -905 +939 -2044 +-940 -3242 +1384 2091 +5689 -948 +1670 472 +2472 1024 +1786 728 +1064 -1364 +2497 2121 +-4724 3686 +-1045 -4513 +4053 2940 +-2264 -1489 +-5120 0 +-2264 1489 +4053 -2940 +-1045 4513 +-4724 -3686 +2497 -2121 +1064 1364 +1786 -728 +2472 -1024 +1670 -472 +5689 948 +1384 -2091 +-940 3242 +939 2044 +-589 905 +82 1456 +1024 2048 +1398 995 +3626 -4484 +3704 -4035 +-3156 -4350 +-2699 2017 +1371 2331 +-3073 -13 +-424 1024 +-1712 619 +-6924 1548 +-2070 -2477 +628 1010 +3082 -237 +-97 -1336 +-3679 7708 +-3796 -900 +-1235 2888 +-1420 2640 +4690 -1404 +-2754 2270 +169 1849 +6889 -2143 +-2307 4222 +-2048 0 +-2307 -4222 +6889 2143 +169 -1849 +-2754 -2270 +4690 1404 +-1420 -2640 +-1235 -2888 +-3796 900 +2577 4525 +1037 2660 +-2617 1269 +476 3164 +-4389 -2432 +-2978 -1671 +-1722 66 +3072 -5120 +4758 702 +2350 533 +44 -5982 +-2524 -2380 +-28 -2413 +2120 -652 +-33 579 +-2348 -5244 +907 -1482 +2360 2240 +3306 -61 +706 378 +-1175 -3182 +-2165 -3845 +-2945 1766 +0 0 +-2945 -1766 +-2165 3845 +-1175 3182 +706 -378 +3306 61 +2360 -2240 +907 1482 +-2348 5244 +-33 -579 +2120 652 +-28 2413 +-2524 2380 +44 5982 +2350 -533 +4758 -702 +3072 5120 +-1722 -66 +-2978 1671 +-4389 2432 +476 -3164 +-2617 -1269 +1037 -2660 +2577 -4525 +-3796 -900 +-1235 2888 +-1420 2640 +4690 -1404 +-2754 2270 +169 1849 +6889 -2143 +-2307 4222 +1024 -600 +2954 2822 +-2402 -453 +-925 -2251 +1278 684 +-661 -315 +38 -3190 +-765 -4169 +2048 0 +-765 4169 +38 3190 +-661 315 +1278 -684 +-925 2251 +-2402 453 +2954 -2822 +1024 600 +3529 -181 +71 -1694 +1348 2472 +614 5148 +100 3557 +1060 -1138 +-4479 -620 +2048 2048 +-3490 389 +-4881 141 +-518 -284 +-2062 204 +-2650 728 +-5239 3058 +1522 6000 +1024 -3496 +4027 -3322 +6370 6405 +-1319 -822 +170 -1533 +-1168 938 +-3210 -2523 +2494 4290 +6144 0 +2494 -4290 +-3210 2523 +-1168 -938 +170 1533 +-1319 822 +6370 -6405 +4027 3322 +1024 3496 +1522 -6000 +-5239 -3058 +-2650 -728 +-2062 -204 +-518 284 +-4881 -141 +-3490 -389 +2048 -2048 +-4479 620 +1060 1138 +100 -3557 +614 -5148 +1348 -2472 +71 1694 +3529 181 +1024 -600 +2954 2822 +-2402 -453 +-925 -2251 +1278 684 +-661 -315 +38 -3190 +-765 -4169 +-1448 2648 +-7139 -4140 +-413 -541 +2665 1662 +-5218 2048 +11 1754 +6552 -5379 +2069 -2608 +-1024 0 +2069 2608 +6552 5379 +11 -1754 +-5218 -2048 +2665 -1662 +-413 541 +-7139 4140 +-1448 -2648 +586 2851 +2206 -1012 +2680 -3867 +-3446 2048 +-966 -4811 +1832 -530 +-756 1445 +1024 2048 +-1657 2287 +-3244 4415 +1943 4871 +798 -2048 +-526 1443 +2843 -164 +2815 2537 +1448 5544 +842 3589 +-540 -307 +-1922 -1025 +-326 2048 +1909 -2537 +-1044 435 +-2552 -2582 +-1024 0 +-2552 2582 +-1044 -435 +1909 2537 +-326 -2048 +-1922 1025 +-540 307 +842 -3589 +1448 -5544 +2815 -2537 +2843 164 +-526 -1443 +798 2048 +1943 -4871 +-3244 -4415 +-1657 -2287 +1024 -2048 +-756 -1445 +1832 530 +-966 4811 +-3446 -2048 +2680 3867 +2206 1012 +586 -2851 +-1448 2648 +-7139 -4140 +-413 -541 +2665 1662 +-5218 2048 +11 1754 +6552 -5379 +2069 -2608 +-1448 4096 +-3649 5556 +-2377 2109 +-5278 -945 +1748 -314 +-2526 -4432 +-1861 1253 +3205 3310 +-3072 0 +3205 -3310 +-1861 -1253 +-2526 4432 +1748 314 +-5278 945 +-2377 -2109 +-3649 -5556 +-1448 -4096 +47 608 +151 1799 +4774 -1220 +300 1578 +621 -396 +-1312 -3474 +-223 -2381 +5120 6144 +-326 488 +-1180 -4922 +1433 3786 +300 -470 +-2246 -224 +-4431 350 +-4513 -839 +1448 4096 +4331 4791 +-335 -660 +1182 814 +1748 2362 +2039 -4098 +3154 195 +1129 -233 +1024 0 +1129 233 +3154 -195 +2039 4098 +1748 -2362 +1182 -814 +-335 660 +4331 -4791 +1448 -4096 +-4513 839 +-4431 -350 +-2246 224 +300 470 +1433 -3786 +-1180 4922 +-326 -488 +5120 -6144 +-223 2381 +-1312 3474 +621 396 +300 -1578 +4774 1220 +151 -1799 +47 -608 +-1448 4096 +-3649 5556 +-2377 2109 +-5278 -945 +1748 -314 +-2526 -4432 +-1861 1253 +3205 3310 +4944 2048 +-1579 4128 +-989 -1504 +-407 -1249 +-1434 -600 +-2650 -551 +-8 3322 +3814 -2205 +-1024 0 +3814 2205 +-8 -3322 +-2650 551 +-1434 600 +-407 1249 +-989 1504 +-1579 -4128 +4944 -2048 +-7 -789 +1849 760 +-1352 2356 +-1878 -3496 +792 -6277 +-4186 -535 +3136 5746 +-1024 4096 +588 4477 +4342 4985 +-2211 688 +-770 3496 +-691 4148 +-585 -327 +788 -938 +-848 2048 +-1657 837 +3821 -3679 +1770 586 +-4110 -600 +-1044 -733 +3948 6295 +709 257 +-5120 0 +709 -257 +3948 -6295 +-1044 733 +-4110 600 +1770 -586 +3821 3679 +-1657 -837 +-848 -2048 +788 938 +-585 327 +-691 -4148 +-770 -3496 +-2211 -688 +4342 -4985 +588 -4477 +-1024 -4096 +3136 -5746 +-4186 535 +792 6277 +-1878 3496 +-1352 -2356 +1849 -760 +-7 789 +4944 2048 +-1579 4128 +-989 -1504 +-407 -1249 +-1434 -600 +-2650 -551 +-8 3322 +3814 -2205 +2472 1024 +-4393 1091 +2367 -2804 +5578 -880 +-2676 4314 +1886 4235 +3954 -713 +740 -3877 +3072 0 +740 3877 +3954 713 +1886 -4235 +-2676 -4314 +5578 880 +2367 2804 +-4393 -1091 +2472 -1024 +5455 -4431 +-1939 -2407 +-3042 -1584 +1108 86 +-2341 7136 +374 2195 +1942 3331 +-3072 2048 +13 1670 +-1602 1751 +-3395 -4385 +-1108 -3411 +-819 -1608 +1599 -175 +-353 -1555 +-424 1024 +-2276 -562 +-4923 2139 +2067 104 +2676 -3714 +66 3268 +170 -2628 +-1127 3263 +-1024 0 +-1127 -3263 +170 2628 +66 -3268 +2676 3714 +2067 -104 +-4923 -2139 +-2276 562 +-424 -1024 +-353 1555 +1599 175 +-819 1608 +-1108 3411 +-3395 4385 +-1602 -1751 +13 -1670 +-3072 -2048 +1942 -3331 +374 -2195 +-2341 -7136 +1108 -86 +-3042 1584 +-1939 2407 +5455 4431 +2472 1024 +-4393 1091 +2367 -2804 +5578 -880 +-2676 4314 +1886 4235 +3954 -713 +740 -3877 +724 2772 +634 -1427 +-2052 200 +1594 3993 +406 -1286 +2731 -4327 +-720 851 +-2438 826 +6144 0 +-2438 -826 +-720 -851 +2731 4327 +406 1286 +1594 -3993 +-2052 -200 +634 1427 +724 -2772 +-3584 735 +-4940 1004 +-3134 -1427 +-4272 3732 +-2528 -446 +-2742 -569 +-1114 59 +3072 -3072 +1238 1463 +5314 -569 +-1350 -194 +-1272 836 +3372 1209 +-2524 1004 +-1224 -857 +-724 1324 +1038 -4261 +3723 -200 +5736 2738 +-3054 -1610 +-6422 483 +3941 -851 +5449 4674 +4096 0 +5449 -4674 +3941 851 +-6422 -483 +-3054 1610 +5736 -2738 +3723 200 +1038 4261 +-724 -1324 +-1224 857 +-2524 -1004 +3372 -1209 +-1272 -836 +-1350 194 +5314 569 +1238 -1463 +3072 3072 +-1114 -59 +-2742 569 +-2528 446 +-4272 -3732 +-3134 1427 +-4940 -1004 +-3584 -735 +724 2772 +634 -1427 +-2052 200 +1594 3993 +406 -1286 +2731 -4327 +-720 851 +-2438 826 +2896 1448 +-791 2807 +-7825 1911 +4044 -3204 +384 -2786 +1031 -1578 +5221 4331 +1808 3106 +5120 0 +1808 -3106 +5221 -4331 +1031 1578 +384 2786 +4044 3204 +-7825 -1911 +-791 -2807 +2896 -1448 +517 867 +3635 -518 +-1360 -4535 +3400 2002 +2200 2363 +-4295 -4 +-446 -2221 +-1024 0 +-5996 1322 +-1840 2672 +2140 4364 +-1952 -894 +1016 1993 +474 590 +-3507 -2659 +-2896 -1448 +-2012 2196 +3716 -803 +2093 -5066 +-1832 -110 +422 -3029 +915 -1656 +-1158 774 +-3072 0 +-1158 -774 +915 1656 +422 3029 +-1832 110 +2093 5066 +3716 803 +-2012 -2196 +-2896 1448 +-3507 2659 +474 -590 +1016 -1993 +-1952 894 +2140 -4364 +-1840 -2672 +-5996 -1322 +-1024 0 +-446 2221 +-4295 4 +2200 -2363 +3400 -2002 +-1360 4535 +3635 518 +517 -867 +2896 1448 +-791 2807 +-7825 1911 +4044 -3204 +384 -2786 +1031 -1578 +5221 4331 +1808 3106 +724 724 +-504 -4450 +300 -1511 +1441 239 +-802 2479 +1928 1388 +3625 -5985 +593 -3136 +4096 0 +593 3136 +3625 5985 +1928 -1388 +-802 -2479 +1441 -239 +300 1511 +-504 4450 +724 -724 +2752 2317 +-5453 4892 +214 -3069 +92 596 +-627 1575 +6884 -4126 +-3129 -518 +-3072 1024 +-1031 -1837 +293 -2825 +-1366 1930 +-692 5540 +4987 -871 +1265 -2649 +3119 -46 +-724 -724 +-1582 519 +-705 -734 +-5074 -1826 +-2694 -1630 +-1502 -4818 +1983 -3803 +-217 250 +-6144 0 +-217 -250 +1983 3803 +-1502 4818 +-2694 1630 +-5074 1826 +-705 734 +-1582 -519 +-724 724 +3119 46 +1265 2649 +4987 871 +-692 -5540 +-1366 -1930 +293 2825 +-1031 1837 +-3072 -1024 +-3129 518 +6884 4126 +-627 -1575 +92 -596 +214 3069 +-5453 -4892 +2752 -2317 +724 724 +-504 -4450 +300 -1511 +1441 239 +-802 2479 +1928 1388 +3625 -5985 +593 -3136 +724 -300 +-127 2100 +1304 2850 +1794 1100 +491 2140 +2153 -2816 +1441 -5927 +-1740 1414 +-7168 0 +-1740 -1414 +1441 5927 +2153 2816 +491 -2140 +1794 -1100 +1304 -2850 +-127 -2100 +724 300 +-1975 2259 +4449 2921 +-7006 -1580 +-7986 -646 +-32 3355 +-5064 -1221 +900 -4643 +-2048 -1024 +851 2969 +91 2431 +-2586 -435 +3042 -1246 +358 -2097 +3052 1057 +1786 4490 +-724 -1748 +1883 -3653 +3980 582 +1069 -49 +357 1356 +4249 594 +-1061 -1510 +-1579 2414 +3072 0 +-1579 -2414 +-1061 1510 +4249 -594 +357 -1356 +1069 49 +3980 -582 +1883 3653 +-724 1748 +1786 -4490 +3052 -1057 +358 2097 +3042 1246 +-2586 435 +91 -2431 +851 -2969 +-2048 1024 +900 4643 +-5064 1221 +-32 -3355 +-7986 646 +-7006 1580 +4449 -2921 +-1975 -2259 +724 -300 +-127 2100 +1304 2850 +1794 1100 +491 2140 +2153 -2816 +1441 -5927 +-1740 1414 +-300 -1748 +173 -1744 +783 -2346 +-459 -2752 +1018 3718 +3811 -1916 +-3249 -4500 +-3286 -2133 +2048 0 +-3286 2133 +-3249 4500 +3811 1916 +1018 -3718 +-459 2752 +783 2346 +173 1744 +-300 1748 +-2265 -869 +-634 -523 +-2369 869 +4 1716 +443 -4138 +-4547 -3928 +-2111 -4363 +-3072 -1024 +2604 1748 +-177 861 +-4821 2453 +6140 -932 +2628 1035 +-306 1589 +-3289 1271 +-1748 -300 +5382 749 +-3940 -1334 +200 -4650 +5126 1826 +567 939 +3876 3496 +2793 5109 +0 0 +2793 -5109 +3876 -3496 +567 -939 +5126 -1826 +200 4650 +-3940 1334 +5382 -749 +-1748 300 +-3289 -1271 +-306 -1589 +2628 -1035 +6140 932 +-4821 -2453 +-177 -861 +2604 -1748 +-3072 1024 +-2111 4363 +-4547 3928 +443 4138 +4 -1716 +-2369 -869 +-634 523 +-2265 869 +-300 -1748 +173 -1744 +783 -2346 +-459 -2752 +1018 3718 +3811 -1916 +-3249 -4500 +-3286 -2133 +-3920 -2472 +-691 -537 +-4380 -660 +-9065 1946 +1918 -3060 +3813 -253 +3272 -2175 +2644 821 +-3072 0 +2644 -821 +3272 2175 +3813 253 +1918 3060 +-9065 -1946 +-4380 660 +-691 537 +-3920 2472 +-2303 3306 +1361 -3147 +4081 135 +-1762 -2292 +-521 1267 +1378 -682 +-3813 -924 +-1024 2048 +-2280 -5902 +-1157 -1726 +3474 3255 +914 -843 +577 -2461 +-3149 2582 +-4098 92 +1872 424 +1978 1475 +375 -3501 +1952 4667 +3026 4508 +1481 -2535 +2300 -566 +2769 752 +1024 0 +2769 -752 +2300 566 +1481 2535 +3026 -4508 +1952 -4667 +375 3501 +1978 -1475 +1872 -424 +-4098 -92 +-3149 -2582 +577 2461 +914 843 +3474 -3255 +-1157 1726 +-2280 5902 +-1024 -2048 +-3813 924 +1378 682 +-521 -1267 +-1762 2292 +4081 -135 +1361 3147 +-2303 -3306 +-3920 -2472 +-691 -537 +-4380 -660 +-9065 1946 +1918 -3060 +3813 -253 +3272 -2175 +2644 821 +300 -1748 +-5136 -2482 +-1321 1661 +-1434 -2583 +-2200 332 +2917 92 +2522 2432 +1647 2844 +0 0 +1647 -2844 +2522 -2432 +2917 -92 +-2200 -332 +-1434 2583 +-1321 -1661 +-5136 2482 +300 1748 +-784 -9412 +-753 -2454 +-2350 -771 +1814 222 +7071 420 +1472 -4335 +-554 -1050 +-1024 -3072 +-780 -3887 +-364 -2923 +1267 2651 +-3862 1670 +-1248 -5223 +3429 2594 +266 471 +1748 -300 +-1676 5373 +-1354 -708 +-2776 -669 +152 1116 +2345 940 +-3630 3076 +1224 1311 +6144 0 +1224 -1311 +-3630 -3076 +2345 -940 +152 -1116 +-2776 669 +-1354 708 +-1676 -5373 +1748 300 +266 -471 +3429 -2594 +-1248 5223 +-3862 -1670 +1267 -2651 +-364 2923 +-780 3887 +-1024 3072 +-554 1050 +1472 4335 +7071 -420 +1814 -222 +-2350 771 +-753 2454 +-784 9412 +300 -1748 +-5136 -2482 +-1321 1661 +-1434 -2583 +-2200 332 +2917 92 +2522 2432 +1647 2844 +4220 -3620 +-3598 1773 +-3445 869 +-745 269 +-2584 -1416 +-2128 -3846 +23 -1548 +-219 -597 +-2048 0 +-219 597 +23 1548 +-2128 3846 +-2584 1416 +-745 -269 +-3445 -869 +-3598 -1773 +4220 3620 +-3706 -2187 +-7118 -552 +2863 5722 +-1586 -78 +1160 -975 +6645 -2752 +2705 -1342 +3072 -3072 +-252 -3337 +1159 744 +2775 4834 +-1910 1970 +-1061 -5959 +-227 -1151 +765 704 +-124 3620 +-142 1486 +2101 -269 +272 -1173 +1984 -632 +2657 6446 +861 -1948 +-1346 1369 +-4096 0 +-1346 -1369 +861 1948 +2657 -6446 +1984 632 +272 1173 +2101 269 +-142 -1486 +-124 -3620 +765 -704 +-227 1151 +-1061 5959 +-1910 -1970 +2775 -4834 +1159 -744 +-252 3337 +3072 3072 +2705 1342 +6645 2752 +1160 975 +-1586 78 +2863 -5722 +-7118 552 +-3706 2187 +4220 -3620 +-3598 1773 +-3445 869 +-745 269 +-2584 -1416 +-2128 -3846 +23 -1548 +-219 -597 +-1448 4520 +-662 -373 +-839 -2098 +-2053 -850 +2362 -2716 +3100 -406 +2533 -826 +1012 786 +0 0 +1012 -786 +2533 826 +3100 406 +2362 2716 +-2053 850 +-839 2098 +-662 373 +-1448 -4520 +3131 1287 +-682 -1744 +-2929 -196 +470 6980 +1202 1691 +-6551 3075 +-2668 2167 +4096 -2048 +-1204 -1428 +-3405 -1465 +-8373 2075 +1578 588 +5650 2597 +-377 4584 +1172 -1742 +1448 1624 +2360 1127 +698 -2663 +6251 2075 +-314 419 +-2848 -777 +431 1582 +-3141 -597 +0 0 +-3141 597 +431 -1582 +-2848 777 +-314 -419 +6251 -2075 +698 2663 +2360 -1127 +1448 -1624 +1172 1742 +-377 -4584 +5650 -2597 +1578 -588 +-8373 -2075 +-3405 1465 +-1204 1428 +4096 2048 +-2668 -2167 +-6551 -3075 +1202 -1691 +470 -6980 +-2929 196 +-682 1744 +3131 -1287 +-1448 4520 +-662 -373 +-839 -2098 +-2053 -850 +2362 -2716 +3100 -406 +2533 -826 +1012 786 +-1448 -2472 +180 2896 +884 -3497 +-4190 783 +-1799 964 +829 -4656 +-3202 892 +-3587 -3756 +0 0 +-3587 3756 +-3202 -892 +829 4656 +-1799 -964 +-4190 -783 +884 3497 +180 -2896 +-1448 2472 +748 -241 +-2242 -6063 +-683 612 +-574 2192 +1268 3091 +1061 3144 +2619 -135 +4096 -2048 +-1484 -1401 +-721 -2244 +-22 -3818 +4319 1592 +-2228 529 +-1882 -4680 +6263 -2253 +1448 424 +2625 3652 +344 3681 +-1796 1719 +-6042 2532 +1029 2731 +5758 712 +-1571 -2935 +0 0 +-1571 2935 +5758 -712 +1029 -2731 +-6042 -2532 +-1796 -1719 +344 -3681 +2625 -3652 +1448 -424 +6263 2253 +-1882 4680 +-2228 -529 +4319 -1592 +-22 3818 +-721 2244 +-1484 1401 +4096 2048 +2619 135 +1061 -3144 +1268 -3091 +-574 -2192 +-683 -612 +-2242 6063 +748 241 +-1448 -2472 +180 2896 +884 -3497 +-4190 783 +-1799 964 +829 -4656 +-3202 892 +-3587 -3756 +-300 724 +4602 669 +1016 -1934 +-1686 2335 +-3478 3243 +-2447 -1536 +-4021 -517 +-3632 -2597 +5120 0 +-3632 2597 +-4021 517 +-2447 1536 +-3478 -3243 +-1686 -2335 +1016 1934 +4602 -669 +-300 -724 +730 -107 +-2131 1640 +2607 -792 +1200 -6001 +-4066 -4296 +714 195 +-3829 646 +2048 -3072 +2411 1418 +70 3351 +829 1046 +-1800 6784 +1916 532 +239 -3084 +633 4039 +-1748 -724 +-6645 -3074 +876 1306 +5411 3764 +-18 1350 +3228 -2330 +3237 -423 +-63 -782 +3072 0 +-63 782 +3237 423 +3228 2330 +-18 -1350 +5411 -3764 +876 -1306 +-6645 3074 +-1748 724 +633 -4039 +239 3084 +1916 -532 +-1800 -6784 +829 -1046 +70 -3351 +2411 -1418 +2048 3072 +-3829 -646 +714 -195 +-4066 4296 +1200 6001 +2607 792 +-2131 -1640 +730 107 +-300 724 +4602 669 +1016 -1934 +-1686 2335 +-3478 3243 +-2447 -1536 +-4021 -517 +-3632 -2597 +-1324 724 +-68 1346 +-39 -554 +-163 1251 +-5500 4397 +-4882 -4953 +-35 -4525 +-344 -2430 +2048 0 +-344 2430 +-35 4525 +-4882 4953 +-5500 -4397 +-163 -1251 +-39 554 +-68 -1346 +-1324 -724 +467 -4962 +1117 -1267 +2643 -555 +-3393 -1167 +-859 -532 +6250 2073 +766 879 +1024 -5120 +-825 1351 +-2310 -863 +-2127 -990 +-2151 4626 +-3118 2714 +1715 5245 +4098 -2504 +-2772 -724 +616 6225 +1303 -2822 +3093 -437 +2852 1396 +-380 -3754 +191 -108 +1083 2223 +4096 0 +1083 -2223 +191 108 +-380 3754 +2852 -1396 +3093 437 +1303 2822 +616 -6225 +-2772 724 +4098 2504 +1715 -5245 +-3118 -2714 +-2151 -4626 +-2127 990 +-2310 863 +-825 -1351 +1024 5120 +766 -879 +6250 -2073 +-859 532 +-3393 1167 +2643 555 +1117 1267 +467 4962 +-1324 724 +-68 1346 +-39 -554 +-163 1251 +-5500 4397 +-4882 -4953 +-35 -4525 +-344 -2430 +3072 1448 +-33 2311 +3245 3136 +-3088 -456 +1423 -2602 +-247 838 +-5976 2383 +1082 833 +0 0 +1082 -833 +-5976 -2383 +-247 -838 +1423 2602 +-3088 456 +3245 -3136 +-33 -2311 +3072 -1448 +2026 1505 +1221 3523 +-2763 -2284 +-4268 -3386 +-907 880 +-3511 -2417 +4217 -3904 +2048 0 +123 -452 +459 -1529 +-1895 -917 +4868 710 +3413 2400 +411 -941 +4111 -2134 +3072 -1448 +-311 -5951 +-3180 -1807 +-3355 5140 +2073 -1494 +-2743 -2635 +-860 4298 +371 2619 +-8192 0 +371 -2619 +-860 -4298 +-2743 2635 +2073 1494 +-3355 -5140 +-3180 1807 +-311 5951 +3072 1448 +4111 2134 +411 941 +3413 -2400 +4868 -710 +-1895 917 +459 1529 +123 452 +2048 0 +4217 3904 +-3511 2417 +-907 -880 +-4268 3386 +-2763 2284 +1221 -3523 +2026 -1505 +3072 1448 +-33 2311 +3245 3136 +-3088 -456 +1423 -2602 +-247 838 +-5976 2383 +1082 833 +5968 -1024 +4195 -2832 +3625 -2739 +-4073 903 +-2916 -2950 +1157 -3033 +-3769 -419 +2351 2404 +7168 0 +2351 -2404 +-3769 419 +1157 3033 +-2916 2950 +-4073 -903 +3625 2739 +4195 2832 +5968 1024 +-1441 2062 +-1561 -231 +-838 1118 +-1808 -3186 +1702 40 +-3239 2989 +-4168 -2866 +-1024 2048 +-402 1384 +-1874 157 +202 4242 +-240 1159 +-2220 -2850 +-894 -75 +1484 1698 +176 -1024 +1794 1268 +4623 -1201 +-3334 2122 +868 7294 +1612 243 +3089 1683 +1980 3045 +-9216 0 +1980 -3045 +3089 -1683 +1612 -243 +868 -7294 +-3334 -2122 +4623 1201 +1794 -1268 +176 1024 +1484 -1698 +-894 75 +-2220 2850 +-240 -1159 +202 -4242 +-1874 -157 +-402 -1384 +-1024 -2048 +-4168 2866 +-3239 -2989 +1702 -40 +-1808 3186 +-838 -1118 +-1561 231 +-1441 -2062 +5968 -1024 +4195 -2832 +3625 -2739 +-4073 903 +-2916 -2950 +1157 -3033 +-3769 -419 +2351 2404 +-424 424 +4752 -1718 +2417 -4418 +900 2036 +1578 -3097 +-2969 1162 +-1807 3301 +-1053 -4798 +-3072 0 +-1053 4798 +-1807 -3301 +-2969 -1162 +1578 3097 +900 -2036 +2417 4418 +4752 1718 +-424 -424 +-1586 2670 +-2383 345 +2098 -2249 +2362 -5892 +-1551 -563 +3523 -438 +-238 -514 +-3072 -2048 +-355 -879 +941 3411 +235 -3588 +-314 -3244 +1469 1953 +-4298 1829 +-1548 -2779 +2472 -2472 +1279 -2532 +-1529 -3986 +-7363 -4826 +470 -2447 +1388 2805 +3136 -1149 +4543 3233 +-3072 0 +4543 -3233 +3136 1149 +1388 -2805 +470 2447 +-7363 4826 +-1529 3986 +1279 2532 +2472 2472 +-1548 2779 +-4298 -1829 +1469 -1953 +-314 3244 +235 3588 +941 -3411 +-355 879 +-3072 2048 +-238 514 +3523 438 +-1551 563 +2362 5892 +2098 2249 +-2383 -345 +-1586 -2670 +-424 424 +4752 -1718 +2417 -4418 +900 2036 +1578 -3097 +-2969 1162 +-1807 3301 +-1053 -4798 +1448 -4520 +2963 189 +5240 810 +-244 138 +-894 1168 +-4139 -704 +-2778 2178 +3236 -4594 +0 0 +3236 4594 +-2778 -2178 +-4139 704 +-894 -1168 +-244 -138 +5240 -810 +2963 -189 +1448 4520 +-495 2091 +204 2829 +4171 -427 +2786 1508 +-3090 -638 +81 -6862 +1755 -997 +0 -2048 +2013 -652 +-3198 382 +3905 -3085 +110 60 +-6329 3630 +4480 4263 +2088 -1095 +-1448 -1624 +1236 721 +1661 623 +-3391 -177 +-2002 -2616 +-2469 2352 +-5690 5066 +-1210 843 +0 0 +-1210 -843 +-5690 -5066 +-2469 -2352 +-2002 2616 +-3391 177 +1661 -623 +1236 -721 +-1448 1624 +2088 1095 +4480 -4263 +-6329 -3630 +110 -60 +3905 3085 +-3198 -382 +2013 652 +0 2048 +1755 997 +81 6862 +-3090 638 +2786 -1508 +4171 427 +204 -2829 +-495 -2091 +1448 -4520 +2963 189 +5240 810 +-244 138 +-894 1168 +-4139 -704 +-2778 2178 +3236 -4594 +-3320 0 +-6297 -1949 +-4600 1455 +750 996 +280 130 +640 3704 +4878 -342 +1481 -4694 +-6144 0 +1481 4694 +4878 342 +640 -3704 +280 -130 +750 -996 +-4600 -1455 +-6297 1949 +-3320 0 +-5595 -2661 +1237 -5527 +2884 -2152 +-2956 3810 +14 -581 +1513 -185 +-2108 744 +2048 -2048 +2077 3945 +-849 1722 +2957 -4284 +-1388 -1134 +2345 415 +-793 2381 +-731 894 +5368 0 +-2305 5817 +1260 3557 +462 -957 +4064 -978 +1532 -1743 +-2646 -647 +1893 16 +-2048 0 +1893 -16 +-2646 647 +1532 1743 +4064 978 +462 957 +1260 -3557 +-2305 -5817 +5368 0 +-731 -894 +-793 -2381 +2345 -415 +-1388 1134 +2957 4284 +-849 -1722 +2077 -3945 +2048 2048 +-2108 -744 +1513 185 +14 581 +-2956 -3810 +2884 2152 +1237 5527 +-5595 2661 +-3320 0 +-6297 -1949 +-4600 1455 +750 996 +280 130 +640 3704 +4878 -342 +1481 -4694 +1924 -4220 +1434 -1832 +-2690 4120 +-4679 986 +1670 -1730 +-1005 637 +-1900 2985 +-1729 -241 +-4096 0 +-1729 241 +-1900 -2985 +-1005 -637 +1670 1730 +-4679 -986 +-2690 -4120 +1434 1832 +1924 4220 +1671 -1596 +-3909 576 +1309 68 +-332 1500 +-4560 2707 +-2341 -4657 +-1072 -800 +1024 1024 +5122 -1377 +7757 67 +-2308 -2453 +-1116 1500 +442 2254 +-1360 -364 +4220 1155 +6268 124 +3140 1687 +-1930 -963 +3168 -1696 +-222 1730 +1841 -2901 +-1820 -2357 +-6994 2560 +2048 0 +-6994 -2560 +-1820 2357 +1841 2901 +-222 -1730 +3168 1696 +-1930 963 +3140 -1687 +6268 -124 +4220 -1155 +-1360 364 +442 -2254 +-1116 -1500 +-2308 2453 +7757 -67 +5122 1377 +1024 -1024 +-1072 800 +-2341 4657 +-4560 -2707 +-332 -1500 +1309 -68 +-3909 -576 +1671 1596 +1924 -4220 +1434 -1832 +-2690 4120 +-4679 986 +1670 -1730 +-1005 637 +-1900 2985 +-1729 -241 +1448 -1872 +611 3078 +3549 136 +1174 -5118 +-1468 -5918 +3592 -985 +-386 4123 +-3454 2940 +0 0 +-3454 -2940 +-386 -4123 +3592 985 +-1468 5918 +1174 5118 +3549 -136 +611 -3078 +1448 1872 +528 1950 +1611 601 +1734 -3130 +-3256 98 +-2457 2920 +-2365 -1604 +-2668 2785 +2048 -2048 +130 4372 +-191 3472 +3695 -5207 +-1688 4794 +-5325 3026 +-2839 1746 +-2729 362 +-1448 3920 +262 318 +575 -5984 +1528 2714 +2316 -1674 +1851 -1717 +46 2153 +1528 1232 +4096 0 +1528 -1232 +46 -2153 +1851 1717 +2316 1674 +1528 -2714 +575 5984 +262 -318 +-1448 -3920 +-2729 -362 +-2839 -1746 +-5325 -3026 +-1688 -4794 +3695 5207 +-191 -3472 +130 -4372 +2048 2048 +-2668 -2785 +-2365 1604 +-2457 -2920 +-3256 -98 +1734 3130 +1611 -601 +528 -1950 +1448 -1872 +611 3078 +3549 136 +1174 -5118 +-1468 -5918 +3592 -985 +-386 4123 +-3454 2940 +2472 424 +-2640 1904 +-176 185 +1930 -1871 +978 1988 +149 2921 +1642 3610 +-2586 -3246 +-7168 0 +-2586 3246 +1642 -3610 +149 -2921 +978 -1988 +1930 1871 +-176 -185 +-2640 -1904 +2472 -424 +3603 -522 +-4112 -1134 +2536 1631 +-1134 3216 +-7122 -2851 +788 180 +-6493 673 +-3072 2048 +3949 469 +151 -2024 +-875 3672 +-3810 568 +1809 863 +3484 2178 +1650 1250 +-424 -2472 +-396 -5483 +4900 -5064 +-924 -1114 +-130 3556 +2496 1385 +1515 2378 +2914 -2309 +1024 0 +2914 2309 +1515 -2378 +2496 -1385 +-130 -3556 +-924 1114 +4900 5064 +-396 5483 +-424 2472 +1650 -1250 +3484 -2178 +1809 -863 +-3810 -568 +-875 -3672 +151 2024 +3949 -469 +-3072 -2048 +-6493 -673 +788 -180 +-7122 2851 +-1134 -3216 +2536 -1631 +-4112 1134 +3603 522 +2472 424 +-2640 1904 +-176 185 +1930 -1871 +978 1988 +149 2921 +1642 3610 +-2586 -3246 +-176 2896 +-1312 -608 +973 -2957 +2194 691 +3086 -3156 +258 2513 +2982 1255 +1792 -2650 +-4096 0 +1792 2650 +2982 -1255 +258 -2513 +3086 3156 +2194 -691 +973 2957 +-1312 608 +-176 -2896 +2019 -2385 +-2171 -928 +-187 1124 +-254 -4724 +-750 186 +5058 -78 +-1392 2130 +-4096 0 +2101 -4052 +1779 1489 +4564 -4466 +854 -628 +-4192 2231 +2106 2857 +-1119 2261 +-5968 -2896 +-1805 3038 +-2605 6741 +-3167 5768 +410 -940 +1280 -1812 +70 312 +-284 -5749 +0 0 +-284 5749 +70 -312 +1280 1812 +410 940 +-3167 -5768 +-2605 -6741 +-1805 -3038 +-5968 2896 +-1119 -2261 +2106 -2857 +-4192 -2231 +854 628 +4564 4466 +1779 -1489 +2101 4052 +-4096 0 +-1392 -2130 +5058 78 +-750 -186 +-254 4724 +-187 -1124 +-2171 928 +2019 2385 +-176 2896 +-1312 -608 +973 -2957 +2194 691 +3086 -3156 +258 2513 +2982 1255 +1792 -2650 +-424 3496 +550 940 +5216 -3062 +3902 1269 +-1168 4410 +-4636 120 +-4356 -1741 +-3613 1177 +-4096 0 +-3613 -1177 +-4356 1741 +-4636 -120 +-1168 -4410 +3902 -1269 +5216 3062 +550 -940 +-424 -3496 +2177 2028 +-316 -4157 +-1399 -2848 +-1508 2518 +-2536 -335 +1111 1520 +1622 -2540 +0 0 +286 -1577 +-1776 1860 +732 1856 +60 -3626 +-6128 7212 +-128 -33 +5491 -1206 +2472 600 +1359 -1645 +-1876 4289 +-600 911 +2616 1734 +-921 2383 +2124 -815 +3714 -5877 +0 0 +3714 5877 +2124 815 +-921 -2383 +2616 -1734 +-600 -911 +-1876 -4289 +1359 1645 +2472 -600 +5491 1206 +-128 33 +-6128 -7212 +60 3626 +732 -1856 +-1776 -1860 +286 1577 +0 0 +1622 2540 +1111 -1520 +-2536 335 +-1508 -2518 +-1399 2848 +-316 4157 +2177 -2028 +-424 3496 +550 940 +5216 -3062 +3902 1269 +-1168 4410 +-4636 120 +-4356 -1741 +-3613 1177 +-6268 2596 +1727 -1575 +2198 -4344 +3580 -2729 +-972 1356 +-2200 427 +1069 3047 +-1257 3043 +1024 0 +-1257 -3043 +1069 -3047 +-2200 -427 +-972 -1356 +3580 2729 +2198 4344 +1727 1575 +-6268 -2596 +-718 -2601 +2102 1334 +-1949 -778 +2154 1246 +1463 1795 +-1889 932 +244 -1579 +2048 -1024 +-832 4589 +-187 -1152 +-1509 2146 +-1306 646 +-978 -3447 +2502 6982 +3481 -1202 +-1924 -4644 +-705 4540 +190 4696 +915 3844 +-3972 2140 +678 3708 +2207 5956 +-1938 4693 +3072 0 +-1938 -4693 +2207 -5956 +678 -3708 +-3972 -2140 +915 -3844 +190 -4696 +-705 -4540 +-1924 4644 +3481 1202 +2502 -6982 +-978 3447 +-1306 -646 +-1509 -2146 +-187 1152 +-832 -4589 +2048 1024 +244 1579 +-1889 -932 +1463 -1795 +2154 -1246 +-1949 778 +2102 -1334 +-718 2601 +-6268 2596 +1727 -1575 +2198 -4344 +3580 -2729 +-972 1356 +-2200 427 +1069 3047 +-1257 3043 +-848 -4944 +-2305 -5235 +-1545 812 +-6788 -2192 +3954 -444 +3034 4895 +-3490 361 +885 2250 +-3072 0 +885 -2250 +-3490 -361 +3034 -4895 +3954 444 +-6788 2192 +-1545 -812 +-2305 5235 +-848 4944 +1547 2253 +3031 947 +-856 -1647 +-495 -2232 +3773 987 +2403 4544 +2701 1113 +-3072 0 +416 -447 +3274 -2136 +605 1480 +-954 664 +-682 -2676 +-680 -382 +-2256 4900 +4944 848 +797 -4223 +-806 3652 +2975 4818 +-2506 3340 +-2061 2646 +-2187 -1249 +-1785 3862 +1024 0 +-1785 -3862 +-2187 1249 +-2061 -2646 +-2506 -3340 +2975 -4818 +-806 -3652 +797 4223 +4944 -848 +-2256 -4900 +-680 382 +-682 2676 +-954 -664 +605 -1480 +3274 2136 +416 447 +-3072 0 +2701 -1113 +2403 -4544 +3773 -987 +-495 2232 +-856 1647 +3031 -947 +1547 -2253 +-848 -4944 +-2305 -5235 +-1545 812 +-6788 -2192 +3954 -444 +3034 4895 +-3490 361 +885 2250 +-2172 -1748 +579 675 +-1670 873 +5810 -2837 +2264 1800 +-3918 934 +2574 3862 +1571 -310 +-3072 0 +1571 310 +2574 -3862 +-3918 -934 +2264 -1800 +5810 2837 +-1670 -873 +579 -675 +-2172 1748 +-1857 1807 +6464 308 +320 -3274 +-4866 3478 +-2993 -1866 +983 1043 +2707 5832 +-2048 5120 +-2034 4607 +-1951 -3718 +-2939 1729 +-2974 -18 +-2610 -2520 +816 4848 +1515 2990 +2172 -300 +413 -1059 +1382 -1629 +5616 -193 +1480 -1200 +714 -1123 +-407 2465 +-2893 -2482 +-1024 0 +-2893 2482 +-407 -2465 +714 1123 +1480 1200 +5616 193 +1382 1629 +413 1059 +2172 300 +1515 -2990 +816 -4848 +-2610 2520 +-2974 18 +-2939 -1729 +-1951 3718 +-2034 -4607 +-2048 -5120 +2707 -5832 +983 -1043 +-2993 1866 +-4866 -3478 +320 3274 +6464 -308 +-1857 -1807 +-2172 -1748 +579 675 +-1670 873 +5810 -2837 +2264 1800 +-3918 934 +2574 3862 +1571 -310 +5668 -5244 +3712 3381 +-399 5457 +3102 -2767 +3262 -2694 +2343 176 +814 1095 +-2425 -3951 +-3072 0 +-2425 3951 +814 -1095 +2343 -176 +3262 2694 +3102 2767 +-399 -5457 +3712 -3381 +5668 5244 +1820 227 +2130 -3627 +-1658 424 +-1297 -692 +1959 852 +-3066 4144 +-2469 -1024 +0 1024 +-1122 -722 +-1058 -2573 +-3885 -2273 +-3648 -92 +-543 4126 +427 -1355 +-582 -218 +-1572 -900 +1731 1599 +739 1407 +-2229 1484 +-2414 -802 +-4881 -5885 +414 4972 +5128 -4308 +3072 0 +5128 4308 +414 -4972 +-4881 5885 +-2414 802 +-2229 -1484 +739 -1407 +1731 -1599 +-1572 900 +-582 218 +427 1355 +-543 -4126 +-3648 92 +-3885 2273 +-1058 2573 +-1122 722 +0 -1024 +-2469 1024 +-3066 -4144 +1959 -852 +-1297 692 +-1658 -424 +2130 3627 +1820 -227 +5668 -5244 +3712 3381 +-399 5457 +3102 -2767 +3262 -2694 +2343 176 +814 1095 +-2425 -3951 +4220 -124 +-3881 747 +948 -1446 +2250 -3230 +-2250 1933 +732 -392 +1567 -2497 +1544 -1170 +0 0 +1544 1170 +1567 2497 +732 392 +-2250 -1933 +2250 3230 +948 1446 +-3881 -747 +4220 124 +583 -2845 +-865 896 +1929 3336 +1540 -2184 +-99 -5378 +-1151 -771 +-2052 1188 +-5120 1024 +2491 795 +6539 677 +3873 2328 +756 -3033 +3729 1343 +2249 2344 +-2131 -1827 +-124 4220 +-4148 -1297 +-1132 -2 +-3683 -2547 +-4142 -6878 +2855 4314 +37 1049 +-3992 -790 +-6144 0 +-3992 790 +37 -1049 +2855 -4314 +-4142 6878 +-3683 2547 +-1132 2 +-4148 1297 +-124 -4220 +-2131 1827 +2249 -2344 +3729 -1343 +756 3033 +3873 -2328 +6539 -677 +2491 -795 +-5120 -1024 +-2052 -1188 +-1151 771 +-99 5378 +1540 2184 +1929 -3336 +-865 -896 +583 2845 +4220 -124 +-3881 747 +948 -1446 +2250 -3230 +-2250 1933 +732 -392 +1567 -2497 +1544 -1170 +-3072 2472 +1880 3895 +4969 2345 +1390 -2273 +1808 -1278 +-1166 2550 +-1879 1626 +-2009 -6879 +-5120 0 +-2009 6879 +-1879 -1626 +-1166 -2550 +1808 1278 +1390 2273 +4969 -2345 +1880 -3895 +-3072 -2472 +-477 -97 +-989 1906 +202 1644 +-868 -614 +-1790 -4526 +1502 -2225 +-3509 1461 +-1024 0 +4545 -4802 +325 555 +4202 -453 +2916 -2062 +-2181 1644 +4825 -3342 +2415 1297 +-3072 -424 +1534 -284 +1084 -1799 +-1627 -3078 +240 -170 +971 -3829 +-1645 6947 +-4378 2833 +-5120 0 +-4378 -2833 +-1645 -6947 +971 3829 +240 170 +-1627 3078 +1084 1799 +1534 284 +-3072 424 +2415 -1297 +4825 3342 +-2181 -1644 +2916 2062 +4202 453 +325 -555 +4545 4802 +-1024 0 +-3509 -1461 +1502 2225 +-1790 4526 +-868 614 +202 -1644 +-989 -1906 +-477 97 +-3072 2472 +1880 3895 +4969 2345 +1390 -2273 +1808 -1278 +-1166 2550 +-1879 1626 +-2009 -6879 +-2172 300 +-2837 1250 +-1371 874 +2418 4652 +-4092 4032 +-4508 -4481 +-2482 -644 +-3301 -1766 +1024 0 +-3301 1766 +-2482 644 +-4508 4481 +-4092 -4032 +2418 -4652 +-1371 -874 +-2837 -1250 +-2172 -300 +4522 3708 +1872 2883 +-3774 -2976 +1030 138 +-152 2732 +6434 2082 +3598 -69 +-2048 1024 +5097 -3358 +846 -3046 +-2595 1014 +-3078 -462 +-1812 2963 +296 -3354 +-2277 -859 +2172 1748 +4817 -2033 +403 1579 +1160 -281 +2044 -536 +-2322 1195 +2194 4205 +1966 2262 +-5120 0 +1966 -2262 +2194 -4205 +-2322 -1195 +2044 536 +1160 281 +403 -1579 +4817 2033 +2172 -1748 +-2277 859 +296 3354 +-1812 -2963 +-3078 462 +-2595 -1014 +846 3046 +5097 3358 +-2048 -1024 +3598 69 +6434 -2082 +-152 -2732 +1030 -138 +-3774 2976 +1872 -2883 +4522 -3708 +-2172 300 +-2837 1250 +-1371 874 +2418 4652 +-4092 4032 +-4508 -4481 +-2482 -644 +-3301 -1766 +2472 424 +2108 -3291 +-2207 -1670 +-2194 4134 +-4724 1794 +-1148 -1704 +-543 266 +-2677 -5243 +1024 0 +-2677 5243 +-543 -266 +-1148 1704 +-4724 -1794 +-2194 -4134 +-2207 1670 +2108 3291 +2472 -424 +346 4102 +366 1403 +-115 -2215 +-940 2458 +-255 -21 +2769 2065 +-241 -732 +-1024 0 +-1991 1312 +727 1881 +3595 886 +-3156 -5134 +-329 2510 +234 -3985 +-1010 -7727 +-424 -2472 +-2772 -1857 +2807 3274 +1750 1920 +628 2902 +4489 -1854 +4039 -1649 +445 8175 +-3072 0 +445 -8175 +4039 1649 +4489 1854 +628 -2902 +1750 -1920 +2807 -3274 +-2772 1857 +-424 2472 +-1010 7727 +234 3985 +-329 -2510 +-3156 5134 +3595 -886 +727 -1881 +-1991 -1312 +-1024 0 +-241 732 +2769 -2065 +-255 21 +-940 -2458 +-115 2215 +366 -1403 +346 -4102 +2472 424 +2108 -3291 +-2207 -1670 +-2194 4134 +-4724 1794 +-1148 -1704 +-543 266 +-2677 -5243 +4396 -3796 +-3324 1940 +-5294 2231 +-3287 -4718 +-2284 1016 +-3799 -2502 +1135 3992 +2211 2979 +-2048 0 +2211 -2979 +1135 -3992 +-3799 2502 +-2284 -1016 +-3287 4718 +-5294 -2231 +-3324 -1940 +4396 3796 +6589 -3213 +-1015 -249 +-1012 1381 +162 5370 +-1202 326 +1388 -148 +-1326 2571 +-3072 -3072 +-1220 1766 +-880 2344 +507 125 +-162 1874 +654 -2537 +195 -4529 +-102 1389 +5844 -2348 +3518 -1803 +-878 482 +2317 -5880 +2284 -417 +30 1413 +-2843 -2700 +-553 -2455 +4096 0 +-553 2455 +-2843 2700 +30 -1413 +2284 417 +2317 5880 +-878 -482 +3518 1803 +5844 2348 +-102 -1389 +195 4529 +654 2537 +-162 -1874 +507 -125 +-880 -2344 +-1220 -1766 +-3072 3072 +-1326 -2571 +1388 148 +-1202 -326 +162 -5370 +-1012 -1381 +-1015 249 +6589 3213 +4396 -3796 +-3324 1940 +-5294 2231 +-3287 -4718 +-2284 1016 +-3799 -2502 +1135 3992 +2211 2979 +-2772 -4644 +4202 -2323 +4644 2172 +-3062 -296 +1186 -646 +-235 1661 +329 3630 +-1178 -2875 +-5120 0 +-1178 2875 +329 -3630 +-235 -1661 +1186 646 +-3062 296 +4644 -2172 +4202 2323 +-2772 4644 +3626 -4055 +-1525 -4070 +2999 317 +3308 1356 +-1728 -593 +2629 2823 +2652 2863 +4096 -1024 +-688 3765 +386 111 +61 -951 +-1260 -2140 +-822 -8897 +-3707 -1578 +-1895 5117 +-1324 2596 +748 -1499 +-2308 -880 +-443 -800 +862 1246 +-2562 3701 +-448 649 +-1674 4767 +-3072 0 +-1674 -4767 +-448 -649 +-2562 -3701 +862 -1246 +-443 800 +-2308 880 +748 1499 +-1324 -2596 +-1895 -5117 +-3707 1578 +-822 8897 +-1260 2140 +61 951 +386 -111 +-688 -3765 +4096 1024 +2652 -2863 +2629 -2823 +-1728 593 +3308 -1356 +2999 -317 +-1525 4070 +3626 4055 +-2772 -4644 +4202 -2323 +4644 2172 +-3062 -296 +1186 -646 +-235 1661 +329 3630 +-1178 -2875 +2596 -4644 +-2552 -1544 +4071 393 +3062 166 +1645 -5010 +3392 -758 +-2710 2256 +-2558 1167 +-2048 0 +-2558 -1167 +-2710 -2256 +3392 758 +1645 5010 +3062 -166 +4071 -393 +-2552 1544 +2596 4644 +-1184 4625 +-1707 -2036 +-11 1887 +-3152 997 +-2082 717 +-2584 2350 +-258 -1747 +1024 3072 +-452 5062 +-2750 2194 +2659 -2324 +5200 -3348 +155 -87 +1040 -772 +3448 -142 +-4644 2596 +-2607 3770 +8182 -3225 +-311 -3339 +403 666 +-1073 -1083 +-3542 1684 +372 -1352 +-4096 0 +372 1352 +-3542 -1684 +-1073 1083 +403 -666 +-311 3339 +8182 3225 +-2607 -3770 +-4644 -2596 +3448 142 +1040 772 +155 87 +5200 3348 +2659 2324 +-2750 -2194 +-452 -5062 +1024 -3072 +-258 1747 +-2584 -2350 +-2082 -717 +-3152 -997 +-11 -1887 +-1707 2036 +-1184 -4625 +2596 -4644 +-2552 -1544 +4071 393 +3062 166 +1645 -5010 +3392 -758 +-2710 2256 +-2558 1167 +2172 4220 +1689 2136 +-4917 1487 +1057 1159 +562 -4914 +-1721 5580 +964 7229 +844 610 +4096 0 +844 -610 +964 -7229 +-1721 -5580 +562 4914 +1057 -1159 +-4917 -1487 +1689 -2136 +2172 -4220 +-547 786 +766 -6176 +422 -896 +-3008 3429 +-1533 657 +-2018 789 +-3574 -6225 +-1024 -1024 +24 2180 +5239 -1739 +128 -2223 +1560 -3563 +5566 -292 +906 1704 +-918 890 +-2172 -124 +1993 -152 +-2547 -1799 +-1693 700 +886 6114 +-2225 987 +1608 -1566 +489 1482 +-2048 0 +489 -1482 +1608 1566 +-2225 -987 +886 -6114 +-1693 -700 +-2547 1799 +1993 152 +-2172 124 +-918 -890 +906 -1704 +5566 292 +1560 3563 +128 2223 +5239 1739 +24 -2180 +-1024 1024 +-3574 6225 +-2018 -789 +-1533 -657 +-3008 -3429 +422 896 +766 6176 +-547 -786 +2172 4220 +1689 2136 +-4917 1487 +1057 1159 +562 -4914 +-1721 5580 +964 7229 +844 610 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs7_gi0_aggr0_len14_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs7_gi0_aggr0_len14_pre100_post200_openwifi.txt new file mode 100644 index 0000000..fac670a --- /dev/null +++ b/testing_inputs/simulated/ht_mcs7_gi0_aggr0_len14_pre100_post200_openwifi.txt @@ -0,0 +1,1100 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-4096 4096 +-4186 1247 +-1412 -2205 +-4239 -3420 +-586 -3002 +-83 605 +2056 2123 +3914 -1682 +724 -2472 +-2651 -178 +-4708 -847 +1763 774 +4756 4554 +785 2677 +3143 365 +4703 1603 +3072 0 +4703 -1603 +3143 -365 +785 -2677 +4756 -4554 +1763 -774 +-4708 847 +-2651 178 +724 2472 +3914 1682 +2056 -2123 +-83 -605 +-586 3002 +-4239 3420 +-1412 2205 +-4186 -1247 +-4096 -4096 +4010 -1547 +-274 941 +333 -1049 +-262 -2543 +-2313 -923 +4064 1817 +-1640 2590 +-724 424 +1706 69 +-4309 691 +1521 -2733 +188 -1906 +-3561 5197 +1440 2467 +-63 -5320 +-3072 0 +-63 5320 +1440 -2467 +-3561 -5197 +188 1906 +1521 2733 +-4309 -691 +1706 -69 +-724 -424 +-1640 -2590 +4064 -1817 +-2313 923 +-262 2543 +333 1049 +-274 -941 +4010 1547 +-4096 4096 +-4186 1247 +-1412 -2205 +-4239 -3420 +-586 -3002 +-83 605 +2056 2123 +3914 -1682 +724 -2472 +-2651 -178 +-4708 -847 +1763 774 +4756 4554 +785 2677 +3143 365 +4703 1603 +4096 -2048 +-2404 -1305 +116 -1908 +-366 375 +1116 -894 +-954 1316 +-4748 1759 +19 -4491 +-300 -1024 +-3418 2417 +-2850 -938 +-1716 -650 +-2114 2002 +1178 2495 +1845 -2647 +-5554 -4676 +1024 -4096 +7137 -6482 +-1446 -944 +-2143 2696 +222 110 +-244 933 +1712 -538 +3619 457 +1748 424 +2019 -3897 +6451 -250 +1548 2615 +-1900 -110 +-1440 -1663 +-2124 -770 +1104 -339 +-4096 -4096 +-2212 67 +2257 5184 +-4893 -1248 +332 -2002 +1612 610 +-1868 629 +3032 1789 +-1748 -1024 +-3996 1174 +330 5542 +2613 3188 +3562 894 +-405 -293 +-3569 571 +-3733 -767 +-1024 -2048 +2150 1040 +3169 -1132 +1370 -494 +-1670 2786 +-653 1605 +808 5143 +3796 3133 +300 -2472 +-5070 1194 +165 2637 +-2206 -689 +452 -2786 +6699 790 +-248 4046 +3511 -898 +4096 -2048 +-2404 -1305 +116 -1908 +-366 375 +1116 -894 +-954 1316 +-4748 1759 +19 -4491 +-300 -1024 +-3418 2417 +-2850 -938 +-1716 -650 +-2114 2002 +1178 2495 +1845 -2647 +-5554 -4676 +-4096 2048 +-391 -1722 +241 -1738 +-837 3852 +-550 -724 +-1180 1049 +-206 3043 +3194 805 +3372 600 +760 -883 +-905 -1031 +-3159 -560 +-4248 2616 +-521 1484 +3282 -4709 +2916 -1407 +1024 2048 +-1333 -3214 +-2882 -3006 +-445 1685 +2355 724 +1199 1023 +-233 -631 +-559 -2843 +-1924 2048 +-1156 1399 +1908 1034 +1774 2348 +-234 60 +-969 1814 +-2250 -600 +-908 -757 +4096 0 +2700 -691 +-3737 3150 +-893 -2875 +6694 -724 +4952 1105 +805 -8091 +3487 2597 +4820 3496 +1458 -5414 +305 1983 +251 1303 +-1896 -1168 +-2097 435 +215 -799 +722 924 +-1024 4096 +-2305 2730 +-614 -2502 +3063 235 +3789 724 +1709 -281 +833 1584 +-1659 -3454 +-6268 2048 +-5526 2002 +-2508 -6083 +-5547 -194 +-5910 -1508 +2699 -837 +5746 2012 +-1401 -1656 +-4096 2048 +-391 -1722 +241 -1738 +-837 3852 +-550 -724 +-1180 1049 +-206 3043 +3194 805 +3372 600 +760 -883 +-905 -1031 +-3159 -560 +-4248 2616 +-521 1484 +3282 -4709 +2916 -1407 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +1580 234 +-1707 -323 +1048 474 +1242 3268 +-8257 2338 +2876 -1845 +2622 -1630 +-965 6385 +1260 3688 +686 -457 +-1990 2608 +-3354 29 +1480 1861 +-2751 -3 +1 -2767 +358 1843 +234 -3792 +-603 -5022 +-3413 6498 +297 1223 +-4570 -3567 +-2438 1888 +1530 -2282 +4712 -2579 +-281 -1099 +-2509 -446 +1990 1199 +-2096 3982 +2791 2116 +-2443 -6405 +-3697 -2716 +-1098 2504 +-5056 1978 +946 3082 +555 2363 +-1735 -622 +-20 1036 +3217 -519 +1310 -51 +1097 4488 +3164 -1791 +-1096 2201 +-2012 1919 +2419 -3047 +5457 574 +-1122 -989 +-2844 -730 +38 -4910 +-1182 -2844 +-905 -546 +1070 -116 +2612 -376 +2102 -4232 +3071 67 +1535 -1834 +-1525 1056 +281 -1429 +2136 -3021 +-2304 5280 +92 76 +1017 -127 +112 -302 +4599 -3159 +435 -681 +1580 234 +-1707 -323 +1048 474 +1242 3268 +-8257 2338 +2876 -1845 +2622 -1630 +-965 6385 +1260 3688 +686 -457 +-1990 2608 +-3354 29 +1480 1861 +-2751 -3 +1 -2767 +358 1843 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs7_gi0_aggr0_len1537_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs7_gi0_aggr0_len1537_pre100_post200_openwifi.txt new file mode 100644 index 0000000..76a69bb --- /dev/null +++ b/testing_inputs/simulated/ht_mcs7_gi0_aggr0_len1537_pre100_post200_openwifi.txt @@ -0,0 +1,4860 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-2048 0 +-1941 1693 +-1412 3389 +-1433 575 +78 -2662 +-1643 1521 +2056 -1369 +3998 -5339 +2172 -424 +-2936 4699 +-4708 4442 +2728 936 +1416 3326 +-1055 3126 +3143 -1590 +3757 985 +3072 0 +3757 -985 +3143 1590 +-1055 -3126 +1416 -3326 +2728 -936 +-4708 -4442 +-2936 -4699 +2172 424 +3998 5339 +2056 1369 +-1643 -1521 +78 2662 +-1433 -575 +-1412 -3389 +-1941 -1693 +-2048 0 +3374 3311 +-274 -557 +368 -2713 +1970 14 +-1319 -1894 +4064 1213 +683 4960 +-2172 2472 +-417 -303 +-4309 -501 +1121 2843 +632 2218 +-4561 1287 +1440 325 +-726 -5190 +-7168 0 +-726 5190 +1440 -325 +-4561 -1287 +632 -2218 +1121 -2843 +-4309 501 +-417 303 +-2172 -2472 +683 -4960 +4064 -1213 +-1319 1894 +1970 -14 +368 2713 +-274 557 +3374 -3311 +-2048 0 +-1941 1693 +-1412 3389 +-1433 575 +78 -2662 +-1643 1521 +2056 -1369 +3998 -5339 +2172 -424 +-2936 4699 +-4708 4442 +2728 936 +1416 3326 +-1055 3126 +3143 -1590 +3757 985 +3072 -1024 +-1832 -1111 +-1328 713 +834 1789 +954 1970 +889 -1761 +210 -3329 +2579 -4965 +1024 -724 +-4495 3330 +-3878 -1336 +-2774 1756 +-4398 2524 +-4199 -5316 +-2726 -4109 +-570 911 +1024 0 +2153 -896 +3126 -2406 +3234 -5115 +2506 632 +815 3339 +2740 -936 +4696 1370 +424 724 +-541 -4370 +1492 -5338 +-295 -462 +-1737 2754 +-2641 -249 +-681 1851 +533 -146 +-3072 -3072 +612 1577 +3921 735 +-264 985 +495 78 +-2084 285 +-5038 1881 +1584 -2949 +1024 724 +-3685 120 +-2647 -112 +563 6401 +5846 -476 +1353 1654 +-4569 5557 +990 -2705 +-1024 -4096 +-2573 -899 +4170 3854 +-388 1453 +-3954 1416 +1397 4817 +3784 -512 +3484 2079 +-2472 -724 +-3623 -3543 +3336 3890 +1489 -1014 +289 -706 +2070 3023 +-1912 -403 +687 612 +3072 -1024 +-1832 -1111 +-1328 713 +834 1789 +954 1970 +889 -1761 +210 -3329 +2579 -4965 +1024 -724 +-4495 3330 +-3878 -1336 +-2774 1756 +-4398 2524 +-4199 -5316 +-2726 -4109 +-570 911 +-1024 3072 +-2136 7 +-1265 -2864 +-3000 3091 +-438 -621 +1352 -403 +-500 4030 +3073 630 +1872 -3620 +-1671 -2866 +116 -2045 +-4629 -3161 +-6582 -2284 +1896 -1364 +4295 2459 +809 1979 +1024 -4096 +774 173 +-3895 4162 +-2862 -1164 +4690 -4176 +2670 -1577 +-1254 -1645 +1872 -4826 +-424 -2172 +-1035 1224 +2203 2021 +-757 896 +-346 162 +1194 1053 +-744 -1726 +837 973 +1024 1024 +1978 -2675 +1709 188 +-3293 -3163 +2238 621 +6928 3117 +-1732 -2922 +-4987 5357 +-3920 3620 +-3507 -3121 +548 936 +-763 447 +-3906 2284 +-2084 1436 +-954 217 +-838 689 +-1024 0 +-745 2495 +555 -1486 +3050 1235 +5798 4176 +2723 -1137 +589 537 +3306 -1161 +2472 2172 +2949 4763 +29 -913 +-7523 1818 +-1454 -162 +5099 -1124 +300 -949 +-679 -3640 +-1024 3072 +-2136 7 +-1265 -2864 +-3000 3091 +-438 -621 +1352 -403 +-500 4030 +3073 630 +1872 -3620 +-1671 -2866 +116 -2045 +-4629 -3161 +-6582 -2284 +1896 -1364 +4295 2459 +809 1979 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-3160 2130 +-1821 -2607 +5653 -1367 +-1026 5819 +-3537 1422 +3084 -4171 +4458 288 +-876 4954 +1996 2728 +3624 1680 +-1296 -5632 +-3843 -260 +-3305 872 +-253 -1481 +-3278 1652 +-475 594 +5290 2528 +2271 -5141 +1705 3242 +-1934 678 +-4104 -4501 +-1424 4288 +812 -2936 +2901 -241 +-31 -941 +-299 253 +-1919 1911 +-4325 2461 +-1465 4445 +-1053 -2180 +-1672 1462 +-463 2885 +5056 1662 +2600 803 +-1227 1838 +-114 864 +-3345 634 +1504 -1236 +-2735 -4676 +-5669 2785 +2112 -516 +-4082 417 +-2320 2124 +4811 -2717 +3420 723 +1188 1243 +120 82 +1337 1823 +398 1264 +23 -2064 +-399 232 +431 -5514 +2138 -6404 +1825 -3199 +669 -4513 +-242 1647 +979 -1271 +3263 -1450 +2331 178 +-3156 -373 +-2442 279 +-2867 2205 +-903 1056 +5057 -2763 +-3160 2130 +-1821 -2607 +5653 -1367 +-1026 5819 +-3537 1422 +3084 -4171 +4458 288 +-876 4954 +1996 2728 +3624 1680 +-1296 -5632 +-3843 -260 +-3305 872 +-253 -1481 +-3278 1652 +-475 594 +-948 -3400 +-227 47 +-1323 4080 +-745 -4712 +-3469 -6300 +781 217 +2862 7719 +-624 1120 +331 -1711 +4340 651 +-1458 -1032 +-5079 163 +1308 -2290 +3040 665 +5044 -806 +992 455 +-2920 1896 +-2987 -1603 +1006 888 +3040 739 +6261 2724 +6762 1025 +-3270 -916 +709 -150 +-1897 -1825 +-2351 -1762 +1826 -361 +-4641 2291 +-3696 2474 +-1785 -1527 +430 -1790 +-307 1102 +1264 -3236 +-367 -3448 +1692 -2467 +3264 -934 +-3937 605 +1700 -238 +2968 3164 +2284 -4594 +1565 -2713 +-459 2603 +3302 -212 +2331 -487 +-1794 -6903 +209 1606 +3247 9197 +2868 1268 +1340 -1580 +-9236 -1827 +-2531 -3504 +1696 -1044 +-5175 -821 +-530 -600 +-1711 1672 +851 -1315 +-3792 4986 +-334 3922 +-1250 -1184 +-7912 1826 +5445 399 +1443 2798 +-721 3250 +1270 1744 +-948 -3400 +-227 47 +-1323 4080 +-745 -4712 +-3469 -6300 +781 217 +2862 7719 +-624 1120 +331 -1711 +4340 651 +-1458 -1032 +-5079 163 +1308 -2290 +3040 665 +5044 -806 +992 455 +-2054 -4026 +-2618 340 +2255 2284 +1524 -83 +-6579 -4226 +178 577 +716 4052 +-3319 -4734 +1256 -1653 +1730 602 +1624 467 +2752 3585 +-592 -711 +-1089 3142 +4730 110 +5534 -2819 +1188 -948 +2454 -7331 +2078 -2550 +-4514 1471 +-288 -830 +4914 831 +1147 3167 +648 -1544 +-8 -1083 +-1164 3889 +-1585 -1138 +-606 -313 +-382 -3236 +-493 -5078 +-578 -3518 +-1995 -1462 +1106 7186 +-1255 3473 +-4344 -1374 +-3686 -4679 +-1475 226 +2458 3259 +33 -4003 +703 719 +-2204 -875 +-1539 96 +6286 3185 +1294 -1047 +538 -1686 +648 -4030 +-1200 -689 +3148 100 +-872 -316 +-630 2464 +-404 4061 +-6381 6077 +-2402 405 +710 -226 +1111 3890 +-2179 1605 +-3468 1715 +2345 -852 +411 -3669 +-431 1245 +-1460 -55 +936 -1155 +5417 5840 +-76 1878 +-2054 -4026 +-2618 340 +2255 2284 +1524 -83 +-6579 -4226 +178 577 +716 4052 +-3319 -4734 +1256 -1653 +1730 602 +1624 467 +2752 3585 +-592 -711 +-1089 3142 +4730 110 +5534 -2819 +-2054 -1662 +-3102 -1061 +2590 -2837 +-4953 2511 +-138 2360 +2652 -4601 +-1493 -578 +-433 -2877 +-6901 -138 +899 542 +-1223 674 +-2760 2146 +-2635 -2771 +-6203 -1056 +5124 -3428 +-3457 -512 +-1656 -316 +4031 1049 +-4219 2891 +1957 -394 +3307 2028 +814 -3179 +595 1028 +-574 3478 +-2192 802 +-340 -2082 +-2130 -8369 +-1803 2543 +3238 3498 +-491 -6242 +4129 -448 +3216 3127 +-1422 398 +509 4698 +-4629 1005 +-2447 -2363 +2944 2026 +3235 -1691 +273 -2998 +-196 -616 +581 1086 +-2084 -3147 +1589 -1407 +970 3365 +-1033 313 +4016 -299 +3563 -4010 +4216 745 +5764 1580 +2870 -3885 +-739 250 +-2630 2737 +840 3699 +-1382 995 +-3626 -459 +1019 3264 +-968 5834 +-3677 5087 +3705 1472 +7197 -4595 +-202 -1040 +-1747 4760 +-980 -484 +679 -2446 +-2054 -1662 +-3102 -1061 +2590 -2837 +-4953 2511 +-138 2360 +2652 -4601 +-1493 -578 +-433 -2877 +-6901 -138 +899 542 +-1223 674 +-2760 2146 +-2635 -2771 +-6203 -1056 +5124 -3428 +-3457 -512 +-2528 -3874 +-1554 -375 +-1833 3738 +-745 3436 +-2058 -2727 +859 -2873 +-3058 813 +-434 -1247 +5332 -3413 +-3083 3427 +317 1282 +1641 -5951 +2275 -2543 +3430 -635 +-4101 1639 +1577 1700 +4342 -1580 +1302 861 +333 2031 +614 -1929 +386 -4289 +818 -3116 +622 -859 +-3040 1116 +1332 1919 +1369 1981 +-986 316 +-1573 -2539 +-255 -5084 +-2246 -2071 +-3580 2810 +1497 2898 +-1264 1346 +4739 -3292 +3292 -1694 +2928 2251 +5311 1894 +-1976 4289 +3355 558 +-2700 -296 +-4384 1833 +1255 -3229 +2372 344 +-498 820 +-4341 -3129 +3165 4515 +-1384 1041 +-2134 -2316 +-550 5372 +1721 1350 +1969 -2365 +-3572 -1221 +3313 -566 +-2638 -3592 +-1475 -3793 +1060 6006 +-4808 4717 +-540 -1618 +-4199 -1126 +-428 663 +425 2540 +-1564 4377 +5828 2848 +753 -3392 +-2528 -3874 +-1554 -375 +-1833 3738 +-745 3436 +-2058 -2727 +859 -2873 +-3058 813 +-434 -1247 +5332 -3413 +-3083 3427 +317 1282 +1641 -5951 +2275 -2543 +3430 -635 +-4101 1639 +1577 1700 +1106 2920 +-3419 108 +1353 176 +1263 -5692 +573 3321 +1745 3147 +-1681 -4751 +-2014 1994 +2855 -3161 +356 -2999 +-1218 125 +4186 -154 +5181 -246 +-2254 -9110 +-1552 -4662 +1278 2058 +-3710 -4740 +4223 167 +3982 6194 +-1858 -734 +22 -1702 +-3438 -1733 +1136 -446 +1925 -1789 +397 -4724 +-447 2419 +-3515 2668 +3044 -457 +-582 2299 +-3130 3289 +4531 -1439 +886 279 +-2370 3084 +-1093 -741 +171 -151 +989 -1158 +-242 270 +1786 4288 +-190 6599 +-1943 -245 +-1275 -5056 +-1123 806 +438 1181 +-1748 779 +-303 -5656 +-1064 -3124 +-1805 4004 +993 -674 +-714 -1264 +-976 270 +1830 1704 +6122 433 +3438 1271 +2961 -1094 +-714 200 +-6108 5398 +1183 300 +-419 864 +-1777 -519 +-1951 -2848 +-3031 2972 +-1292 3443 +-3518 -770 +2518 2810 +1106 2920 +-3419 108 +1353 176 +1263 -5692 +573 3321 +1745 3147 +-1681 -4751 +-2014 1994 +2855 -3161 +356 -2999 +-1218 125 +4186 -154 +5181 -246 +-2254 -9110 +-1552 -4662 +1278 2058 +2054 -1498 +4575 -2469 +1136 -3612 +-3294 -3625 +-2539 803 +781 -57 +-1424 306 +962 1488 +3021 -3070 +-5221 -1082 +-5020 635 +1624 -4157 +336 722 +-1017 -571 +4474 356 +3933 2791 +-2604 -2528 +-1674 83 +-1793 1387 +-2766 3053 +1366 1099 +4760 -1979 +2698 535 +-2291 1009 +994 -243 +-154 -86 +521 1406 +6945 2330 +337 1461 +-249 1339 +453 2948 +-3548 -2310 +-3002 3394 +-884 3196 +-654 -3799 +-7496 3657 +-6277 199 +-1952 289 +-729 -1160 +-200 -354 +455 3070 +655 1103 +-1435 4921 +2243 1151 +-227 2808 +740 -6294 +1484 -4955 +654 6698 +2288 -632 +-5741 563 +2359 -1650 +3942 633 +-3926 1691 +522 -6230 +2724 -6001 +4549 -1593 +-678 -1021 +30 -2266 +-169 3241 +-3510 1491 +3347 3857 +2301 1820 +433 -4669 +778 378 +2054 -1498 +4575 -2469 +1136 -3612 +-3294 -3625 +-2539 803 +781 -57 +-1424 306 +962 1488 +3021 -3070 +-5221 -1082 +-5020 635 +1624 -4157 +336 722 +-1017 -571 +4474 356 +3933 2791 +1738 866 +-2950 1202 +-680 -3746 +5396 1422 +277 449 +-1023 1059 +-366 2135 +-4685 -2741 +-5822 -2705 +-2308 -2591 +3439 1724 +-3637 -1054 +-3404 2555 +5110 2345 +1562 -3488 +-667 4100 +-1656 -1896 +-2944 641 +2179 5310 +-905 3413 +-3839 4754 +1233 -265 +-1389 1784 +4431 -54 +2232 -2875 +-4114 -5039 +3436 -1127 +2953 3938 +3985 59 +1832 -1704 +138 -2919 +309 1614 +474 -2130 +-674 1271 +-3050 6580 +6708 -443 +2334 3436 +-2275 -956 +1629 -5826 +911 -1845 +766 -139 +-2664 -134 +-3239 78 +-3481 1782 +-1659 -42 +-2388 1681 +-225 1031 +3877 -747 +3868 632 +3577 -395 +2107 302 +2373 1351 +-3829 -423 +-3333 -3174 +-952 -2071 +2134 -2138 +3456 -1865 +-4816 1624 +-399 -274 +337 -1625 +-1450 -675 +40 -621 +-1661 504 +1643 -1918 +1738 866 +-2950 1202 +-680 -3746 +5396 1422 +277 449 +-1023 1059 +-366 2135 +-4685 -2741 +-5822 -2705 +-2308 -2591 +3439 1724 +-3637 -1054 +-3404 2555 +5110 2345 +1562 -3488 +-667 4100 +-2054 714 +-98 -42 +106 -503 +-731 -2744 +1250 -4022 +-389 2009 +-1102 -3924 +-461 -4855 +-304 135 +-1201 293 +647 5172 +4582 1058 +2263 -1347 +-3467 -1281 +-3375 -1673 +-2488 -4149 +82 -1422 +2054 3739 +-3558 -1107 +-2475 -3184 +1303 573 +1515 2663 +3765 -2029 +1167 -279 +-3455 -3209 +44 -689 +6479 3288 +4346 -2707 +386 3042 +-852 -738 +497 -1862 +3147 -3391 +-2054 -1662 +-1584 2888 +-450 -2133 +-7292 1918 +-672 -5319 +-896 -534 +-6158 3427 +3361 -3266 +-644 2709 +-4894 1349 +1114 3193 +504 3414 +-868 -2829 +-1443 -5507 +334 -749 +663 1717 +234 -790 +1487 -212 +3781 1833 +1081 1648 +-2512 -81 +584 5378 +-3534 706 +1144 -1539 +6931 2261 +2188 782 +3257 1633 +202 1427 +115 6190 +-631 2544 +-1804 -218 +835 2291 +-2054 714 +-98 -42 +106 -503 +-731 -2744 +1250 -4022 +-389 2009 +-1102 -3924 +-461 -4855 +-304 135 +-1201 293 +647 5172 +4582 1058 +2263 -1347 +-3467 -1281 +-3375 -1673 +-2488 -4149 +-2370 3400 +3916 -4001 +2575 -6073 +-600 -2273 +-4001 2062 +1034 2990 +3777 839 +-1619 770 +-3032 -1429 +501 2563 +6849 -1469 +2518 27 +3956 2091 +1204 515 +-1735 5650 +1409 -608 +-2768 -3002 +2299 -5606 +-396 -2971 +-1652 2445 +576 -3893 +-5309 -1804 +-1250 -214 +1268 -1461 +-3032 -281 +1454 -1992 +3823 -1609 +-2579 -1811 +1036 -62 +-688 2056 +-2564 -3297 +4738 -1595 +2686 4500 +-1642 3866 +-508 -1543 +2557 -3762 +-2511 -1207 +-2960 -3958 +-1329 2763 +-2115 2253 +1768 -1099 +-678 3947 +-39 -3734 +3040 -434 +3080 1587 +2211 1063 +136 5610 +-3295 1503 +-2604 158 +218 2057 +27 2262 +-88 -236 +-384 4302 +1781 -546 +-1415 -4435 +3714 323 +1768 281 +-5545 2958 +5365 -5088 +-143 -1324 +-4280 7761 +-324 3475 +-3205 -1859 +-4624 -1402 +-2370 3400 +3916 -4001 +2575 -6073 +-600 -2273 +-4001 2062 +1034 2990 +3777 839 +-1619 770 +-3032 -1429 +501 2563 +6849 -1469 +2518 27 +3956 2091 +1204 515 +-1735 5650 +1409 -608 +1106 1340 +2630 -69 +-355 -4058 +-4205 -5638 +-2339 208 +-2498 585 +1126 -648 +4554 3780 +-300 -867 +-2821 3577 +-966 3272 +-493 -3851 +682 -3042 +703 -2310 +1573 3081 +1692 -2402 +-398 -2528 +-2095 -1171 +-2776 -5998 +288 -1299 +-585 1135 +1925 3040 +4003 -1378 +-658 -3866 +-3198 -790 +-5080 -3326 +1109 -2746 +3556 2132 +-1520 1798 +2437 -446 +4784 1592 +-2753 -703 +-790 -3236 +931 -198 +-2468 3234 +1859 1624 +-4544 2413 +246 3994 +4030 3639 +-1975 4048 +4724 -81 +-1266 2868 +2788 -91 +6493 -4378 +-1908 6 +-2398 2952 +-1268 6353 +2059 339 +-6238 -1264 +-1604 -1508 +4182 -2441 +-5995 3105 +-1382 1932 +-99 -1770 +-681 -1288 +5964 2917 +38 1106 +-5953 -1961 +1014 -2548 +1242 1153 +-1047 1870 +2301 -4257 +1601 26 +1013 3038 +1106 1340 +2630 -69 +-355 -4058 +-4205 -5638 +-2339 208 +-2498 585 +1126 -648 +4554 3780 +-300 -867 +-2821 3577 +-966 3272 +-493 -3851 +682 -3042 +703 -2310 +1573 3081 +1692 -2402 +-790 -1188 +-1769 -1660 +-1329 21 +-49 -1825 +-4843 3411 +-1470 -1603 +-2908 -1309 +1874 1936 +2208 2251 +737 2086 +2550 3238 +566 859 +3819 231 +754 3604 +3128 -3556 +978 1650 +-1498 -4740 +-397 -7749 +-942 2773 +-97 -2591 +-1580 -1150 +6064 -102 +1953 3559 +953 1213 +328 -1897 +-5247 -426 +2443 -3526 +-1833 2366 +-50 -1445 +5576 -2441 +-923 5222 +185 4814 +5214 240 +2597 -3076 +-2828 565 +-3250 3347 +1906 2785 +3689 5166 +-173 -340 +-120 -4029 +-1260 2805 +-274 -2148 +-312 709 +1209 4907 +1243 1017 +-4532 -226 +1151 -5128 +2397 -121 +2130 -1264 +683 -1593 +-3316 850 +-535 2493 +-1803 642 +-4434 -6793 +-3341 4978 +2985 3535 +-12 -3792 +-3328 -1062 +1205 -3363 +2049 -4655 +-2485 -435 +-3705 2855 +1114 -2162 +-2254 1266 +-790 -1188 +-1769 -1660 +-1329 21 +-49 -1825 +-4843 3411 +-1470 -1603 +-2908 -1309 +1874 1936 +2208 2251 +737 2086 +2550 3238 +566 859 +3819 231 +754 3604 +3128 -3556 +978 1650 +4108 3236 +-893 925 +3054 322 +174 1468 +-2452 -500 +3478 -978 +3599 1945 +4621 985 +188 -2003 +-1488 840 +-1925 -1642 +-5817 -4463 +-3649 3729 +-301 2909 +-588 1199 +3832 -2096 +2762 -5214 +-2995 2210 +2402 3978 +1273 2488 +-1816 -4415 +2425 -2838 +1988 -601 +288 -1318 +3702 1888 +4041 -6941 +-88 -1891 +-3510 2370 +-54 -917 +4320 138 +-3667 -5139 +-2565 -1314 +-1264 -708 +-1041 -2160 +958 2595 +-4594 -450 +517 146 +-1144 2311 +-817 -822 +-1042 6670 +-4612 5479 +-472 -986 +-1622 1808 +1059 -2438 +1083 3438 +2745 3001 +213 -1372 +-89 778 +-1182 -2370 +-5932 4045 +2819 549 +1360 1012 +1223 5401 +-481 -1108 +-1642 -2079 +-287 -3519 +-2438 -1572 +2524 -1139 +-540 -662 +2010 -2145 +-1172 -3089 +-4786 2298 +914 -715 +3286 -555 +4108 3236 +-893 925 +3054 322 +174 1468 +-2452 -500 +3478 -978 +3599 1945 +4621 985 +188 -2003 +-1488 840 +-1925 -1642 +-5817 -4463 +-3649 3729 +-301 2909 +-588 1199 +3832 -2096 +316 3868 +2004 1626 +2178 4273 +-3013 2525 +841 1387 +4271 -1839 +-1620 -3420 +-3685 3159 +-3395 -775 +1816 340 +3749 -972 +-588 -4700 +-3201 -2007 +1528 -6507 +123 -6869 +-356 1161 +-76 2212 +2024 -1060 +6559 4327 +-2960 2607 +2246 -3566 +2938 44 +-2412 -299 +7564 -2576 +2801 -3972 +-3422 -5219 +-417 -1216 +-1424 587 +-2719 909 +-591 -1369 +-1211 -4271 +-2821 -1092 +-2528 872 +850 4209 +-1638 -545 +-1895 -2760 +4685 1186 +-986 -3392 +1424 2215 +1370 1550 +-2609 459 +-1225 2414 +-315 -1931 +4806 4110 +-198 1113 +2316 -575 +-1627 2390 +-7187 -319 +-240 -3792 +740 -3455 +2981 2703 +-794 -524 +-2083 -2799 +74 1764 +4210 5417 +676 -627 +-3117 2392 +3009 9342 +807 -318 +71 683 +-3362 -15 +-177 -1379 +-152 1043 +-4933 1272 +316 3868 +2004 1626 +2178 4273 +-3013 2525 +841 1387 +4271 -1839 +-1620 -3420 +-3685 3159 +-3395 -775 +1816 340 +3749 -972 +-588 -4700 +-3201 -2007 +1528 -6507 +123 -6869 +-356 1161 +-316 2452 +-5490 -2553 +8097 -6507 +7777 2387 +151 3717 +10225 749 +-2030 1264 +-5175 3478 +4277 1935 +559 -1296 +188 -267 +-5229 -2329 +-1765 -212 +-885 3453 +-965 -1172 +2664 -227 +-76 5056 +2853 1680 +851 -4182 +2114 -4076 +848 1016 +-1593 6485 +120 4506 +720 907 +2850 1672 +-1529 458 +-431 -329 +-1865 -555 +-2435 -3939 +-314 -2094 +368 591 +3393 -4638 +-1580 1972 +-597 5164 +419 -6327 +930 -2137 +1005 545 +-2101 -2881 +-797 -205 +-1010 494 +147 2489 +-2969 907 +-2711 -183 +-2075 815 +-1210 -583 +2531 -395 +295 2985 +1910 2472 +-2452 -1896 +-2680 460 +-26 832 +-4817 -173 +-3268 -2117 +-2615 -3318 +2892 199 +1909 -2962 +-6642 224 +-1091 2547 +2463 -1997 +1594 489 +354 -2218 +-1888 -1780 +1381 679 +4744 -1528 +-316 2452 +-5490 -2553 +8097 -6507 +7777 2387 +151 3717 +10225 749 +-2030 1264 +-5175 3478 +4277 1935 +559 -1296 +188 -267 +-5229 -2329 +-1765 -212 +-885 3453 +-965 -1172 +2664 -227 +-316 -4026 +-3970 -2874 +-25 3600 +-3686 -6304 +478 790 +586 3221 +1293 -2703 +2978 -1239 +-2497 -5914 +-87 1569 +2319 -769 +-1175 -580 +623 2609 +-67 -3716 +-2761 -2576 +2004 -1448 +1656 2370 +-453 1846 +-2590 2780 +128 2096 +1389 -869 +-2268 25 +947 -3554 +-1962 691 +1541 1145 +415 -1549 +-2966 3293 +1660 1660 +-4169 -667 +-3523 1085 +-2161 -715 +-482 759 +1896 3394 +418 -2269 +-1246 -4682 +374 -1109 +5249 5745 +-1514 7171 +343 -4980 +3420 -4851 +1865 5598 +3191 1558 +-2392 -1915 +1906 444 +4408 2508 +-105 1488 +-1278 -3432 +-3066 3709 +-1340 2054 +1235 -6786 +2304 1276 +3139 1399 +2997 -3770 +5030 953 +3751 -1634 +130 -6387 +987 1699 +-2384 -64 +-2988 1472 +-4287 9174 +-4654 -25 +-1561 870 +-2662 1897 +3974 -542 +-316 -4026 +-3970 -2874 +-25 3600 +-3686 -6304 +478 790 +586 3221 +1293 -2703 +2978 -1239 +-2497 -5914 +-87 1569 +2319 -769 +-1175 -580 +623 2609 +-67 -3716 +-2761 -2576 +2004 -1448 +2212 1340 +774 1830 +-4288 2875 +621 616 +-892 -1750 +3102 -1118 +2130 1395 +565 -957 +1121 -7340 +-2330 -1689 +43 -3835 +-321 -3503 +-328 4574 +-2145 -1953 +-246 -69 +2451 2059 +1656 0 +3508 5182 +-88 -311 +-2978 423 +-2751 6630 +-1223 -132 +441 -103 +2263 -286 +-682 -720 +-3104 2492 +563 2451 +-2405 1175 +-5924 -6533 +-1994 -1390 +4100 609 +-40 -2462 +-5688 -1024 +-2831 -2448 +3167 4705 +3542 -2031 +-1135 -82 +2999 4199 +589 -4590 +-1572 1602 +2355 2600 +3766 2377 +5837 2300 +-260 -659 +-1839 -4791 +120 -3677 +-486 3168 +756 -2004 +1820 -3476 +-1657 -1640 +-4077 -2136 +1920 -623 +1618 4051 +3147 -100 +4872 -3776 +1032 4708 +998 2301 +-3860 2681 +-3684 1535 +252 -4184 +-5182 -835 +-802 443 +3768 838 +-3298 1068 +2212 1340 +774 1830 +-4288 2875 +621 616 +-892 -1750 +3102 -1118 +2130 1395 +565 -957 +1121 -7340 +-2330 -1689 +43 -3835 +-321 -3503 +-328 4574 +-2145 -1953 +-246 -69 +2451 2059 +1264 -2452 +-3798 -3750 +2957 1233 +2571 541 +-3168 -2555 +719 -4441 +-2358 -3912 +-2847 -1789 +-755 2269 +-1968 -572 +-928 -667 +1558 2772 +1467 -1587 +-2304 -94 +-354 -107 +1571 2115 +2610 474 +3561 -823 +-2513 3632 +-473 -1279 +5404 -1532 +74 1957 +-1305 1437 +1329 -2631 +1556 -667 +536 -882 +-3574 839 +-2461 4558 +355 -11 +4235 2447 +6133 -4854 +258 -4419 +-632 -1024 +1698 -3098 +-3115 7847 +-2634 2537 +6182 -5013 +1328 -991 +-2683 1002 +879 -1896 +-193 -1637 +1047 -4204 +46 -6921 +2436 1883 +2255 415 +600 432 +217 3692 +-3059 3270 +-2610 5530 +-5592 4920 +-565 3779 +-1102 261 +-4625 -2908 +4680 -230 +2062 5603 +-3413 801 +-5032 -1230 +-1894 2306 +3901 -894 +-635 -1596 +-1549 -714 +2135 1968 +-448 930 +965 -73 +1264 -2452 +-3798 -3750 +2957 1233 +2571 541 +-3168 -2555 +719 -4441 +-2358 -3912 +-2847 -1789 +-755 2269 +-1968 -572 +-928 -667 +1558 2772 +1467 -1587 +-2304 -94 +-354 -107 +1571 2115 +-1738 -556 +-1196 -2943 +2149 238 +-3265 1404 +-3116 -6261 +-3874 -383 +2147 265 +-286 -46 +-9408 3113 +1550 17 +4330 4775 +-2514 2320 +-6597 1483 +1636 -2088 +883 -1422 +-4270 -4014 +2920 -3318 +1627 8208 +2562 -158 +-2182 4832 +-1234 444 +-118 -6241 +-980 974 +1238 -5564 +-8355 663 +267 3020 +3150 269 +-1317 188 +2036 -1580 +-3215 -100 +-239 2265 +6102 2167 +4898 -1340 +-1863 -320 +-1518 -1361 +1307 -455 +681 -2355 +3252 145 +-1742 2769 +-2501 -2225 +6564 995 +3736 -923 +-1047 -1395 +857 338 +247 -1128 +3839 1162 +3551 2155 +2792 -303 +2136 -1738 +-117 772 +446 1128 +-4209 607 +-755 1220 +-725 2226 +-1736 308 +-1385 266 +-7130 -347 +-212 584 +2569 1560 +380 -3348 +3681 594 +2999 -608 +645 -2257 +1668 1304 +-1738 -556 +-1196 -2943 +2149 238 +-3265 1404 +-3116 -6261 +-3874 -383 +2147 265 +-286 -46 +-9408 3113 +1550 17 +4330 4775 +-2514 2320 +-6597 1483 +1636 -2088 +883 -1422 +-4270 -4014 +-2844 2136 +-1884 1538 +-1183 2232 +-4108 -502 +-4912 606 +-1322 -3423 +-835 142 +-70 2665 +3056 3040 +2231 2633 +823 -7071 +894 -2091 +-786 723 +1569 4181 +5676 3571 +664 -3972 +392 3160 +1768 235 +584 606 +2272 3087 +-6004 -627 +-2 -257 +5101 -669 +-6453 2140 +790 -643 +1560 -3336 +-2973 -2409 +-24 -384 +-3089 -512 +1181 656 +-5 151 +-3686 -3600 +-948 1024 +-1583 -2883 +455 -5036 +3001 -2007 +2106 -1516 +458 1522 +799 -128 +2326 -921 +2948 -196 +-1730 2627 +-2068 -2356 +3290 -6290 +-1726 -75 +-3666 -409 +-2105 -2145 +128 -895 +1504 -632 +-4563 -1500 +298 3922 +2077 1950 +1857 -1623 +7603 6909 +885 1549 +-2258 -579 +-1106 3487 +-1316 -3567 +-991 -2529 +-1886 1550 +3705 4288 +4750 2658 +597 -2471 +-1224 2264 +-2844 2136 +-1884 1538 +-1183 2232 +-4108 -502 +-4912 606 +-1322 -3423 +-835 142 +-70 2665 +3056 3040 +2231 2633 +823 -7071 +894 -2091 +-786 723 +1569 4181 +5676 3571 +664 -3972 +-2844 1504 +-227 1855 +2096 -2054 +592 1481 +2805 1612 +5437 367 +1653 2337 +1474 6569 +2192 6931 +-1431 -5564 +3656 -167 +2419 2301 +-3960 -630 +-773 351 +-3518 -2227 +-3063 -1157 +-3552 -4108 +-4411 -996 +3821 3812 +2515 3146 +-1800 3637 +-1067 2321 +3041 -2146 +1451 382 +-882 -1537 +-1008 -1303 +-2826 5733 +3898 1781 +1224 2431 +-2171 -531 +-7 -1199 +-412 -1802 +316 1024 +-1155 3431 +2414 -7794 +-335 2007 +-2821 -1519 +-1027 -6913 +1960 1482 +2194 -7016 +-4404 -3455 +1376 894 +26 -5180 +-3971 -1024 +-1 4253 +1565 -2402 +2460 -3571 +-2101 -4299 +2288 -2212 +3402 4941 +-37 2013 +1674 77 +-1344 -3097 +-719 -171 +-213 -3077 +512 -1052 +566 4381 +925 -946 +4756 3636 +1098 433 +-2951 3427 +-3772 2139 +-4112 -4240 +-2891 702 +-2844 1504 +-227 1855 +2096 -2054 +592 1481 +2805 1612 +5437 367 +1653 2337 +1474 6569 +2192 6931 +-1431 -5564 +3656 -167 +2419 2301 +-3960 -630 +-773 351 +-3518 -2227 +-3063 -1157 +-2370 -2446 +-1144 -174 +-3302 -2261 +2769 -67 +-2616 1277 +-2850 2596 +2125 -557 +1380 3460 +371 -54 +-488 -4325 +2927 -1354 +3843 485 +2893 3841 +-738 1134 +-1346 2407 +-1796 -1827 +1498 -3002 +1780 3286 +-5480 372 +2968 -1262 +6732 493 +2256 3639 +2673 435 +4708 -2880 +2235 839 +292 262 +892 -1887 +-838 2108 +5272 -2165 +-1759 -5087 +-4793 4115 +594 -2208 +-2370 -1030 +683 3721 +-127 -2308 +379 5997 +-1259 766 +171 -6607 +-3042 532 +-6406 -188 +4053 54 +-127 -1005 +-1274 -3197 +2139 -2461 +44 -3094 +-1075 2248 +-1985 5754 +-977 701 +-1814 -3634 +1602 1709 +16 5353 +-928 -146 +-329 3784 +-2302 786 +2975 -6341 +2625 -3843 +-339 -4631 +-1027 1365 +-2500 4018 +611 3022 +-1888 -1110 +-2856 -1020 +2129 5031 +-3487 -3419 +-2370 -2446 +-1144 -174 +-3302 -2261 +2769 -67 +-2616 1277 +-2850 2596 +2125 -557 +1380 3460 +371 -54 +-488 -4325 +2927 -1354 +3843 485 +2893 3841 +-738 1134 +-1346 2407 +-1796 -1827 +-3476 -398 +-3059 -2982 +-1435 -760 +5498 -4323 +262 -2264 +-3910 420 +2442 3601 +-365 404 +-5598 -3649 +4964 3631 +5761 3806 +-1611 -680 +889 -1173 +187 -2788 +-283 292 +958 121 +2446 -4108 +1458 -223 +2817 -855 +3457 -1025 +-2395 -1611 +-1158 -2765 +-2696 -969 +-1962 -735 +3557 1807 +2825 -126 +-662 2335 +-6238 -222 +-882 1058 +3862 5775 +-1143 1568 +3455 1336 +3476 -5290 +-3091 -1815 +841 1500 +-557 1115 +1210 2156 +5769 419 +-2185 5147 +-2296 873 +542 489 +4067 -2683 +2640 -3036 +-3802 764 +213 -168 +-2255 3435 +-217 866 +3766 4428 +-1182 2844 +-294 -233 +752 -1225 +1419 -4203 +291 -2073 +2367 -2483 +3779 776 +-2944 -610 +-1029 -543 +-1661 -3089 +-5659 -1765 +200 3582 +-3379 283 +-5014 2982 +301 1360 +-4033 1702 +-3476 -398 +-3059 -2982 +-1435 -760 +5498 -4323 +262 -2264 +-3910 420 +2442 3601 +-365 404 +-5598 -3649 +4964 3631 +5761 3806 +-1611 -680 +889 -1173 +187 -2788 +-283 292 +958 121 +1264 -2446 +-4098 276 +-135 2597 +-2899 2017 +623 2127 +-63 -1560 +-1742 -633 +8877 2257 +-58 1822 +-3832 -2052 +481 -4472 +158 -1328 +1256 6 +80 -152 +-131 -4643 +-4539 -2773 +866 -2528 +4915 3942 +-1242 5501 +750 -2133 +-445 4720 +-2881 -1207 +-1276 -1476 +-1416 -360 +1545 20 +1981 3358 +148 -4366 +1852 953 +-938 543 +-3592 510 +1667 750 +3367 1157 +1264 -82 +1098 -7705 +166 1324 +4651 750 +3693 -3490 +-1838 -2448 +2287 1935 +2029 4377 +58 -1190 +-1584 569 +1770 -817 +6260 1320 +-2411 2915 +-2991 -1815 +990 -2453 +1558 604 +1662 -1896 +-1384 -5216 +-4107 3091 +-2222 5312 +1185 1067 +-4585 3402 +-3476 3379 +-1785 -3756 +-2809 1244 +-1106 3035 +-5930 -2859 +-2753 2265 +3358 -304 +4711 -521 +418 612 +1281 -3076 +1264 -2446 +-4098 276 +-135 2597 +-2899 2017 +623 2127 +-63 -1560 +-1742 -633 +8877 2257 +-58 1822 +-3832 -2052 +481 -4472 +158 -1328 +1256 6 +80 -152 +-131 -4643 +-4539 -2773 +-632 2762 +-173 -941 +-3386 3300 +4627 2915 +687 -2242 +627 -188 +1612 -1644 +-1251 -3290 +3453 -2354 +3049 1303 +858 1365 +-3409 -2832 +-4207 -650 +-6864 -70 +-1626 -1107 +-672 1923 +-3084 6478 +405 -53 +-4725 -6601 +2698 -2307 +41 -2326 +-6090 523 +-1190 -1979 +-114 -1472 +4153 3934 +175 -4540 +-3462 -2122 +-1797 3069 +274 -364 +1744 -1070 +2334 -230 +1768 687 +-632 -550 +-3035 241 +677 822 +3964 1864 +-1319 -178 +371 -1975 +-2302 -1014 +509 -263 +6027 2670 +2347 -2784 +2917 -3096 +912 2618 +4763 1174 +1604 -950 +-73 -2314 +2399 -881 +-2604 2054 +-1676 6674 +-2538 1923 +1 -1719 +-673 3482 +-886 -1594 +3437 1030 +-2147 4605 +-6681 -1090 +-1308 1148 +-453 616 +-1416 -2867 +2963 -2687 +2126 -779 +5392 937 +1511 3007 +-632 2762 +-173 -941 +-3386 3300 +4627 2915 +687 -2242 +627 -188 +1612 -1644 +-1251 -3290 +3453 -2354 +3049 1303 +858 1365 +-3409 -2832 +-4207 -650 +-6864 -70 +-1626 -1107 +-672 1923 +790 -1182 +-1720 -526 +795 4547 +-1747 4671 +-6472 226 +2621 155 +-2279 -518 +99 1829 +-2947 1368 +-2481 -3808 +8964 -3 +-3110 784 +1745 -676 +2288 383 +820 1186 +1609 2758 +-1978 474 +520 38 +-3618 244 +3098 -773 +138 1810 +-3414 1093 +-3000 -1873 +1266 1718 +4066 4173 +-3294 -645 +-928 -889 +-1465 394 +3183 -5079 +-2297 -4321 +-5879 -235 +-1023 2398 +-790 7502 +3028 3410 +-2480 -2768 +-972 -2533 +-803 -1736 +1419 -1710 +2842 -2576 +-2132 1177 +735 1476 +-2489 -3685 +-3123 -1754 +4116 2315 +3142 1631 +-5230 -1069 +1512 -1765 +1799 -2159 +-4342 -4266 +2483 1549 +866 5421 +-2550 -2253 +184 1596 +1535 1879 +-2435 -4482 +1820 4290 +1939 1831 +531 -3023 +5844 259 +2477 -1277 +3939 -1564 +1444 -4888 +-431 -2378 +1772 1830 +790 -1182 +-1720 -526 +795 4547 +-1747 4671 +-6472 226 +2621 155 +-2279 -518 +99 1829 +-2947 1368 +-2481 -3808 +8964 -3 +-3110 784 +1745 -676 +2288 383 +820 1186 +1609 2758 +474 1340 +-4162 -432 +-3576 -727 +4452 -1049 +-1797 -7911 +-3054 5244 +530 703 +2527 -4455 +-1988 2184 +-5422 562 +177 4180 +1487 1037 +205 475 +3244 1369 +-111 3033 +-4581 3249 +4974 -1264 +2861 79 +-834 782 +-85 4881 +-4332 3294 +-1086 -4031 +-2619 -4700 +-2059 -2979 +-2157 -2539 +-1723 -2233 +1317 1994 +2518 3051 +4777 512 +218 3601 +-3425 2111 +-2831 -1367 +1422 -392 +-2433 -4732 +-3071 2481 +9 7245 +-1996 -3925 +2032 -3646 +-2130 514 +-2640 -13 +-540 -604 +1232 79 +2331 1317 +-1134 558 +1614 -3897 +29 909 +1129 6691 +1513 -5432 +2610 -5372 +6375 -948 +2303 -4183 +-774 -2369 +539 959 +1376 2873 +-1175 -322 +1448 1996 +1525 1591 +-304 998 +2617 4270 +2466 2211 +-276 -2147 +815 -2220 +1481 -445 +-2315 -4036 +474 1340 +-4162 -432 +-3576 -727 +4452 -1049 +-1797 -7911 +-3054 5244 +530 703 +2527 -4455 +-1988 2184 +-5422 562 +177 4180 +1487 1037 +205 475 +3244 1369 +-111 3033 +-4581 3249 +-2528 4974 +1138 -1371 +2114 -379 +-774 1779 +123 -35 +2840 2126 +2753 1243 +643 -879 +216 -694 +58 3538 +2690 2642 +2394 1756 +-2993 1664 +2705 1504 +1963 -630 +2334 -5463 +1662 6004 +-3829 1473 +-2656 -5794 +-2394 2228 +2728 -5741 +-1293 -412 +2261 5375 +-420 -9 +-5495 -570 +4195 -2059 +-1580 3156 +107 1156 +798 -3186 +3947 -1260 +7983 2811 +446 1570 +-1264 -3710 +-1988 3131 +-944 1931 +-2444 -82 +4786 4173 +3230 -3791 +-2654 -3634 +774 906 +100 -2150 +2946 -23 +-1255 2887 +-2334 310 +-1361 -2998 +904 673 +2192 2907 +-983 -4606 +-398 -3476 +-3603 2685 +-2197 -1012 +-1034 -1817 +-53 -2189 +-2931 -4995 +-2404 -718 +1088 1481 +-1142 886 +3087 1041 +37 362 +-889 -1232 +-236 -3064 +-3823 269 +-3244 -1034 +-4100 372 +-2528 4974 +1138 -1371 +2114 -379 +-774 1779 +123 -35 +2840 2126 +2753 1243 +643 -879 +216 -694 +58 3538 +2690 2642 +2394 1756 +-2993 1664 +2705 1504 +1963 -630 +2334 -5463 +-2844 -1030 +-448 1764 +-3339 -2688 +326 -774 +827 502 +8194 1435 +3956 1465 +-529 -6649 +2288 3357 +557 2768 +1752 -4803 +-5042 2503 +-584 2908 +2518 5162 +1036 3073 +1200 1769 +-2768 3002 +1421 1614 +1283 7144 +-1309 2441 +440 -4825 +1989 -527 +-1825 278 +-1687 -2751 +3514 790 +-9 583 +-684 -3657 +4091 -1694 +-1336 -1085 +-1808 -238 +3067 212 +-2514 1104 +1896 3558 +-912 -67 +-4288 -1388 +1967 -1235 +-2206 1640 +1347 4715 +430 -2108 +-2578 1226 +-2288 3911 +445 -6419 +4817 -4519 +2180 882 +1963 945 +-2768 2930 +-1082 1345 +1129 -2775 +-2604 -1106 +-2864 559 +-3596 -2237 +-4347 179 +2203 2051 +6612 918 +-295 -1422 +4661 -2848 +278 -1106 +-5495 -2004 +263 -493 +-1289 688 +-1307 -872 +-3723 -3081 +1033 -2842 +-1316 -2178 +-2844 -1030 +-448 1764 +-3339 -2688 +326 -774 +827 502 +8194 1435 +3956 1465 +-529 -6649 +2288 3357 +557 2768 +1752 -4803 +-5042 2503 +-584 2908 +2518 5162 +1036 3073 +1200 1769 +4108 3084 +2458 -2932 +1267 -6331 +-1306 4817 +1173 6849 +-3636 545 +-1038 -2843 +3506 -411 +-4623 -1260 +-323 2337 +1920 -3259 +-1983 -4686 +283 2731 +4030 -2585 +-2079 1780 +-4660 4856 +-82 1106 +-3421 808 +-78 -656 +1515 340 +1262 523 +1487 670 +3149 1831 +3558 -5 +-4285 -744 +741 2474 +2943 1189 +1850 -2179 +56 -1357 +-3978 -703 +2627 -2382 +-2300 1299 +-4108 2288 +2683 -2580 +3203 -3821 +4890 -2800 +499 4110 +1702 1268 +4915 799 +401 1981 +2411 -3164 +1931 5856 +1412 441 +602 -5499 +-2741 -480 +-1310 -921 +-3291 -971 +-1834 -674 +-5606 4898 +-3576 3170 +4164 1883 +-2983 1045 +857 -737 +793 1416 +-4268 -1498 +-380 -2895 +-1719 -2416 +-1385 -2658 +-2189 -4615 +-1690 701 +2402 2266 +1805 1420 +-2542 755 +816 -3474 +4108 3084 +2458 -2932 +1267 -6331 +-1306 4817 +1173 6849 +-3636 545 +-1038 -2843 +3506 -411 +-4623 -1260 +-323 2337 +1920 -3259 +-1983 -4686 +283 2731 +4030 -2585 +-2079 1780 +-4660 4856 +6478 -3874 +1766 -3498 +-1843 356 +3342 4839 +-1349 -884 +133 -5773 +-1479 1871 +-790 4158 +-504 678 +-2833 1696 +5976 -328 +-1260 3018 +-4260 1226 +217 -4051 +709 -1021 +1196 1797 +-2136 0 +3731 -1712 +-704 1039 +-528 -655 +1161 94 +-3704 16 +1073 478 +-1876 -374 +428 -901 +894 5031 +-1784 204 +-521 2052 +-2277 3618 +170 -1334 +786 -761 +889 -2607 +474 -3394 +-1529 -485 +-2912 1249 +-3047 -1846 +-3675 3565 +581 -181 +-2339 -4216 +-5556 2976 +4296 -994 +-1673 804 +1093 -306 +5392 -4298 +-2029 -1302 +2611 2867 +1658 6136 +408 6138 +-3552 2212 +-464 -4558 +4041 -1227 +-3609 -2185 +72 -2775 +1538 515 +64 -3649 +1470 -3316 +2100 1217 +110 501 +-1340 -3516 +5594 3085 +2245 -1014 +-1547 -5006 +-471 6218 +-1104 2387 +6478 -3874 +1766 -3498 +-1843 356 +3342 4839 +-1349 -884 +133 -5773 +-1479 1871 +-790 4158 +-504 678 +-2833 1696 +5976 -328 +-1260 3018 +-4260 1226 +217 -4051 +709 -1021 +1196 1797 +2370 1340 +2805 1416 +1390 3154 +1649 851 +6447 1160 +1931 1721 +-2937 3046 +-2627 -2591 +878 -1999 +2822 5429 +2985 476 +6750 -2788 +-1820 2581 +505 530 +-59 -5453 +-7384 -2220 +-234 -3160 +-1759 -4113 +-250 2184 +-2450 -3000 +-1307 926 +6203 5757 +3339 -1070 +-956 2579 +-424 -1538 +3198 -2305 +-4506 1368 +-3434 -519 +-953 4351 +-1092 728 +3792 -2184 +-2318 -338 +-2370 -1656 +-409 -1329 +522 -82 +59 -1172 +-2240 180 +-612 930 +1192 -7218 +2723 -3688 +-2143 1683 +-6057 -1142 +-3006 1460 +454 4633 +-2757 317 +-2344 -3437 +-2527 2824 +-2886 -1985 +4026 -2844 +2337 1277 +912 62 +-1128 4091 +-1636 -2266 +1553 455 +672 1712 +23 -2617 +-2104 590 +-1827 -2962 +-1838 227 +564 -154 +1738 335 +328 2101 +2847 -504 +3377 3864 +2370 1340 +2805 1416 +1390 3154 +1649 851 +6447 1160 +1931 1721 +-2937 3046 +-2627 -2591 +878 -1999 +2822 5429 +2985 476 +6750 -2788 +-1820 2581 +505 530 +-59 -5453 +-7384 -2220 +3002 7502 +-4289 -2103 +-5697 -4050 +-1687 2168 +-3083 676 +527 962 +-1647 978 +6182 1926 +5452 1796 +-1153 3206 +-827 255 +-867 -4548 +2091 2174 +-617 1674 +882 -2346 +-1732 3476 +-240 2212 +-333 -3518 +-1917 806 +2637 374 +-676 -1346 +1143 5946 +-2443 3597 +-2639 -1578 +1468 -47 +4112 -2291 +7100 -2309 +3277 245 +1858 -2360 +-1015 1080 +971 2296 +-1423 -982 +-1106 -866 +3954 -4646 +-703 106 +2085 -745 +1296 -1864 +-6563 3001 +-4320 -1911 +-484 3938 +-2924 1680 +-1466 -3594 +-640 1094 +1680 -304 +2595 2142 +-4225 -1384 +-2205 -1966 +2139 1894 +2136 -2528 +-167 -841 +12 2659 +-549 -649 +2464 -2523 +3776 1669 +-3382 2961 +1081 -1067 +-204 -2165 +-1244 -2673 +3937 -4882 +2949 -1535 +1040 3100 +-2550 983 +-4289 -4873 +-2536 -86 +3002 7502 +-4289 -2103 +-5697 -4050 +-1687 2168 +-3083 676 +527 962 +-1647 978 +6182 1926 +5452 1796 +-1153 3206 +-827 255 +-867 -4548 +2091 2174 +-617 1674 +882 -2346 +-1732 3476 +-4424 -4658 +4619 -867 +-214 -1935 +1687 164 +293 2857 +-5393 2076 +-3798 805 +1227 -1976 +-708 -4196 +-2968 173 +2376 2167 +-2541 -3100 +-4206 1294 +1421 554 +1592 1376 +-3170 3865 +2920 -2054 +2625 1324 +-1700 -462 +2289 -3764 +-6783 -891 +-3345 -191 +-1576 -2522 +-4457 -1999 +2328 1182 +2768 -1530 +554 2761 +-2766 1699 +2840 -2557 +3645 310 +-1238 -1589 +-1098 1653 +2844 866 +3087 -921 +2683 815 +3716 -2164 +-2313 -1925 +1156 -1316 +-762 65 +-456 -1358 +3868 2616 +1620 6118 +3454 -3548 +-1626 -3587 +-3593 640 +-3356 4036 +-796 3907 +-217 -1628 +556 790 +743 2061 +-4390 4480 +-704 1285 +-1941 -1305 +-2305 1238 +1929 -1923 +3203 1053 +200 -3394 +4181 -2196 +6084 3307 +-2428 -58 +535 3151 +1615 -757 +3385 -119 +-2770 -197 +-4424 -4658 +4619 -867 +-214 -1935 +1687 164 +293 2857 +-5393 2076 +-3798 805 +1227 -1976 +-708 -4196 +-2968 173 +2376 2167 +-2541 -3100 +-4206 1294 +1421 554 +1592 1376 +-3170 3865 +1264 -1024 +-3734 -929 +1760 1840 +-1171 4577 +-48 397 +-466 2581 +403 -376 +-1116 841 +-6201 3395 +3796 -616 +-1476 -2069 +-1786 3142 +2542 1909 +220 -1164 +1973 815 +1227 2905 +2452 316 +1769 -4258 +2808 3944 +-5971 579 +-1375 -2125 +2467 -1180 +-9028 -4992 +804 -1021 +-28 -3804 +-412 1044 +3003 -319 +-5735 -1578 +-1320 2860 +3530 -1921 +4497 -369 +1082 -393 +-2844 -2452 +233 -1917 +305 3212 +-523 -735 +-1347 -2108 +704 2072 +4591 -842 +-921 3662 +-6755 2609 +-1233 3654 +5296 3821 +2690 -1310 +903 -798 +-391 -1247 +-2850 -3061 +2451 -4601 +1024 -3160 +-69 -1077 +-602 1792 +-3862 -629 +874 1307 +933 2442 +3771 -3316 +-755 -5810 +-2817 -3464 +4707 2835 +2811 419 +2363 6157 +-1492 3614 +-1940 -2848 +-2095 2027 +1110 -3258 +1264 -1024 +-3734 -929 +1760 1840 +-1171 4577 +-48 397 +-466 2581 +403 -376 +-1116 841 +-6201 3395 +3796 -616 +-1476 -2069 +-1786 3142 +2542 1909 +220 -1164 +1973 815 +1227 2905 +3160 -556 +2327 1978 +-1392 -222 +4719 2594 +-1508 -3317 +3611 1276 +1050 3460 +-1769 -2295 +1009 2605 +-2814 1348 +-279 1422 +-1106 -3632 +-379 826 +-1757 2636 +-1796 -1873 +1851 4058 +-1972 316 +1209 -877 +3021 -712 +-1262 -687 +2989 -1815 +5323 -1701 +1288 -1701 +-302 -1714 +186 5356 +774 3869 +12 490 +-1688 -1710 +-541 1564 +286 -1952 +562 -6102 +1766 198 +-2844 -2288 +-5003 -745 +-2894 743 +8 1966 +2079 3147 +-4192 1916 +-255 2891 +3527 2746 +-2905 1819 +280 -2794 +-865 -799 +-5510 1566 +548 869 +2699 -1436 +-3220 -2035 +598 1909 +392 3792 +-211 3335 +3870 -518 +-3368 3927 +3392 3881 +-787 -5428 +-8109 -6687 +4428 -2822 +2975 332 +1650 4088 +1055 859 +2844 -7075 +-1525 -3891 +-3395 -2984 +2897 -1859 +-4737 -1557 +3160 -556 +2327 1978 +-1392 -222 +4719 2594 +-1508 -3317 +3611 1276 +1050 3460 +-1769 -2295 +1009 2605 +-2814 1348 +-279 1422 +-1106 -3632 +-379 826 +-1757 2636 +-1796 -1873 +1851 4058 +4266 -2452 +-3997 -146 +-6065 3696 +1847 766 +2734 -3593 +-135 -1442 +-4739 -1575 +2114 1081 +604 3515 +778 1315 +1557 2734 +-185 2019 +629 -971 +-762 -5220 +3955 -3557 +-12 1157 +550 -948 +3369 -2065 +882 -159 +2698 -5631 +-1636 -8780 +-2701 -119 +2268 1405 +2215 1106 +-621 -840 +-308 -802 +3514 3805 +3071 1408 +-1463 2914 +2514 -2308 +410 -4619 +-1763 938 +2686 1504 +-1359 -160 +2311 -2617 +1923 -1516 +911 123 +370 6196 +-7131 1902 +-3306 777 +-2184 4069 +-634 -3055 +2017 5252 +-1569 3391 +-853 -6196 +867 896 +-744 2821 +-100 -3345 +-3078 -632 +-3373 4652 +2272 854 +46 865 +3046 -2287 +-682 -3947 +1 131 +3308 1070 +-4751 840 +1210 -2268 +-1432 1604 +-1728 3014 +1686 1092 +-211 3416 +-1604 963 +-3504 -2041 +4266 -2452 +-3997 -146 +-6065 3696 +1847 766 +2734 -3593 +-135 -1442 +-4739 -1575 +2114 1081 +604 3515 +778 1315 +1557 2734 +-185 2019 +629 -971 +-762 -5220 +3955 -3557 +-12 1157 +1106 398 +1050 -2809 +-590 -259 +-51 -2319 +-392 -1517 +-819 1856 +-1024 603 +-2922 1834 +382 -1683 +-4560 -3309 +-1763 -1472 +-1079 -867 +-1191 -348 +2769 885 +-809 2317 +-112 -2073 +-82 -474 +3579 2727 +3307 883 +6304 1517 +5364 364 +-1990 1415 +1751 4443 +470 6765 +1499 2069 +3706 -378 +-1780 3648 +-3333 -1665 +2500 -4560 +1094 -2681 +1188 2008 +785 1839 +-3002 -398 +2172 4627 +-3063 -1333 +-2248 -2308 +-1642 1162 +-1852 -2085 +2209 -1453 +-4033 -1291 +1830 1999 +743 495 +-4631 -2107 +1653 2322 +760 -932 +-4100 -3500 +1304 3232 +-1706 1128 +-4974 -2686 +6934 1237 +914 -2976 +-827 -3156 +1093 622 +-1073 -840 +2011 -3115 +-307 -5119 +713 3303 +-4839 5671 +-3771 -178 +-267 -1786 +1091 152 +2243 4273 +-310 -1715 +2615 -2408 +1106 398 +1050 -2809 +-590 -259 +-51 -2319 +-392 -1517 +-819 1856 +-1024 603 +-2922 1834 +382 -1683 +-4560 -3309 +-1763 -1472 +-1079 -867 +-1191 -348 +2769 885 +-809 2317 +-112 -2073 +-2370 -1346 +417 1770 +-1990 5321 +1068 478 +2324 -2574 +-4522 -1736 +-2657 -3597 +4396 -770 +3785 2269 +2496 4915 +2527 -2695 +4200 1100 +72 3098 +-2498 -5246 +207 -1412 +-1043 -1722 +-1188 316 +4824 -419 +2021 -1247 +-3838 2277 +-439 -1061 +-2718 -5583 +1203 -2817 +-1978 744 +-4994 -4836 +3524 -1253 +-477 2200 +185 -1348 +-362 -2029 +276 -2429 +4177 1229 +3822 4611 +-158 -866 +-4352 -303 +3307 8657 +2918 1967 +-1229 3768 +-2640 4473 +-2130 -2995 +780 2693 +4116 -1637 +1787 -2418 +-4305 -1765 +1680 -1279 +-1058 1071 +-1464 -3903 +-1770 446 +-2250 2084 +4348 632 +-1829 2754 +-1289 2680 +-51 690 +3769 -2661 +1390 -2709 +-5832 3675 +2266 3583 +253 411 +-3510 623 +-1058 -5567 +1206 -2404 +716 388 +1235 1352 +483 2943 +-5776 -2592 +-2370 -1346 +417 1770 +-1990 5321 +1068 478 +2324 -2574 +-4522 -1736 +-2657 -3597 +4396 -770 +3785 2269 +2496 4915 +2527 -2695 +4200 1100 +72 3098 +-2498 -5246 +207 -1412 +-1043 -1722 +2212 3710 +-516 4515 +86 -3285 +1399 -600 +-1682 -1814 +3365 -3144 +-2070 -1452 +-1906 -3007 +-231 1780 +-2691 2930 +-481 -4225 +4138 -483 +4077 2547 +-4484 -904 +567 5438 +3100 6019 +4506 316 +5609 -133 +676 3776 +3089 1811 +1859 50 +-272 1191 +-1486 -931 +2133 2408 +554 -570 +-4354 -684 +-68 -485 +111 1564 +1982 4179 +2763 -5127 +-618 181 +1341 2681 +948 -1182 +-1259 1799 +357 1222 +-1959 -5730 +-1702 -3357 +-287 3905 +-1847 -4993 +-1554 -1827 +547 -1464 +2045 -3284 +-3914 1588 +-169 -1637 +-3745 575 +-8056 -5028 +4702 -711 +2492 4110 +550 -2212 +-225 1158 +-934 3203 +-2474 3253 +-4796 -1199 +1601 -4186 +2165 -1485 +2200 805 +-4031 886 +-983 1004 +5541 -1793 +1814 -3696 +1478 284 +-2366 932 +-5207 1426 +-3643 -617 +2212 3710 +-516 4515 +86 -3285 +1399 -600 +-1682 -1814 +3365 -3144 +-2070 -1452 +-1906 -3007 +-231 1780 +-2691 2930 +-481 -4225 +4138 -483 +4077 2547 +-4484 -904 +567 5438 +3100 6019 +-474 -3874 +-2381 1951 +3031 -1794 +1312 -1195 +-5902 753 +2071 -4911 +4971 263 +3565 1226 +5228 -2335 +3131 944 +-4087 602 +-2415 211 +2766 -783 +-1461 -4743 +383 -728 +-424 2815 +1024 1264 +1603 -1200 +-33 857 +5836 2603 +-1455 -661 +-105 -1779 +5991 -1331 +-4649 3589 +-4428 4122 +2133 -360 +2918 -1205 +-247 1344 +-4538 891 +-48 -3765 +-1547 -1650 +-1325 1645 +3950 -1182 +-2659 -5080 +1337 -4062 +2132 -6043 +-3901 -1946 +288 4077 +445 1061 +-151 4491 +-2700 2019 +-188 1936 +1409 3132 +-842 297 +1534 -673 +-296 -1460 +-1877 4778 +-3549 192 +-2604 2528 +-1974 4037 +-913 -4419 +-639 879 +-751 4384 +4504 2796 +3111 -1149 +1440 -1853 +-2524 -3806 +-3763 608 +1394 568 +750 -6293 +2135 4357 +1673 1893 +-3893 19 +-3322 1150 +-474 -3874 +-2381 1951 +3031 -1794 +1312 -1195 +-5902 753 +2071 -4911 +4971 263 +3565 1226 +5228 -2335 +3131 944 +-4087 602 +-2415 211 +2766 -783 +-1461 -4743 +383 -728 +-424 2815 +1738 -3084 +0 2506 +-1026 909 +-2917 -1413 +-681 -2635 +-2200 -4997 +-2661 734 +449 5053 +2863 -2031 +2998 176 +-4790 1228 +50 -5211 +1208 -180 +-1329 -1658 +1648 2292 +-777 1277 +2768 -6794 +-1184 -250 +142 1518 +754 -2968 +-2802 -1774 +2161 4468 +-4168 2217 +-889 1418 +5021 -4334 +-1741 -3503 +-2830 5887 +3305 451 +6151 2983 +-1044 -3852 +-3256 -6142 +12 -2233 +474 -6080 +-396 -162 +-1943 -302 +157 3600 +-391 1856 +-3642 2471 +-143 6200 +1168 -1618 +297 767 +2466 2352 +1314 860 +-3635 -972 +-2817 -1199 +5490 4388 +-764 4901 +-1892 1868 +3868 4582 +-4000 4507 +2086 1666 +2073 2976 +-4974 -1239 +-1533 -3671 +-2923 -2308 +-1279 1693 +668 1806 +1856 -2050 +1990 -4183 +2000 -5402 +4306 -340 +2096 -724 +-373 2217 +1422 1480 +1738 -3084 +0 2506 +-1026 909 +-2917 -1413 +-681 -2635 +-2200 -4997 +-2661 734 +449 5053 +2863 -2031 +2998 176 +-4790 1228 +50 -5211 +1208 -180 +-1329 -1658 +1648 2292 +-777 1277 +-4898 1662 +-1233 -2080 +4164 2286 +-1633 4767 +-936 -1789 +-1669 5213 +-2018 3976 +-2476 -3493 +1283 -4100 +469 751 +-4843 -56 +-1105 1152 +1746 4320 +766 -4197 +-20 611 +3004 2298 +-76 -316 +-1161 104 +-1125 -3832 +-1262 2005 +2291 -2584 +-383 -4743 +-6 4136 +-2544 3278 +-1823 3621 +-1488 3750 +-2478 189 +2979 -154 +580 2042 +-985 777 +-105 -1771 +267 -231 +-1738 866 +-1357 64 +219 -2822 +-2295 1127 +-574 3801 +-371 -2565 +-4015 915 +-4731 99 +-1283 -640 +286 1938 +2141 -4533 +-2049 168 +428 1221 +7484 -1854 +1127 -1692 +3548 -398 +3552 2844 +1702 66 +2105 2996 +578 78 +1115 573 +-1259 -444 +3358 -6978 +-1213 -1257 +-2601 -5201 +3521 -5550 +-183 -549 +469 2540 +2935 1265 +733 -3871 +1679 -461 +3404 663 +-4898 1662 +-1233 -2080 +4164 2286 +-1633 4767 +-936 -1789 +-1669 5213 +-2018 3976 +-2476 -3493 +1283 -4100 +469 751 +-4843 -56 +-1105 1152 +1746 4320 +766 -4197 +-20 611 +3004 2298 +3950 392 +-2587 1143 +-2352 325 +1958 1724 +-520 -1179 +4084 -2345 +1529 -938 +-5183 -619 +309 679 +1817 2654 +558 1248 +-531 421 +-1419 -525 +-138 -4705 +-1733 -5215 +-2890 -2920 +240 790 +154 1957 +291 3604 +3490 -2581 +944 -5955 +4526 3166 +3190 -248 +-2750 2969 +-786 4492 +605 -790 +1487 3405 +-1707 -1217 +3478 -876 +1812 -1624 +-2014 -555 +2795 6335 +-790 -392 +673 -1835 +-2325 -514 +-1784 1091 +-1153 2003 +-484 -1884 +7075 2565 +551 2307 +639 2797 +690 2049 +-726 -3802 +345 1808 +-2565 -2750 +3937 -1752 +3167 4336 +-1454 -6165 +1656 474 +3818 4066 +1597 -2783 +-1780 756 +-3063 75 +117 -1449 +-2377 -2012 +-10274 -1458 +-2690 -1648 +998 -1200 +-2321 -220 +993 -1109 +-759 1623 +-2323 2550 +-2516 802 +526 -1342 +3950 392 +-2587 1143 +-2352 325 +1958 1724 +-520 -1179 +4084 -2345 +1529 -938 +-5183 -619 +309 679 +1817 2654 +558 1248 +-531 421 +-1419 -525 +-138 -4705 +-1733 -5215 +-2890 -2920 +2686 3394 +-2020 -3073 +-4844 2099 +2591 3518 +-299 4023 +-1762 375 +1807 2011 +-886 6364 +4781 -3729 +-1602 2782 +-2365 721 +4952 -2184 +-4440 3232 +-4581 -5319 +-1034 -5137 +-2034 -625 +4500 1580 +2295 -2001 +-2620 -6110 +-341 295 +-3873 4546 +-4593 3789 +3808 1928 +5145 -2437 +35 -1758 +237 1479 +-3540 881 +-4467 1543 +1126 -980 +805 1817 +-2890 5071 +-5600 -254 +474 -1814 +2917 -1543 +1668 -3064 +2302 -2174 +4321 1141 +4311 -1266 +-4405 -1853 +-1604 -98 +-2253 1517 +-1161 2257 +2522 -1692 +-1407 904 +3654 605 +-1116 528 +189 -84 +776 -6722 +-1972 -3792 +5492 -632 +1295 -2003 +26 2785 +-149 4194 +-3172 -580 +-2135 2676 +1664 -420 +597 -2982 +-269 731 +1562 -944 +-2391 -1111 +3452 -4121 +1694 655 +-1659 443 +3803 616 +2686 3394 +-2020 -3073 +-4844 2099 +2591 3518 +-299 4023 +-1762 375 +1807 2011 +-886 6364 +4781 -3729 +-1602 2782 +-2365 721 +4952 -2184 +-4440 3232 +-4581 -5319 +-1034 -5137 +-2034 -625 +948 1972 +-234 2607 +1588 4623 +-3104 -881 +-2930 2858 +4172 4805 +2591 -1982 +-1744 2818 +-601 4816 +149 -929 +-1219 272 +-2507 -1873 +-754 20 +-1317 -1412 +-2654 -1649 +-1159 1462 +1188 -2844 +2803 3953 +1325 2619 +-44 3041 +2464 3401 +2804 -1454 +-1670 -695 +234 -3160 +647 2012 +-402 -1262 +1955 368 +-1489 -601 +-1714 -3524 +885 3678 +-1247 107 +-3434 -1565 +1896 -3552 +4179 1540 +-1519 -22 +-1226 -2897 +2837 -576 +-428 -213 +-1998 5830 +7682 -3085 +3761 240 +-1794 2273 +297 -3962 +-2000 4221 +2078 1458 +-1915 -1907 +-2977 3271 +-910 751 +-872 -3792 +3912 -2177 +2289 -6435 +1342 1482 +-3003 3797 +-1545 -5744 +228 1424 +-1487 733 +1881 -116 +-505 -1166 +-924 -1256 +-4444 -1969 +-2771 -6171 +1877 -63 +-1122 -2514 +1647 -1006 +948 1972 +-234 2607 +1588 4623 +-3104 -881 +-2930 2858 +4172 4805 +2591 -1982 +-1744 2818 +-601 4816 +149 -929 +-1219 272 +-2507 -1873 +-754 20 +-1317 -1412 +-2654 -1649 +-1159 1462 +-1896 708 +1456 -11 +-1574 -463 +347 -1283 +-687 -1223 +864 799 +-342 -359 +996 4991 +3041 3148 +1566 -474 +4647 3431 +-4422 1827 +-3229 -941 +2850 -964 +-212 2417 +-858 971 +-3084 948 +1594 4411 +2943 1724 +185 -1457 +-1751 -3877 +-1829 1402 +-1158 19 +-4028 -5119 +82 -1607 +458 -2386 +-2962 436 +-945 4595 +-2199 6218 +-447 3002 +1381 -2336 +1139 -1471 +2844 -392 +-1765 1711 +3294 3209 +4238 -327 +-1895 -1708 +3091 2378 +-1818 -4130 +-41 -4172 +3595 3488 +1003 658 +2220 4080 +-1860 381 +-3855 -1926 +-2337 526 +4032 -1172 +-1718 4466 +-1656 1896 +5823 -3028 +2257 -4700 +2842 -4000 +-2619 -7097 +3454 -5276 +3611 4918 +-2364 -1896 +4658 -605 +-3292 3434 +-3241 -132 +1709 1312 +-3991 -4616 +-2378 -1126 +-439 644 +-5333 -3874 +-1896 708 +1456 -11 +-1574 -463 +347 -1283 +-687 -1223 +864 799 +-342 -359 +996 4991 +3041 3148 +1566 -474 +4647 3431 +-4422 1827 +-3229 -941 +2850 -964 +-212 2417 +-858 971 +-316 3868 +-4494 -4595 +-1627 -2189 +3868 -367 +2065 -331 +-1627 1886 +-1187 760 +2456 3090 +-1244 -825 +-1011 4720 +-247 3839 +-4422 -4130 +5152 831 +3650 3039 +-3518 -1596 +1181 -1425 +-3710 -2370 +-2435 -1437 +1986 1483 +1134 -4130 +4725 -2259 +2135 -2495 +-208 -3559 +-1538 -2761 +-3887 -3877 +879 -663 +4459 -1331 +168 2138 +-3052 3343 +1465 314 +2781 -397 +-2399 5704 +-3792 4348 +-1270 -205 +-699 2390 +86 -1705 +-262 816 +-991 -3050 +3948 -1024 +1885 4499 +-20 -1388 +3761 -672 +-1241 1518 +-336 2965 +1829 -2580 +-1152 2128 +1743 3196 +2800 -768 +1498 -158 +-1738 -2999 +1 2663 +2846 161 +-3369 509 +-3928 -1356 +-2369 -308 +-2145 884 +1359 -4655 +1099 3694 +1160 3002 +78 76 +-2034 -2858 +602 -836 +73 -865 +-606 -1702 +-316 3868 +-4494 -4595 +-1627 -2189 +3868 -367 +2065 -331 +-1627 1886 +-1187 760 +2456 3090 +-1244 -825 +-1011 4720 +-247 3839 +-4422 -4130 +5152 831 +3650 3039 +-3518 -1596 +1181 -1425 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs7_gi0_aggr0_len4000_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs7_gi0_aggr0_len4000_pre100_post200_openwifi.txt new file mode 100644 index 0000000..9e5a185 --- /dev/null +++ b/testing_inputs/simulated/ht_mcs7_gi0_aggr0_len4000_pre100_post200_openwifi.txt @@ -0,0 +1,10940 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-4096 -2048 +-513 -529 +1690 -2249 +-2776 1285 +-3828 1892 +1292 1230 +1543 -3826 +2048 -3561 +3196 1448 +-294 2365 +-661 5262 +-612 248 +1070 784 +5325 2778 +1002 -3071 +461 3071 +5120 0 +461 -3071 +1002 3071 +5325 -2778 +1070 -784 +-612 -248 +-661 -5262 +-294 -2365 +3196 -1448 +2048 3561 +1543 3826 +1292 -1230 +-3828 -1892 +-2776 -1285 +1690 2249 +-513 529 +-4096 2048 +-1452 5361 +3698 1401 +396 1504 +-4612 -1892 +-2074 -4555 +-160 -1118 +294 -185 +-1148 -1448 +-3377 -5431 +477 -318 +507 4675 +-822 -784 +3736 2467 +602 3919 +-2960 -3352 +-1024 0 +-2960 3352 +602 -3919 +3736 -2467 +-822 784 +507 -4675 +477 318 +-3377 5431 +-1148 1448 +294 185 +-160 1118 +-2074 4555 +-4612 1892 +396 -1504 +3698 -1401 +-1452 -5361 +-4096 -2048 +-513 -529 +1690 -2249 +-2776 1285 +-3828 1892 +1292 1230 +1543 -3826 +2048 -3561 +3196 1448 +-294 2365 +-661 5262 +-612 248 +1070 784 +5325 2778 +1002 -3071 +461 3071 +2048 -1024 +-4342 -58 +-5008 -1959 +-145 -788 +4058 -204 +-810 -735 +-4506 2431 +439 -1842 +1748 -1448 +-3079 2718 +-1864 842 +1455 1169 +-4052 360 +-2458 427 +5389 -843 +2578 -2646 +1024 -3072 +-995 -4453 +-4989 860 +1493 627 +2160 -1533 +-3414 2752 +727 1242 +3280 758 +-300 0 +1599 -1247 +6208 422 +1404 565 +-4842 580 +-1661 -2826 +2999 -822 +3043 907 +-2048 -3072 +-1422 429 +4484 1620 +1954 2755 +4383 5148 +4627 2096 +-5007 1693 +-921 1547 +300 1448 +-4395 -1536 +2241 -2070 +3562 1934 +4300 -1208 +3068 -1066 +-4216 -1714 +-1350 -4405 +-1024 -1024 +-234 -2599 +3816 -3417 +-2103 -1266 +-2408 684 +-1602 351 +-1103 -2470 +4195 424 +-1748 0 +-1117 953 +3304 3702 +-5221 797 +-3599 4364 +-148 4793 +-2476 482 +2721 -536 +2048 -1024 +-4342 -58 +-5008 -1959 +-145 -788 +4058 -204 +-810 -735 +-4506 2431 +439 -1842 +1748 -1448 +-3079 2718 +-1864 842 +1455 1169 +-4052 360 +-2458 427 +5389 -843 +2578 -2646 +-1024 5120 +-2068 1227 +-505 -2311 +-1761 3141 +130 -477 +717 735 +-1466 6120 +2735 2467 +2048 -2596 +-1128 -1443 +2031 770 +-752 -711 +-3026 -3368 +1270 -6316 +-1104 -2693 +-4626 -4 +1024 -4096 +6209 -1811 +1504 -990 +-2235 -6115 +1134 -5260 +-1207 873 +-3168 1169 +1329 -3403 +-600 -1148 +-697 3061 +3168 4112 +-123 2034 +-914 306 +-45 1103 +-1504 -1173 +769 2193 +1024 3072 +1719 -243 +1104 1307 +-4927 -4896 +-978 -3019 +3604 633 +-2031 -2440 +-878 7347 +2048 4644 +401 -3258 +1466 1343 +-351 1798 +-1918 2768 +602 -178 +505 -2095 +-706 -300 +-1024 0 +-877 1506 +-904 -3798 +363 -378 +3810 4660 +2311 215 +-328 943 +-602 -1298 +-3496 3196 +-1161 6753 +328 -432 +-4199 -666 +1762 -3802 +6733 -2858 +904 169 +-420 -1208 +-1024 5120 +-2068 1227 +-505 -2311 +-1761 3141 +130 -477 +717 735 +-1466 6120 +2735 2467 +2048 -2596 +-1128 -1443 +2031 770 +-752 -711 +-3026 -3368 +1270 -6316 +-1104 -2693 +-4626 -4 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +-3160 2130 +-1821 -2607 +5653 -1367 +-1026 5819 +-3537 1422 +3084 -4171 +4458 288 +-876 4954 +1996 2728 +3624 1680 +-1296 -5632 +-3843 -260 +-3305 872 +-253 -1481 +-3278 1652 +-475 594 +5290 2528 +2271 -5141 +1705 3242 +-1934 678 +-4104 -4501 +-1424 4288 +812 -2936 +2901 -241 +-31 -941 +-299 253 +-1919 1911 +-4325 2461 +-1465 4445 +-1053 -2180 +-1672 1462 +-463 2885 +5056 1662 +2600 803 +-1227 1838 +-114 864 +-3345 634 +1504 -1236 +-2735 -4676 +-5669 2785 +2112 -516 +-4082 417 +-2320 2124 +4811 -2717 +3420 723 +1188 1243 +120 82 +1337 1823 +398 1264 +23 -2064 +-399 232 +431 -5514 +2138 -6404 +1825 -3199 +669 -4513 +-242 1647 +979 -1271 +3263 -1450 +2331 178 +-3156 -373 +-2442 279 +-2867 2205 +-903 1056 +5057 -2763 +-3160 2130 +-1821 -2607 +5653 -1367 +-1026 5819 +-3537 1422 +3084 -4171 +4458 288 +-876 4954 +1996 2728 +3624 1680 +-1296 -5632 +-3843 -260 +-3305 872 +-253 -1481 +-3278 1652 +-475 594 +-948 -3400 +-227 47 +-1323 4080 +-745 -4712 +-3469 -6300 +781 217 +2862 7719 +-624 1120 +331 -1711 +4340 651 +-1458 -1032 +-5079 163 +1308 -2290 +3040 665 +5044 -806 +992 455 +-2920 1896 +-2987 -1603 +1006 888 +3040 739 +6261 2724 +6762 1025 +-3270 -916 +709 -150 +-1897 -1825 +-2351 -1762 +1826 -361 +-4641 2291 +-3696 2474 +-1785 -1527 +430 -1790 +-307 1102 +1264 -3236 +-367 -3448 +1692 -2467 +3264 -934 +-3937 605 +1700 -238 +2968 3164 +2284 -4594 +1565 -2713 +-459 2603 +3302 -212 +2331 -487 +-1794 -6903 +209 1606 +3247 9197 +2868 1268 +1340 -1580 +-9236 -1827 +-2531 -3504 +1696 -1044 +-5175 -821 +-530 -600 +-1711 1672 +851 -1315 +-3792 4986 +-334 3922 +-1250 -1184 +-7912 1826 +5445 399 +1443 2798 +-721 3250 +1270 1744 +-948 -3400 +-227 47 +-1323 4080 +-745 -4712 +-3469 -6300 +781 217 +2862 7719 +-624 1120 +331 -1711 +4340 651 +-1458 -1032 +-5079 163 +1308 -2290 +3040 665 +5044 -806 +992 455 +-2054 -4026 +-2618 340 +2255 2284 +1524 -83 +-6579 -4226 +178 577 +716 4052 +-3319 -4734 +1256 -1653 +1730 602 +1624 467 +2752 3585 +-592 -711 +-1089 3142 +4730 110 +5534 -2819 +1188 -948 +2454 -7331 +2078 -2550 +-4514 1471 +-288 -830 +4914 831 +1147 3167 +648 -1544 +-8 -1083 +-1164 3889 +-1585 -1138 +-606 -313 +-382 -3236 +-493 -5078 +-578 -3518 +-1995 -1462 +1106 7186 +-1255 3473 +-4344 -1374 +-3686 -4679 +-1475 226 +2458 3259 +33 -4003 +703 719 +-2204 -875 +-1539 96 +6286 3185 +1294 -1047 +538 -1686 +648 -4030 +-1200 -689 +3148 100 +-872 -316 +-630 2464 +-404 4061 +-6381 6077 +-2402 405 +710 -226 +1111 3890 +-2179 1605 +-3468 1715 +2345 -852 +411 -3669 +-431 1245 +-1460 -55 +936 -1155 +5417 5840 +-76 1878 +-2054 -4026 +-2618 340 +2255 2284 +1524 -83 +-6579 -4226 +178 577 +716 4052 +-3319 -4734 +1256 -1653 +1730 602 +1624 467 +2752 3585 +-592 -711 +-1089 3142 +4730 110 +5534 -2819 +-2054 -1662 +-3102 -1061 +2590 -2837 +-4953 2511 +-138 2360 +2652 -4601 +-1493 -578 +-433 -2877 +-6901 -138 +899 542 +-1223 674 +-2760 2146 +-2635 -2771 +-6203 -1056 +5124 -3428 +-3457 -512 +-1656 -316 +4031 1049 +-4219 2891 +1957 -394 +3307 2028 +814 -3179 +595 1028 +-574 3478 +-2192 802 +-340 -2082 +-2130 -8369 +-1803 2543 +3238 3498 +-491 -6242 +4129 -448 +3216 3127 +-1422 398 +509 4698 +-4629 1005 +-2447 -2363 +2944 2026 +3235 -1691 +273 -2998 +-196 -616 +581 1086 +-2084 -3147 +1589 -1407 +970 3365 +-1033 313 +4016 -299 +3563 -4010 +4216 745 +5764 1580 +2870 -3885 +-739 250 +-2630 2737 +840 3699 +-1382 995 +-3626 -459 +1019 3264 +-968 5834 +-3677 5087 +3705 1472 +7197 -4595 +-202 -1040 +-1747 4760 +-980 -484 +679 -2446 +-2054 -1662 +-3102 -1061 +2590 -2837 +-4953 2511 +-138 2360 +2652 -4601 +-1493 -578 +-433 -2877 +-6901 -138 +899 542 +-1223 674 +-2760 2146 +-2635 -2771 +-6203 -1056 +5124 -3428 +-3457 -512 +-2528 -3874 +-1554 -375 +-1833 3738 +-745 3436 +-2058 -2727 +859 -2873 +-3058 813 +-434 -1247 +5332 -3413 +-3083 3427 +317 1282 +1641 -5951 +2275 -2543 +3430 -635 +-4101 1639 +1577 1700 +4342 -1580 +1302 861 +333 2031 +614 -1929 +386 -4289 +818 -3116 +622 -859 +-3040 1116 +1332 1919 +1369 1981 +-986 316 +-1573 -2539 +-255 -5084 +-2246 -2071 +-3580 2810 +1497 2898 +-1264 1346 +4739 -3292 +3292 -1694 +2928 2251 +5311 1894 +-1976 4289 +3355 558 +-2700 -296 +-4384 1833 +1255 -3229 +2372 344 +-498 820 +-4341 -3129 +3165 4515 +-1384 1041 +-2134 -2316 +-550 5372 +1721 1350 +1969 -2365 +-3572 -1221 +3313 -566 +-2638 -3592 +-1475 -3793 +1060 6006 +-4808 4717 +-540 -1618 +-4199 -1126 +-428 663 +425 2540 +-1564 4377 +5828 2848 +753 -3392 +-2528 -3874 +-1554 -375 +-1833 3738 +-745 3436 +-2058 -2727 +859 -2873 +-3058 813 +-434 -1247 +5332 -3413 +-3083 3427 +317 1282 +1641 -5951 +2275 -2543 +3430 -635 +-4101 1639 +1577 1700 +1106 2920 +-3419 108 +1353 176 +1263 -5692 +573 3321 +1745 3147 +-1681 -4751 +-2014 1994 +2855 -3161 +356 -2999 +-1218 125 +4186 -154 +5181 -246 +-2254 -9110 +-1552 -4662 +1278 2058 +-3710 -4740 +4223 167 +3982 6194 +-1858 -734 +22 -1702 +-3438 -1733 +1136 -446 +1925 -1789 +397 -4724 +-447 2419 +-3515 2668 +3044 -457 +-582 2299 +-3130 3289 +4531 -1439 +886 279 +-2370 3084 +-1093 -741 +171 -151 +989 -1158 +-242 270 +1786 4288 +-190 6599 +-1943 -245 +-1275 -5056 +-1123 806 +438 1181 +-1748 779 +-303 -5656 +-1064 -3124 +-1805 4004 +993 -674 +-714 -1264 +-976 270 +1830 1704 +6122 433 +3438 1271 +2961 -1094 +-714 200 +-6108 5398 +1183 300 +-419 864 +-1777 -519 +-1951 -2848 +-3031 2972 +-1292 3443 +-3518 -770 +2518 2810 +1106 2920 +-3419 108 +1353 176 +1263 -5692 +573 3321 +1745 3147 +-1681 -4751 +-2014 1994 +2855 -3161 +356 -2999 +-1218 125 +4186 -154 +5181 -246 +-2254 -9110 +-1552 -4662 +1278 2058 +2054 -1498 +4575 -2469 +1136 -3612 +-3294 -3625 +-2539 803 +781 -57 +-1424 306 +962 1488 +3021 -3070 +-5221 -1082 +-5020 635 +1624 -4157 +336 722 +-1017 -571 +4474 356 +3933 2791 +-2604 -2528 +-1674 83 +-1793 1387 +-2766 3053 +1366 1099 +4760 -1979 +2698 535 +-2291 1009 +994 -243 +-154 -86 +521 1406 +6945 2330 +337 1461 +-249 1339 +453 2948 +-3548 -2310 +-3002 3394 +-884 3196 +-654 -3799 +-7496 3657 +-6277 199 +-1952 289 +-729 -1160 +-200 -354 +455 3070 +655 1103 +-1435 4921 +2243 1151 +-227 2808 +740 -6294 +1484 -4955 +654 6698 +2288 -632 +-5741 563 +2359 -1650 +3942 633 +-3926 1691 +522 -6230 +2724 -6001 +4549 -1593 +-678 -1021 +30 -2266 +-169 3241 +-3510 1491 +3347 3857 +2301 1820 +433 -4669 +778 378 +2054 -1498 +4575 -2469 +1136 -3612 +-3294 -3625 +-2539 803 +781 -57 +-1424 306 +962 1488 +3021 -3070 +-5221 -1082 +-5020 635 +1624 -4157 +336 722 +-1017 -571 +4474 356 +3933 2791 +1738 866 +-2950 1202 +-680 -3746 +5396 1422 +277 449 +-1023 1059 +-366 2135 +-4685 -2741 +-5822 -2705 +-2308 -2591 +3439 1724 +-3637 -1054 +-3404 2555 +5110 2345 +1562 -3488 +-667 4100 +-1656 -1896 +-2944 641 +2179 5310 +-905 3413 +-3839 4754 +1233 -265 +-1389 1784 +4431 -54 +2232 -2875 +-4114 -5039 +3436 -1127 +2953 3938 +3985 59 +1832 -1704 +138 -2919 +309 1614 +474 -2130 +-674 1271 +-3050 6580 +6708 -443 +2334 3436 +-2275 -956 +1629 -5826 +911 -1845 +766 -139 +-2664 -134 +-3239 78 +-3481 1782 +-1659 -42 +-2388 1681 +-225 1031 +3877 -747 +3868 632 +3577 -395 +2107 302 +2373 1351 +-3829 -423 +-3333 -3174 +-952 -2071 +2134 -2138 +3456 -1865 +-4816 1624 +-399 -274 +337 -1625 +-1450 -675 +40 -621 +-1661 504 +1643 -1918 +1738 866 +-2950 1202 +-680 -3746 +5396 1422 +277 449 +-1023 1059 +-366 2135 +-4685 -2741 +-5822 -2705 +-2308 -2591 +3439 1724 +-3637 -1054 +-3404 2555 +5110 2345 +1562 -3488 +-667 4100 +-2054 714 +-98 -42 +106 -503 +-731 -2744 +1250 -4022 +-389 2009 +-1102 -3924 +-461 -4855 +-304 135 +-1201 293 +647 5172 +4582 1058 +2263 -1347 +-3467 -1281 +-3375 -1673 +-2488 -4149 +82 -1422 +2054 3739 +-3558 -1107 +-2475 -3184 +1303 573 +1515 2663 +3765 -2029 +1167 -279 +-3455 -3209 +44 -689 +6479 3288 +4346 -2707 +386 3042 +-852 -738 +497 -1862 +3147 -3391 +-2054 -1662 +-1584 2888 +-450 -2133 +-7292 1918 +-672 -5319 +-896 -534 +-6158 3427 +3361 -3266 +-644 2709 +-4894 1349 +1114 3193 +504 3414 +-868 -2829 +-1443 -5507 +334 -749 +663 1717 +234 -790 +1487 -212 +3781 1833 +1081 1648 +-2512 -81 +584 5378 +-3534 706 +1144 -1539 +6931 2261 +2188 782 +3257 1633 +202 1427 +115 6190 +-631 2544 +-1804 -218 +835 2291 +-2054 714 +-98 -42 +106 -503 +-731 -2744 +1250 -4022 +-389 2009 +-1102 -3924 +-461 -4855 +-304 135 +-1201 293 +647 5172 +4582 1058 +2263 -1347 +-3467 -1281 +-3375 -1673 +-2488 -4149 +-2370 3400 +3916 -4001 +2575 -6073 +-600 -2273 +-4001 2062 +1034 2990 +3777 839 +-1619 770 +-3032 -1429 +501 2563 +6849 -1469 +2518 27 +3956 2091 +1204 515 +-1735 5650 +1409 -608 +-2768 -3002 +2299 -5606 +-396 -2971 +-1652 2445 +576 -3893 +-5309 -1804 +-1250 -214 +1268 -1461 +-3032 -281 +1454 -1992 +3823 -1609 +-2579 -1811 +1036 -62 +-688 2056 +-2564 -3297 +4738 -1595 +2686 4500 +-1642 3866 +-508 -1543 +2557 -3762 +-2511 -1207 +-2960 -3958 +-1329 2763 +-2115 2253 +1768 -1099 +-678 3947 +-39 -3734 +3040 -434 +3080 1587 +2211 1063 +136 5610 +-3295 1503 +-2604 158 +218 2057 +27 2262 +-88 -236 +-384 4302 +1781 -546 +-1415 -4435 +3714 323 +1768 281 +-5545 2958 +5365 -5088 +-143 -1324 +-4280 7761 +-324 3475 +-3205 -1859 +-4624 -1402 +-2370 3400 +3916 -4001 +2575 -6073 +-600 -2273 +-4001 2062 +1034 2990 +3777 839 +-1619 770 +-3032 -1429 +501 2563 +6849 -1469 +2518 27 +3956 2091 +1204 515 +-1735 5650 +1409 -608 +1106 1340 +2630 -69 +-355 -4058 +-4205 -5638 +-2339 208 +-2498 585 +1126 -648 +4554 3780 +-300 -867 +-2821 3577 +-966 3272 +-493 -3851 +682 -3042 +703 -2310 +1573 3081 +1692 -2402 +-398 -2528 +-2095 -1171 +-2776 -5998 +288 -1299 +-585 1135 +1925 3040 +4003 -1378 +-658 -3866 +-3198 -790 +-5080 -3326 +1109 -2746 +3556 2132 +-1520 1798 +2437 -446 +4784 1592 +-2753 -703 +-790 -3236 +931 -198 +-2468 3234 +1859 1624 +-4544 2413 +246 3994 +4030 3639 +-1975 4048 +4724 -81 +-1266 2868 +2788 -91 +6493 -4378 +-1908 6 +-2398 2952 +-1268 6353 +2059 339 +-6238 -1264 +-1604 -1508 +4182 -2441 +-5995 3105 +-1382 1932 +-99 -1770 +-681 -1288 +5964 2917 +38 1106 +-5953 -1961 +1014 -2548 +1242 1153 +-1047 1870 +2301 -4257 +1601 26 +1013 3038 +1106 1340 +2630 -69 +-355 -4058 +-4205 -5638 +-2339 208 +-2498 585 +1126 -648 +4554 3780 +-300 -867 +-2821 3577 +-966 3272 +-493 -3851 +682 -3042 +703 -2310 +1573 3081 +1692 -2402 +-790 -1188 +-1769 -1660 +-1329 21 +-49 -1825 +-4843 3411 +-1470 -1603 +-2908 -1309 +1874 1936 +2208 2251 +737 2086 +2550 3238 +566 859 +3819 231 +754 3604 +3128 -3556 +978 1650 +-1498 -4740 +-397 -7749 +-942 2773 +-97 -2591 +-1580 -1150 +6064 -102 +1953 3559 +953 1213 +328 -1897 +-5247 -426 +2443 -3526 +-1833 2366 +-50 -1445 +5576 -2441 +-923 5222 +185 4814 +5214 240 +2597 -3076 +-2828 565 +-3250 3347 +1906 2785 +3689 5166 +-173 -340 +-120 -4029 +-1260 2805 +-274 -2148 +-312 709 +1209 4907 +1243 1017 +-4532 -226 +1151 -5128 +2397 -121 +2130 -1264 +683 -1593 +-3316 850 +-535 2493 +-1803 642 +-4434 -6793 +-3341 4978 +2985 3535 +-12 -3792 +-3328 -1062 +1205 -3363 +2049 -4655 +-2485 -435 +-3705 2855 +1114 -2162 +-2254 1266 +-790 -1188 +-1769 -1660 +-1329 21 +-49 -1825 +-4843 3411 +-1470 -1603 +-2908 -1309 +1874 1936 +2208 2251 +737 2086 +2550 3238 +566 859 +3819 231 +754 3604 +3128 -3556 +978 1650 +4108 3236 +-893 925 +3054 322 +174 1468 +-2452 -500 +3478 -978 +3599 1945 +4621 985 +188 -2003 +-1488 840 +-1925 -1642 +-5817 -4463 +-3649 3729 +-301 2909 +-588 1199 +3832 -2096 +2762 -5214 +-2995 2210 +2402 3978 +1273 2488 +-1816 -4415 +2425 -2838 +1988 -601 +288 -1318 +3702 1888 +4041 -6941 +-88 -1891 +-3510 2370 +-54 -917 +4320 138 +-3667 -5139 +-2565 -1314 +-1264 -708 +-1041 -2160 +958 2595 +-4594 -450 +517 146 +-1144 2311 +-817 -822 +-1042 6670 +-4612 5479 +-472 -986 +-1622 1808 +1059 -2438 +1083 3438 +2745 3001 +213 -1372 +-89 778 +-1182 -2370 +-5932 4045 +2819 549 +1360 1012 +1223 5401 +-481 -1108 +-1642 -2079 +-287 -3519 +-2438 -1572 +2524 -1139 +-540 -662 +2010 -2145 +-1172 -3089 +-4786 2298 +914 -715 +3286 -555 +4108 3236 +-893 925 +3054 322 +174 1468 +-2452 -500 +3478 -978 +3599 1945 +4621 985 +188 -2003 +-1488 840 +-1925 -1642 +-5817 -4463 +-3649 3729 +-301 2909 +-588 1199 +3832 -2096 +316 3868 +2004 1626 +2178 4273 +-3013 2525 +841 1387 +4271 -1839 +-1620 -3420 +-3685 3159 +-3395 -775 +1816 340 +3749 -972 +-588 -4700 +-3201 -2007 +1528 -6507 +123 -6869 +-356 1161 +-76 2212 +2024 -1060 +6559 4327 +-2960 2607 +2246 -3566 +2938 44 +-2412 -299 +7564 -2576 +2801 -3972 +-3422 -5219 +-417 -1216 +-1424 587 +-2719 909 +-591 -1369 +-1211 -4271 +-2821 -1092 +-2528 872 +850 4209 +-1638 -545 +-1895 -2760 +4685 1186 +-986 -3392 +1424 2215 +1370 1550 +-2609 459 +-1225 2414 +-315 -1931 +4806 4110 +-198 1113 +2316 -575 +-1627 2390 +-7187 -319 +-240 -3792 +740 -3455 +2981 2703 +-794 -524 +-2083 -2799 +74 1764 +4210 5417 +676 -627 +-3117 2392 +3009 9342 +807 -318 +71 683 +-3362 -15 +-177 -1379 +-152 1043 +-4933 1272 +316 3868 +2004 1626 +2178 4273 +-3013 2525 +841 1387 +4271 -1839 +-1620 -3420 +-3685 3159 +-3395 -775 +1816 340 +3749 -972 +-588 -4700 +-3201 -2007 +1528 -6507 +123 -6869 +-356 1161 +-316 2452 +-5490 -2553 +8097 -6507 +7777 2387 +151 3717 +10225 749 +-2030 1264 +-5175 3478 +4277 1935 +559 -1296 +188 -267 +-5229 -2329 +-1765 -212 +-885 3453 +-965 -1172 +2664 -227 +-76 5056 +2853 1680 +851 -4182 +2114 -4076 +848 1016 +-1593 6485 +120 4506 +720 907 +2850 1672 +-1529 458 +-431 -329 +-1865 -555 +-2435 -3939 +-314 -2094 +368 591 +3393 -4638 +-1580 1972 +-597 5164 +419 -6327 +930 -2137 +1005 545 +-2101 -2881 +-797 -205 +-1010 494 +147 2489 +-2969 907 +-2711 -183 +-2075 815 +-1210 -583 +2531 -395 +295 2985 +1910 2472 +-2452 -1896 +-2680 460 +-26 832 +-4817 -173 +-3268 -2117 +-2615 -3318 +2892 199 +1909 -2962 +-6642 224 +-1091 2547 +2463 -1997 +1594 489 +354 -2218 +-1888 -1780 +1381 679 +4744 -1528 +-316 2452 +-5490 -2553 +8097 -6507 +7777 2387 +151 3717 +10225 749 +-2030 1264 +-5175 3478 +4277 1935 +559 -1296 +188 -267 +-5229 -2329 +-1765 -212 +-885 3453 +-965 -1172 +2664 -227 +-316 -4026 +-3970 -2874 +-25 3600 +-3686 -6304 +478 790 +586 3221 +1293 -2703 +2978 -1239 +-2497 -5914 +-87 1569 +2319 -769 +-1175 -580 +623 2609 +-67 -3716 +-2761 -2576 +2004 -1448 +1656 2370 +-453 1846 +-2590 2780 +128 2096 +1389 -869 +-2268 25 +947 -3554 +-1962 691 +1541 1145 +415 -1549 +-2966 3293 +1660 1660 +-4169 -667 +-3523 1085 +-2161 -715 +-482 759 +1896 3394 +418 -2269 +-1246 -4682 +374 -1109 +5249 5745 +-1514 7171 +343 -4980 +3420 -4851 +1865 5598 +3191 1558 +-2392 -1915 +1906 444 +4408 2508 +-105 1488 +-1278 -3432 +-3066 3709 +-1340 2054 +1235 -6786 +2304 1276 +3139 1399 +2997 -3770 +5030 953 +3751 -1634 +130 -6387 +987 1699 +-2384 -64 +-2988 1472 +-4287 9174 +-4654 -25 +-1561 870 +-2662 1897 +3974 -542 +-316 -4026 +-3970 -2874 +-25 3600 +-3686 -6304 +478 790 +586 3221 +1293 -2703 +2978 -1239 +-2497 -5914 +-87 1569 +2319 -769 +-1175 -580 +623 2609 +-67 -3716 +-2761 -2576 +2004 -1448 +2212 1340 +774 1830 +-4288 2875 +621 616 +-892 -1750 +3102 -1118 +2130 1395 +565 -957 +1121 -7340 +-2330 -1689 +43 -3835 +-321 -3503 +-328 4574 +-2145 -1953 +-246 -69 +2451 2059 +1656 0 +3508 5182 +-88 -311 +-2978 423 +-2751 6630 +-1223 -132 +441 -103 +2263 -286 +-682 -720 +-3104 2492 +563 2451 +-2405 1175 +-5924 -6533 +-1994 -1390 +4100 609 +-40 -2462 +-5688 -1024 +-2831 -2448 +3167 4705 +3542 -2031 +-1135 -82 +2999 4199 +589 -4590 +-1572 1602 +2355 2600 +3766 2377 +5837 2300 +-260 -659 +-1839 -4791 +120 -3677 +-486 3168 +756 -2004 +1820 -3476 +-1657 -1640 +-4077 -2136 +1920 -623 +1618 4051 +3147 -100 +4872 -3776 +1032 4708 +998 2301 +-3860 2681 +-3684 1535 +252 -4184 +-5182 -835 +-802 443 +3768 838 +-3298 1068 +2212 1340 +774 1830 +-4288 2875 +621 616 +-892 -1750 +3102 -1118 +2130 1395 +565 -957 +1121 -7340 +-2330 -1689 +43 -3835 +-321 -3503 +-328 4574 +-2145 -1953 +-246 -69 +2451 2059 +1264 -2452 +-3798 -3750 +2957 1233 +2571 541 +-3168 -2555 +719 -4441 +-2358 -3912 +-2847 -1789 +-755 2269 +-1968 -572 +-928 -667 +1558 2772 +1467 -1587 +-2304 -94 +-354 -107 +1571 2115 +2610 474 +3561 -823 +-2513 3632 +-473 -1279 +5404 -1532 +74 1957 +-1305 1437 +1329 -2631 +1556 -667 +536 -882 +-3574 839 +-2461 4558 +355 -11 +4235 2447 +6133 -4854 +258 -4419 +-632 -1024 +1698 -3098 +-3115 7847 +-2634 2537 +6182 -5013 +1328 -991 +-2683 1002 +879 -1896 +-193 -1637 +1047 -4204 +46 -6921 +2436 1883 +2255 415 +600 432 +217 3692 +-3059 3270 +-2610 5530 +-5592 4920 +-565 3779 +-1102 261 +-4625 -2908 +4680 -230 +2062 5603 +-3413 801 +-5032 -1230 +-1894 2306 +3901 -894 +-635 -1596 +-1549 -714 +2135 1968 +-448 930 +965 -73 +1264 -2452 +-3798 -3750 +2957 1233 +2571 541 +-3168 -2555 +719 -4441 +-2358 -3912 +-2847 -1789 +-755 2269 +-1968 -572 +-928 -667 +1558 2772 +1467 -1587 +-2304 -94 +-354 -107 +1571 2115 +-1738 -556 +-1196 -2943 +2149 238 +-3265 1404 +-3116 -6261 +-3874 -383 +2147 265 +-286 -46 +-9408 3113 +1550 17 +4330 4775 +-2514 2320 +-6597 1483 +1636 -2088 +883 -1422 +-4270 -4014 +2920 -3318 +1627 8208 +2562 -158 +-2182 4832 +-1234 444 +-118 -6241 +-980 974 +1238 -5564 +-8355 663 +267 3020 +3150 269 +-1317 188 +2036 -1580 +-3215 -100 +-239 2265 +6102 2167 +4898 -1340 +-1863 -320 +-1518 -1361 +1307 -455 +681 -2355 +3252 145 +-1742 2769 +-2501 -2225 +6564 995 +3736 -923 +-1047 -1395 +857 338 +247 -1128 +3839 1162 +3551 2155 +2792 -303 +2136 -1738 +-117 772 +446 1128 +-4209 607 +-755 1220 +-725 2226 +-1736 308 +-1385 266 +-7130 -347 +-212 584 +2569 1560 +380 -3348 +3681 594 +2999 -608 +645 -2257 +1668 1304 +-1738 -556 +-1196 -2943 +2149 238 +-3265 1404 +-3116 -6261 +-3874 -383 +2147 265 +-286 -46 +-9408 3113 +1550 17 +4330 4775 +-2514 2320 +-6597 1483 +1636 -2088 +883 -1422 +-4270 -4014 +-2844 2136 +-1884 1538 +-1183 2232 +-4108 -502 +-4912 606 +-1322 -3423 +-835 142 +-70 2665 +3056 3040 +2231 2633 +823 -7071 +894 -2091 +-786 723 +1569 4181 +5676 3571 +664 -3972 +392 3160 +1768 235 +584 606 +2272 3087 +-6004 -627 +-2 -257 +5101 -669 +-6453 2140 +790 -643 +1560 -3336 +-2973 -2409 +-24 -384 +-3089 -512 +1181 656 +-5 151 +-3686 -3600 +-948 1024 +-1583 -2883 +455 -5036 +3001 -2007 +2106 -1516 +458 1522 +799 -128 +2326 -921 +2948 -196 +-1730 2627 +-2068 -2356 +3290 -6290 +-1726 -75 +-3666 -409 +-2105 -2145 +128 -895 +1504 -632 +-4563 -1500 +298 3922 +2077 1950 +1857 -1623 +7603 6909 +885 1549 +-2258 -579 +-1106 3487 +-1316 -3567 +-991 -2529 +-1886 1550 +3705 4288 +4750 2658 +597 -2471 +-1224 2264 +-2844 2136 +-1884 1538 +-1183 2232 +-4108 -502 +-4912 606 +-1322 -3423 +-835 142 +-70 2665 +3056 3040 +2231 2633 +823 -7071 +894 -2091 +-786 723 +1569 4181 +5676 3571 +664 -3972 +-2844 1504 +-227 1855 +2096 -2054 +592 1481 +2805 1612 +5437 367 +1653 2337 +1474 6569 +2192 6931 +-1431 -5564 +3656 -167 +2419 2301 +-3960 -630 +-773 351 +-3518 -2227 +-3063 -1157 +-3552 -4108 +-4411 -996 +3821 3812 +2515 3146 +-1800 3637 +-1067 2321 +3041 -2146 +1451 382 +-882 -1537 +-1008 -1303 +-2826 5733 +3898 1781 +1224 2431 +-2171 -531 +-7 -1199 +-412 -1802 +316 1024 +-1155 3431 +2414 -7794 +-335 2007 +-2821 -1519 +-1027 -6913 +1960 1482 +2194 -7016 +-4404 -3455 +1376 894 +26 -5180 +-3971 -1024 +-1 4253 +1565 -2402 +2460 -3571 +-2101 -4299 +2288 -2212 +3402 4941 +-37 2013 +1674 77 +-1344 -3097 +-719 -171 +-213 -3077 +512 -1052 +566 4381 +925 -946 +4756 3636 +1098 433 +-2951 3427 +-3772 2139 +-4112 -4240 +-2891 702 +-2844 1504 +-227 1855 +2096 -2054 +592 1481 +2805 1612 +5437 367 +1653 2337 +1474 6569 +2192 6931 +-1431 -5564 +3656 -167 +2419 2301 +-3960 -630 +-773 351 +-3518 -2227 +-3063 -1157 +-2370 -2446 +-1144 -174 +-3302 -2261 +2769 -67 +-2616 1277 +-2850 2596 +2125 -557 +1380 3460 +371 -54 +-488 -4325 +2927 -1354 +3843 485 +2893 3841 +-738 1134 +-1346 2407 +-1796 -1827 +1498 -3002 +1780 3286 +-5480 372 +2968 -1262 +6732 493 +2256 3639 +2673 435 +4708 -2880 +2235 839 +292 262 +892 -1887 +-838 2108 +5272 -2165 +-1759 -5087 +-4793 4115 +594 -2208 +-2370 -1030 +683 3721 +-127 -2308 +379 5997 +-1259 766 +171 -6607 +-3042 532 +-6406 -188 +4053 54 +-127 -1005 +-1274 -3197 +2139 -2461 +44 -3094 +-1075 2248 +-1985 5754 +-977 701 +-1814 -3634 +1602 1709 +16 5353 +-928 -146 +-329 3784 +-2302 786 +2975 -6341 +2625 -3843 +-339 -4631 +-1027 1365 +-2500 4018 +611 3022 +-1888 -1110 +-2856 -1020 +2129 5031 +-3487 -3419 +-2370 -2446 +-1144 -174 +-3302 -2261 +2769 -67 +-2616 1277 +-2850 2596 +2125 -557 +1380 3460 +371 -54 +-488 -4325 +2927 -1354 +3843 485 +2893 3841 +-738 1134 +-1346 2407 +-1796 -1827 +-3476 -398 +-3059 -2982 +-1435 -760 +5498 -4323 +262 -2264 +-3910 420 +2442 3601 +-365 404 +-5598 -3649 +4964 3631 +5761 3806 +-1611 -680 +889 -1173 +187 -2788 +-283 292 +958 121 +2446 -4108 +1458 -223 +2817 -855 +3457 -1025 +-2395 -1611 +-1158 -2765 +-2696 -969 +-1962 -735 +3557 1807 +2825 -126 +-662 2335 +-6238 -222 +-882 1058 +3862 5775 +-1143 1568 +3455 1336 +3476 -5290 +-3091 -1815 +841 1500 +-557 1115 +1210 2156 +5769 419 +-2185 5147 +-2296 873 +542 489 +4067 -2683 +2640 -3036 +-3802 764 +213 -168 +-2255 3435 +-217 866 +3766 4428 +-1182 2844 +-294 -233 +752 -1225 +1419 -4203 +291 -2073 +2367 -2483 +3779 776 +-2944 -610 +-1029 -543 +-1661 -3089 +-5659 -1765 +200 3582 +-3379 283 +-5014 2982 +301 1360 +-4033 1702 +-3476 -398 +-3059 -2982 +-1435 -760 +5498 -4323 +262 -2264 +-3910 420 +2442 3601 +-365 404 +-5598 -3649 +4964 3631 +5761 3806 +-1611 -680 +889 -1173 +187 -2788 +-283 292 +958 121 +1264 -2446 +-4098 276 +-135 2597 +-2899 2017 +623 2127 +-63 -1560 +-1742 -633 +8877 2257 +-58 1822 +-3832 -2052 +481 -4472 +158 -1328 +1256 6 +80 -152 +-131 -4643 +-4539 -2773 +866 -2528 +4915 3942 +-1242 5501 +750 -2133 +-445 4720 +-2881 -1207 +-1276 -1476 +-1416 -360 +1545 20 +1981 3358 +148 -4366 +1852 953 +-938 543 +-3592 510 +1667 750 +3367 1157 +1264 -82 +1098 -7705 +166 1324 +4651 750 +3693 -3490 +-1838 -2448 +2287 1935 +2029 4377 +58 -1190 +-1584 569 +1770 -817 +6260 1320 +-2411 2915 +-2991 -1815 +990 -2453 +1558 604 +1662 -1896 +-1384 -5216 +-4107 3091 +-2222 5312 +1185 1067 +-4585 3402 +-3476 3379 +-1785 -3756 +-2809 1244 +-1106 3035 +-5930 -2859 +-2753 2265 +3358 -304 +4711 -521 +418 612 +1281 -3076 +1264 -2446 +-4098 276 +-135 2597 +-2899 2017 +623 2127 +-63 -1560 +-1742 -633 +8877 2257 +-58 1822 +-3832 -2052 +481 -4472 +158 -1328 +1256 6 +80 -152 +-131 -4643 +-4539 -2773 +-632 2762 +-173 -941 +-3386 3300 +4627 2915 +687 -2242 +627 -188 +1612 -1644 +-1251 -3290 +3453 -2354 +3049 1303 +858 1365 +-3409 -2832 +-4207 -650 +-6864 -70 +-1626 -1107 +-672 1923 +-3084 6478 +405 -53 +-4725 -6601 +2698 -2307 +41 -2326 +-6090 523 +-1190 -1979 +-114 -1472 +4153 3934 +175 -4540 +-3462 -2122 +-1797 3069 +274 -364 +1744 -1070 +2334 -230 +1768 687 +-632 -550 +-3035 241 +677 822 +3964 1864 +-1319 -178 +371 -1975 +-2302 -1014 +509 -263 +6027 2670 +2347 -2784 +2917 -3096 +912 2618 +4763 1174 +1604 -950 +-73 -2314 +2399 -881 +-2604 2054 +-1676 6674 +-2538 1923 +1 -1719 +-673 3482 +-886 -1594 +3437 1030 +-2147 4605 +-6681 -1090 +-1308 1148 +-453 616 +-1416 -2867 +2963 -2687 +2126 -779 +5392 937 +1511 3007 +-632 2762 +-173 -941 +-3386 3300 +4627 2915 +687 -2242 +627 -188 +1612 -1644 +-1251 -3290 +3453 -2354 +3049 1303 +858 1365 +-3409 -2832 +-4207 -650 +-6864 -70 +-1626 -1107 +-672 1923 +790 -1182 +-1720 -526 +795 4547 +-1747 4671 +-6472 226 +2621 155 +-2279 -518 +99 1829 +-2947 1368 +-2481 -3808 +8964 -3 +-3110 784 +1745 -676 +2288 383 +820 1186 +1609 2758 +-1978 474 +520 38 +-3618 244 +3098 -773 +138 1810 +-3414 1093 +-3000 -1873 +1266 1718 +4066 4173 +-3294 -645 +-928 -889 +-1465 394 +3183 -5079 +-2297 -4321 +-5879 -235 +-1023 2398 +-790 7502 +3028 3410 +-2480 -2768 +-972 -2533 +-803 -1736 +1419 -1710 +2842 -2576 +-2132 1177 +735 1476 +-2489 -3685 +-3123 -1754 +4116 2315 +3142 1631 +-5230 -1069 +1512 -1765 +1799 -2159 +-4342 -4266 +2483 1549 +866 5421 +-2550 -2253 +184 1596 +1535 1879 +-2435 -4482 +1820 4290 +1939 1831 +531 -3023 +5844 259 +2477 -1277 +3939 -1564 +1444 -4888 +-431 -2378 +1772 1830 +790 -1182 +-1720 -526 +795 4547 +-1747 4671 +-6472 226 +2621 155 +-2279 -518 +99 1829 +-2947 1368 +-2481 -3808 +8964 -3 +-3110 784 +1745 -676 +2288 383 +820 1186 +1609 2758 +474 1340 +-4162 -432 +-3576 -727 +4452 -1049 +-1797 -7911 +-3054 5244 +530 703 +2527 -4455 +-1988 2184 +-5422 562 +177 4180 +1487 1037 +205 475 +3244 1369 +-111 3033 +-4581 3249 +4974 -1264 +2861 79 +-834 782 +-85 4881 +-4332 3294 +-1086 -4031 +-2619 -4700 +-2059 -2979 +-2157 -2539 +-1723 -2233 +1317 1994 +2518 3051 +4777 512 +218 3601 +-3425 2111 +-2831 -1367 +1422 -392 +-2433 -4732 +-3071 2481 +9 7245 +-1996 -3925 +2032 -3646 +-2130 514 +-2640 -13 +-540 -604 +1232 79 +2331 1317 +-1134 558 +1614 -3897 +29 909 +1129 6691 +1513 -5432 +2610 -5372 +6375 -948 +2303 -4183 +-774 -2369 +539 959 +1376 2873 +-1175 -322 +1448 1996 +1525 1591 +-304 998 +2617 4270 +2466 2211 +-276 -2147 +815 -2220 +1481 -445 +-2315 -4036 +474 1340 +-4162 -432 +-3576 -727 +4452 -1049 +-1797 -7911 +-3054 5244 +530 703 +2527 -4455 +-1988 2184 +-5422 562 +177 4180 +1487 1037 +205 475 +3244 1369 +-111 3033 +-4581 3249 +-2528 4974 +1138 -1371 +2114 -379 +-774 1779 +123 -35 +2840 2126 +2753 1243 +643 -879 +216 -694 +58 3538 +2690 2642 +2394 1756 +-2993 1664 +2705 1504 +1963 -630 +2334 -5463 +1662 6004 +-3829 1473 +-2656 -5794 +-2394 2228 +2728 -5741 +-1293 -412 +2261 5375 +-420 -9 +-5495 -570 +4195 -2059 +-1580 3156 +107 1156 +798 -3186 +3947 -1260 +7983 2811 +446 1570 +-1264 -3710 +-1988 3131 +-944 1931 +-2444 -82 +4786 4173 +3230 -3791 +-2654 -3634 +774 906 +100 -2150 +2946 -23 +-1255 2887 +-2334 310 +-1361 -2998 +904 673 +2192 2907 +-983 -4606 +-398 -3476 +-3603 2685 +-2197 -1012 +-1034 -1817 +-53 -2189 +-2931 -4995 +-2404 -718 +1088 1481 +-1142 886 +3087 1041 +37 362 +-889 -1232 +-236 -3064 +-3823 269 +-3244 -1034 +-4100 372 +-2528 4974 +1138 -1371 +2114 -379 +-774 1779 +123 -35 +2840 2126 +2753 1243 +643 -879 +216 -694 +58 3538 +2690 2642 +2394 1756 +-2993 1664 +2705 1504 +1963 -630 +2334 -5463 +-2844 -1030 +-448 1764 +-3339 -2688 +326 -774 +827 502 +8194 1435 +3956 1465 +-529 -6649 +2288 3357 +557 2768 +1752 -4803 +-5042 2503 +-584 2908 +2518 5162 +1036 3073 +1200 1769 +-2768 3002 +1421 1614 +1283 7144 +-1309 2441 +440 -4825 +1989 -527 +-1825 278 +-1687 -2751 +3514 790 +-9 583 +-684 -3657 +4091 -1694 +-1336 -1085 +-1808 -238 +3067 212 +-2514 1104 +1896 3558 +-912 -67 +-4288 -1388 +1967 -1235 +-2206 1640 +1347 4715 +430 -2108 +-2578 1226 +-2288 3911 +445 -6419 +4817 -4519 +2180 882 +1963 945 +-2768 2930 +-1082 1345 +1129 -2775 +-2604 -1106 +-2864 559 +-3596 -2237 +-4347 179 +2203 2051 +6612 918 +-295 -1422 +4661 -2848 +278 -1106 +-5495 -2004 +263 -493 +-1289 688 +-1307 -872 +-3723 -3081 +1033 -2842 +-1316 -2178 +-2844 -1030 +-448 1764 +-3339 -2688 +326 -774 +827 502 +8194 1435 +3956 1465 +-529 -6649 +2288 3357 +557 2768 +1752 -4803 +-5042 2503 +-584 2908 +2518 5162 +1036 3073 +1200 1769 +4108 3084 +2458 -2932 +1267 -6331 +-1306 4817 +1173 6849 +-3636 545 +-1038 -2843 +3506 -411 +-4623 -1260 +-323 2337 +1920 -3259 +-1983 -4686 +283 2731 +4030 -2585 +-2079 1780 +-4660 4856 +-82 1106 +-3421 808 +-78 -656 +1515 340 +1262 523 +1487 670 +3149 1831 +3558 -5 +-4285 -744 +741 2474 +2943 1189 +1850 -2179 +56 -1357 +-3978 -703 +2627 -2382 +-2300 1299 +-4108 2288 +2683 -2580 +3203 -3821 +4890 -2800 +499 4110 +1702 1268 +4915 799 +401 1981 +2411 -3164 +1931 5856 +1412 441 +602 -5499 +-2741 -480 +-1310 -921 +-3291 -971 +-1834 -674 +-5606 4898 +-3576 3170 +4164 1883 +-2983 1045 +857 -737 +793 1416 +-4268 -1498 +-380 -2895 +-1719 -2416 +-1385 -2658 +-2189 -4615 +-1690 701 +2402 2266 +1805 1420 +-2542 755 +816 -3474 +4108 3084 +2458 -2932 +1267 -6331 +-1306 4817 +1173 6849 +-3636 545 +-1038 -2843 +3506 -411 +-4623 -1260 +-323 2337 +1920 -3259 +-1983 -4686 +283 2731 +4030 -2585 +-2079 1780 +-4660 4856 +6478 -3874 +1766 -3498 +-1843 356 +3342 4839 +-1349 -884 +133 -5773 +-1479 1871 +-790 4158 +-504 678 +-2833 1696 +5976 -328 +-1260 3018 +-4260 1226 +217 -4051 +709 -1021 +1196 1797 +-2136 0 +3731 -1712 +-704 1039 +-528 -655 +1161 94 +-3704 16 +1073 478 +-1876 -374 +428 -901 +894 5031 +-1784 204 +-521 2052 +-2277 3618 +170 -1334 +786 -761 +889 -2607 +474 -3394 +-1529 -485 +-2912 1249 +-3047 -1846 +-3675 3565 +581 -181 +-2339 -4216 +-5556 2976 +4296 -994 +-1673 804 +1093 -306 +5392 -4298 +-2029 -1302 +2611 2867 +1658 6136 +408 6138 +-3552 2212 +-464 -4558 +4041 -1227 +-3609 -2185 +72 -2775 +1538 515 +64 -3649 +1470 -3316 +2100 1217 +110 501 +-1340 -3516 +5594 3085 +2245 -1014 +-1547 -5006 +-471 6218 +-1104 2387 +6478 -3874 +1766 -3498 +-1843 356 +3342 4839 +-1349 -884 +133 -5773 +-1479 1871 +-790 4158 +-504 678 +-2833 1696 +5976 -328 +-1260 3018 +-4260 1226 +217 -4051 +709 -1021 +1196 1797 +2370 1340 +2805 1416 +1390 3154 +1649 851 +6447 1160 +1931 1721 +-2937 3046 +-2627 -2591 +878 -1999 +2822 5429 +2985 476 +6750 -2788 +-1820 2581 +505 530 +-59 -5453 +-7384 -2220 +-234 -3160 +-1759 -4113 +-250 2184 +-2450 -3000 +-1307 926 +6203 5757 +3339 -1070 +-956 2579 +-424 -1538 +3198 -2305 +-4506 1368 +-3434 -519 +-953 4351 +-1092 728 +3792 -2184 +-2318 -338 +-2370 -1656 +-409 -1329 +522 -82 +59 -1172 +-2240 180 +-612 930 +1192 -7218 +2723 -3688 +-2143 1683 +-6057 -1142 +-3006 1460 +454 4633 +-2757 317 +-2344 -3437 +-2527 2824 +-2886 -1985 +4026 -2844 +2337 1277 +912 62 +-1128 4091 +-1636 -2266 +1553 455 +672 1712 +23 -2617 +-2104 590 +-1827 -2962 +-1838 227 +564 -154 +1738 335 +328 2101 +2847 -504 +3377 3864 +2370 1340 +2805 1416 +1390 3154 +1649 851 +6447 1160 +1931 1721 +-2937 3046 +-2627 -2591 +878 -1999 +2822 5429 +2985 476 +6750 -2788 +-1820 2581 +505 530 +-59 -5453 +-7384 -2220 +3002 7502 +-4289 -2103 +-5697 -4050 +-1687 2168 +-3083 676 +527 962 +-1647 978 +6182 1926 +5452 1796 +-1153 3206 +-827 255 +-867 -4548 +2091 2174 +-617 1674 +882 -2346 +-1732 3476 +-240 2212 +-333 -3518 +-1917 806 +2637 374 +-676 -1346 +1143 5946 +-2443 3597 +-2639 -1578 +1468 -47 +4112 -2291 +7100 -2309 +3277 245 +1858 -2360 +-1015 1080 +971 2296 +-1423 -982 +-1106 -866 +3954 -4646 +-703 106 +2085 -745 +1296 -1864 +-6563 3001 +-4320 -1911 +-484 3938 +-2924 1680 +-1466 -3594 +-640 1094 +1680 -304 +2595 2142 +-4225 -1384 +-2205 -1966 +2139 1894 +2136 -2528 +-167 -841 +12 2659 +-549 -649 +2464 -2523 +3776 1669 +-3382 2961 +1081 -1067 +-204 -2165 +-1244 -2673 +3937 -4882 +2949 -1535 +1040 3100 +-2550 983 +-4289 -4873 +-2536 -86 +3002 7502 +-4289 -2103 +-5697 -4050 +-1687 2168 +-3083 676 +527 962 +-1647 978 +6182 1926 +5452 1796 +-1153 3206 +-827 255 +-867 -4548 +2091 2174 +-617 1674 +882 -2346 +-1732 3476 +-4424 -4658 +4619 -867 +-214 -1935 +1687 164 +293 2857 +-5393 2076 +-3798 805 +1227 -1976 +-708 -4196 +-2968 173 +2376 2167 +-2541 -3100 +-4206 1294 +1421 554 +1592 1376 +-3170 3865 +2920 -2054 +2625 1324 +-1700 -462 +2289 -3764 +-6783 -891 +-3345 -191 +-1576 -2522 +-4457 -1999 +2328 1182 +2768 -1530 +554 2761 +-2766 1699 +2840 -2557 +3645 310 +-1238 -1589 +-1098 1653 +2844 866 +3087 -921 +2683 815 +3716 -2164 +-2313 -1925 +1156 -1316 +-762 65 +-456 -1358 +3868 2616 +1620 6118 +3454 -3548 +-1626 -3587 +-3593 640 +-3356 4036 +-796 3907 +-217 -1628 +556 790 +743 2061 +-4390 4480 +-704 1285 +-1941 -1305 +-2305 1238 +1929 -1923 +3203 1053 +200 -3394 +4181 -2196 +6084 3307 +-2428 -58 +535 3151 +1615 -757 +3385 -119 +-2770 -197 +-4424 -4658 +4619 -867 +-214 -1935 +1687 164 +293 2857 +-5393 2076 +-3798 805 +1227 -1976 +-708 -4196 +-2968 173 +2376 2167 +-2541 -3100 +-4206 1294 +1421 554 +1592 1376 +-3170 3865 +1264 -1024 +-3734 -929 +1760 1840 +-1171 4577 +-48 397 +-466 2581 +403 -376 +-1116 841 +-6201 3395 +3796 -616 +-1476 -2069 +-1786 3142 +2542 1909 +220 -1164 +1973 815 +1227 2905 +2452 316 +1769 -4258 +2808 3944 +-5971 579 +-1375 -2125 +2467 -1180 +-9028 -4992 +804 -1021 +-28 -3804 +-412 1044 +3003 -319 +-5735 -1578 +-1320 2860 +3530 -1921 +4497 -369 +1082 -393 +-2844 -2452 +233 -1917 +305 3212 +-523 -735 +-1347 -2108 +704 2072 +4591 -842 +-921 3662 +-6755 2609 +-1233 3654 +5296 3821 +2690 -1310 +903 -798 +-391 -1247 +-2850 -3061 +2451 -4601 +1024 -3160 +-69 -1077 +-602 1792 +-3862 -629 +874 1307 +933 2442 +3771 -3316 +-755 -5810 +-2817 -3464 +4707 2835 +2811 419 +2363 6157 +-1492 3614 +-1940 -2848 +-2095 2027 +1110 -3258 +1264 -1024 +-3734 -929 +1760 1840 +-1171 4577 +-48 397 +-466 2581 +403 -376 +-1116 841 +-6201 3395 +3796 -616 +-1476 -2069 +-1786 3142 +2542 1909 +220 -1164 +1973 815 +1227 2905 +3160 -556 +2327 1978 +-1392 -222 +4719 2594 +-1508 -3317 +3611 1276 +1050 3460 +-1769 -2295 +1009 2605 +-2814 1348 +-279 1422 +-1106 -3632 +-379 826 +-1757 2636 +-1796 -1873 +1851 4058 +-1972 316 +1209 -877 +3021 -712 +-1262 -687 +2989 -1815 +5323 -1701 +1288 -1701 +-302 -1714 +186 5356 +774 3869 +12 490 +-1688 -1710 +-541 1564 +286 -1952 +562 -6102 +1766 198 +-2844 -2288 +-5003 -745 +-2894 743 +8 1966 +2079 3147 +-4192 1916 +-255 2891 +3527 2746 +-2905 1819 +280 -2794 +-865 -799 +-5510 1566 +548 869 +2699 -1436 +-3220 -2035 +598 1909 +392 3792 +-211 3335 +3870 -518 +-3368 3927 +3392 3881 +-787 -5428 +-8109 -6687 +4428 -2822 +2975 332 +1650 4088 +1055 859 +2844 -7075 +-1525 -3891 +-3395 -2984 +2897 -1859 +-4737 -1557 +3160 -556 +2327 1978 +-1392 -222 +4719 2594 +-1508 -3317 +3611 1276 +1050 3460 +-1769 -2295 +1009 2605 +-2814 1348 +-279 1422 +-1106 -3632 +-379 826 +-1757 2636 +-1796 -1873 +1851 4058 +4266 -2452 +-3997 -146 +-6065 3696 +1847 766 +2734 -3593 +-135 -1442 +-4739 -1575 +2114 1081 +604 3515 +778 1315 +1557 2734 +-185 2019 +629 -971 +-762 -5220 +3955 -3557 +-12 1157 +550 -948 +3369 -2065 +882 -159 +2698 -5631 +-1636 -8780 +-2701 -119 +2268 1405 +2215 1106 +-621 -840 +-308 -802 +3514 3805 +3071 1408 +-1463 2914 +2514 -2308 +410 -4619 +-1763 938 +2686 1504 +-1359 -160 +2311 -2617 +1923 -1516 +911 123 +370 6196 +-7131 1902 +-3306 777 +-2184 4069 +-634 -3055 +2017 5252 +-1569 3391 +-853 -6196 +867 896 +-744 2821 +-100 -3345 +-3078 -632 +-3373 4652 +2272 854 +46 865 +3046 -2287 +-682 -3947 +1 131 +3308 1070 +-4751 840 +1210 -2268 +-1432 1604 +-1728 3014 +1686 1092 +-211 3416 +-1604 963 +-3504 -2041 +4266 -2452 +-3997 -146 +-6065 3696 +1847 766 +2734 -3593 +-135 -1442 +-4739 -1575 +2114 1081 +604 3515 +778 1315 +1557 2734 +-185 2019 +629 -971 +-762 -5220 +3955 -3557 +-12 1157 +1106 398 +1050 -2809 +-590 -259 +-51 -2319 +-392 -1517 +-819 1856 +-1024 603 +-2922 1834 +382 -1683 +-4560 -3309 +-1763 -1472 +-1079 -867 +-1191 -348 +2769 885 +-809 2317 +-112 -2073 +-82 -474 +3579 2727 +3307 883 +6304 1517 +5364 364 +-1990 1415 +1751 4443 +470 6765 +1499 2069 +3706 -378 +-1780 3648 +-3333 -1665 +2500 -4560 +1094 -2681 +1188 2008 +785 1839 +-3002 -398 +2172 4627 +-3063 -1333 +-2248 -2308 +-1642 1162 +-1852 -2085 +2209 -1453 +-4033 -1291 +1830 1999 +743 495 +-4631 -2107 +1653 2322 +760 -932 +-4100 -3500 +1304 3232 +-1706 1128 +-4974 -2686 +6934 1237 +914 -2976 +-827 -3156 +1093 622 +-1073 -840 +2011 -3115 +-307 -5119 +713 3303 +-4839 5671 +-3771 -178 +-267 -1786 +1091 152 +2243 4273 +-310 -1715 +2615 -2408 +1106 398 +1050 -2809 +-590 -259 +-51 -2319 +-392 -1517 +-819 1856 +-1024 603 +-2922 1834 +382 -1683 +-4560 -3309 +-1763 -1472 +-1079 -867 +-1191 -348 +2769 885 +-809 2317 +-112 -2073 +-2370 -1346 +417 1770 +-1990 5321 +1068 478 +2324 -2574 +-4522 -1736 +-2657 -3597 +4396 -770 +3785 2269 +2496 4915 +2527 -2695 +4200 1100 +72 3098 +-2498 -5246 +207 -1412 +-1043 -1722 +-1188 316 +4824 -419 +2021 -1247 +-3838 2277 +-439 -1061 +-2718 -5583 +1203 -2817 +-1978 744 +-4994 -4836 +3524 -1253 +-477 2200 +185 -1348 +-362 -2029 +276 -2429 +4177 1229 +3822 4611 +-158 -866 +-4352 -303 +3307 8657 +2918 1967 +-1229 3768 +-2640 4473 +-2130 -2995 +780 2693 +4116 -1637 +1787 -2418 +-4305 -1765 +1680 -1279 +-1058 1071 +-1464 -3903 +-1770 446 +-2250 2084 +4348 632 +-1829 2754 +-1289 2680 +-51 690 +3769 -2661 +1390 -2709 +-5832 3675 +2266 3583 +253 411 +-3510 623 +-1058 -5567 +1206 -2404 +716 388 +1235 1352 +483 2943 +-5776 -2592 +-2370 -1346 +417 1770 +-1990 5321 +1068 478 +2324 -2574 +-4522 -1736 +-2657 -3597 +4396 -770 +3785 2269 +2496 4915 +2527 -2695 +4200 1100 +72 3098 +-2498 -5246 +207 -1412 +-1043 -1722 +2212 3710 +-516 4515 +86 -3285 +1399 -600 +-1682 -1814 +3365 -3144 +-2070 -1452 +-1906 -3007 +-231 1780 +-2691 2930 +-481 -4225 +4138 -483 +4077 2547 +-4484 -904 +567 5438 +3100 6019 +4506 316 +5609 -133 +676 3776 +3089 1811 +1859 50 +-272 1191 +-1486 -931 +2133 2408 +554 -570 +-4354 -684 +-68 -485 +111 1564 +1982 4179 +2763 -5127 +-618 181 +1341 2681 +948 -1182 +-1259 1799 +357 1222 +-1959 -5730 +-1702 -3357 +-287 3905 +-1847 -4993 +-1554 -1827 +547 -1464 +2045 -3284 +-3914 1588 +-169 -1637 +-3745 575 +-8056 -5028 +4702 -711 +2492 4110 +550 -2212 +-225 1158 +-934 3203 +-2474 3253 +-4796 -1199 +1601 -4186 +2165 -1485 +2200 805 +-4031 886 +-983 1004 +5541 -1793 +1814 -3696 +1478 284 +-2366 932 +-5207 1426 +-3643 -617 +2212 3710 +-516 4515 +86 -3285 +1399 -600 +-1682 -1814 +3365 -3144 +-2070 -1452 +-1906 -3007 +-231 1780 +-2691 2930 +-481 -4225 +4138 -483 +4077 2547 +-4484 -904 +567 5438 +3100 6019 +-474 -3874 +-2381 1951 +3031 -1794 +1312 -1195 +-5902 753 +2071 -4911 +4971 263 +3565 1226 +5228 -2335 +3131 944 +-4087 602 +-2415 211 +2766 -783 +-1461 -4743 +383 -728 +-424 2815 +1024 1264 +1603 -1200 +-33 857 +5836 2603 +-1455 -661 +-105 -1779 +5991 -1331 +-4649 3589 +-4428 4122 +2133 -360 +2918 -1205 +-247 1344 +-4538 891 +-48 -3765 +-1547 -1650 +-1325 1645 +3950 -1182 +-2659 -5080 +1337 -4062 +2132 -6043 +-3901 -1946 +288 4077 +445 1061 +-151 4491 +-2700 2019 +-188 1936 +1409 3132 +-842 297 +1534 -673 +-296 -1460 +-1877 4778 +-3549 192 +-2604 2528 +-1974 4037 +-913 -4419 +-639 879 +-751 4384 +4504 2796 +3111 -1149 +1440 -1853 +-2524 -3806 +-3763 608 +1394 568 +750 -6293 +2135 4357 +1673 1893 +-3893 19 +-3322 1150 +-474 -3874 +-2381 1951 +3031 -1794 +1312 -1195 +-5902 753 +2071 -4911 +4971 263 +3565 1226 +5228 -2335 +3131 944 +-4087 602 +-2415 211 +2766 -783 +-1461 -4743 +383 -728 +-424 2815 +1738 -3084 +0 2506 +-1026 909 +-2917 -1413 +-681 -2635 +-2200 -4997 +-2661 734 +449 5053 +2863 -2031 +2998 176 +-4790 1228 +50 -5211 +1208 -180 +-1329 -1658 +1648 2292 +-777 1277 +2768 -6794 +-1184 -250 +142 1518 +754 -2968 +-2802 -1774 +2161 4468 +-4168 2217 +-889 1418 +5021 -4334 +-1741 -3503 +-2830 5887 +3305 451 +6151 2983 +-1044 -3852 +-3256 -6142 +12 -2233 +474 -6080 +-396 -162 +-1943 -302 +157 3600 +-391 1856 +-3642 2471 +-143 6200 +1168 -1618 +297 767 +2466 2352 +1314 860 +-3635 -972 +-2817 -1199 +5490 4388 +-764 4901 +-1892 1868 +3868 4582 +-4000 4507 +2086 1666 +2073 2976 +-4974 -1239 +-1533 -3671 +-2923 -2308 +-1279 1693 +668 1806 +1856 -2050 +1990 -4183 +2000 -5402 +4306 -340 +2096 -724 +-373 2217 +1422 1480 +1738 -3084 +0 2506 +-1026 909 +-2917 -1413 +-681 -2635 +-2200 -4997 +-2661 734 +449 5053 +2863 -2031 +2998 176 +-4790 1228 +50 -5211 +1208 -180 +-1329 -1658 +1648 2292 +-777 1277 +-4898 1662 +-1233 -2080 +4164 2286 +-1633 4767 +-936 -1789 +-1669 5213 +-2018 3976 +-2476 -3493 +1283 -4100 +469 751 +-4843 -56 +-1105 1152 +1746 4320 +766 -4197 +-20 611 +3004 2298 +-76 -316 +-1161 104 +-1125 -3832 +-1262 2005 +2291 -2584 +-383 -4743 +-6 4136 +-2544 3278 +-1823 3621 +-1488 3750 +-2478 189 +2979 -154 +580 2042 +-985 777 +-105 -1771 +267 -231 +-1738 866 +-1357 64 +219 -2822 +-2295 1127 +-574 3801 +-371 -2565 +-4015 915 +-4731 99 +-1283 -640 +286 1938 +2141 -4533 +-2049 168 +428 1221 +7484 -1854 +1127 -1692 +3548 -398 +3552 2844 +1702 66 +2105 2996 +578 78 +1115 573 +-1259 -444 +3358 -6978 +-1213 -1257 +-2601 -5201 +3521 -5550 +-183 -549 +469 2540 +2935 1265 +733 -3871 +1679 -461 +3404 663 +-4898 1662 +-1233 -2080 +4164 2286 +-1633 4767 +-936 -1789 +-1669 5213 +-2018 3976 +-2476 -3493 +1283 -4100 +469 751 +-4843 -56 +-1105 1152 +1746 4320 +766 -4197 +-20 611 +3004 2298 +3950 392 +-2587 1143 +-2352 325 +1958 1724 +-520 -1179 +4084 -2345 +1529 -938 +-5183 -619 +309 679 +1817 2654 +558 1248 +-531 421 +-1419 -525 +-138 -4705 +-1733 -5215 +-2890 -2920 +240 790 +154 1957 +291 3604 +3490 -2581 +944 -5955 +4526 3166 +3190 -248 +-2750 2969 +-786 4492 +605 -790 +1487 3405 +-1707 -1217 +3478 -876 +1812 -1624 +-2014 -555 +2795 6335 +-790 -392 +673 -1835 +-2325 -514 +-1784 1091 +-1153 2003 +-484 -1884 +7075 2565 +551 2307 +639 2797 +690 2049 +-726 -3802 +345 1808 +-2565 -2750 +3937 -1752 +3167 4336 +-1454 -6165 +1656 474 +3818 4066 +1597 -2783 +-1780 756 +-3063 75 +117 -1449 +-2377 -2012 +-10274 -1458 +-2690 -1648 +998 -1200 +-2321 -220 +993 -1109 +-759 1623 +-2323 2550 +-2516 802 +526 -1342 +3950 392 +-2587 1143 +-2352 325 +1958 1724 +-520 -1179 +4084 -2345 +1529 -938 +-5183 -619 +309 679 +1817 2654 +558 1248 +-531 421 +-1419 -525 +-138 -4705 +-1733 -5215 +-2890 -2920 +2686 3394 +-2020 -3073 +-4844 2099 +2591 3518 +-299 4023 +-1762 375 +1807 2011 +-886 6364 +4781 -3729 +-1602 2782 +-2365 721 +4952 -2184 +-4440 3232 +-4581 -5319 +-1034 -5137 +-2034 -625 +4500 1580 +2295 -2001 +-2620 -6110 +-341 295 +-3873 4546 +-4593 3789 +3808 1928 +5145 -2437 +35 -1758 +237 1479 +-3540 881 +-4467 1543 +1126 -980 +805 1817 +-2890 5071 +-5600 -254 +474 -1814 +2917 -1543 +1668 -3064 +2302 -2174 +4321 1141 +4311 -1266 +-4405 -1853 +-1604 -98 +-2253 1517 +-1161 2257 +2522 -1692 +-1407 904 +3654 605 +-1116 528 +189 -84 +776 -6722 +-1972 -3792 +5492 -632 +1295 -2003 +26 2785 +-149 4194 +-3172 -580 +-2135 2676 +1664 -420 +597 -2982 +-269 731 +1562 -944 +-2391 -1111 +3452 -4121 +1694 655 +-1659 443 +3803 616 +2686 3394 +-2020 -3073 +-4844 2099 +2591 3518 +-299 4023 +-1762 375 +1807 2011 +-886 6364 +4781 -3729 +-1602 2782 +-2365 721 +4952 -2184 +-4440 3232 +-4581 -5319 +-1034 -5137 +-2034 -625 +948 1972 +-234 2607 +1588 4623 +-3104 -881 +-2930 2858 +4172 4805 +2591 -1982 +-1744 2818 +-601 4816 +149 -929 +-1219 272 +-2507 -1873 +-754 20 +-1317 -1412 +-2654 -1649 +-1159 1462 +1188 -2844 +2803 3953 +1325 2619 +-44 3041 +2464 3401 +2804 -1454 +-1670 -695 +234 -3160 +647 2012 +-402 -1262 +1955 368 +-1489 -601 +-1714 -3524 +885 3678 +-1247 107 +-3434 -1565 +1896 -3552 +4179 1540 +-1519 -22 +-1226 -2897 +2837 -576 +-428 -213 +-1998 5830 +7682 -3085 +3761 240 +-1794 2273 +297 -3962 +-2000 4221 +2078 1458 +-1915 -1907 +-2977 3271 +-910 751 +-872 -3792 +3912 -2177 +2289 -6435 +1342 1482 +-3003 3797 +-1545 -5744 +228 1424 +-1487 733 +1881 -116 +-505 -1166 +-924 -1256 +-4444 -1969 +-2771 -6171 +1877 -63 +-1122 -2514 +1647 -1006 +948 1972 +-234 2607 +1588 4623 +-3104 -881 +-2930 2858 +4172 4805 +2591 -1982 +-1744 2818 +-601 4816 +149 -929 +-1219 272 +-2507 -1873 +-754 20 +-1317 -1412 +-2654 -1649 +-1159 1462 +-1896 708 +1456 -11 +-1574 -463 +347 -1283 +-687 -1223 +864 799 +-342 -359 +996 4991 +3041 3148 +1566 -474 +4647 3431 +-4422 1827 +-3229 -941 +2850 -964 +-212 2417 +-858 971 +-3084 948 +1594 4411 +2943 1724 +185 -1457 +-1751 -3877 +-1829 1402 +-1158 19 +-4028 -5119 +82 -1607 +458 -2386 +-2962 436 +-945 4595 +-2199 6218 +-447 3002 +1381 -2336 +1139 -1471 +2844 -392 +-1765 1711 +3294 3209 +4238 -327 +-1895 -1708 +3091 2378 +-1818 -4130 +-41 -4172 +3595 3488 +1003 658 +2220 4080 +-1860 381 +-3855 -1926 +-2337 526 +4032 -1172 +-1718 4466 +-1656 1896 +5823 -3028 +2257 -4700 +2842 -4000 +-2619 -7097 +3454 -5276 +3611 4918 +-2364 -1896 +4658 -605 +-3292 3434 +-3241 -132 +1709 1312 +-3991 -4616 +-2378 -1126 +-439 644 +-5333 -3874 +-1896 708 +1456 -11 +-1574 -463 +347 -1283 +-687 -1223 +864 799 +-342 -359 +996 4991 +3041 3148 +1566 -474 +4647 3431 +-4422 1827 +-3229 -941 +2850 -964 +-212 2417 +-858 971 +-2528 6080 +-1743 -4526 +5287 -2278 +2166 5288 +702 -2941 +-991 1797 +-5228 207 +3446 -548 +1538 -397 +4775 -356 +6282 23 +-4006 -2201 +2707 1849 +2714 -1789 +-1873 -1866 +3838 2694 +2136 -316 +-2428 -1456 +4113 -3032 +5014 -2113 +-2425 -298 +-514 -2087 +4053 978 +3015 2048 +-1961 -213 +-1578 -1202 +-574 -767 +-819 192 +1361 -556 +3547 -758 +5074 -78 +432 3445 +-1896 872 +-3442 1490 +-6979 1832 +-5968 -3912 +-1905 691 +-1124 -1229 +-3066 -1118 +-3154 1752 +-590 -1183 +4034 -711 +1886 738 +4284 1620 +5015 -1341 +-215 2573 +-697 3047 +-564 1905 +4184 -2212 +-2614 -2285 +-1942 4480 +5638 -4381 +-4588 -612 +-5917 800 +-3388 2199 +-3463 4690 +381 -3895 +992 3466 +-1751 2796 +-2518 -1427 +-2130 -5640 +-3080 -1311 +-1196 2951 +242 -1469 +-2528 6080 +-1743 -4526 +5287 -2278 +2166 5288 +702 -2941 +-991 1797 +-5228 207 +3446 -548 +1538 -397 +4775 -356 +6282 23 +-4006 -2201 +2707 1849 +2714 -1789 +-1873 -1866 +3838 2694 +-4582 -3078 +-3445 -2589 +2830 -355 +-106 4446 +-933 1887 +1265 -4628 +-2648 -515 +-1447 3545 +-2478 -1854 +1936 -4837 +-1398 181 +-7725 238 +4597 -3096 +3665 1449 +2417 2120 +912 -1150 +2294 -1422 +923 816 +-3252 -445 +7865 -2884 +2634 738 +1200 -1772 +46 -2655 +-5028 324 +-3324 -1268 +-4539 3370 +-2729 5789 +-2426 -1264 +-782 1085 +-3563 4939 +1765 -1419 +2277 267 +1422 1814 +1369 1029 +-5798 1979 +2447 -267 +1003 1203 +1662 -809 +3819 177 +-1923 2275 +-4158 274 +-1229 2087 +4096 -650 +-2279 -2819 +-798 2241 +-2759 1789 +-2588 -1809 +780 1151 +2130 158 +6740 -847 +-1409 -1594 +-163 -3301 +2352 -2564 +3503 -4123 +3361 3101 +1818 242 +6168 -4736 +2930 2911 +1339 1415 +-2300 2122 +-3017 -1493 +-2075 -3843 +-2379 4792 +-286 2131 +-4582 -3078 +-3445 -2589 +2830 -355 +-106 4446 +-933 1887 +1265 -4628 +-2648 -515 +-1447 3545 +-2478 -1854 +1936 -4837 +-1398 181 +-7725 238 +4597 -3096 +3665 1449 +2417 2120 +912 -1150 +316 -2288 +3426 2928 +-3501 -502 +1258 456 +1267 -135 +-1732 -1371 +615 -3768 +-2460 -5503 +-3591 -2828 +165 -5405 +-178 -3654 +-3272 -401 +716 -2015 +1683 -1731 +-614 4248 +272 2893 +-240 948 +2312 2164 +1516 163 +-1749 -1606 +2429 -3118 +-3724 52 +-2981 1008 +2789 4561 +-3792 5819 +1590 1599 +583 -914 +2706 -956 +2806 1082 +-5380 1003 +-107 336 +-146 -3769 +4424 708 +1797 1891 +1365 420 +-536 772 +-7986 -4350 +2660 -960 +615 -1047 +1106 1248 +2327 2196 +23 5025 +-1988 4156 +-4769 -2537 +4248 2369 +1373 -3174 +2364 -1742 +1169 -289 +-1340 -1264 +5557 3289 +-1276 -3023 +-4155 -616 +1131 4443 +-560 -1277 +-2980 -5239 +50 4282 +-1897 3030 +-1615 1763 +-313 4619 +838 -4791 +1711 -2068 +-2517 -739 +4351 2412 +1841 1200 +316 -2288 +3426 2928 +-3501 -502 +1258 456 +1267 -135 +-1732 -1371 +615 -3768 +-2460 -5503 +-3591 -2828 +165 -5405 +-178 -3654 +-3272 -401 +716 -2015 +1683 -1731 +-614 4248 +272 2893 +-158 -1972 +-2799 -912 +-1929 -3759 +546 -744 +-1639 2762 +-340 542 +4818 3646 +3470 602 +-1110 -547 +-85 -1260 +2304 -1551 +3629 -656 +20 -4890 +-4207 -1331 +209 1945 +-2489 -969 +-3236 -790 +414 769 +-1073 -6607 +-3741 -1406 +-3207 773 +-93 -2683 +-4742 5470 +-3607 -2297 +1364 -401 +257 -348 +-987 -4630 +-2488 582 +-4821 -3550 +1647 -503 +5257 4361 +-3097 3497 +1738 -1504 +2733 2506 +2702 2216 +5847 -2389 +474 136 +1983 -1536 +2938 3748 +3876 3599 +794 231 +1161 -4374 +-2151 -510 +-4975 2724 +3533 -3218 +2718 757 +3301 186 +-3864 3020 +-3400 -1422 +3146 -571 +-147 7116 +782 4467 +-5108 3913 +-4309 -1268 +-1456 1641 +-216 -1982 +1480 -1179 +1276 5238 +1282 -2387 +-340 -1838 +5692 -983 +1554 -81 +-2739 1756 +1612 -1154 +-158 -1972 +-2799 -912 +-1929 -3759 +546 -744 +-1639 2762 +-340 542 +4818 3646 +3470 602 +-1110 -547 +-85 -1260 +2304 -1551 +3629 -656 +20 -4890 +-4207 -1331 +209 1945 +-2489 -969 +158 3078 +162 1380 +3992 406 +1067 -3035 +1806 -821 +3993 -2481 +-2248 -4872 +-3771 2775 +-1599 -2165 +1497 -3389 +3694 -3560 +393 -3313 +3617 1490 +-1632 765 +-2348 4764 +2552 2681 +240 -948 +837 540 +-3112 1870 +234 193 +-1766 -4829 +-1041 274 +-357 4715 +-4771 -3011 +5153 -609 +3167 590 +-326 -6169 +-3070 1799 +-2884 883 +1194 740 +560 3276 +1060 -5876 +-4582 -1498 +1056 2255 +4068 -1139 +586 -4045 +-1021 -1184 +-362 571 +3740 -1310 +240 176 +967 -47 +-1807 2955 +-2797 792 +-1694 2924 +-2908 1300 +1664 1109 +2750 6687 +3648 -42 +1024 3792 +-2176 -1353 +-448 -5223 +-228 3841 +-2812 -751 +-1746 -2482 +-3848 -705 +-4223 2585 +3696 2189 +1210 -2607 +1248 381 +4130 1266 +912 120 +-3485 3661 +-4569 83 +1319 -1446 +158 3078 +162 1380 +3992 406 +1067 -3035 +1806 -821 +3993 -2481 +-2248 -4872 +-3771 2775 +-1599 -2165 +1497 -3389 +3694 -3560 +393 -3313 +3617 1490 +-1632 765 +-2348 4764 +2552 2681 +-1106 708 +2742 -2276 +-1565 -3102 +-2480 409 +-121 1810 +-2778 -1147 +-1078 68 +-1284 31 +-851 278 +1867 770 +-1363 -1563 +-3981 -1105 +807 -1330 +1524 -2112 +2521 -5226 +1057 434 +-2294 1580 +2978 -2868 +112 3366 +1310 -522 +3158 -688 +-2288 1401 +-684 -4467 +-896 -1792 +2015 -1826 +2860 -314 +-1849 4573 +-805 923 +-2052 -3042 +-3201 -1981 +1545 1221 +-1100 4737 +790 4980 +5177 -1409 +399 -1204 +4619 5112 +1417 87 +-783 -4914 +715 -2235 +-2336 3441 +3063 3514 +-3893 -2990 +-2587 -2103 +3028 -1262 +-2627 1036 +-727 1421 +-1824 -1415 +-1782 -1065 +-550 -1580 +205 5339 +3594 1495 +6356 -507 +4395 2584 +-523 -6510 +-446 1392 +-109 7297 +1461 1194 +-1210 1002 +-6855 2330 +-4471 -303 +-2449 -1720 +-1950 3946 +4308 -715 +2874 -3187 +-1106 708 +2742 -2276 +-1565 -3102 +-2480 409 +-121 1810 +-2778 -1147 +-1078 68 +-1284 31 +-851 278 +1867 770 +-1363 -1563 +-3981 -1105 +807 -1330 +1524 -2112 +2521 -5226 +1057 434 +-2528 556 +-766 726 +-67 -675 +-1949 1705 +-1580 6362 +-1886 912 +-2687 -4305 +2993 1507 +-2237 -994 +-2662 -2767 +-319 -2452 +-4803 -725 +1849 5661 +-1481 -1679 +-1270 -1523 +993 3306 +-4506 -790 +-390 -1166 +1800 -1901 +5290 -1199 +4570 287 +1328 -1719 +1907 -3058 +-3122 3791 +-4181 585 +178 -2565 +-2239 5208 +-2792 2089 +1119 -470 +-3119 -1795 +-2501 4606 +2442 4430 +2212 -556 +-2127 6669 +125 3104 +2649 2117 +-2851 -2771 +4590 -5788 +883 -1330 +-346 -2658 +7926 678 +-3408 -1143 +-1959 -2608 +3147 -2188 +2984 1353 +3464 1300 +-1371 -1235 +-2619 1539 +-2130 -1738 +4252 295 +2688 -1869 +-3166 -3239 +-1403 -718 +980 -3937 +3396 -909 +-2408 -516 +-3403 -1533 +454 -1900 +-2557 1192 +2519 5079 +4161 1673 +592 1917 +4172 169 +1173 -2396 +-2528 556 +-766 726 +-67 -675 +-1949 1705 +-1580 6362 +-1886 912 +-2687 -4305 +2993 1507 +-2237 -994 +-2662 -2767 +-319 -2452 +-4803 -725 +1849 5661 +-1481 -1679 +-1270 -1523 +993 3306 +632 2446 +5468 -1845 +4873 1047 +-4033 6163 +2411 -2480 +-3534 -2225 +-1873 -725 +1720 5059 +-2577 -447 +-2731 -5507 +-2432 4322 +1742 2741 +-730 2313 +-2551 -1008 +-1008 -3846 +3118 -3472 +5764 -2370 +2769 1881 +124 -1354 +-1465 -5610 +-957 -1619 +-3560 849 +-1062 -1810 +357 1046 +1145 -15 +5202 1834 +-457 4327 +-2975 2424 +-421 3272 +2583 -589 +1295 -760 +258 2326 +3476 82 +-515 -6416 +-629 -449 +-3491 874 +-307 -888 +8805 6783 +-1504 -1121 +-317 -1047 +2893 -1449 +-3715 -4345 +727 1497 +-1010 -104 +-3008 2613 +-3165 1482 +-2133 -1164 +-1405 -278 +-2920 -2054 +1393 -2371 +-1578 -183 +-2040 109 +-515 1826 +-799 1577 +-1772 649 +-640 3429 +1699 -1249 +-5189 2404 +3165 -360 +5228 -2958 +-1529 2547 +-460 -5145 +-791 -2599 +4953 1938 +632 2446 +5468 -1845 +4873 1047 +-4033 6163 +2411 -2480 +-3534 -2225 +-1873 -725 +1720 5059 +-2577 -447 +-2731 -5507 +-2432 4322 +1742 2741 +-730 2313 +-2551 -1008 +-1008 -3846 +3118 -3472 +-2686 -1972 +-4562 -1200 +-2341 2960 +-4790 -5346 +3477 -3752 +100 2471 +128 306 +3950 -109 +-625 84 +-1911 1348 +-982 68 +1372 3008 +932 2791 +3634 2221 +2590 -286 +1910 -3380 +2604 1106 +321 77 +754 796 +1799 5894 +3462 4417 +-2818 -3481 +892 -4973 +6104 -5265 +-1873 -1387 +-5500 1175 +-396 -5229 +10115 -3452 +1320 -2761 +-6379 2745 +-214 3223 +-1568 1300 +-1106 1340 +-810 -3102 +943 3845 +703 -421 +-856 -2150 +-195 1332 +-3183 -1149 +-2576 -2263 +-955 -2928 +176 3859 +-414 -292 +459 -868 +2474 4037 +-647 167 +-1250 -3380 +-2859 -3131 +-1340 2054 +1146 1109 +-2594 1413 +-1311 3813 +-394 853 +2788 16 +3243 160 +-699 -1900 +925 2967 +-173 896 +1236 -6090 +-4185 2148 +-2830 2886 +4718 477 +-940 1044 +1687 -139 +-2686 -1972 +-4562 -1200 +-2341 2960 +-4790 -5346 +3477 -3752 +100 2471 +128 306 +3950 -109 +-625 84 +-1911 1348 +-982 68 +1372 3008 +932 2791 +3634 2221 +2590 -286 +1910 -3380 +-4582 3552 +2077 -1044 +3525 -1991 +-5468 181 +-3237 -5410 +3717 -2550 +5135 137 +2160 2339 +-20 -1267 +1307 2192 +-151 4418 +-103 -1536 +-1377 3647 +915 2185 +830 1813 +-1347 -174 +3236 -2054 +3607 2050 +-2054 -10 +-1242 1936 +4099 159 +-2735 -1052 +-2297 -2112 +-82 -2914 +-422 635 +177 -1922 +-3987 -838 +3705 -1448 +2392 -2066 +3659 2010 +636 136 +-7293 -2837 +474 -2604 +-2005 293 +257 -696 +-705 -2685 +-2503 2844 +2779 1178 +1439 -5193 +850 1215 +-1244 4427 +634 -1960 +2033 -2390 +5437 -410 +3497 -2607 +-917 -1646 +633 307 +-4820 -3253 +-4184 -1422 +3231 3318 +1323 385 +-200 -2344 +6696 -1385 +321 1611 +-856 3962 +1220 -2382 +-9691 -5059 +-1814 1235 +1581 3649 +-3275 5720 +-720 3553 +-2312 4212 +-465 3477 +-1478 -1518 +-4582 3552 +2077 -1044 +3525 -1991 +-5468 181 +-3237 -5410 +3717 -2550 +5135 137 +2160 2339 +-20 -1267 +1307 2192 +-151 4418 +-103 -1536 +-1377 3647 +915 2185 +830 1813 +-1347 -174 +632 -872 +-378 -4479 +2740 113 +2842 1076 +-2263 5564 +280 2645 +4394 -109 +483 1814 +1321 -771 +-1935 282 +-342 -1891 +2640 1524 +-4177 2642 +4084 -3175 +5349 -4715 +-3527 -1132 +-2446 1422 +-738 774 +-818 4901 +-302 3458 +452 -1406 +2187 3576 +334 3083 +-5103 -484 +2454 2875 +3791 -3 +-1733 2854 +806 3965 +-1811 -231 +1025 -799 +3782 -5808 +754 577 +632 -708 +688 -4237 +-1395 1043 +689 -2846 +2841 670 +-655 4282 +1136 -106 +1351 -4115 +-2585 -3337 +-3736 -1370 +2395 -529 +3316 -767 +-794 2066 +921 3027 +-1497 -1281 +-251 4667 +-1978 2054 +-3927 -3084 +-2533 -3745 +-1841 -2676 +-1662 -405 +-5327 -2419 +1350 4960 +526 959 +-558 1865 +1396 1175 +-5900 -5273 +265 3633 +4885 -1318 +-203 -3780 +-2207 -3608 +-119 -2069 +632 -872 +-378 -4479 +2740 113 +2842 1076 +-2263 5564 +280 2645 +4394 -109 +483 1814 +1321 -771 +-1935 282 +-342 -1891 +2640 1524 +-4177 2642 +4084 -3175 +5349 -4715 +-3527 -1132 +-2528 -1656 +-16 -466 +3071 -3679 +15 -4808 +584 734 +218 -2215 +-570 2245 +-896 1360 +1503 -1634 +1829 3723 +-122 -2219 +2820 -437 +-1786 891 +-371 -687 +523 -1061 +-4006 -2196 +-3868 316 +1041 1205 +7162 458 +-1359 1816 +-3540 6646 +1572 -2013 +-1306 -596 +181 6983 +986 -147 +286 1819 +2009 -26 +368 -3303 +1624 -2372 +3060 -4281 +1071 245 +700 -524 +-1580 -3084 +2682 2299 +2347 -305 +-2133 -964 +2005 -686 +-546 -2876 +-4194 5562 +-2037 1347 +2289 -1526 +3081 1100 +2886 -477 +-920 2707 +-2699 -1615 +2980 -2665 +-446 -4156 +1802 -1547 +4184 1896 +-1248 -474 +-559 1663 +4379 1303 +319 2787 +-5103 -569 +1939 387 +-1987 526 +-2250 -4277 +-3338 2045 +-7946 7115 +1454 4952 +-300 1201 +-1070 1529 +-810 -2626 +-3435 -4685 +-2528 -1656 +-16 -466 +3071 -3679 +15 -4808 +584 734 +218 -2215 +-570 2245 +-896 1360 +1503 -1634 +1829 3723 +-122 -2219 +2820 -437 +-1786 891 +-371 -687 +523 -1061 +-4006 -2196 +1422 -3236 +-6576 704 +-2465 2805 +-4276 -4462 +-2083 -1455 +838 -2265 +-1753 -2666 +4923 -229 +936 1426 +2601 252 +5825 -1659 +-439 1757 +2623 -1770 +268 -4642 +-2107 -1362 +-2957 262 +-1030 -316 +4750 2753 +1955 -1556 +-703 -1001 +-5868 5320 +-3263 -2367 +401 -2371 +283 -1840 +2523 1041 +-594 3394 +3323 -1554 +-268 856 +-2039 -78 +3141 2264 +-131 3036 +2212 1573 +2370 3552 +3495 967 +3549 23 +-2713 936 +-886 -2551 +1090 -3504 +-4343 564 +-1211 1906 +1276 4894 +-4268 2293 +2925 1626 +4034 1505 +882 -1559 +197 2985 +-1976 806 +6120 -245 +2294 -1896 +-2632 -1369 +3759 1040 +3427 -2033 +-3804 1847 +-1481 1778 +3429 -3418 +-4493 -3187 +-4735 3383 +-3773 -949 +89 -3251 +783 3336 +-6523 -1017 +1151 -2295 +160 355 +332 866 +1422 -3236 +-6576 704 +-2465 2805 +-4276 -4462 +-2083 -1455 +838 -2265 +-1753 -2666 +4923 -229 +936 1426 +2601 252 +5825 -1659 +-439 1757 +2623 -1770 +268 -4642 +-2107 -1362 +-2957 262 +-316 708 +-518 -887 +-1144 -70 +-256 -1480 +-5636 -2631 +-1123 -898 +2021 3530 +-3995 2243 +1160 1075 +3337 1671 +-1122 -3697 +-3491 261 +1793 2929 +-43 32 +139 -1544 +2361 -938 +-2768 2528 +810 -508 +-1823 -502 +536 3703 +404 -1282 +-3146 -894 +2473 4830 +2324 -1761 +1291 2609 +-2815 5615 +-1582 -2043 +-934 3827 +-796 1570 +-215 -631 +-609 3721 +526 2793 +-4108 -76 +-2228 -2202 +-2679 1485 +2282 -2649 +2338 -4567 +-4086 -451 +-225 415 +-2926 1064 +1052 -3287 +1565 -2172 +-86 2355 +5648 -1024 +3585 -231 +510 3511 +-549 -3112 +30 -4489 +-1656 1896 +-3282 952 +-1274 -944 +1567 163 +4790 -1000 +7201 -3132 +1758 -1069 +-2218 1499 +289 3395 +-1184 582 +2126 -2903 +2539 -2277 +-1422 -1107 +162 1940 +2576 -2979 +1063 -3463 +-316 708 +-518 -887 +-1144 -70 +-256 -1480 +-5636 -2631 +-1123 -898 +2021 3530 +-3995 2243 +1160 1075 +3337 1671 +-1122 -3697 +-3491 261 +1793 2929 +-43 32 +139 -1544 +2361 -938 +-2844 2130 +-2454 -2190 +-290 -2773 +1055 290 +-2124 8327 +-1518 -835 +4296 -1069 +-1279 -432 +-4902 -347 +-686 4319 +-1299 2122 +392 -468 +-2024 -986 +-3981 -2 +4265 -2854 +2563 380 +-1182 -1580 +-95 -465 +-2996 5717 +1365 -1033 +2064 -4453 +2500 -1106 +2247 -119 +-3348 -1125 +4492 194 +1386 1794 +-1327 3477 +4274 -2229 +-2161 -1077 +3375 3003 +2775 -1053 +-5050 -502 +948 -3394 +3143 -1462 +-118 -2731 +-1571 -974 +190 -583 +-1324 -1594 +-1778 3807 +493 -3032 +-2998 663 +-5637 -1319 +-2788 -5309 +331 -3096 +-280 -949 +1331 6356 +2343 2329 +2595 2670 +5606 316 +3258 -506 +1661 1466 +-969 1998 +-2657 -763 +7476 -4081 +5610 1589 +-5764 -771 +-1648 4547 +932 940 +-1692 -5762 +640 -2750 +-590 484 +-2650 4733 +-796 -1366 +-783 3487 +-2844 2130 +-2454 -2190 +-290 -2773 +1055 290 +-2124 8327 +-1518 -835 +4296 -1069 +-1279 -432 +-4902 -347 +-686 4319 +-1299 2122 +392 -468 +-2024 -986 +-3981 -2 +4265 -2854 +2563 380 +158 -1024 +1411 -1449 +-766 -1335 +1523 -382 +-1558 -156 +-2168 2178 +-2049 4529 +-2055 2793 +4419 -1155 +4418 -2418 +1116 -1947 +1944 2370 +-77 -286 +-926 2399 +803 3885 +-218 -2570 +398 316 +-2168 -918 +-3380 -1618 +-3059 -842 +-1012 -2038 +3279 -2508 +-379 -1403 +522 2600 +-475 1340 +-1644 -239 +5216 266 +2122 1246 +-2932 -250 +-1058 1616 +-406 6767 +-1404 -2218 +-2370 -9088 +-2201 87 +990 57 +2082 -2199 +-1417 1497 +-7049 -2088 +-3390 -2038 +1078 -906 +-2839 4315 +1288 2657 +757 -4306 +441 -144 +1233 -3105 +-1731 -3914 +76 -2260 +-1766 932 +6870 -316 +7399 -2895 +584 2417 +3532 878 +-1070 698 +-1515 -6113 +3398 -1286 +2409 4309 +-2370 -3236 +-305 -622 +-724 145 +-2481 8446 +512 4904 +-2085 -942 +684 5711 +387 857 +158 -1024 +1411 -1449 +-766 -1335 +1523 -382 +-1558 -156 +-2168 2178 +-2049 4529 +-2055 2793 +4419 -1155 +4418 -2418 +1116 -1947 +1944 2370 +-77 -286 +-926 2399 +803 3885 +-218 -2570 +632 -866 +-2040 -1140 +2437 -1556 +415 -2438 +2703 7313 +2324 4153 +-379 -483 +4440 -191 +-1134 -3488 +2341 3460 +-2146 3289 +-3449 3477 +3545 -213 +-5845 -6556 +-854 -1319 +4085 185 +76 1106 +185 1528 +-1098 2020 +-1881 4267 +-2920 3751 +-3720 -226 +178 865 +4058 1876 +-1788 -3487 +1949 -1784 +4234 810 +-3236 -149 +-414 -3078 +-1555 -3844 +-1437 -1318 +1258 -2743 +0 -3874 +1552 -1139 +1751 814 +3270 3718 +3215 1504 +2685 -4644 +2502 2936 +-1951 -341 +-3923 -3148 +-1840 4481 +1517 -1722 +829 -1351 +-231 -2762 +315 -1809 +-2245 -798 +2930 -3352 +3716 1738 +-3300 -2206 +-1379 542 +975 723 +794 -1191 +-2213 3113 +-1701 -1716 +2775 -1534 +-108 643 +-5168 -1106 +-2788 2124 +458 5746 +-1636 997 +1690 -2395 +-1120 568 +-2337 2218 +632 -866 +-2040 -1140 +2437 -1556 +415 -2438 +2703 7313 +2324 4153 +-379 -483 +4440 -191 +-1134 -3488 +2341 3460 +-2146 3289 +-3449 3477 +3545 -213 +-5845 -6556 +-854 -1319 +4085 185 +1106 -1498 +-3656 -625 +1607 1504 +3797 4019 +-1998 -2179 +2523 -1511 +-3391 -1091 +-2266 -847 +-4770 5883 +-5028 159 +6903 -2675 +-1133 621 +4070 2563 +7653 5724 +-1724 6776 +2363 971 +-1972 -948 +-1308 -436 +3593 -117 +646 2077 +-394 -2549 +-660 -1202 +309 2280 +-1213 2950 +-177 -874 +-996 -2681 +-3980 2383 +-2743 847 +-903 -4 +3326 -500 +1648 -1962 +-1014 -1919 +-474 -1346 +-2974 -6911 +-174 -3239 +107 6334 +-3174 -365 +1359 -1992 +1372 490 +-1683 -467 +30 -6515 +328 -1792 +2898 4386 +347 -3144 +22 -1576 +7509 -4224 +3624 -359 +2542 1575 +2604 -4424 +-3754 532 +-1342 2069 +876 -1620 +-755 -3123 +-1827 1258 +-1603 -2356 +-962 -306 +2389 2138 +776 -1192 +-5713 3274 +415 239 +1867 -350 +-743 224 +-1499 3807 +-2606 3840 +1106 -1498 +-3656 -625 +1607 1504 +3797 4019 +-1998 -2179 +2523 -1511 +-3391 -1091 +-2266 -847 +-4770 5883 +-5028 159 +6903 -2675 +-1133 621 +4070 2563 +7653 5724 +-1724 6776 +2363 971 +1264 -1498 +-503 410 +431 -1264 +2679 -2180 +1104 8747 +2257 -1282 +1944 -2764 +-1532 1277 +-2327 -6915 +-77 1657 +2894 807 +-3362 -2318 +-1317 1523 +1986 3386 +409 1776 +747 -4865 +-556 474 +2420 -1349 +1218 -1098 +2995 764 +-234 -1186 +-3536 1505 +320 -2650 +-1473 605 +-932 -1075 +-867 -1883 +648 -2067 +-2106 -5109 +-1114 -912 +1465 -2748 +-4366 -802 +-923 -3869 +1896 -82 +1717 7691 +2040 3786 +-1230 4981 +-788 3252 +2197 -1506 +-222 -6175 +770 -414 +3591 6599 +920 4666 +3627 2358 +3369 -4940 +1602 -1839 +-654 4437 +-1837 -2016 +1192 -2580 +-1972 4266 +-1119 523 +-682 -792 +-3582 3310 +550 2459 +1723 3057 +-4155 -2361 +1172 -1160 +4092 3287 +-3384 -186 +1202 -466 +342 -2462 +-4858 596 +-4544 -681 +-3469 -3968 +942 1264 +1264 -1498 +-503 410 +431 -1264 +2679 -2180 +1104 8747 +2257 -1282 +1944 -2764 +-1532 1277 +-2327 -6915 +-77 1657 +2894 807 +-3362 -2318 +-1317 1523 +1986 3386 +409 1776 +747 -4865 +2686 -76 +-526 -1102 +526 -147 +4693 5268 +1316 1951 +662 5024 +3064 2100 +-979 -1926 +-3129 -2354 +-2742 -1287 +1917 -989 +1414 -3763 +-2793 -3122 +1187 -666 +799 3361 +-18 -4503 +-2130 0 +-1497 -732 +-1305 -4765 +-5920 2681 +2600 -6691 +3326 -549 +-2043 518 +1381 -1302 +-786 3672 +-2034 -1904 +1932 777 +-428 -2060 +-4609 -2628 +294 843 +-1978 3238 +-4147 2982 +2686 -1188 +85 -1134 +-774 1973 +1202 1451 +3408 -1551 +3438 737 +-2397 -901 +1737 2832 +1233 2670 +-3905 2445 +-1106 3807 +1408 -1803 +6592 2659 +2665 -1313 +-5529 -2200 +-1862 321 +3078 -3160 +964 -197 +-3656 3046 +-734 1603 +-1004 -3188 +-3039 1247 +1159 3600 +963 1529 +2682 436 +2351 1969 +-2590 89 +-3269 -5011 +811 -69 +3828 1673 +-658 -869 +-497 -3354 +2686 -76 +-526 -1102 +526 -147 +4693 5268 +1316 1951 +662 5024 +3064 2100 +-979 -1926 +-3129 -2354 +-2742 -1287 +1917 -989 +1414 -3763 +-2793 -3122 +1187 -666 +799 3361 +-18 -4503 +1264 -866 +-1535 -627 +1343 -5213 +-3041 -956 +-98 1786 +-2781 -3007 +-664 -4316 +2112 271 +-4709 3950 +2788 -5480 +2799 -4838 +723 -302 +-49 -163 +-3775 3274 +2622 1211 +5332 1101 +1024 -3634 +299 565 +1060 7467 +-1930 -379 +-4685 85 +-2068 -1744 +685 -887 +-2484 1839 +-2143 -4566 +480 -90 +529 4184 +321 2980 +1798 893 +38 35 +-2102 -1235 +1063 -3711 +-2844 1498 +158 603 +1448 -64 +453 4308 +769 618 +-7015 -1460 +-1066 2839 +-2528 3317 +-347 2055 +5847 2179 +122 -1237 +2278 3130 +-251 703 +-2641 -5376 +-2708 1993 +732 2489 +-708 2370 +-5356 -850 +-1955 -32 +2292 2081 +4014 -4385 +-868 400 +3465 -3369 +2304 -5327 +878 458 +2619 63 +-1554 2262 +2543 -71 +1030 -801 +1171 -1125 +1032 1236 +2471 1871 +1264 -866 +-1535 -627 +1343 -5213 +-3041 -956 +-98 1786 +-2781 -3007 +-664 -4316 +2112 271 +-4709 3950 +2788 -5480 +2799 -4838 +723 -302 +-49 -163 +-3775 3274 +2622 1211 +5332 1101 +-1264 2288 +739 1448 +-4046 -1657 +-1540 -619 +2666 -3522 +170 2240 +4241 -96 +244 -4707 +-274 3079 +-1089 -480 +-4378 -3587 +-1662 486 +-1203 -726 +-2516 290 +-361 45 +557 275 +2288 1580 +6843 -2459 +1193 3120 +-1661 2976 +2029 -2644 +368 775 +-2512 -2537 +218 -254 +-2747 234 +-7256 -4046 +-98 1867 +-417 3001 +-183 145 +-1163 -956 +-4347 -1036 +-954 2249 +-1264 2136 +289 -1937 +-2336 -229 +-3033 -1762 +2907 -4407 +3550 2488 +-3250 1796 +-2138 -622 +1854 2293 +-1998 79 +864 103 +476 -328 +347 -1208 +1481 3700 +1532 946 +-556 -3446 +-3552 -948 +1480 2141 +241 1926 +4330 -333 +7566 6781 +2613 556 +-1269 -5005 +-1480 4057 +1167 -4342 +-2060 -632 +2239 4777 +4772 -2898 +-225 -739 +-3982 -679 +2173 -433 +5371 -604 +-1264 2288 +739 1448 +-4046 -1657 +-1540 -619 +2666 -3522 +170 2240 +4241 -96 +244 -4707 +-274 3079 +-1089 -480 +-4378 -3587 +-1662 486 +-1203 -726 +-2516 290 +-361 45 +557 275 +-1896 3236 +-772 -1028 +3459 -1232 +1695 -82 +3633 -2651 +5491 3942 +4143 339 +-1086 1678 +-952 -494 +-986 1769 +194 5454 +4343 -3808 +-765 1953 +1656 -3834 +7699 -3801 +3800 1897 +-866 -474 +1552 2922 +-793 -1250 +-3168 324 +2707 1298 +-82 1753 +-3103 353 +-2052 -3793 +-2663 -770 +-1393 1182 +-497 -1539 +-142 -5635 +1801 652 +-316 2470 +-1058 -3593 +-999 507 +-5056 2768 +2164 -3858 +2075 -1025 +-3148 4409 +-1344 2411 +-1883 3001 +864 3792 +174 2063 +952 -1718 +-1946 531 +-2269 735 +-436 -1536 +-3835 -2639 +2431 367 +3202 5118 +-1835 -2532 +-4822 790 +-3956 -2908 +-2615 -3568 +-2071 5938 +-3099 -4219 +-3430 -1265 +4972 2067 +1348 281 +135 454 +3395 -3080 +-3347 -104 +-2282 -503 +3431 -3125 +3131 -1965 +-286 782 +805 793 +-1896 3236 +-772 -1028 +3459 -1232 +1695 -82 +3633 -2651 +5491 3942 +4143 339 +-1086 1678 +-952 -494 +-986 1769 +194 5454 +4343 -3808 +-765 1953 +1656 -3834 +7699 -3801 +3800 1897 +-4740 -708 +-3735 -2803 +826 3955 +-968 662 +-2973 522 +2688 3772 +-4146 1182 +-5813 -1958 +-679 674 +-609 3118 +-2379 -3420 +288 -1411 +551 -1755 +-9116 -3454 +-5795 -786 +1273 1422 +1346 2686 +2404 -1752 +1603 -377 +2235 -219 +2255 745 +4735 3073 +2592 728 +-3662 2769 +732 1576 +-36 -1174 +-808 800 +560 1722 +-3816 712 +950 -21 +575 -210 +2132 2712 +4424 2604 +-1355 -1911 +1031 -1009 +2121 1545 +4608 -707 +-187 -2696 +-3091 -3202 +-897 -7290 +-2797 -2570 +5572 2761 +1008 -1560 +-4149 -1565 +1498 -512 +1781 2610 +-1945 523 +-3490 -5519 +2130 -2686 +2400 2555 +1564 6509 +-196 3030 +1167 -1824 +1826 -9 +-226 -2948 +2156 -2874 +848 3480 +4731 1210 +946 5214 +-3900 3169 +503 -4766 +3119 2304 +3187 -2872 +-2859 -3780 +-4740 -708 +-3735 -2803 +826 3955 +-968 662 +-2973 522 +2688 3772 +-4146 1182 +-5813 -1958 +-679 674 +-609 3118 +-2379 -3420 +288 -1411 +551 -1755 +-9116 -3454 +-5795 -786 +1273 1422 +-1580 -1030 +-320 -4750 +-2784 -1337 +-576 1216 +77 -1829 +-435 -34 +-409 -2567 +-5249 -4636 +-2026 1630 +428 3747 +2237 -1783 +2517 316 +3122 1445 +3722 -1911 +651 -2931 +297 2191 +-1656 4266 +-2144 -3857 +-3514 45 +4108 2841 +2837 1878 +-1141 2888 +3619 -877 +-3661 -4013 +-316 -4528 +-4795 -487 +-4976 -1914 +4427 -448 +-495 -1588 +2732 -2533 +1136 3246 +-1092 5104 +-1580 3242 +-944 -1355 +544 5386 +-464 33 +4348 -2455 +-3077 5648 +-1827 -467 +6813 2380 +762 -50 +1588 -1401 +1011 1189 +-3090 -1405 +2982 2130 +1743 644 +-209 -355 +3916 -1150 +1024 -2686 +1342 -932 +1592 2009 +-253 3805 +1587 3670 +1973 2946 +-1384 3541 +-525 1172 +1580 -4636 +-5727 -274 +-4222 1461 +328 -2412 +-553 -1988 +0 -867 +-1578 -2119 +-2440 -2466 +-1580 -1030 +-320 -4750 +-2784 -1337 +-576 1216 +77 -1829 +-435 -34 +-409 -2567 +-5249 -4636 +-2026 1630 +428 3747 +2237 -1783 +2517 316 +3122 1445 +3722 -1911 +651 -2931 +297 2191 +-3318 2130 +3324 1127 +2443 1395 +-896 -1645 +1168 547 +-4312 -469 +2905 1053 +3631 -1808 +-652 -2858 +138 1322 +-758 -1021 +-2615 -4507 +-3885 -1969 +4681 2482 +3871 -988 +1230 2867 +2288 1264 +2507 -79 +5978 739 +1686 -4130 +-2249 -1685 +-2842 -3668 +-1956 1480 +1746 -2282 +1490 -4864 +-2313 3365 +-1043 1158 +879 2049 +879 2977 +-3279 3737 +-3846 -2135 +1178 -2724 +-1106 82 +2421 584 +660 575 +2884 -2075 +5175 7845 +-3713 1546 +2281 -3339 +687 6612 +-1876 5070 +-2154 1830 +-4726 756 +-2224 -275 +-2426 -5050 +211 -3157 +-2935 1485 +-1485 -2275 +-1024 -2844 +-1561 2887 +227 -1446 +271 -3559 +4123 245 +-2311 -5322 +-549 1330 +1950 1806 +-4650 -4301 +-2426 -464 +-253 371 +1865 3569 +3536 3411 +-1012 -665 +228 -1414 +1853 3321 +-3318 2130 +3324 1127 +2443 1395 +-896 -1645 +1168 547 +-4312 -469 +2905 1053 +3631 -1808 +-652 -2858 +138 1322 +-758 -1021 +-2615 -4507 +-3885 -1969 +4681 2482 +3871 -988 +1230 2867 +-316 -1024 +1279 422 +-3478 -1497 +-677 2369 +1932 -1420 +-2229 529 +962 1554 +267 -1333 +3068 -169 +228 -2997 +979 -5726 +2494 -4583 +733 1983 +3604 1296 +-1509 3236 +-3824 1177 +1024 -3792 +5227 3950 +-587 -757 +-394 3 +12 -215 +-1517 -5153 +4157 5916 +2050 -722 +-408 -6458 +-3429 229 +-962 -904 +-3465 765 +909 -3753 +6016 -2371 +-2814 6046 +3080 4021 +948 2288 +515 -138 +2265 2675 +-1078 2457 +-745 -3212 +-5470 1718 +-3450 1011 +-2367 585 +4516 3961 +2374 -1454 +-6179 -2541 +-2711 973 +-5048 274 +-2724 826 +2110 -1817 +629 -3120 +240 2528 +478 -2763 +-1653 1334 +951 8896 +3857 -841 +633 -647 +303 553 +4502 -947 +1040 138 +-5038 -146 +-1761 1095 +-5691 -830 +-1650 -2928 +5108 -884 +1504 2463 +1180 -2125 +-316 -1024 +1279 422 +-3478 -1497 +-677 2369 +1932 -1420 +-2229 529 +962 1554 +267 -1333 +3068 -169 +228 -2997 +979 -5726 +2494 -4583 +733 1983 +3604 1296 +-1509 3236 +-3824 1177 +4266 -1814 +-3854 -2125 +5606 -1102 +-1325 217 +-1571 -2309 +3638 1456 +-638 6340 +756 877 +-1480 -1299 +-533 -2785 +499 -662 +2790 -1580 +-211 -655 +1171 4583 +1168 -3319 +-1368 352 +-2920 7268 +-1320 -61 +4863 -3336 +-2984 -716 +-1298 1532 +933 -41 +-1668 730 +-644 992 +1849 127 +2718 -1618 +-3192 -4848 +220 -2857 +-1276 -640 +1022 3651 +1894 5315 +-3633 -1900 +790 -3558 +764 2981 +71 973 +1175 -1749 +-2336 1246 +-5483 -2032 +-2630 371 +-3013 537 +-1364 -1862 +-2140 4135 +-3967 1828 +-1385 1486 +1775 1118 +6636 -6461 +-2103 -3242 +973 3062 +2920 2528 +-393 1319 +2082 1090 +-1297 1641 +1413 1427 +-3316 -3044 +2146 -2601 +1902 2769 +-269 1137 +1924 -1323 +-2170 -4055 +3853 -2328 +-289 3337 +3292 -1163 +-1961 -1065 +-1076 1726 +4266 -1814 +-3854 -2125 +5606 -1102 +-1325 217 +-1571 -2309 +3638 1456 +-638 6340 +756 877 +-1480 -1299 +-533 -2785 +499 -662 +2790 -1580 +-211 -655 +1171 4583 +1168 -3319 +-1368 352 +948 234 +1719 163 +2657 741 +2487 -659 +-1915 -1776 +-2692 -3600 +-255 3700 +-1723 -2368 +497 -1279 +757 6266 +-1336 -7731 +2750 -6820 +4225 -2084 +841 -841 +-93 -1458 +2606 -260 +-1024 -790 +-234 676 +3599 4922 +836 -682 +-517 2502 +1771 -1286 +4404 2119 +-1787 4533 +-419 -3244 +4001 -3354 +1584 -6083 +-1142 1651 +-2084 2532 +3259 -1100 +-1959 -1719 +-1200 -14 +4108 -550 +646 -4554 +-757 -230 +-2811 -3081 +-705 1585 +-3334 2304 +-1845 553 +-256 3258 +-2077 -2513 +2158 1750 +-1264 1405 +781 -204 +1307 2046 +-2548 -904 +2565 246 +2070 -1885 +-240 -2054 +52 2625 +-2000 160 +-631 3563 +-2551 4010 +-5367 -71 +-3644 2860 +-4341 -419 +3263 5140 +5943 3272 +45 -768 +-1223 1176 +-5345 -1230 +-1914 8767 +827 -1245 +-1472 -7902 +948 234 +1719 163 +2657 741 +2487 -659 +-1915 -1776 +-2692 -3600 +-255 3700 +-1723 -2368 +497 -1279 +757 6266 +-1336 -7731 +2750 -6820 +4225 -2084 +841 -841 +-93 -1458 +2606 -260 +-2212 -392 +-2631 3083 +-5418 -1959 +-2922 -1634 +-3470 1731 +-4966 2445 +-1508 -678 +-2126 -3303 +-7699 839 +1795 1849 +832 -1842 +526 1941 +5950 -1907 +-879 -2752 +-2300 4838 +-5208 2147 +-76 1896 +788 -868 +-1648 -5079 +2032 -2629 +2933 3137 +8043 1522 +1574 -380 +-2356 -108 +-670 -4577 +-571 -1615 +585 -3279 +-1966 3445 +1579 5074 +644 -2226 +-1881 399 +2783 -1138 +4108 2920 +1454 -1689 +-1094 -239 +-2256 2950 +-367 -5175 +2184 -1653 +4386 1880 +3053 971 +-1782 -4631 +406 231 +1833 2727 +-1318 2023 +371 4598 +3148 -3526 +-1801 -1570 +-269 -428 +4500 -1264 +-3163 692 +-3153 -2357 +166 -3738 +2168 -324 +1779 1507 +-2141 -1238 +238 400 +2566 2681 +2509 4574 +-2049 -1876 +3363 -1465 +4741 2980 +-426 -1574 +1142 3068 +-3853 566 +-2212 -392 +-2631 3083 +-5418 -1959 +-2922 -1634 +-3470 1731 +-4966 2445 +-1508 -678 +-2126 -3303 +-7699 839 +1795 1849 +832 -1842 +526 1941 +5950 -1907 +-879 -2752 +-2300 4838 +-5208 2147 +-2054 3710 +789 -1868 +-1524 -4620 +-435 459 +-3355 2097 +-612 -4659 +4185 1095 +-2414 31 +1283 308 +-854 7170 +-2956 -792 +6026 2244 +2751 -2114 +-441 -2686 +1592 -675 +3173 -3777 +-1024 2844 +-648 2344 +1681 848 +-4153 354 +1988 4559 +2137 324 +-3460 -3066 +-3794 -2356 +-1807 -3800 +2428 2461 +-1350 2293 +1266 2821 +2126 2732 +2005 1359 +1477 -3533 +-3587 -167 +1422 3558 +772 -3703 +-1492 -1804 +-1292 -1790 +-2509 -2567 +-1265 -6481 +583 433 +1132 4656 +-1283 -3152 +-289 1546 +-3553 4100 +-6842 5065 +-2512 -1068 +1793 -3114 +-2875 1624 +-4739 1180 +1656 0 +-2476 147 +-485 -3285 +2904 -7091 +1980 334 +4849 -1723 +512 1091 +1202 -514 +543 -3469 +-29 6728 +3358 732 +1046 -798 +795 -1446 +1953 -374 +4306 3030 +394 2211 +-2054 3710 +789 -1868 +-1524 -4620 +-435 459 +-3355 2097 +-612 -4659 +4185 1095 +-2414 31 +1283 308 +-854 7170 +-2956 -792 +6026 2244 +2751 -2114 +-441 -2686 +1592 -675 +3173 -3777 +4266 3552 +7721 428 +784 -547 +-1685 127 +1695 -2448 +-2702 -5684 +-533 -5966 +-3678 1498 +-5113 -1431 +-1009 -3505 +-1818 -1101 +-1211 2961 +-264 -3338 +2282 -2628 +505 1403 +-5182 -6205 +-4032 2370 +451 22 +-1461 -1263 +-1544 -249 +3634 -525 +2212 4561 +-1099 -2240 +-548 -1102 +281 -1021 +2177 817 +1669 267 +-1827 3677 +-632 4665 +-2566 -3113 +-3823 991 +1616 -3618 +1106 -1340 +1038 1005 +2754 698 +296 3403 +-1941 -435 +-1574 1803 +-558 3740 +-523 3793 +-1207 -4890 +-1365 -7142 +-517 -433 +-1502 3689 +357 3277 +3480 1018 +6015 942 +2858 -2975 +-5132 4266 +-1704 5007 +2162 -338 +-863 970 +1036 -1017 +2893 -566 +-2203 -711 +327 -1169 +-281 -243 +-5827 -424 +4011 -1076 +3280 3515 +1171 1084 +4606 815 +-834 3106 +73 -732 +4266 3552 +7721 428 +784 -547 +-1685 127 +1695 -2448 +-2702 -5684 +-533 -5966 +-3678 1498 +-5113 -1431 +-1009 -3505 +-1818 -1101 +-1211 2961 +-264 -3338 +2282 -2628 +505 1403 +-5182 -6205 +-2370 -556 +-1941 -4787 +79 293 +3214 3923 +724 -308 +-918 1850 +-990 1943 +840 -613 +1839 -2508 +201 274 +2598 -5 +5160 -4733 +-450 527 +-3304 1442 +-713 -2740 +-1809 688 +5612 -474 +-1521 3860 +-5983 7794 +1228 591 +-1923 -575 +5016 -1306 +359 2015 +-3476 1092 +-1822 -4728 +-932 -1438 +-1227 -1726 +-5566 71 +2366 -82 +-937 -2926 +2201 195 +2211 -1102 +790 -3552 +2617 999 +-3824 1325 +2806 -6636 +-3584 -4046 +315 2817 +1087 1482 +-3686 -634 +5745 -1284 +364 -3821 +836 -3152 +-2208 -394 +227 -2353 +3733 3229 +-3982 3033 +-2225 -2295 +392 5214 +688 4829 +-2817 -921 +-1037 246 +-4065 1137 +-5185 2491 +4568 1852 +3259 -2683 +1190 304 +5364 -223 +4018 -3608 +-1285 3664 +-2142 3172 +-1031 2821 +1262 2334 +46 -1296 +-2370 -556 +-1941 -4787 +79 293 +3214 3923 +724 -308 +-918 1850 +-990 1943 +840 -613 +1839 -2508 +201 274 +2598 -5 +5160 -4733 +-450 527 +-3304 1442 +-713 -2740 +-1809 688 +3318 556 +172 -3682 +-1053 -2641 +4658 -1914 +1819 3428 +-2633 -1897 +-873 -2752 +-1161 4529 +-2820 1687 +-5514 6013 +-1228 -3186 +4139 1453 +-851 4160 +-3375 -2025 +2953 -153 +-869 -2630 +-708 7426 +4591 -1700 +-2483 -4194 +1493 2716 +3343 1981 +1187 1229 +2190 -5498 +-984 3676 +2428 -470 +1031 -3495 +-996 2660 +313 -2829 +1084 -2255 +422 3845 +22 7337 +326 3661 +-1422 3868 +1850 950 +324 65 +-807 5092 +-1334 -338 +-3071 -3938 +-1221 1273 +-162 -1804 +3768 -5795 +-3549 19 +-7436 -2375 +95 -1447 +-528 163 +1847 -2897 +3313 -1716 +1097 91 +3236 3950 +-997 1879 +-1767 634 +386 -1631 +-5093 -3807 +-1223 -278 +-173 1275 +-1527 -1576 +2312 -2374 +-851 -1988 +1999 -2340 +3718 564 +1559 -4595 +2 381 +-3683 4024 +-602 -368 +3318 556 +172 -3682 +-1053 -2641 +4658 -1914 +1819 3428 +-2633 -1897 +-873 -2752 +-1161 4529 +-2820 1687 +-5514 6013 +-1228 -3186 +4139 1453 +-851 4160 +-3375 -2025 +2953 -153 +-869 -2630 +4898 -2920 +1107 -1278 +2921 -664 +4580 239 +-234 -961 +935 -552 +1175 -1344 +1295 -2101 +1469 -574 +2850 -683 +-194 -3555 +-1904 2713 +-1147 2992 +-2061 1612 +-4203 2463 +-2701 521 +3236 158 +-2473 -5052 +-4710 -1730 +1155 -2226 +4255 -5424 +4035 -1692 +-1586 2200 +-626 2204 +1345 -837 +-812 1420 +778 153 +-3109 3138 +-5849 1765 +1023 -539 +904 4429 +319 4436 +158 4816 +-4804 -203 +-461 -1239 +488 395 +-3757 -2177 +-1125 2867 +-1180 7464 +-1183 978 +3587 -690 +-960 101 +-4977 110 +302 3334 +1639 -1859 +1450 4049 +546 -915 +-1546 -7238 +-3868 474 +1798 -4562 +1957 2445 +-2393 165 +6056 -2183 +855 3220 +-2431 -2970 +-742 -2994 +-3241 -2955 +-1545 795 +-369 -577 +5721 -1870 +-3491 262 +-2801 3025 +6775 3842 +2873 -4220 +4898 -2920 +1107 -1278 +2921 -664 +4580 239 +-234 -961 +935 -552 +1175 -1344 +1295 -2101 +1469 -574 +2850 -683 +-194 -3555 +-1904 2713 +-1147 2992 +-2061 1612 +-4203 2463 +-2701 521 +4424 -1656 +-559 1652 +2578 -1791 +-2831 -704 +-2572 -1628 +1756 4797 +3312 110 +-3550 -2764 +-2570 7322 +346 1246 +-3120 1509 +3114 3054 +-1541 -2524 +-3290 3477 +4442 2261 +-638 1410 +-3394 1422 +-2586 -6039 +-1635 -2033 +1836 -1022 +-253 711 +-3081 4214 +685 -1811 +2121 1647 +-805 2443 +1124 -350 +2886 -1388 +-269 -551 +-1867 2188 +4961 -4174 +7408 -944 +-733 2289 +-632 -556 +2288 2283 +-326 1759 +-2132 -1526 +-1243 -3712 +-3804 -3359 +-5211 -3123 +1413 1575 +674 1843 +2300 -413 +2341 269 +-2148 -1175 +-39 2393 +-2456 -2322 +3836 -4696 +1548 1550 +-4822 3950 +-1315 2363 +-5456 -1728 +-475 1415 +907 206 +1663 -1343 +2171 508 +-1053 -853 +3333 1665 +-704 -2159 +205 -4182 +-1563 140 +287 -1425 +3358 2655 +-4002 2638 +5360 -7012 +4424 -1656 +-559 1652 +2578 -1791 +-2831 -704 +-2572 -1628 +1756 4797 +3312 110 +-3550 -2764 +-2570 7322 +346 1246 +-3120 1509 +3114 3054 +-1541 -2524 +-3290 3477 +4442 2261 +-638 1410 +-632 -1024 +332 -2076 +-246 496 +2537 -1314 +347 292 +1066 -1686 +-2200 -4244 +-2335 2225 +751 566 +861 -1141 +2822 1063 +527 -21 +1853 3707 +-1284 3038 +-436 -37 +7863 1663 +1972 316 +-133 6397 +2715 5929 +1538 -3218 +-1080 -818 +-1702 -617 +-1252 2955 +1123 3016 +4512 -5907 +-490 -435 +1970 3920 +-1699 324 +-6239 3776 +-927 1964 +-4569 -3101 +-1260 528 +632 -1504 +2357 -3356 +3824 1665 +-211 2783 +4192 -2303 +3890 -6678 +-457 -875 +-1075 1925 +197 -882 +-1499 -868 +-933 1273 +-186 -560 +-767 -1850 +-69 1894 +-2670 -2645 +2808 -581 +-1340 1580 +-6199 -4434 +-5 -2217 +-616 806 +1597 2829 +41 -523 +-962 -5497 +-730 -4127 +-2299 1798 +-2598 2644 +-3827 511 +1904 4468 +-1167 -578 +-1231 -4237 +3698 803 +-2602 2196 +-632 -1024 +332 -2076 +-246 496 +2537 -1314 +347 292 +1066 -1686 +-2200 -4244 +-2335 2225 +751 566 +861 -1141 +2822 1063 +527 -21 +1853 3707 +-1284 3038 +-436 -37 +7863 1663 +1738 -872 +-3612 -42 +-689 863 +440 1323 +-2208 -4004 +2533 -3511 +2550 -1529 +148 246 +-2063 -520 +-118 -1655 +180 4783 +1656 1549 +-954 -301 +-577 993 +-1583 -1089 +-3675 3001 +4500 2370 +2965 -784 +1468 -562 +1347 -3583 +420 -2084 +-1070 2369 +-4595 -78 +-2922 1356 +-3688 2470 +-3443 -1194 +-2422 -359 +1127 2570 +94 854 +-2757 -870 +-1504 1034 +-2989 -2213 +1738 -708 +2575 3460 +-1179 1053 +1173 -6223 +-65 -4851 +-4746 2480 +-397 -4778 +-1004 -1339 +-5522 1152 +4477 -3510 +4515 -161 +-136 -310 +5046 4764 +1605 3043 +131 1208 +258 2313 +-4816 1106 +2226 1293 +3004 -1692 +-1091 1314 +3117 826 +-807 -239 +2519 620 +4699 3082 +1791 2586 +-383 -2560 +-2350 -132 +1523 -586 +870 2268 +8717 3255 +352 -4236 +-8139 -5029 +1738 -872 +-3612 -42 +-689 863 +440 1323 +-2208 -4004 +2533 -3511 +2550 -1529 +148 246 +-2063 -520 +-118 -1655 +180 4783 +1656 1549 +-954 -301 +-577 993 +-1583 -1089 +-3675 3001 +-4898 -550 +-941 -2897 +1568 -4843 +-1860 -274 +2267 -469 +-2534 -1954 +-323 -1344 +4593 -334 +-1734 636 +-519 -871 +-1991 -87 +3179 -2452 +2067 -1337 +-1525 1770 +3107 -2292 +4935 -5147 +3868 -4108 +-3511 236 +1473 708 +1004 -2947 +-4924 -2003 +3462 -555 +2038 2086 +-7350 2407 +-4585 -2439 +2258 -749 +137 -1736 +-2473 -1292 +-527 724 +-1922 -1184 +-2042 4818 +6011 1735 +4898 5290 +2225 9992 +-1012 -1913 +-7086 -30 +-518 2272 +26 102 +1885 3179 +87 1499 +-3638 -1268 +-318 2646 +-3598 1070 +-1004 -2874 +1362 1091 +1501 -1856 +-271 2372 +3481 3198 +1188 -2528 +-2713 1270 +3596 469 +-2287 3920 +4439 3360 +5037 -1993 +-2228 -2286 +2389 -349 +1109 -89 +1731 -4787 +-1437 -1251 +-3774 2899 +-5430 3946 +-2257 3359 +4153 -1476 +156 -2485 +-4898 -550 +-941 -2897 +1568 -4843 +-1860 -274 +2267 -469 +-2534 -1954 +-323 -1344 +4593 -334 +-1734 636 +-519 -871 +-1991 -87 +3179 -2452 +2067 -1337 +-1525 1770 +3107 -2292 +4935 -5147 +1738 866 +4003 -1648 +-701 -3351 +-173 -1609 +-574 2267 +-6376 1099 +3849 -2770 +-1503 -3536 +-4369 1580 +4155 -884 +-2164 3837 +-384 -175 +-27 -3566 +112 1235 +-3075 2046 +-4415 3900 +4974 -2686 +-3346 2536 +-3394 2107 +2212 1547 +-3920 1046 +-1416 -3347 +3240 1028 +2578 -4949 +-2828 -2435 +-3047 -959 +676 269 +3139 -219 +1915 328 +-1524 4142 +450 -1572 +2337 3373 +4582 -866 +-782 -5423 +-681 -886 +3155 4437 +-4690 4130 +388 2090 +2942 6544 +-1949 486 +-687 -316 +-648 -2783 +2082 -1164 +923 2716 +-1892 67 +-3414 3005 +-1345 -564 +1127 -2772 +714 -1106 +2585 975 +1245 -1815 +3757 1659 +2231 2669 +-1757 -5111 +649 -333 +959 2820 +2196 -93 +-3355 -2755 +-5913 -1525 +318 -2776 +636 642 +-749 246 +2137 -4379 +3088 2683 +1738 866 +4003 -1648 +-701 -3351 +-173 -1609 +-574 2267 +-6376 1099 +3849 -2770 +-1503 -3536 +-4369 1580 +4155 -884 +-2164 3837 +-384 -175 +-27 -3566 +112 1235 +-3075 2046 +-4415 3900 +-2054 -2136 +3445 -302 +1159 3662 +4909 743 +1778 -1329 +97 1264 +-997 -916 +-4261 79 +-1742 -1367 +1809 -658 +2663 -747 +-838 810 +2893 4743 +2655 -4544 +-1594 -6425 +-287 1020 +-1182 1896 +-4558 173 +125 4935 +6742 149 +4667 -3605 +479 722 +-3553 -1399 +-2729 3170 +-5078 -3657 +-354 -5778 +4800 2976 +-4179 1234 +-4093 -342 +-2588 -474 +-2596 3447 +-1690 3958 +-158 1504 +1912 790 +-1686 4078 +-2565 -702 +-1654 -2610 +-3212 4122 +-100 -2546 +2380 -2617 +-5210 2315 +1349 4604 +6981 1543 +-1758 -337 +-1830 -682 +-1026 891 +1398 4122 +3368 -9184 +4026 -1264 +-434 6756 +-3371 1537 +519 2986 +2161 -2568 +1489 869 +-2456 916 +3641 1734 +1286 -1083 +-1753 -5215 +3234 -286 +1116 -5254 +1134 -4983 +-1839 -693 +1050 273 +-1841 -318 +-2054 -2136 +3445 -302 +1159 3662 +4909 743 +1778 -1329 +97 1264 +-997 -916 +-4261 79 +-1742 -1367 +1809 -658 +2663 -747 +-838 810 +2893 4743 +2655 -4544 +-1594 -6425 +-287 1020 +-790 -556 +1325 -3487 +74 -2431 +476 -1042 +-3626 -1284 +64 145 +731 -1316 +-1593 -4486 +3498 -1645 +1389 2644 +-2902 2455 +-124 27 +-3181 359 +-5334 -157 +702 573 +1056 5544 +4026 -316 +-740 -2065 +-1326 1955 +1387 2237 +-6245 3537 +-415 -687 +-415 -1546 +2644 -844 +4385 3579 +-400 3186 +275 -4614 +-4403 -769 +588 2610 +-1519 -143 +-2268 -914 +-2532 2414 +-4266 2768 +3110 3071 +-3048 4 +1279 -4150 +5044 313 +-2007 569 +328 -1682 +-1070 -5238 +-2866 697 +611 4177 +1893 -1447 +2782 1635 +2811 -2147 +-3965 -939 +-4977 2342 +-297 -2672 +2294 -1896 +2123 6 +-539 -1162 +191 -2326 +2300 -39 +2175 1844 +-119 5438 +4666 3006 +3831 -103 +-2272 4151 +3046 184 +3992 -3720 +-2745 -822 +-1728 325 +3491 -2895 +-870 -2255 +-790 -556 +1325 -3487 +74 -2431 +476 -1042 +-3626 -1284 +64 145 +731 -1316 +-1593 -4486 +3498 -1645 +1389 2644 +-2902 2455 +-124 27 +-3181 359 +-5334 -157 +702 573 +1056 5544 +-316 -2294 +4978 -1162 +1109 -1019 +-343 228 +-535 603 +-841 992 +1952 -639 +-2199 -1105 +574 3741 +2291 -1811 +258 3968 +1325 1557 +3151 -2706 +546 5161 +125 569 +1836 -7 +-3394 1580 +-3348 2067 +1834 1698 +2839 6121 +-420 4956 +-1877 -2049 +-2543 -259 +112 -891 +405 -1337 +1572 -3359 +8017 -344 +1756 -1220 +-3843 -886 +-195 2689 +4201 -113 +-1063 3357 +-2528 -1182 +381 930 +-5852 4523 +381 -1749 +-244 -1797 +-4650 6 +1266 4296 +967 1055 +690 -3741 +1096 -805 +1642 865 +2654 -3042 +1279 -3282 +1098 4644 +1001 4505 +-6126 -4212 +-714 -5056 +302 -3038 +-4057 -4015 +5869 704 +-2593 -1234 +-1789 -3992 +-120 2105 +-5052 5301 +2755 -559 +-2280 -3920 +-1687 -621 +191 -5804 +677 -1974 +188 1115 +-2091 -352 +-619 2236 +-316 -2294 +4978 -1162 +1109 -1019 +-343 228 +-535 603 +-841 992 +1952 -639 +-2199 -1105 +574 3741 +2291 -1811 +258 3968 +1325 1557 +3151 -2706 +546 5161 +125 569 +1836 -7 +-1896 5764 +-864 3352 +-2422 3304 +-2043 -1809 +1202 3218 +-412 -1375 +533 -2289 +5387 5432 +-2516 -1684 +-3218 -1096 +3584 4369 +1427 610 +579 1891 +-789 -29 +-2516 -1877 +-432 4532 +-3716 0 +-1417 -3802 +3383 -482 +-3546 -444 +-1736 -139 +4437 -1064 +2689 -3593 +-336 654 +1161 906 +2556 -4754 +104 2432 +2878 2314 +1529 -1047 +-1829 -1827 +-3499 -5377 +516 -1102 +5372 -1656 +1627 -1781 +4579 -1062 +2073 1469 +-4123 -1584 +-985 -3457 +4112 3720 +1224 -963 +-2856 -1792 +1019 1305 +284 1611 +1183 -2393 +-3238 -658 +-5456 4278 +2149 1910 +3082 3150 +2136 -1580 +-2774 -975 +-3644 -4224 +-1576 -2824 +1496 3561 +2801 -2456 +-2232 1946 +2727 817 +4843 -1222 +-1615 537 +-2075 1637 +-1072 -435 +-3295 -3977 +-665 503 +29 -2023 +-3907 3632 +-1896 5764 +-864 3352 +-2422 3304 +-2043 -1809 +1202 3218 +-412 -1375 +533 -2289 +5387 5432 +-2516 -1684 +-3218 -1096 +3584 4369 +1427 610 +579 1891 +-789 -29 +-2516 -1877 +-432 4532 +-2686 3236 +2697 211 +3201 484 +1790 469 +2252 3339 +607 387 +2191 3088 +-4624 1514 +93 -3841 +2893 -2220 +1174 -5408 +2415 -4907 +-1293 4966 +2064 1779 +-1841 -4583 +-1964 -1354 +2294 -3160 +519 230 +2315 2510 +-376 3259 +59 713 +697 -187 +-2684 3810 +-2074 2063 +-893 6570 +-93 -2481 +-1332 -5574 +627 596 +319 -2354 +-3812 2564 +2779 -1005 +3225 -3529 +-2370 2136 +-513 2364 +-3873 -691 +-3663 -52 +2194 2972 +2435 6861 +212 743 +18 -5032 +2435 1629 +4165 -3452 +-264 2690 +-6677 1920 +-4494 -7178 +-3698 3075 +-2427 -703 +1061 -5474 +-1662 -4108 +-1442 -377 +516 161 +805 -6596 +-81 -5128 +-834 3747 +-2770 4349 +135 -769 +2789 5122 +-1598 273 +792 -1757 +2026 38 +1045 141 +-1558 4924 +2013 -3171 +4747 158 +-2686 3236 +2697 211 +3201 484 +1790 469 +2252 3339 +607 387 +2191 3088 +-4624 1514 +93 -3841 +2893 -2220 +1174 -5408 +2415 -4907 +-1293 4966 +2064 1779 +-1841 -4583 +-1964 -1354 +-1106 4184 +1571 -4510 +-856 -3279 +1598 6341 +-3176 -2898 +-651 -2525 +4807 1163 +1599 114 +1618 2023 +1833 -3310 +-2968 -691 +-852 1224 +52 2710 +-5390 530 +-323 -504 +-2322 5880 +-398 -4424 +2549 -2960 +-65 995 +746 1931 +-546 5294 +7002 -1655 +5103 2747 +-1419 -1587 +-6011 -2371 +-5333 3948 +-375 3042 +-2057 2118 +-2268 -3949 +-2865 -1644 +872 -654 +-671 2144 +790 -1024 +-1390 -3789 +628 1752 +8590 -5303 +86 864 +352 5914 +-2549 -1288 +-2274 -2700 +-1618 -2339 +-735 55 +6615 107 +1414 552 +2144 1744 +-1145 372 +269 -2893 +-116 -1386 +-3078 -632 +2896 -809 +-972 2274 +1195 588 +2372 1164 +-1244 786 +-2828 1215 +-1303 20 +-309 -4266 +-3919 2220 +-4536 4649 +-955 -1870 +1335 -3665 +1412 -207 +7290 -1050 +1882 -481 +-1106 4184 +1571 -4510 +-856 -3279 +1598 6341 +-3176 -2898 +-651 -2525 +4807 1163 +1599 114 +1618 2023 +1833 -3310 +-2968 -691 +-852 1224 +52 2710 +-5390 530 +-323 -504 +-2322 5880 +1738 2446 +-363 26 +3622 5234 +864 916 +399 -4062 +2569 769 +-817 -3002 +-2955 969 +2253 -309 +-3502 1168 +-6343 4039 +1869 -632 +-91 641 +826 -2378 +1107 -1162 +1821 407 +1188 316 +188 2128 +1564 -1021 +-4506 -3136 +84 -3526 +1692 -680 +-2430 166 +-3361 -1360 +-2069 -177 +1484 -3275 +-3051 -2544 +331 2940 +3176 2206 +-800 -429 +-639 -4958 +-234 -236 +158 4190 +2267 -2346 +546 748 +-6217 146 +-1162 -940 +2356 2128 +-560 -703 +-1833 1847 +-4781 -639 +1167 -3264 +3253 2955 +-1350 1274 +1965 -1928 +4757 1113 +1344 1859 +3889 3752 +1972 -1896 +-7689 -5206 +-3236 172 +966 -3388 +47 312 +579 15 +-2852 -1594 +-222 3869 +2069 2389 +409 2508 +2380 -1998 +1570 -585 +3166 977 +1645 140 +-4001 1810 +1784 801 +1738 2446 +-363 26 +3622 5234 +864 916 +399 -4062 +2569 769 +-817 -3002 +-2955 969 +2253 -309 +-3502 1168 +-6343 4039 +1869 -632 +-91 641 +826 -2378 +1107 -1162 +1821 407 +-1106 -6870 +-3147 3427 +2082 -54 +-1048 -4515 +197 869 +-956 782 +-370 2050 +4945 -1173 +1160 -1699 +-3818 273 +-2064 -1244 +2737 -3271 +969 -99 +-971 5499 +-183 1207 +-1302 2100 +-8450 2054 +-3450 -17 +2684 2362 +-5080 -2350 +852 -3449 +471 819 +-3669 4095 +-1983 4408 +805 -1161 +2496 -5889 +-3381 -471 +1911 3578 +-335 2754 +-3995 976 +-812 -208 +-2656 4436 +474 1182 +1304 -1673 +-767 -5716 +1344 -5838 +4396 3802 +-848 845 +-968 -477 +2462 -1283 +1052 -1145 +-3299 201 +-4864 -1625 +2730 2262 +-953 1040 +-3182 -179 +-1084 -1975 +2219 -3150 +4026 -1422 +-3524 1327 +808 2220 +3223 -537 +1507 3203 +1042 1643 +-388 882 +1258 -703 +2039 -4843 +3697 1673 +1709 -529 +2139 622 +3480 5785 +484 -2124 +1154 -518 +4800 -2170 +-1106 -6870 +-3147 3427 +2082 -54 +-1048 -4515 +197 869 +-956 782 +-370 2050 +4945 -1173 +1160 -1699 +-3818 273 +-2064 -1244 +2737 -3271 +969 -99 +-971 5499 +-183 1207 +-1302 2100 +-1264 392 +129 25 +-442 220 +1685 -95 +-911 -5166 +-545 -3106 +-5033 1145 +289 -8189 +-790 -6931 +-4298 2572 +8349 -2353 +6188 -1351 +1108 452 +-1361 -3001 +195 -2299 +-222 -1490 +-1972 948 +-1095 -559 +-1360 3563 +1216 6629 +-542 -1301 +3240 136 +-2277 3174 +-2212 876 +2093 1552 +-1111 1922 +1788 2175 +-3448 619 +1538 -2326 +707 -1016 +1072 -571 +5310 -929 +-2844 -708 +1246 -4518 +-799 -291 +-1179 3415 +-1824 -3727 +-3044 -1850 +2011 -211 +-1639 -645 +1106 3455 +-96 -1351 +2122 2225 +5033 5772 +-5184 441 +-948 3514 +2871 -2135 +-2174 -3396 +-240 3792 +-2297 2945 +-2099 3799 +1476 1913 +1382 1346 +-704 -511 +-3563 438 +-1637 5186 +2647 -1236 +7676 -2148 +25 775 +-3975 298 +642 1432 +-2555 -640 +2195 -2070 +344 -1027 +-1264 392 +129 25 +-442 220 +1685 -95 +-911 -5166 +-545 -3106 +-5033 1145 +289 -8189 +-790 -6931 +-4298 2572 +8349 -2353 +6188 -1351 +1108 452 +-1361 -3001 +195 -2299 +-222 -1490 +-4266 -3710 +740 304 +274 1219 +1029 2754 +523 -503 +-1017 479 +2489 -207 +-4461 4195 +-389 -902 +691 -1942 +-4684 3246 +947 1107 +921 3910 +1426 738 +2108 4713 +-2257 2328 +-4184 632 +-202 4717 +-1983 -3570 +244 -2211 +8595 692 +6 -2527 +-2479 -3822 +2347 -1391 +-111 -1980 +-544 245 +-87 2467 +-877 133 +-2661 2254 +-1133 -208 +-796 -1202 +2925 -1048 +1106 -398 +-8350 -2803 +-1368 -2899 +1490 1352 +-677 -1808 +3468 -1618 +439 -53 +1659 -14 +389 -2574 +-3419 1445 +2427 -1320 +2687 -7153 +-1476 3458 +2009 2251 +5373 -1976 +32 -2160 +-872 316 +2635 2848 +1998 -929 +276 2587 +-3386 4147 +-2478 891 +477 59 +4666 748 +2007 1032 +-3758 1814 +2159 -741 +1048 168 +-576 -2038 +-187 -4105 +-1291 2488 +-1642 -3923 +-4266 -3710 +740 304 +274 1219 +1029 2754 +523 -503 +-1017 479 +2489 -207 +-4461 4195 +-389 -902 +691 -1942 +-4684 3246 +947 1107 +921 3910 +1426 738 +2108 4713 +-2257 2328 +-316 -2768 +1818 -1233 +-1873 2394 +-6545 -1014 +-7165 2400 +3986 4617 +1594 -1522 +-4824 1294 +-2205 4535 +848 5751 +-104 -1826 +-2049 -2168 +-2403 544 +-4133 -1447 +-861 4280 +-2610 292 +82 -474 +3186 -836 +2853 2550 +-1212 690 +-7259 -4291 +3027 1150 +2293 -3275 +3135 -1919 +6280 -1653 +-473 3006 +1148 -1746 +-1905 -5917 +-34 6787 +6376 1435 +4328 2314 +-2057 -580 +-3792 -2288 +-1502 -574 +-1073 -3938 +-2768 1615 +1183 -2694 +8056 2417 +2234 4435 +352 358 +-2536 2417 +-1131 -4544 +4552 -3289 +-1121 3220 +538 1461 +-2436 42 +-3125 1460 +4325 -134 +4658 -1422 +-855 -3380 +-2295 -2301 +1909 -481 +-3192 -471 +-2603 778 +1169 1658 +-3508 3288 +-3436 -875 +700 392 +1849 -692 +3949 -3466 +4427 -1207 +2833 -5046 +2480 -502 +-2768 2393 +-316 -2768 +1818 -1233 +-1873 2394 +-6545 -1014 +-7165 2400 +3986 4617 +1594 -1522 +-4824 1294 +-2205 4535 +848 5751 +-104 -1826 +-2049 -2168 +-2403 544 +-4133 -1447 +-861 4280 +-2610 292 +-4740 4816 +3140 -873 +-1915 1215 +-931 -1459 +-2717 3639 +1160 -1373 +830 -5493 +-1117 -3812 +1380 -1935 +-709 3770 +1592 3684 +-336 2553 +4453 -2563 +4237 -2504 +-1924 -3659 +215 453 +-1188 4740 +-692 199 +-368 -211 +814 -3901 +-403 1503 +2263 2890 +5626 -1928 +1412 -1992 +2720 -4408 +3499 99 +4662 2702 +799 -1221 +-2763 -575 +63 2122 +-2261 155 +-2494 916 +-948 -1024 +-1168 -1908 +-1558 4412 +1456 3953 +929 1101 +54 1465 +4978 1205 +1063 -1080 +-748 -2489 +1554 -6468 +129 -2254 +1977 2761 +-986 798 +-2045 2790 +4471 -4211 +1449 -3212 +-3236 2212 +1354 1269 +-628 1166 +-4046 -17 +2191 3238 +-570 1342 +-5114 -1476 +-1171 -2395 +-2088 616 +-1027 1970 +-1914 662 +-3896 -728 +-4496 -2084 +-1000 264 +6034 -3554 +-5307 4126 +-4740 4816 +3140 -873 +-1915 1215 +-931 -1459 +-2717 3639 +1160 -1373 +830 -5493 +-1117 -3812 +1380 -1935 +-709 3770 +1592 3684 +-336 2553 +4453 -2563 +4237 -2504 +-1924 -3659 +215 453 +-2370 2604 +-2069 526 +230 3577 +2076 1004 +-1724 3034 +-551 964 +-768 4362 +-3151 5564 +-2141 3379 +-625 5204 +-278 -2693 +2006 -5775 +2451 -560 +565 5384 +956 -1214 +-716 -3588 +-550 0 +-4017 2595 +-2518 1121 +3411 -5403 +1718 2989 +2237 1215 +-1553 -4062 +-2332 -415 +1935 2724 +3129 -1314 +499 -3488 +-2266 1348 +1004 -3183 +-8 -149 +2197 -554 +2832 -1035 +-2370 -1972 +-3302 -3464 +-2450 -607 +570 -2174 +683 2638 +1502 -1318 +6 393 +444 -717 +4670 -535 +-733 -471 +-2724 -4815 +-731 7593 +1456 -88 +1105 -1157 +-6300 1625 +-1032 -2067 +2130 3160 +-3877 880 +2133 1349 +407 -3476 +-3206 -445 +3294 -2509 +3285 -7090 +2504 924 +2489 -512 +3448 1471 +2580 3029 +-1004 -3907 +-1119 -593 +-99 4784 +-351 -3572 +-3018 -516 +-2370 2604 +-2069 526 +230 3577 +2076 1004 +-1724 3034 +-551 964 +-768 4362 +-3151 5564 +-2141 3379 +-625 5204 +-278 -2693 +2006 -5775 +2451 -560 +565 5384 +956 -1214 +-716 -3588 +632 -398 +-1324 -3014 +-2672 -5262 +1519 1666 +-994 -2291 +843 3746 +4419 4611 +839 17 +1841 1499 +1470 -1352 +-1252 -341 +1142 -1463 +-2251 3269 +2061 3707 +1949 -949 +966 2742 +6396 -790 +2606 -626 +1300 498 +-3549 -2848 +-2270 4127 +1801 5343 +-1783 150 +-2757 -1052 +-1134 288 +2504 38 +1799 -539 +-1025 2740 +-3728 -2440 +-2327 -1256 +-418 1062 +-1051 -3157 +0 714 +1782 1774 +1005 2213 +244 -3426 +2226 503 +414 6165 +2186 -503 +-663 3636 +-1841 713 +-190 -3162 +-3977 -400 +1867 3829 +2219 1277 +-357 -5315 +191 1448 +-1011 1368 +-4500 -2054 +-5352 -3054 +-265 -2921 +-188 -2485 +-2755 -2339 +-3893 -1702 +3917 -1622 +-295 2467 +-3923 -2500 +4237 -1177 +2798 2960 +4983 47 +-2561 -4634 +-1747 -5171 +3443 4651 +-3548 977 +632 -398 +-1324 -3014 +-2672 -5262 +1519 1666 +-994 -2291 +843 3746 +4419 4611 +839 17 +1841 1499 +1470 -1352 +-1252 -341 +1142 -1463 +-2251 3269 +2061 3707 +1949 -949 +966 2742 +-3002 -3710 +-3338 -4272 +119 -1786 +4069 -465 +206 950 +-2659 1042 +-3631 -166 +-2065 351 +706 -1163 +-5111 -1701 +2515 -273 +3846 -1281 +2110 -2927 +341 1448 +-5025 1844 +742 329 +76 1264 +1985 -5331 +-2538 -1154 +-2102 95 +-1131 -1644 +-3021 5490 +2447 558 +-5679 1093 +613 3490 +4039 -1101 +-919 2784 +-167 4455 +-475 1076 +-202 3926 +-3721 1213 +3422 -3859 +-2054 234 +-3064 -1007 +5807 353 +-1080 -1963 +2552 -3740 +4760 3447 +32 -3306 +1870 -4454 +3718 2743 +2044 4018 +-416 2147 +2734 -1850 +4625 -16 +817 -633 +2212 -2019 +319 3380 +-4500 1580 +-1391 -2545 +1374 831 +1904 1124 +-4155 641 +-1781 -3598 +-406 -3004 +-4075 2618 +3179 -4438 +-374 -2210 +-886 3418 +1367 3306 +60 3131 +1899 -2029 +507 1089 +-51 2177 +-3002 -3710 +-3338 -4272 +119 -1786 +4069 -465 +206 950 +-2659 1042 +-3631 -166 +-2065 351 +706 -1163 +-5111 -1701 +2515 -273 +3846 -1281 +2110 -2927 +341 1448 +-5025 1844 +742 329 +-3476 240 +-670 779 +415 -600 +-1056 -5296 +-3135 -701 +-1005 2842 +1617 -3813 +-2810 -448 +-3028 -928 +1927 -2230 +583 757 +-1439 1086 +780 6458 +-1791 2942 +1381 -1171 +1236 -461 +-6554 -1106 +14 -1342 +-1637 1357 +-1869 68 +6159 -4367 +3024 -1341 +512 -4600 +1272 689 +5702 8165 +341 2781 +-2297 -2535 +5995 -4086 +2693 -614 +-1331 -2622 +418 556 +-674 1757 +-1580 1340 +-1760 2723 +-2382 -3054 +2602 -628 +1101 -154 +-798 -1471 +-2300 -4433 +-5692 -3871 +3344 296 +1560 -1738 +-1317 -3162 +-1818 -6839 +500 -840 +6769 480 +-3107 -2961 +-2941 524 +234 1422 +-763 6034 +-373 3006 +547 12 +299 3958 +-4320 3206 +3777 -810 +1321 -3325 +-2226 683 +768 3259 +-1841 2966 +6346 3629 +1715 1316 +-4494 868 +4021 3328 +1508 2019 +-3476 240 +-670 779 +415 -600 +-1056 -5296 +-3135 -701 +-1005 2842 +1617 -3813 +-2810 -448 +-3028 -928 +1927 -2230 +583 757 +-1439 1086 +780 6458 +-1791 2942 +1381 -1171 +1236 -461 +-1264 -2604 +65 -3256 +-5021 2263 +-1639 -129 +1968 -2658 +-567 -1796 +5171 -3574 +-3477 2719 +-3981 1533 +1914 -324 +5442 -937 +3259 -3413 +-5282 -512 +2485 -260 +2414 -148 +3054 -285 +1182 1422 +1290 2462 +1876 1545 +-7193 648 +2714 -1290 +-862 -1364 +-2303 187 +4910 4961 +-613 1126 +2573 520 +2538 8510 +560 1828 +1360 -1622 +1743 2159 +-1405 -1162 +-51 -2006 +0 -240 +-3327 -2256 +5549 -1089 +3529 3454 +-2253 -1527 +636 -2033 +3637 1362 +4326 -3508 +-2971 -585 +-2724 3193 +4327 888 +586 -3541 +-2402 -3041 +-1731 635 +-4767 -2064 +-994 182 +-1814 -474 +-4213 1714 +79 2816 +541 -4719 +3259 -213 +-21 -1349 +-510 -2399 +4750 1833 +-3179 2350 +-4362 5467 +-885 2436 +-6948 1927 +-1893 751 +2045 -984 +-973 -1050 +-159 -2480 +-1264 -2604 +65 -3256 +-5021 2263 +-1639 -129 +1968 -2658 +-567 -1796 +5171 -3574 +-3477 2719 +-3981 1533 +1914 -324 +5442 -937 +3259 -3413 +-5282 -512 +2485 -260 +2414 -148 +3054 -285 +2528 2294 +2820 4959 +1925 3900 +4659 -2069 +908 -44 +-1289 2197 +-3277 -2014 +2857 3228 +-6658 -736 +-3975 -1260 +7250 3380 +-1770 -16 +2092 776 +-2320 -1814 +-2092 -426 +-2123 -907 +-4184 -474 +1530 583 +-552 -201 +2495 5234 +89 1889 +1461 -602 +502 1498 +4473 3850 +2513 -304 +-8480 -896 +-2124 4147 +-810 -3505 +3502 -4693 +-692 -3511 +-1456 -2358 +3404 -1965 +-948 -3558 +2667 1909 +-1313 -2558 +-555 -4298 +1605 2163 +-1367 868 +-1074 -600 +-911 39 +-294 -844 +1818 2633 +1728 163 +-2490 -3609 +-997 4549 +-2871 1276 +-1450 -4324 +475 -150 +1972 -790 +1282 -470 +-3374 2127 +4689 959 +-706 -216 +-3389 1542 +5899 -366 +2901 -1980 +1279 -644 +-2935 -1353 +-4805 -846 +2630 1201 +-172 633 +-1956 -1005 +1684 -1524 +-2228 -1068 +2528 2294 +2820 4959 +1925 3900 +4659 -2069 +908 -44 +-1289 2197 +-3277 -2014 +2857 3228 +-6658 -736 +-3975 -1260 +7250 3380 +-1770 -16 +2092 776 +-2320 -1814 +-2092 -426 +-2123 -907 +1264 -866 +158 4236 +-2299 -5128 +-114 -2769 +2488 1427 +-6521 1263 +85 3145 +4909 -4486 +367 -2247 +303 -238 +1155 -2417 +518 2720 +-457 1256 +2089 2208 +2037 4834 +1768 -46 +2762 3160 +-2096 1560 +-7189 -1952 +-2332 3042 +-1164 -1425 +-2603 -98 +-2023 110 +972 -3282 +1469 1429 +-5294 1239 +-1983 -733 +-2638 -396 +-2261 3013 +-326 -1832 +-2099 -328 +-1630 5594 +-1264 234 +2584 4084 +-1621 2338 +2736 -245 +2067 1779 +829 -5363 +1802 -4149 +-2284 -385 +7849 -2810 +266 -134 +-1952 1414 +217 -935 +-3127 -1301 +2107 -4852 +3956 -1777 +6786 4501 +-866 1264 +1206 -3180 +1476 -838 +-360 1463 +4825 -4308 +1214 -1617 +-1020 1788 +-1882 -547 +3587 1099 +-548 477 +-1492 -269 +-1753 1588 +-4899 5881 +1577 2248 +-1475 -3622 +146 -5819 +1264 -866 +158 4236 +-2299 -5128 +-114 -2769 +2488 1427 +-6521 1263 +85 3145 +4909 -4486 +367 -2247 +303 -238 +1155 -2417 +518 2720 +-457 1256 +2089 2208 +2037 4834 +1768 -46 +2528 550 +6245 -1632 +4798 471 +426 2460 +-623 -4564 +391 -1282 +-2021 -2790 +96 -2721 +-1133 1961 +887 -1021 +577 3253 +-5155 1549 +252 -196 +2460 1406 +-1 -2259 +1891 -1240 +1340 -1106 +-405 325 +4487 2813 +962 2373 +-4485 1675 +-2460 -364 +1349 895 +3356 -2071 +-4092 -4713 +2164 1390 +4445 1463 +-3359 899 +57 5494 +-1816 4557 +-1316 -2182 +2916 -1826 +1580 714 +-787 -1673 +-1933 1634 +-5573 784 +-1790 -1262 +4495 -2414 +-482 -2706 +-2522 2028 +-131 -381 +-823 446 +-5499 1560 +-2240 1517 +1236 1214 +653 -2055 +-2195 294 +-5931 -197 +240 1106 +-387 2166 +1464 477 +-820 1305 +-1950 991 +2582 -1970 +-6028 -794 +-2011 2686 +932 -1924 +1954 -1263 +3037 -5350 +-2027 -7836 +984 440 +2543 856 +4375 3221 +2295 2818 +2528 550 +6245 -1632 +4798 471 +426 2460 +-623 -4564 +391 -1282 +-2021 -2790 +96 -2721 +-1133 1961 +887 -1021 +577 3253 +-5155 1549 +252 -196 +2460 1406 +-1 -2259 +1891 -1240 +790 -2288 +-2433 -1373 +-62 -1819 +1494 2631 +-2614 3503 +-2106 -502 +1413 -80 +3242 3304 +-832 -8 +263 -4596 +2458 417 +652 5195 +-2333 1426 +-1118 1932 +2452 1877 +-2592 -4734 +708 790 +3124 2875 +-1312 -2686 +-601 -392 +-1826 2973 +-2833 224 +-1504 -3491 +-1078 -555 +2520 -454 +2385 -667 +-3113 -629 +1560 -94 +700 2382 +-885 1018 +696 3718 +-2162 3375 +4898 -1504 +3505 -1112 +-3423 662 +-2227 3398 +626 3695 +-4306 -1496 +-4309 2021 +3372 1802 +2412 -3468 +7106 1422 +4636 616 +-290 4177 +1685 2797 +2395 -2963 +2355 -2545 +-231 -4309 +-708 2370 +-4081 -1825 +-304 -1475 +-1893 2467 +-609 -691 +1260 2527 +-6588 -3552 +2659 -1662 +-940 770 +-5769 -2832 +-144 -3935 +-4581 -3910 +-4476 -2181 +965 -5273 +6748 787 +5205 1949 +790 -2288 +-2433 -1373 +-62 -1819 +1494 2631 +-2614 3503 +-2106 -502 +1413 -80 +3242 3304 +-832 -8 +263 -4596 +2458 417 +652 5195 +-2333 1426 +-1118 1932 +2452 1877 +-2592 -4734 +1738 -3552 +-845 807 +2056 -1917 +2908 43 +1894 1392 +1977 -1150 +-2764 -5905 +-141 -1758 +-196 1934 +2402 -1155 +2689 2820 +-2098 1922 +1254 -2739 +1092 -3369 +-1191 -694 +-2176 -2633 +-866 -316 +-3524 -2635 +-5704 -5146 +4261 4502 +4035 1179 +-4217 4150 +824 4068 +3943 -1190 +181 524 +-621 -542 +-76 1723 +3563 -2484 +1688 -735 +-895 -3698 +43 -4472 +-2673 1970 +158 708 +779 4129 +-2152 226 +-1466 3882 +-2618 6831 +-3956 -1510 +-1391 322 +3386 986 +3040 -1302 +-629 1946 +-1002 1185 +-3484 -725 +-4584 5676 +3698 3172 +1631 192 +-3448 377 +2130 -1896 +-241 -504 +-1983 -3735 +-2585 -1822 +-5206 -554 +-372 300 +3439 1668 +894 -4360 +-2393 -1156 +-1114 3317 +4908 -212 +6270 3622 +-2783 4119 +-1119 -3258 +3200 -235 +431 -2331 +1738 -3552 +-845 807 +2056 -1917 +2908 43 +1894 1392 +1977 -1150 +-2764 -5905 +-141 -1758 +-196 1934 +2402 -1155 +2689 2820 +-2098 1922 +1254 -2739 +1092 -3369 +-1191 -694 +-2176 -2633 +-4898 -1820 +-3228 -5110 +5278 6127 +-4242 1113 +-1471 -3462 +2684 -1958 +-3014 80 +-551 -541 +-594 -328 +1253 1111 +-1989 -774 +-3584 2203 +-458 -5800 +-381 -2312 +3121 4293 +1384 -1760 +-866 -316 +3092 153 +4975 1293 +-2188 -1289 +-5372 4456 +1255 7083 +2991 -848 +196 -4944 +801 -6778 +-47 2599 +1503 1177 +5197 1449 +566 6217 +1743 -996 +1432 -1907 +1673 -1667 +6478 556 +1251 -654 +769 -2360 +789 1176 +-1389 241 +-2274 988 +277 -87 +618 -2300 +-3830 12 +-1392 -257 +-722 584 +679 3104 +-1400 -3524 +-1122 -2323 +432 6399 +-2140 802 +2446 -948 +3260 2839 +1740 -929 +-1065 -2055 +-617 -1867 +-258 1556 +2088 3785 +4648 -1128 +-3329 -1754 +1174 -4256 +2351 -1325 +-4527 1449 +-3765 -2581 +-7009 1539 +-3535 2189 +3110 4388 +-4898 -1820 +-3228 -5110 +5278 6127 +-4242 1113 +-1471 -3462 +2684 -1958 +-3014 80 +-551 -541 +-594 -328 +1253 1111 +-1989 -774 +-3584 2203 +-458 -5800 +-381 -2312 +3121 4293 +1384 -1760 +-2212 2288 +-1441 4628 +1706 -596 +2154 2593 +1551 -583 +-524 -1608 +-2082 -844 +-1094 -2106 +-245 -16 +3280 78 +2446 -1709 +-1184 -2074 +-7298 3339 +-3980 390 +4378 -1686 +2255 1129 +1024 -316 +-3578 1130 +-40 1708 +6139 -117 +-1193 31 +-992 2506 +-512 797 +-4367 -1391 +1156 -1340 +3811 2266 +-1752 -1450 +-3335 -2060 +-90 6092 +-2650 -2531 +-6675 -1656 +-89 573 +632 -1340 +-3130 1680 +1445 -3162 +1541 1064 +1724 -272 +770 -675 +-25 3559 +3850 283 +6566 -5040 +7606 -2473 +1277 5476 +-265 -352 +1048 3651 +-686 1899 +2296 -4509 +2134 2914 +1188 -3792 +2475 -4583 +388 -293 +-2086 -1993 +446 -440 +2161 -1085 +1432 2560 +1190 5595 +-524 3236 +-3138 848 +-2942 -3766 +88 -2425 +-1244 -442 +-2512 -2471 +-3868 516 +-4403 -1633 +-2212 2288 +-1441 4628 +1706 -596 +2154 2593 +1551 -583 +-524 -1608 +-2082 -844 +-1094 -2106 +-245 -16 +3280 78 +2446 -1709 +-1184 -2074 +-7298 3339 +-3980 390 +4378 -1686 +2255 1129 +-1738 -1346 +-3433 -2677 +2628 1992 +2109 5756 +737 -2077 +3677 -1013 +-1255 -3 +3053 1297 +1222 -528 +-3118 127 +7200 319 +4676 -3025 +2867 -1374 +3391 -793 +-3360 3805 +-2122 -1400 +-2130 -4582 +2116 -106 +-729 3052 +-2500 513 +1038 -3195 +-3508 4947 +-58 1447 +-4457 -2493 +-3372 3203 +3 2051 +-2433 -2038 +2009 -1094 +-246 -1742 +1611 -4734 +699 -1068 +-655 382 +-158 -1814 +123 -1872 +811 2215 +-2489 -2059 +2331 -1252 +2950 2802 +1473 -3362 +643 962 +-906 -420 +-2273 -2078 +-1575 -31 +2457 -4114 +-2328 -4375 +-3561 -777 +-343 463 +4207 -1270 +4026 158 +-337 -1750 +-628 2081 +901 -817 +1582 2100 +-4645 7271 +-2242 -3260 +-2744 4269 +-3264 5330 +2973 3840 +-217 1259 +358 -5208 +339 1803 +1504 2346 +28 3242 +-2917 718 +-1738 -1346 +-3433 -2677 +2628 1992 +2109 5756 +737 -2077 +3677 -1013 +-1255 -3 +3053 1297 +1222 -528 +-3118 127 +7200 319 +4676 -3025 +2867 -1374 +3391 -793 +-3360 3805 +-2122 -1400 +4266 -392 +-181 1192 +1088 218 +1064 2926 +-837 366 +157 3442 +-5696 -792 +-1592 -2832 +-844 -1788 +1132 1116 +-946 -184 +-2166 -4213 +65 2533 +-2917 2915 +2951 1268 +-1496 -4859 +-5606 -5056 +-5468 -791 +91 31 +2524 3332 +-5090 441 +1367 -968 +31 -4030 +2005 -1407 +5199 878 +-2568 1854 +-2087 3651 +-3737 -3186 +-416 2475 +4963 3810 +-264 -4661 +2084 1669 +8374 5132 +2267 -2417 +1253 1517 +1288 4424 +-682 -1615 +1768 -408 +2039 1733 +5108 2739 +-736 -108 +-993 -624 +2082 -454 +-1033 -2293 +1730 2060 +-2213 689 +299 -4621 +3163 -821 +-3242 3476 +-3753 -2386 +357 -873 +2662 1969 +-2240 1439 +-4232 4953 +683 1084 +4244 -3008 +3966 -2143 +933 1226 +1954 -3906 +-909 -3916 +-8962 -116 +-373 -962 +1221 2434 +-3095 -3164 +4266 -392 +-181 1192 +1088 218 +1064 2926 +-837 366 +157 3442 +-5696 -792 +-1592 -2832 +-844 -1788 +1132 1116 +-946 -184 +-2166 -4213 +65 2533 +-2917 2915 +2951 1268 +-1496 -4859 +790 76 +-4128 -3433 +-515 -1660 +-5543 3505 +1293 -2540 +1605 -1838 +-5680 2245 +694 3711 +743 -636 +-104 3939 +-3701 3444 +-1786 -4543 +3679 -10 +-1166 -3102 +-76 2546 +-2535 -777 +-4500 -2370 +311 8333 +-1612 -708 +2405 -1174 +1209 2645 +-1238 -1769 +2630 -6673 +-408 -4157 +3441 -4057 +2174 -3218 +-760 1128 +124 -4372 +-2378 -2559 +2217 -4513 +4017 -394 +683 3450 +-1422 -708 +2063 2842 +552 -767 +-943 60 +2422 2039 +454 1118 +-107 92 +-2144 405 +-1375 1268 +441 -2991 +2843 -3836 +-1896 -1266 +-2894 1820 +4141 745 +-2979 3959 +-1665 2036 +-556 -790 +-1785 3236 +-1029 900 +261 942 +5188 -4040 +-357 -3668 +3604 2688 +1061 1797 +-912 3425 +5713 2171 +1695 1500 +905 3119 +-2200 -3675 +-2758 -2907 +-1409 5648 +3203 2320 +790 76 +-4128 -3433 +-515 -1660 +-5543 3505 +1293 -2540 +1605 -1838 +-5680 2245 +694 3711 +743 -636 +-104 3939 +-3701 3444 +-1786 -4543 +3679 -10 +-1166 -3102 +-76 2546 +-2535 -777 +2528 234 +3038 -194 +-704 3817 +455 -598 +3440 -4381 +1611 4217 +3644 -836 +6462 -1935 +551 -1134 +-57 197 +696 2600 +-881 -4940 +3589 2692 +4195 -1648 +155 -6104 +-1262 -322 +392 -1106 +-1222 1593 +2336 -463 +4166 2243 +-2618 5979 +-988 3199 +-456 1377 +524 1122 +-936 -3645 +-3742 -4310 +-370 -1632 +-2569 -1285 +2850 191 +2760 -1598 +-4745 2209 +-1257 1039 +2212 -2130 +1969 -1342 +-5046 857 +-1780 3786 +4821 2070 +-1666 1544 +-2322 -2135 +-2858 -3449 +-235 -3923 +1291 -269 +-4917 -2506 +508 -1647 +3517 3705 +776 -4562 +909 3294 +-3454 2217 +-4500 -3950 +1595 190 +1965 182 +-3901 1705 +1941 -1140 +3597 3084 +-4320 3828 +-1944 5222 +-1276 485 +397 -4279 +2247 -326 +-1054 -3361 +-1107 3525 +-3442 1651 +-4242 -1633 +-1269 2732 +2528 234 +3038 -194 +-704 3817 +455 -598 +3440 -4381 +1611 4217 +3644 -836 +6462 -1935 +551 -1134 +-57 197 +696 2600 +-881 -4940 +3589 2692 +4195 -1648 +155 -6104 +-1262 -322 +-158 -1024 +-1382 3801 +-40 -3496 +4559 -2116 +847 3524 +2929 3384 +-1616 -2118 +-1812 -999 +7137 5683 +-678 -1850 +-43 2522 +-52 1688 +-1176 -1404 +-2055 3577 +-5112 -39 +584 651 +550 -632 +468 -1604 +-2087 -497 +-4003 -2451 +-4119 -1450 +-3237 1420 +-1365 -614 +-3777 -19 +-1510 -751 +1241 -4428 +2453 892 +1765 3167 +1566 474 +807 -833 +36 2077 +487 -648 +2054 -1188 +1571 1462 +-1234 647 +2807 2011 +-793 -6030 +-2723 23 +-723 3903 +1074 -1941 +6136 -1575 +1806 -5525 +2197 -316 +3182 -1607 +-481 -2302 +-1110 3628 +980 4815 +217 -442 +-1814 -4108 +-3053 2576 +-5794 3345 +2207 664 +5960 -5524 +3865 -56 +-89 1573 +-2231 -6724 +1510 -197 +-3396 -1214 +-3036 -3098 +109 3635 +-541 3864 +-111 -1834 +303 3042 +-59 2600 +-158 -1024 +-1382 3801 +-40 -3496 +4559 -2116 +847 3524 +2929 3384 +-1616 -2118 +-1812 -999 +7137 5683 +-678 -1850 +-43 2522 +-52 1688 +-1176 -1404 +-2055 3577 +-5112 -39 +584 651 +632 550 +-1145 1771 +-2713 -671 +-3643 664 +1072 475 +934 789 +1808 3201 +2154 232 +-2505 1218 +-1065 3136 +-6583 -1453 +-7975 -2742 +-1764 -904 +-642 -3183 +36 1657 +3211 2972 +-3558 1264 +-2916 3610 +5971 3783 +573 3555 +2944 -421 +1469 645 +-1093 550 +-1137 1521 +-5240 678 +1684 -2458 +4146 -3580 +1831 -4891 +-3363 2354 +-1270 -3166 +1846 631 +-2525 3880 +0 -3710 +-1081 5368 +-4519 -577 +992 -1827 +6235 4642 +2191 1214 +978 -1945 +-1733 -1745 +293 2890 +993 -4286 +-2546 -5492 +4621 1140 +-1827 658 +-2326 -2256 +2204 -1770 +308 198 +-866 -632 +-6135 1350 +335 -518 +1957 -1202 +3022 -272 +4276 -2541 +-1660 -1730 +-1545 -193 +1132 -994 +357 76 +-412 923 +5589 311 +3794 2316 +2149 -2599 +2204 -3122 +-152 656 +632 550 +-1145 1771 +-2713 -671 +-3643 664 +1072 475 +934 789 +1808 3201 +2154 232 +-2505 1218 +-1065 3136 +-6583 -1453 +-7975 -2742 +-1764 -904 +-642 -3183 +36 1657 +3211 2972 +1896 -4980 +619 1027 +3270 2499 +-245 2746 +-975 1843 +2138 3859 +1208 2830 +2035 -652 +-213 3002 +1046 -1840 +-5542 468 +2118 847 +5926 -3932 +-3391 242 +2894 -3460 +-2252 -4497 +-3236 316 +-1214 1402 +525 1496 +-56 223 +-5457 -4354 +1239 -61 +-1139 1437 +1102 -3114 +4828 -1198 +171 -2580 +-254 2125 +1274 462 +2005 -1589 +-2663 -279 +917 -2816 +6215 1561 +2844 -1024 +239 -148 +-1130 -78 +27 -433 +2339 3105 +-1774 4004 +-135 2196 +3508 -1636 +-3895 1107 +-3920 -2861 +-1357 -1420 +-1700 -1139 +-585 2036 +8 7966 +555 -1963 +2844 1874 +2288 2528 +-4830 -1065 +246 -5257 +3004 -6911 +-331 5727 +2547 1727 +360 -1024 +-2816 1368 +-1983 250 +-1482 4711 +-3342 168 +-414 -3378 +-2921 -307 +-3901 -936 +397 271 +524 -2488 +1896 -4980 +619 1027 +3270 2499 +-245 2746 +-975 1843 +2138 3859 +1208 2830 +2035 -652 +-213 3002 +1046 -1840 +-5542 468 +2118 847 +5926 -3932 +-3391 242 +2894 -3460 +-2252 -4497 +-4582 -708 +-2259 5366 +2125 560 +57 650 +-1192 2261 +2414 -1701 +3759 -253 +-2797 -1641 +3757 -220 +3266 -4095 +-7403 -2537 +-91 2350 +4469 -2232 +3232 4547 +3376 -358 +2281 -4466 +1504 1422 +707 -3273 +1341 2549 +20 4257 +-3408 614 +-2532 108 +-571 -3321 +-2913 2369 +-4320 1190 +-1067 -1913 +1310 4695 +-2339 2061 +411 -2791 +1677 -2849 +-1999 105 +-1224 3246 +-2054 -872 +4805 -12 +3648 1045 +-3625 -2259 +2201 490 +3023 -475 +-1870 -1728 +-108 540 +-596 -1676 +-2033 -2477 +3414 1057 +-2618 893 +-1749 -1197 +4141 540 +-2172 -997 +1957 -1842 +2604 158 +354 -434 +144 2058 +-461 3458 +-1393 1690 +-192 -1306 +4153 -5225 +-2534 -3478 +1159 -1822 +3442 -1946 +-787 -578 +1841 2737 +-4395 8748 +-6337 4865 +-885 -2127 +-89 -3820 +-4582 -708 +-2259 5366 +2125 560 +57 650 +-1192 2261 +2414 -1701 +3759 -253 +-2797 -1641 +3757 -220 +3266 -4095 +-7403 -2537 +-91 2350 +4469 -2232 +3232 4547 +3376 -358 +2281 -4466 +2370 1182 +1471 -6472 +-157 -4475 +220 2417 +2530 -830 +2125 -1136 +-3401 1954 +1503 -1718 +-2258 -2917 +-5740 -1220 +2511 -1259 +-931 1219 +-474 3791 +1295 2372 +-2149 -2690 +-1663 2263 +-2288 632 +187 -5356 +1788 4131 +-3264 734 +140 472 +137 4702 +-1967 -2642 +2013 435 +-2089 481 +-692 -6064 +-2089 -3579 +-167 2696 +2045 1310 +-764 -1648 +4124 185 +-85 3417 +-474 3558 +-2907 -87 +1128 1435 +2715 1274 +-3701 1685 +4533 2002 +-653 -710 +772 -804 +-586 -2139 +-6440 -979 +1792 -1813 +369 3713 +675 6220 +-1822 -2556 +-296 391 +6144 524 +5448 -948 +2306 2580 +-1201 -1232 +-510 -91 +-864 -63 +-2451 -3287 +-3580 57 +-3894 -263 +-2652 151 +5188 -188 +3814 -3321 +-2530 -1326 +2178 -2472 +1046 -358 +338 3455 +1837 3207 +2370 1182 +1471 -6472 +-157 -4475 +220 2417 +2530 -830 +2125 -1136 +-3401 1954 +1503 -1718 +-2258 -2917 +-5740 -1220 +2511 -1259 +-931 1219 +-474 3791 +1295 2372 +-2149 -2690 +-1663 2263 +2370 -4026 +-1204 1604 +-1546 1018 +1724 -1688 +-1898 -45 +4177 3374 +5255 2932 +-5030 -427 +-427 1865 +-2815 -260 +-3501 -3356 +299 -2857 +-2636 -772 +3155 -1454 +-3340 -157 +-6353 -776 +-1340 -316 +212 4366 +5455 -973 +1042 2519 +2026 1151 +5016 -6147 +1124 2965 +-2641 7806 +-612 717 +756 -6078 +-4918 -1549 +224 1439 +-485 836 +-2036 1915 +-289 -1247 +-3522 -3580 +3634 866 +936 1149 +-2699 -4147 +233 2637 +1043 2666 +8604 -795 +5705 -870 +-609 -3329 +1691 2875 +1433 662 +-1282 -5394 +-317 -3791 +1704 941 +-3792 -596 +-2122 -1013 +5089 3617 +-872 -2212 +-2165 -1329 +994 2097 +-2337 768 +-2435 1916 +-3734 -2401 +-4302 -3763 +-1722 1235 +612 1495 +2910 3091 +1178 4719 +856 -2232 +2681 -2901 +1187 370 +-768 3681 +423 1187 +2370 -4026 +-1204 1604 +-1546 1018 +1724 -1688 +-1898 -45 +4177 3374 +5255 2932 +-5030 -427 +-427 1865 +-2815 -260 +-3501 -3356 +299 -2857 +-2636 -772 +3155 -1454 +-3340 -157 +-6353 -776 +-474 -2136 +-7943 -650 +-5988 -2965 +3923 547 +-2805 -4700 +3770 -3858 +1723 -669 +-3602 -1795 +4061 2998 +-1408 2054 +1424 3462 +4201 3429 +697 2415 +-4283 165 +-1078 -2582 +3365 1762 +-1656 -1106 +3477 1033 +5218 3221 +3602 -1841 +2774 -1092 +-84 -2574 +767 -2701 +1835 1144 +-1780 1241 +-2937 -1099 +-994 2976 +-1561 3968 +2236 2453 +-748 3153 +-2407 -2782 +53 130 +2686 1820 +1393 -1677 +-5290 -536 +-225 -4726 +-2928 -2274 +-3470 4955 +-2152 2608 +-4950 915 +1943 4902 +-2211 -896 +-3094 -3856 +-383 722 +-3640 58 +-699 2166 +-628 -3116 +408 -4767 +5132 -2370 +72 -3330 +-1231 2362 +3352 -501 +431 -1413 +2342 -660 +2791 -55 +661 2141 +1464 -1557 +1820 2407 +-157 393 +-1596 -1228 +1972 -1766 +798 -3716 +984 6769 +1029 2628 +-474 -2136 +-7943 -650 +-5988 -2965 +3923 547 +-2805 -4700 +3770 -3858 +1723 -669 +-3602 -1795 +4061 2998 +-1408 2054 +1424 3462 +4201 3429 +697 2415 +-4283 165 +-1078 -2582 +3365 1762 +2370 -1340 +-1625 -663 +-691 878 +-80 -10 +-1752 6677 +57 1883 +-6937 2896 +-4434 -2038 +-1142 -3298 +-5535 -1539 +-4857 -1084 +-2554 -1742 +2228 -499 +1038 9020 +2078 2022 +2234 4661 +-2604 790 +2208 -4635 +4965 3374 +63 -4365 +-1637 -1025 +2555 2859 +3042 -105 +-207 -2911 +208 -3229 +445 1911 +548 -3892 +1831 -2372 +462 3121 +-842 -3029 +-1376 -3478 +22 1043 +4898 2288 +3221 670 +1617 -4109 +1993 -1305 +-122 506 +2647 -2687 +3005 -1169 +-1694 -2668 +-5495 -2074 +-2665 3960 +1693 1293 +1127 -7666 +-16 -2630 +783 1212 +-1101 1222 +-3668 3700 +4184 -1106 +2306 -1342 +-2871 -1592 +1818 1769 +-4706 163 +-4111 1823 +1968 5561 +-46 -2846 +3900 -879 +2386 -2244 +-4196 1340 +-1363 1698 +1750 8 +2189 2914 +584 -627 +-98 4941 +2370 -1340 +-1625 -663 +-691 878 +-80 -10 +-1752 6677 +57 1883 +-6937 2896 +-4434 -2038 +-1142 -3298 +-5535 -1539 +-4857 -1084 +-2554 -1742 +2228 -499 +1038 9020 +2078 2022 +2234 4661 +-2054 3236 +-1314 -1468 +-2008 -2135 +236 5283 +-735 -2129 +-2396 -1645 +-445 3043 +1228 3461 +205 5491 +2200 -1908 +1268 -3658 +-635 -3290 +2290 -4028 +-4474 1806 +581 -2916 +1924 -2158 +-2920 2370 +550 676 +-3189 684 +3335 -1927 +2551 892 +80 -152 +225 -2196 +-936 -1829 +4297 -4396 +-2967 -1357 +-205 833 +4136 -2931 +31 -6101 +-962 -592 +-2226 5067 +1903 4099 +1422 -3868 +-2848 -1984 +-3502 2347 +-3581 -4610 +-3734 2892 +-631 2815 +278 -2914 +653 -330 +2323 -435 +2813 2524 +-760 3595 +-6126 2522 +1132 -5398 +1897 922 +-364 5108 +2913 -1275 +1024 5214 +-28 -805 +-4031 -1157 +-1296 3038 +1918 -1023 +-2269 -1909 +-2586 -4081 +2209 1385 +3287 3764 +637 747 +4842 756 +6675 128 +1603 -1538 +-2928 2329 +-518 2681 +1 -1565 +-2054 3236 +-1314 -1468 +-2008 -2135 +236 5283 +-735 -2129 +-2396 -1645 +-445 3043 +1228 3461 +205 5491 +2200 -1908 +1268 -3658 +-635 -3290 +2290 -4028 +-4474 1806 +581 -2916 +1924 -2158 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs7_gi1_aggr0_len14_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs7_gi1_aggr0_len14_pre100_post200_openwifi.txt new file mode 100644 index 0000000..2e55136 --- /dev/null +++ b/testing_inputs/simulated/ht_mcs7_gi1_aggr0_len14_pre100_post200_openwifi.txt @@ -0,0 +1,1092 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-4096 4096 +-4186 1247 +-1412 -2205 +-4239 -3420 +-586 -3002 +-83 605 +2056 2123 +3914 -1682 +724 -2472 +-2651 -178 +-4708 -847 +1763 774 +4756 4554 +785 2677 +3143 365 +4703 1603 +3072 0 +4703 -1603 +3143 -365 +785 -2677 +4756 -4554 +1763 -774 +-4708 847 +-2651 178 +724 2472 +3914 1682 +2056 -2123 +-83 -605 +-586 3002 +-4239 3420 +-1412 2205 +-4186 -1247 +-4096 -4096 +4010 -1547 +-274 941 +333 -1049 +-262 -2543 +-2313 -923 +4064 1817 +-1640 2590 +-724 424 +1706 69 +-4309 691 +1521 -2733 +188 -1906 +-3561 5197 +1440 2467 +-63 -5320 +-3072 0 +-63 5320 +1440 -2467 +-3561 -5197 +188 1906 +1521 2733 +-4309 -691 +1706 -69 +-724 -424 +-1640 -2590 +4064 -1817 +-2313 923 +-262 2543 +333 1049 +-274 -941 +4010 1547 +-4096 4096 +-4186 1247 +-1412 -2205 +-4239 -3420 +-586 -3002 +-83 605 +2056 2123 +3914 -1682 +724 -2472 +-2651 -178 +-4708 -847 +1763 774 +4756 4554 +785 2677 +3143 365 +4703 1603 +4096 -2048 +-2404 -1305 +116 -1908 +-366 375 +1116 -894 +-954 1316 +-4748 1759 +19 -4491 +-300 -1024 +-3418 2417 +-2850 -938 +-1716 -650 +-2114 2002 +1178 2495 +1845 -2647 +-5554 -4676 +1024 -4096 +7137 -6482 +-1446 -944 +-2143 2696 +222 110 +-244 933 +1712 -538 +3619 457 +1748 424 +2019 -3897 +6451 -250 +1548 2615 +-1900 -110 +-1440 -1663 +-2124 -770 +1104 -339 +-4096 -4096 +-2212 67 +2257 5184 +-4893 -1248 +332 -2002 +1612 610 +-1868 629 +3032 1789 +-1748 -1024 +-3996 1174 +330 5542 +2613 3188 +3562 894 +-405 -293 +-3569 571 +-3733 -767 +-1024 -2048 +2150 1040 +3169 -1132 +1370 -494 +-1670 2786 +-653 1605 +808 5143 +3796 3133 +300 -2472 +-5070 1194 +165 2637 +-2206 -689 +452 -2786 +6699 790 +-248 4046 +3511 -898 +4096 -2048 +-2404 -1305 +116 -1908 +-366 375 +1116 -894 +-954 1316 +-4748 1759 +19 -4491 +-300 -1024 +-3418 2417 +-2850 -938 +-1716 -650 +-2114 2002 +1178 2495 +1845 -2647 +-5554 -4676 +-2048 4096 +1135 1492 +-388 632 +2304 573 +2224 -2786 +-1252 1906 +1707 6122 +-3185 2377 +-1748 -1872 +5333 -167 +-2250 -3442 +-3269 -4648 +562 2786 +-852 -4550 +532 -8405 +-620 799 +1024 2048 +2204 -1007 +-133 -6702 +-113 -4349 +-2454 894 +1309 -3064 +1112 -3043 +-5132 -2126 +3196 -424 +5224 2972 +-4 4113 +1846 3205 +-3008 -2002 +-4110 -1466 +-1620 1770 +-2434 2457 +2048 2048 +908 481 +-655 765 +2220 -3420 +-776 -110 +471 4092 +4021 -2478 +1767 2665 +-300 3920 +-1638 -3547 +-1911 -1401 +33 -463 +886 110 +-2872 716 +-3273 16 +1983 1692 +-1024 4096 +-3567 3498 +2873 -1687 +3838 515 +1006 2002 +1927 -2046 +3049 -1800 +1438 -1587 +-1148 2472 +-3805 2071 +-5724 -469 +-1065 2793 +1560 -894 +-413 -1381 +2664 -373 +391 -485 +-2048 4096 +1135 1492 +-388 632 +2304 573 +2224 -2786 +-1252 1906 +1707 6122 +-3185 2377 +-1748 -1872 +5333 -167 +-2250 -3442 +-3269 -4648 +562 2786 +-852 -4550 +532 -8405 +-620 799 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +1260 3688 +686 -457 +-1990 2608 +-3354 29 +1480 1861 +-2751 -3 +1 -2767 +358 1843 +234 -3792 +-603 -5022 +-3413 6498 +297 1223 +-4570 -3567 +-2438 1888 +1530 -2282 +4712 -2579 +-281 -1099 +-2509 -446 +1990 1199 +-2096 3982 +2791 2116 +-2443 -6405 +-3697 -2716 +-1098 2504 +-5056 1978 +946 3082 +555 2363 +-1735 -622 +-20 1036 +3217 -519 +1310 -51 +1097 4488 +3164 -1791 +-1096 2201 +-2012 1919 +2419 -3047 +5457 574 +-1122 -989 +-2844 -730 +38 -4910 +-1182 -2844 +-905 -546 +1070 -116 +2612 -376 +2102 -4232 +3071 67 +1535 -1834 +-1525 1056 +281 -1429 +2136 -3021 +-2304 5280 +92 76 +1017 -127 +112 -302 +4599 -3159 +435 -681 +1580 234 +-1707 -323 +1048 474 +1242 3268 +-8257 2338 +2876 -1845 +2622 -1630 +-965 6385 +1260 3688 +686 -457 +-1990 2608 +-3354 29 +1480 1861 +-2751 -3 +1 -2767 +358 1843 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs7_gi1_aggr0_len1537_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs7_gi1_aggr0_len1537_pre100_post200_openwifi.txt new file mode 100644 index 0000000..d60051d --- /dev/null +++ b/testing_inputs/simulated/ht_mcs7_gi1_aggr0_len1537_pre100_post200_openwifi.txt @@ -0,0 +1,4476 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-1024 -3072 +-37 806 +-2215 -732 +-1479 -167 +834 -476 +689 160 +3551 535 +3859 -4285 +1448 -724 +-5641 6087 +-2770 3110 +2332 -662 +-2726 -2754 +3455 -1997 +2093 1720 +-1043 1203 +5120 0 +-1043 -1203 +2093 -1720 +3455 1997 +-2726 2754 +2332 662 +-2770 -3110 +-5641 -6087 +1448 724 +3859 4285 +3551 -535 +689 -160 +834 476 +-1479 167 +-2215 732 +-37 -806 +-1024 3072 +3523 3354 +2540 -3612 +1440 -877 +3510 2524 +-2158 -2901 +1017 -4879 +3964 -1443 +-1448 724 +-2182 -358 +-1798 1234 +-864 3402 +-1618 706 +-3416 3041 +-2418 2624 +-2442 -5364 +-3072 0 +-2442 5364 +-2418 -2624 +-3416 -3041 +-1618 -706 +-864 -3402 +-1798 -1234 +-2182 358 +-1448 -724 +3964 1443 +1017 4879 +-2158 2901 +3510 -2524 +1440 877 +2540 3612 +3523 -3354 +-1024 -3072 +-37 806 +-2215 -732 +-1479 -167 +834 -476 +689 160 +3551 535 +3859 -4285 +1448 -724 +-5641 6087 +-2770 3110 +2332 -662 +-2726 -2754 +3455 -1997 +2093 1720 +-1043 1203 +3072 -1024 +-1832 -1111 +-1328 713 +834 1789 +954 1970 +889 -1761 +210 -3329 +2579 -4965 +1024 -724 +-4495 3330 +-3878 -1336 +-2774 1756 +-4398 2524 +-4199 -5316 +-2726 -4109 +-570 911 +1024 0 +2153 -896 +3126 -2406 +3234 -5115 +2506 632 +815 3339 +2740 -936 +4696 1370 +424 724 +-541 -4370 +1492 -5338 +-295 -462 +-1737 2754 +-2641 -249 +-681 1851 +533 -146 +-3072 -3072 +612 1577 +3921 735 +-264 985 +495 78 +-2084 285 +-5038 1881 +1584 -2949 +1024 724 +-3685 120 +-2647 -112 +563 6401 +5846 -476 +1353 1654 +-4569 5557 +990 -2705 +-1024 -4096 +-2573 -899 +4170 3854 +-388 1453 +-3954 1416 +1397 4817 +3784 -512 +3484 2079 +-2472 -724 +-3623 -3543 +3336 3890 +1489 -1014 +289 -706 +2070 3023 +-1912 -403 +687 612 +3072 -1024 +-1832 -1111 +-1328 713 +834 1789 +954 1970 +889 -1761 +210 -3329 +2579 -4965 +1024 -724 +-4495 3330 +-3878 -1336 +-2774 1756 +-4398 2524 +-4199 -5316 +-2726 -4109 +-570 911 +-3072 3072 +-1885 -60 +-1110 -819 +-1695 2272 +-2896 -2564 +-2197 -3932 +3304 2541 +1259 1845 +0 -3196 +4869 1374 +663 112 +-4604 -931 +-4788 3118 +-1461 -5939 +2329 -912 +75 7868 +1024 2048 +1508 6062 +-1930 791 +495 -5738 +2896 1226 +2644 652 +-1801 511 +-4668 -585 +1448 -1748 +780 2439 +-1602 532 +2791 -2633 +2113 -1780 +-111 234 +-898 319 +585 906 +3072 1024 +3389 -1110 +5574 -2522 +714 -6355 +-2896 -1780 +4513 3399 +3376 -1876 +-1574 -697 +0 1148 +1128 1703 +225 2120 +-2528 -545 +-1004 1226 +-776 445 +-3658 1356 +-449 661 +-1024 -2048 +-1134 2467 +3258 -347 +1742 245 +2896 3118 +4488 -2128 +913 1721 +-1329 3663 +-1448 -300 +-464 -1291 +-5079 132 +-5107 2100 +3680 -2564 +1092 -4317 +-3566 -3659 +-2090 -2075 +-3072 3072 +-1885 -60 +-1110 -819 +-1695 2272 +-2896 -2564 +-2197 -3932 +3304 2541 +1259 1845 +0 -3196 +4869 1374 +663 112 +-4604 -931 +-4788 3118 +-1461 -5939 +2329 -912 +75 7868 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +1996 2728 +3624 1680 +-1296 -5632 +-3843 -260 +-3305 872 +-253 -1481 +-3278 1652 +-475 594 +5290 2528 +2271 -5141 +1705 3242 +-1934 678 +-4104 -4501 +-1424 4288 +812 -2936 +2901 -241 +-31 -941 +-299 253 +-1919 1911 +-4325 2461 +-1465 4445 +-1053 -2180 +-1672 1462 +-463 2885 +5056 1662 +2600 803 +-1227 1838 +-114 864 +-3345 634 +1504 -1236 +-2735 -4676 +-5669 2785 +2112 -516 +-4082 417 +-2320 2124 +4811 -2717 +3420 723 +1188 1243 +120 82 +1337 1823 +398 1264 +23 -2064 +-399 232 +431 -5514 +2138 -6404 +1825 -3199 +669 -4513 +-242 1647 +979 -1271 +3263 -1450 +2331 178 +-3156 -373 +-2442 279 +-2867 2205 +-903 1056 +5057 -2763 +-3160 2130 +-1821 -2607 +5653 -1367 +-1026 5819 +-3537 1422 +3084 -4171 +4458 288 +-876 4954 +1996 2728 +3624 1680 +-1296 -5632 +-3843 -260 +-3305 872 +-253 -1481 +-3278 1652 +-475 594 +331 -1711 +4340 651 +-1458 -1032 +-5079 163 +1308 -2290 +3040 665 +5044 -806 +992 455 +-2920 1896 +-2987 -1603 +1006 888 +3040 739 +6261 2724 +6762 1025 +-3270 -916 +709 -150 +-1897 -1825 +-2351 -1762 +1826 -361 +-4641 2291 +-3696 2474 +-1785 -1527 +430 -1790 +-307 1102 +1264 -3236 +-367 -3448 +1692 -2467 +3264 -934 +-3937 605 +1700 -238 +2968 3164 +2284 -4594 +1565 -2713 +-459 2603 +3302 -212 +2331 -487 +-1794 -6903 +209 1606 +3247 9197 +2868 1268 +1340 -1580 +-9236 -1827 +-2531 -3504 +1696 -1044 +-5175 -821 +-530 -600 +-1711 1672 +851 -1315 +-3792 4986 +-334 3922 +-1250 -1184 +-7912 1826 +5445 399 +1443 2798 +-721 3250 +1270 1744 +-948 -3400 +-227 47 +-1323 4080 +-745 -4712 +-3469 -6300 +781 217 +2862 7719 +-624 1120 +331 -1711 +4340 651 +-1458 -1032 +-5079 163 +1308 -2290 +3040 665 +5044 -806 +992 455 +1256 -1653 +1730 602 +1624 467 +2752 3585 +-592 -711 +-1089 3142 +4730 110 +5534 -2819 +1188 -948 +2454 -7331 +2078 -2550 +-4514 1471 +-288 -830 +4914 831 +1147 3167 +648 -1544 +-8 -1083 +-1164 3889 +-1585 -1138 +-606 -313 +-382 -3236 +-493 -5078 +-578 -3518 +-1995 -1462 +1106 7186 +-1255 3473 +-4344 -1374 +-3686 -4679 +-1475 226 +2458 3259 +33 -4003 +703 719 +-2204 -875 +-1539 96 +6286 3185 +1294 -1047 +538 -1686 +648 -4030 +-1200 -689 +3148 100 +-872 -316 +-630 2464 +-404 4061 +-6381 6077 +-2402 405 +710 -226 +1111 3890 +-2179 1605 +-3468 1715 +2345 -852 +411 -3669 +-431 1245 +-1460 -55 +936 -1155 +5417 5840 +-76 1878 +-2054 -4026 +-2618 340 +2255 2284 +1524 -83 +-6579 -4226 +178 577 +716 4052 +-3319 -4734 +1256 -1653 +1730 602 +1624 467 +2752 3585 +-592 -711 +-1089 3142 +4730 110 +5534 -2819 +-6901 -138 +899 542 +-1223 674 +-2760 2146 +-2635 -2771 +-6203 -1056 +5124 -3428 +-3457 -512 +-1656 -316 +4031 1049 +-4219 2891 +1957 -394 +3307 2028 +814 -3179 +595 1028 +-574 3478 +-2192 802 +-340 -2082 +-2130 -8369 +-1803 2543 +3238 3498 +-491 -6242 +4129 -448 +3216 3127 +-1422 398 +509 4698 +-4629 1005 +-2447 -2363 +2944 2026 +3235 -1691 +273 -2998 +-196 -616 +581 1086 +-2084 -3147 +1589 -1407 +970 3365 +-1033 313 +4016 -299 +3563 -4010 +4216 745 +5764 1580 +2870 -3885 +-739 250 +-2630 2737 +840 3699 +-1382 995 +-3626 -459 +1019 3264 +-968 5834 +-3677 5087 +3705 1472 +7197 -4595 +-202 -1040 +-1747 4760 +-980 -484 +679 -2446 +-2054 -1662 +-3102 -1061 +2590 -2837 +-4953 2511 +-138 2360 +2652 -4601 +-1493 -578 +-433 -2877 +-6901 -138 +899 542 +-1223 674 +-2760 2146 +-2635 -2771 +-6203 -1056 +5124 -3428 +-3457 -512 +5332 -3413 +-3083 3427 +317 1282 +1641 -5951 +2275 -2543 +3430 -635 +-4101 1639 +1577 1700 +4342 -1580 +1302 861 +333 2031 +614 -1929 +386 -4289 +818 -3116 +622 -859 +-3040 1116 +1332 1919 +1369 1981 +-986 316 +-1573 -2539 +-255 -5084 +-2246 -2071 +-3580 2810 +1497 2898 +-1264 1346 +4739 -3292 +3292 -1694 +2928 2251 +5311 1894 +-1976 4289 +3355 558 +-2700 -296 +-4384 1833 +1255 -3229 +2372 344 +-498 820 +-4341 -3129 +3165 4515 +-1384 1041 +-2134 -2316 +-550 5372 +1721 1350 +1969 -2365 +-3572 -1221 +3313 -566 +-2638 -3592 +-1475 -3793 +1060 6006 +-4808 4717 +-540 -1618 +-4199 -1126 +-428 663 +425 2540 +-1564 4377 +5828 2848 +753 -3392 +-2528 -3874 +-1554 -375 +-1833 3738 +-745 3436 +-2058 -2727 +859 -2873 +-3058 813 +-434 -1247 +5332 -3413 +-3083 3427 +317 1282 +1641 -5951 +2275 -2543 +3430 -635 +-4101 1639 +1577 1700 +2855 -3161 +356 -2999 +-1218 125 +4186 -154 +5181 -246 +-2254 -9110 +-1552 -4662 +1278 2058 +-3710 -4740 +4223 167 +3982 6194 +-1858 -734 +22 -1702 +-3438 -1733 +1136 -446 +1925 -1789 +397 -4724 +-447 2419 +-3515 2668 +3044 -457 +-582 2299 +-3130 3289 +4531 -1439 +886 279 +-2370 3084 +-1093 -741 +171 -151 +989 -1158 +-242 270 +1786 4288 +-190 6599 +-1943 -245 +-1275 -5056 +-1123 806 +438 1181 +-1748 779 +-303 -5656 +-1064 -3124 +-1805 4004 +993 -674 +-714 -1264 +-976 270 +1830 1704 +6122 433 +3438 1271 +2961 -1094 +-714 200 +-6108 5398 +1183 300 +-419 864 +-1777 -519 +-1951 -2848 +-3031 2972 +-1292 3443 +-3518 -770 +2518 2810 +1106 2920 +-3419 108 +1353 176 +1263 -5692 +573 3321 +1745 3147 +-1681 -4751 +-2014 1994 +2855 -3161 +356 -2999 +-1218 125 +4186 -154 +5181 -246 +-2254 -9110 +-1552 -4662 +1278 2058 +3021 -3070 +-5221 -1082 +-5020 635 +1624 -4157 +336 722 +-1017 -571 +4474 356 +3933 2791 +-2604 -2528 +-1674 83 +-1793 1387 +-2766 3053 +1366 1099 +4760 -1979 +2698 535 +-2291 1009 +994 -243 +-154 -86 +521 1406 +6945 2330 +337 1461 +-249 1339 +453 2948 +-3548 -2310 +-3002 3394 +-884 3196 +-654 -3799 +-7496 3657 +-6277 199 +-1952 289 +-729 -1160 +-200 -354 +455 3070 +655 1103 +-1435 4921 +2243 1151 +-227 2808 +740 -6294 +1484 -4955 +654 6698 +2288 -632 +-5741 563 +2359 -1650 +3942 633 +-3926 1691 +522 -6230 +2724 -6001 +4549 -1593 +-678 -1021 +30 -2266 +-169 3241 +-3510 1491 +3347 3857 +2301 1820 +433 -4669 +778 378 +2054 -1498 +4575 -2469 +1136 -3612 +-3294 -3625 +-2539 803 +781 -57 +-1424 306 +962 1488 +3021 -3070 +-5221 -1082 +-5020 635 +1624 -4157 +336 722 +-1017 -571 +4474 356 +3933 2791 +-5822 -2705 +-2308 -2591 +3439 1724 +-3637 -1054 +-3404 2555 +5110 2345 +1562 -3488 +-667 4100 +-1656 -1896 +-2944 641 +2179 5310 +-905 3413 +-3839 4754 +1233 -265 +-1389 1784 +4431 -54 +2232 -2875 +-4114 -5039 +3436 -1127 +2953 3938 +3985 59 +1832 -1704 +138 -2919 +309 1614 +474 -2130 +-674 1271 +-3050 6580 +6708 -443 +2334 3436 +-2275 -956 +1629 -5826 +911 -1845 +766 -139 +-2664 -134 +-3239 78 +-3481 1782 +-1659 -42 +-2388 1681 +-225 1031 +3877 -747 +3868 632 +3577 -395 +2107 302 +2373 1351 +-3829 -423 +-3333 -3174 +-952 -2071 +2134 -2138 +3456 -1865 +-4816 1624 +-399 -274 +337 -1625 +-1450 -675 +40 -621 +-1661 504 +1643 -1918 +1738 866 +-2950 1202 +-680 -3746 +5396 1422 +277 449 +-1023 1059 +-366 2135 +-4685 -2741 +-5822 -2705 +-2308 -2591 +3439 1724 +-3637 -1054 +-3404 2555 +5110 2345 +1562 -3488 +-667 4100 +-304 135 +-1201 293 +647 5172 +4582 1058 +2263 -1347 +-3467 -1281 +-3375 -1673 +-2488 -4149 +82 -1422 +2054 3739 +-3558 -1107 +-2475 -3184 +1303 573 +1515 2663 +3765 -2029 +1167 -279 +-3455 -3209 +44 -689 +6479 3288 +4346 -2707 +386 3042 +-852 -738 +497 -1862 +3147 -3391 +-2054 -1662 +-1584 2888 +-450 -2133 +-7292 1918 +-672 -5319 +-896 -534 +-6158 3427 +3361 -3266 +-644 2709 +-4894 1349 +1114 3193 +504 3414 +-868 -2829 +-1443 -5507 +334 -749 +663 1717 +234 -790 +1487 -212 +3781 1833 +1081 1648 +-2512 -81 +584 5378 +-3534 706 +1144 -1539 +6931 2261 +2188 782 +3257 1633 +202 1427 +115 6190 +-631 2544 +-1804 -218 +835 2291 +-2054 714 +-98 -42 +106 -503 +-731 -2744 +1250 -4022 +-389 2009 +-1102 -3924 +-461 -4855 +-304 135 +-1201 293 +647 5172 +4582 1058 +2263 -1347 +-3467 -1281 +-3375 -1673 +-2488 -4149 +-3032 -1429 +501 2563 +6849 -1469 +2518 27 +3956 2091 +1204 515 +-1735 5650 +1409 -608 +-2768 -3002 +2299 -5606 +-396 -2971 +-1652 2445 +576 -3893 +-5309 -1804 +-1250 -214 +1268 -1461 +-3032 -281 +1454 -1992 +3823 -1609 +-2579 -1811 +1036 -62 +-688 2056 +-2564 -3297 +4738 -1595 +2686 4500 +-1642 3866 +-508 -1543 +2557 -3762 +-2511 -1207 +-2960 -3958 +-1329 2763 +-2115 2253 +1768 -1099 +-678 3947 +-39 -3734 +3040 -434 +3080 1587 +2211 1063 +136 5610 +-3295 1503 +-2604 158 +218 2057 +27 2262 +-88 -236 +-384 4302 +1781 -546 +-1415 -4435 +3714 323 +1768 281 +-5545 2958 +5365 -5088 +-143 -1324 +-4280 7761 +-324 3475 +-3205 -1859 +-4624 -1402 +-2370 3400 +3916 -4001 +2575 -6073 +-600 -2273 +-4001 2062 +1034 2990 +3777 839 +-1619 770 +-3032 -1429 +501 2563 +6849 -1469 +2518 27 +3956 2091 +1204 515 +-1735 5650 +1409 -608 +-300 -867 +-2821 3577 +-966 3272 +-493 -3851 +682 -3042 +703 -2310 +1573 3081 +1692 -2402 +-398 -2528 +-2095 -1171 +-2776 -5998 +288 -1299 +-585 1135 +1925 3040 +4003 -1378 +-658 -3866 +-3198 -790 +-5080 -3326 +1109 -2746 +3556 2132 +-1520 1798 +2437 -446 +4784 1592 +-2753 -703 +-790 -3236 +931 -198 +-2468 3234 +1859 1624 +-4544 2413 +246 3994 +4030 3639 +-1975 4048 +4724 -81 +-1266 2868 +2788 -91 +6493 -4378 +-1908 6 +-2398 2952 +-1268 6353 +2059 339 +-6238 -1264 +-1604 -1508 +4182 -2441 +-5995 3105 +-1382 1932 +-99 -1770 +-681 -1288 +5964 2917 +38 1106 +-5953 -1961 +1014 -2548 +1242 1153 +-1047 1870 +2301 -4257 +1601 26 +1013 3038 +1106 1340 +2630 -69 +-355 -4058 +-4205 -5638 +-2339 208 +-2498 585 +1126 -648 +4554 3780 +-300 -867 +-2821 3577 +-966 3272 +-493 -3851 +682 -3042 +703 -2310 +1573 3081 +1692 -2402 +2208 2251 +737 2086 +2550 3238 +566 859 +3819 231 +754 3604 +3128 -3556 +978 1650 +-1498 -4740 +-397 -7749 +-942 2773 +-97 -2591 +-1580 -1150 +6064 -102 +1953 3559 +953 1213 +328 -1897 +-5247 -426 +2443 -3526 +-1833 2366 +-50 -1445 +5576 -2441 +-923 5222 +185 4814 +5214 240 +2597 -3076 +-2828 565 +-3250 3347 +1906 2785 +3689 5166 +-173 -340 +-120 -4029 +-1260 2805 +-274 -2148 +-312 709 +1209 4907 +1243 1017 +-4532 -226 +1151 -5128 +2397 -121 +2130 -1264 +683 -1593 +-3316 850 +-535 2493 +-1803 642 +-4434 -6793 +-3341 4978 +2985 3535 +-12 -3792 +-3328 -1062 +1205 -3363 +2049 -4655 +-2485 -435 +-3705 2855 +1114 -2162 +-2254 1266 +-790 -1188 +-1769 -1660 +-1329 21 +-49 -1825 +-4843 3411 +-1470 -1603 +-2908 -1309 +1874 1936 +2208 2251 +737 2086 +2550 3238 +566 859 +3819 231 +754 3604 +3128 -3556 +978 1650 +188 -2003 +-1488 840 +-1925 -1642 +-5817 -4463 +-3649 3729 +-301 2909 +-588 1199 +3832 -2096 +2762 -5214 +-2995 2210 +2402 3978 +1273 2488 +-1816 -4415 +2425 -2838 +1988 -601 +288 -1318 +3702 1888 +4041 -6941 +-88 -1891 +-3510 2370 +-54 -917 +4320 138 +-3667 -5139 +-2565 -1314 +-1264 -708 +-1041 -2160 +958 2595 +-4594 -450 +517 146 +-1144 2311 +-817 -822 +-1042 6670 +-4612 5479 +-472 -986 +-1622 1808 +1059 -2438 +1083 3438 +2745 3001 +213 -1372 +-89 778 +-1182 -2370 +-5932 4045 +2819 549 +1360 1012 +1223 5401 +-481 -1108 +-1642 -2079 +-287 -3519 +-2438 -1572 +2524 -1139 +-540 -662 +2010 -2145 +-1172 -3089 +-4786 2298 +914 -715 +3286 -555 +4108 3236 +-893 925 +3054 322 +174 1468 +-2452 -500 +3478 -978 +3599 1945 +4621 985 +188 -2003 +-1488 840 +-1925 -1642 +-5817 -4463 +-3649 3729 +-301 2909 +-588 1199 +3832 -2096 +-3395 -775 +1816 340 +3749 -972 +-588 -4700 +-3201 -2007 +1528 -6507 +123 -6869 +-356 1161 +-76 2212 +2024 -1060 +6559 4327 +-2960 2607 +2246 -3566 +2938 44 +-2412 -299 +7564 -2576 +2801 -3972 +-3422 -5219 +-417 -1216 +-1424 587 +-2719 909 +-591 -1369 +-1211 -4271 +-2821 -1092 +-2528 872 +850 4209 +-1638 -545 +-1895 -2760 +4685 1186 +-986 -3392 +1424 2215 +1370 1550 +-2609 459 +-1225 2414 +-315 -1931 +4806 4110 +-198 1113 +2316 -575 +-1627 2390 +-7187 -319 +-240 -3792 +740 -3455 +2981 2703 +-794 -524 +-2083 -2799 +74 1764 +4210 5417 +676 -627 +-3117 2392 +3009 9342 +807 -318 +71 683 +-3362 -15 +-177 -1379 +-152 1043 +-4933 1272 +316 3868 +2004 1626 +2178 4273 +-3013 2525 +841 1387 +4271 -1839 +-1620 -3420 +-3685 3159 +-3395 -775 +1816 340 +3749 -972 +-588 -4700 +-3201 -2007 +1528 -6507 +123 -6869 +-356 1161 +4277 1935 +559 -1296 +188 -267 +-5229 -2329 +-1765 -212 +-885 3453 +-965 -1172 +2664 -227 +-76 5056 +2853 1680 +851 -4182 +2114 -4076 +848 1016 +-1593 6485 +120 4506 +720 907 +2850 1672 +-1529 458 +-431 -329 +-1865 -555 +-2435 -3939 +-314 -2094 +368 591 +3393 -4638 +-1580 1972 +-597 5164 +419 -6327 +930 -2137 +1005 545 +-2101 -2881 +-797 -205 +-1010 494 +147 2489 +-2969 907 +-2711 -183 +-2075 815 +-1210 -583 +2531 -395 +295 2985 +1910 2472 +-2452 -1896 +-2680 460 +-26 832 +-4817 -173 +-3268 -2117 +-2615 -3318 +2892 199 +1909 -2962 +-6642 224 +-1091 2547 +2463 -1997 +1594 489 +354 -2218 +-1888 -1780 +1381 679 +4744 -1528 +-316 2452 +-5490 -2553 +8097 -6507 +7777 2387 +151 3717 +10225 749 +-2030 1264 +-5175 3478 +4277 1935 +559 -1296 +188 -267 +-5229 -2329 +-1765 -212 +-885 3453 +-965 -1172 +2664 -227 +-2497 -5914 +-87 1569 +2319 -769 +-1175 -580 +623 2609 +-67 -3716 +-2761 -2576 +2004 -1448 +1656 2370 +-453 1846 +-2590 2780 +128 2096 +1389 -869 +-2268 25 +947 -3554 +-1962 691 +1541 1145 +415 -1549 +-2966 3293 +1660 1660 +-4169 -667 +-3523 1085 +-2161 -715 +-482 759 +1896 3394 +418 -2269 +-1246 -4682 +374 -1109 +5249 5745 +-1514 7171 +343 -4980 +3420 -4851 +1865 5598 +3191 1558 +-2392 -1915 +1906 444 +4408 2508 +-105 1488 +-1278 -3432 +-3066 3709 +-1340 2054 +1235 -6786 +2304 1276 +3139 1399 +2997 -3770 +5030 953 +3751 -1634 +130 -6387 +987 1699 +-2384 -64 +-2988 1472 +-4287 9174 +-4654 -25 +-1561 870 +-2662 1897 +3974 -542 +-316 -4026 +-3970 -2874 +-25 3600 +-3686 -6304 +478 790 +586 3221 +1293 -2703 +2978 -1239 +-2497 -5914 +-87 1569 +2319 -769 +-1175 -580 +623 2609 +-67 -3716 +-2761 -2576 +2004 -1448 +1121 -7340 +-2330 -1689 +43 -3835 +-321 -3503 +-328 4574 +-2145 -1953 +-246 -69 +2451 2059 +1656 0 +3508 5182 +-88 -311 +-2978 423 +-2751 6630 +-1223 -132 +441 -103 +2263 -286 +-682 -720 +-3104 2492 +563 2451 +-2405 1175 +-5924 -6533 +-1994 -1390 +4100 609 +-40 -2462 +-5688 -1024 +-2831 -2448 +3167 4705 +3542 -2031 +-1135 -82 +2999 4199 +589 -4590 +-1572 1602 +2355 2600 +3766 2377 +5837 2300 +-260 -659 +-1839 -4791 +120 -3677 +-486 3168 +756 -2004 +1820 -3476 +-1657 -1640 +-4077 -2136 +1920 -623 +1618 4051 +3147 -100 +4872 -3776 +1032 4708 +998 2301 +-3860 2681 +-3684 1535 +252 -4184 +-5182 -835 +-802 443 +3768 838 +-3298 1068 +2212 1340 +774 1830 +-4288 2875 +621 616 +-892 -1750 +3102 -1118 +2130 1395 +565 -957 +1121 -7340 +-2330 -1689 +43 -3835 +-321 -3503 +-328 4574 +-2145 -1953 +-246 -69 +2451 2059 +-755 2269 +-1968 -572 +-928 -667 +1558 2772 +1467 -1587 +-2304 -94 +-354 -107 +1571 2115 +2610 474 +3561 -823 +-2513 3632 +-473 -1279 +5404 -1532 +74 1957 +-1305 1437 +1329 -2631 +1556 -667 +536 -882 +-3574 839 +-2461 4558 +355 -11 +4235 2447 +6133 -4854 +258 -4419 +-632 -1024 +1698 -3098 +-3115 7847 +-2634 2537 +6182 -5013 +1328 -991 +-2683 1002 +879 -1896 +-193 -1637 +1047 -4204 +46 -6921 +2436 1883 +2255 415 +600 432 +217 3692 +-3059 3270 +-2610 5530 +-5592 4920 +-565 3779 +-1102 261 +-4625 -2908 +4680 -230 +2062 5603 +-3413 801 +-5032 -1230 +-1894 2306 +3901 -894 +-635 -1596 +-1549 -714 +2135 1968 +-448 930 +965 -73 +1264 -2452 +-3798 -3750 +2957 1233 +2571 541 +-3168 -2555 +719 -4441 +-2358 -3912 +-2847 -1789 +-755 2269 +-1968 -572 +-928 -667 +1558 2772 +1467 -1587 +-2304 -94 +-354 -107 +1571 2115 +-9408 3113 +1550 17 +4330 4775 +-2514 2320 +-6597 1483 +1636 -2088 +883 -1422 +-4270 -4014 +2920 -3318 +1627 8208 +2562 -158 +-2182 4832 +-1234 444 +-118 -6241 +-980 974 +1238 -5564 +-8355 663 +267 3020 +3150 269 +-1317 188 +2036 -1580 +-3215 -100 +-239 2265 +6102 2167 +4898 -1340 +-1863 -320 +-1518 -1361 +1307 -455 +681 -2355 +3252 145 +-1742 2769 +-2501 -2225 +6564 995 +3736 -923 +-1047 -1395 +857 338 +247 -1128 +3839 1162 +3551 2155 +2792 -303 +2136 -1738 +-117 772 +446 1128 +-4209 607 +-755 1220 +-725 2226 +-1736 308 +-1385 266 +-7130 -347 +-212 584 +2569 1560 +380 -3348 +3681 594 +2999 -608 +645 -2257 +1668 1304 +-1738 -556 +-1196 -2943 +2149 238 +-3265 1404 +-3116 -6261 +-3874 -383 +2147 265 +-286 -46 +-9408 3113 +1550 17 +4330 4775 +-2514 2320 +-6597 1483 +1636 -2088 +883 -1422 +-4270 -4014 +3056 3040 +2231 2633 +823 -7071 +894 -2091 +-786 723 +1569 4181 +5676 3571 +664 -3972 +392 3160 +1768 235 +584 606 +2272 3087 +-6004 -627 +-2 -257 +5101 -669 +-6453 2140 +790 -643 +1560 -3336 +-2973 -2409 +-24 -384 +-3089 -512 +1181 656 +-5 151 +-3686 -3600 +-948 1024 +-1583 -2883 +455 -5036 +3001 -2007 +2106 -1516 +458 1522 +799 -128 +2326 -921 +2948 -196 +-1730 2627 +-2068 -2356 +3290 -6290 +-1726 -75 +-3666 -409 +-2105 -2145 +128 -895 +1504 -632 +-4563 -1500 +298 3922 +2077 1950 +1857 -1623 +7603 6909 +885 1549 +-2258 -579 +-1106 3487 +-1316 -3567 +-991 -2529 +-1886 1550 +3705 4288 +4750 2658 +597 -2471 +-1224 2264 +-2844 2136 +-1884 1538 +-1183 2232 +-4108 -502 +-4912 606 +-1322 -3423 +-835 142 +-70 2665 +3056 3040 +2231 2633 +823 -7071 +894 -2091 +-786 723 +1569 4181 +5676 3571 +664 -3972 +2192 6931 +-1431 -5564 +3656 -167 +2419 2301 +-3960 -630 +-773 351 +-3518 -2227 +-3063 -1157 +-3552 -4108 +-4411 -996 +3821 3812 +2515 3146 +-1800 3637 +-1067 2321 +3041 -2146 +1451 382 +-882 -1537 +-1008 -1303 +-2826 5733 +3898 1781 +1224 2431 +-2171 -531 +-7 -1199 +-412 -1802 +316 1024 +-1155 3431 +2414 -7794 +-335 2007 +-2821 -1519 +-1027 -6913 +1960 1482 +2194 -7016 +-4404 -3455 +1376 894 +26 -5180 +-3971 -1024 +-1 4253 +1565 -2402 +2460 -3571 +-2101 -4299 +2288 -2212 +3402 4941 +-37 2013 +1674 77 +-1344 -3097 +-719 -171 +-213 -3077 +512 -1052 +566 4381 +925 -946 +4756 3636 +1098 433 +-2951 3427 +-3772 2139 +-4112 -4240 +-2891 702 +-2844 1504 +-227 1855 +2096 -2054 +592 1481 +2805 1612 +5437 367 +1653 2337 +1474 6569 +2192 6931 +-1431 -5564 +3656 -167 +2419 2301 +-3960 -630 +-773 351 +-3518 -2227 +-3063 -1157 +371 -54 +-488 -4325 +2927 -1354 +3843 485 +2893 3841 +-738 1134 +-1346 2407 +-1796 -1827 +1498 -3002 +1780 3286 +-5480 372 +2968 -1262 +6732 493 +2256 3639 +2673 435 +4708 -2880 +2235 839 +292 262 +892 -1887 +-838 2108 +5272 -2165 +-1759 -5087 +-4793 4115 +594 -2208 +-2370 -1030 +683 3721 +-127 -2308 +379 5997 +-1259 766 +171 -6607 +-3042 532 +-6406 -188 +4053 54 +-127 -1005 +-1274 -3197 +2139 -2461 +44 -3094 +-1075 2248 +-1985 5754 +-977 701 +-1814 -3634 +1602 1709 +16 5353 +-928 -146 +-329 3784 +-2302 786 +2975 -6341 +2625 -3843 +-339 -4631 +-1027 1365 +-2500 4018 +611 3022 +-1888 -1110 +-2856 -1020 +2129 5031 +-3487 -3419 +-2370 -2446 +-1144 -174 +-3302 -2261 +2769 -67 +-2616 1277 +-2850 2596 +2125 -557 +1380 3460 +371 -54 +-488 -4325 +2927 -1354 +3843 485 +2893 3841 +-738 1134 +-1346 2407 +-1796 -1827 +-5598 -3649 +4964 3631 +5761 3806 +-1611 -680 +889 -1173 +187 -2788 +-283 292 +958 121 +2446 -4108 +1458 -223 +2817 -855 +3457 -1025 +-2395 -1611 +-1158 -2765 +-2696 -969 +-1962 -735 +3557 1807 +2825 -126 +-662 2335 +-6238 -222 +-882 1058 +3862 5775 +-1143 1568 +3455 1336 +3476 -5290 +-3091 -1815 +841 1500 +-557 1115 +1210 2156 +5769 419 +-2185 5147 +-2296 873 +542 489 +4067 -2683 +2640 -3036 +-3802 764 +213 -168 +-2255 3435 +-217 866 +3766 4428 +-1182 2844 +-294 -233 +752 -1225 +1419 -4203 +291 -2073 +2367 -2483 +3779 776 +-2944 -610 +-1029 -543 +-1661 -3089 +-5659 -1765 +200 3582 +-3379 283 +-5014 2982 +301 1360 +-4033 1702 +-3476 -398 +-3059 -2982 +-1435 -760 +5498 -4323 +262 -2264 +-3910 420 +2442 3601 +-365 404 +-5598 -3649 +4964 3631 +5761 3806 +-1611 -680 +889 -1173 +187 -2788 +-283 292 +958 121 +-58 1822 +-3832 -2052 +481 -4472 +158 -1328 +1256 6 +80 -152 +-131 -4643 +-4539 -2773 +866 -2528 +4915 3942 +-1242 5501 +750 -2133 +-445 4720 +-2881 -1207 +-1276 -1476 +-1416 -360 +1545 20 +1981 3358 +148 -4366 +1852 953 +-938 543 +-3592 510 +1667 750 +3367 1157 +1264 -82 +1098 -7705 +166 1324 +4651 750 +3693 -3490 +-1838 -2448 +2287 1935 +2029 4377 +58 -1190 +-1584 569 +1770 -817 +6260 1320 +-2411 2915 +-2991 -1815 +990 -2453 +1558 604 +1662 -1896 +-1384 -5216 +-4107 3091 +-2222 5312 +1185 1067 +-4585 3402 +-3476 3379 +-1785 -3756 +-2809 1244 +-1106 3035 +-5930 -2859 +-2753 2265 +3358 -304 +4711 -521 +418 612 +1281 -3076 +1264 -2446 +-4098 276 +-135 2597 +-2899 2017 +623 2127 +-63 -1560 +-1742 -633 +8877 2257 +-58 1822 +-3832 -2052 +481 -4472 +158 -1328 +1256 6 +80 -152 +-131 -4643 +-4539 -2773 +3453 -2354 +3049 1303 +858 1365 +-3409 -2832 +-4207 -650 +-6864 -70 +-1626 -1107 +-672 1923 +-3084 6478 +405 -53 +-4725 -6601 +2698 -2307 +41 -2326 +-6090 523 +-1190 -1979 +-114 -1472 +4153 3934 +175 -4540 +-3462 -2122 +-1797 3069 +274 -364 +1744 -1070 +2334 -230 +1768 687 +-632 -550 +-3035 241 +677 822 +3964 1864 +-1319 -178 +371 -1975 +-2302 -1014 +509 -263 +6027 2670 +2347 -2784 +2917 -3096 +912 2618 +4763 1174 +1604 -950 +-73 -2314 +2399 -881 +-2604 2054 +-1676 6674 +-2538 1923 +1 -1719 +-673 3482 +-886 -1594 +3437 1030 +-2147 4605 +-6681 -1090 +-1308 1148 +-453 616 +-1416 -2867 +2963 -2687 +2126 -779 +5392 937 +1511 3007 +-632 2762 +-173 -941 +-3386 3300 +4627 2915 +687 -2242 +627 -188 +1612 -1644 +-1251 -3290 +3453 -2354 +3049 1303 +858 1365 +-3409 -2832 +-4207 -650 +-6864 -70 +-1626 -1107 +-672 1923 +-2947 1368 +-2481 -3808 +8964 -3 +-3110 784 +1745 -676 +2288 383 +820 1186 +1609 2758 +-1978 474 +520 38 +-3618 244 +3098 -773 +138 1810 +-3414 1093 +-3000 -1873 +1266 1718 +4066 4173 +-3294 -645 +-928 -889 +-1465 394 +3183 -5079 +-2297 -4321 +-5879 -235 +-1023 2398 +-790 7502 +3028 3410 +-2480 -2768 +-972 -2533 +-803 -1736 +1419 -1710 +2842 -2576 +-2132 1177 +735 1476 +-2489 -3685 +-3123 -1754 +4116 2315 +3142 1631 +-5230 -1069 +1512 -1765 +1799 -2159 +-4342 -4266 +2483 1549 +866 5421 +-2550 -2253 +184 1596 +1535 1879 +-2435 -4482 +1820 4290 +1939 1831 +531 -3023 +5844 259 +2477 -1277 +3939 -1564 +1444 -4888 +-431 -2378 +1772 1830 +790 -1182 +-1720 -526 +795 4547 +-1747 4671 +-6472 226 +2621 155 +-2279 -518 +99 1829 +-2947 1368 +-2481 -3808 +8964 -3 +-3110 784 +1745 -676 +2288 383 +820 1186 +1609 2758 +-1988 2184 +-5422 562 +177 4180 +1487 1037 +205 475 +3244 1369 +-111 3033 +-4581 3249 +4974 -1264 +2861 79 +-834 782 +-85 4881 +-4332 3294 +-1086 -4031 +-2619 -4700 +-2059 -2979 +-2157 -2539 +-1723 -2233 +1317 1994 +2518 3051 +4777 512 +218 3601 +-3425 2111 +-2831 -1367 +1422 -392 +-2433 -4732 +-3071 2481 +9 7245 +-1996 -3925 +2032 -3646 +-2130 514 +-2640 -13 +-540 -604 +1232 79 +2331 1317 +-1134 558 +1614 -3897 +29 909 +1129 6691 +1513 -5432 +2610 -5372 +6375 -948 +2303 -4183 +-774 -2369 +539 959 +1376 2873 +-1175 -322 +1448 1996 +1525 1591 +-304 998 +2617 4270 +2466 2211 +-276 -2147 +815 -2220 +1481 -445 +-2315 -4036 +474 1340 +-4162 -432 +-3576 -727 +4452 -1049 +-1797 -7911 +-3054 5244 +530 703 +2527 -4455 +-1988 2184 +-5422 562 +177 4180 +1487 1037 +205 475 +3244 1369 +-111 3033 +-4581 3249 +216 -694 +58 3538 +2690 2642 +2394 1756 +-2993 1664 +2705 1504 +1963 -630 +2334 -5463 +1662 6004 +-3829 1473 +-2656 -5794 +-2394 2228 +2728 -5741 +-1293 -412 +2261 5375 +-420 -9 +-5495 -570 +4195 -2059 +-1580 3156 +107 1156 +798 -3186 +3947 -1260 +7983 2811 +446 1570 +-1264 -3710 +-1988 3131 +-944 1931 +-2444 -82 +4786 4173 +3230 -3791 +-2654 -3634 +774 906 +100 -2150 +2946 -23 +-1255 2887 +-2334 310 +-1361 -2998 +904 673 +2192 2907 +-983 -4606 +-398 -3476 +-3603 2685 +-2197 -1012 +-1034 -1817 +-53 -2189 +-2931 -4995 +-2404 -718 +1088 1481 +-1142 886 +3087 1041 +37 362 +-889 -1232 +-236 -3064 +-3823 269 +-3244 -1034 +-4100 372 +-2528 4974 +1138 -1371 +2114 -379 +-774 1779 +123 -35 +2840 2126 +2753 1243 +643 -879 +216 -694 +58 3538 +2690 2642 +2394 1756 +-2993 1664 +2705 1504 +1963 -630 +2334 -5463 +2288 3357 +557 2768 +1752 -4803 +-5042 2503 +-584 2908 +2518 5162 +1036 3073 +1200 1769 +-2768 3002 +1421 1614 +1283 7144 +-1309 2441 +440 -4825 +1989 -527 +-1825 278 +-1687 -2751 +3514 790 +-9 583 +-684 -3657 +4091 -1694 +-1336 -1085 +-1808 -238 +3067 212 +-2514 1104 +1896 3558 +-912 -67 +-4288 -1388 +1967 -1235 +-2206 1640 +1347 4715 +430 -2108 +-2578 1226 +-2288 3911 +445 -6419 +4817 -4519 +2180 882 +1963 945 +-2768 2930 +-1082 1345 +1129 -2775 +-2604 -1106 +-2864 559 +-3596 -2237 +-4347 179 +2203 2051 +6612 918 +-295 -1422 +4661 -2848 +278 -1106 +-5495 -2004 +263 -493 +-1289 688 +-1307 -872 +-3723 -3081 +1033 -2842 +-1316 -2178 +-2844 -1030 +-448 1764 +-3339 -2688 +326 -774 +827 502 +8194 1435 +3956 1465 +-529 -6649 +2288 3357 +557 2768 +1752 -4803 +-5042 2503 +-584 2908 +2518 5162 +1036 3073 +1200 1769 +-4623 -1260 +-323 2337 +1920 -3259 +-1983 -4686 +283 2731 +4030 -2585 +-2079 1780 +-4660 4856 +-82 1106 +-3421 808 +-78 -656 +1515 340 +1262 523 +1487 670 +3149 1831 +3558 -5 +-4285 -744 +741 2474 +2943 1189 +1850 -2179 +56 -1357 +-3978 -703 +2627 -2382 +-2300 1299 +-4108 2288 +2683 -2580 +3203 -3821 +4890 -2800 +499 4110 +1702 1268 +4915 799 +401 1981 +2411 -3164 +1931 5856 +1412 441 +602 -5499 +-2741 -480 +-1310 -921 +-3291 -971 +-1834 -674 +-5606 4898 +-3576 3170 +4164 1883 +-2983 1045 +857 -737 +793 1416 +-4268 -1498 +-380 -2895 +-1719 -2416 +-1385 -2658 +-2189 -4615 +-1690 701 +2402 2266 +1805 1420 +-2542 755 +816 -3474 +4108 3084 +2458 -2932 +1267 -6331 +-1306 4817 +1173 6849 +-3636 545 +-1038 -2843 +3506 -411 +-4623 -1260 +-323 2337 +1920 -3259 +-1983 -4686 +283 2731 +4030 -2585 +-2079 1780 +-4660 4856 +-504 678 +-2833 1696 +5976 -328 +-1260 3018 +-4260 1226 +217 -4051 +709 -1021 +1196 1797 +-2136 0 +3731 -1712 +-704 1039 +-528 -655 +1161 94 +-3704 16 +1073 478 +-1876 -374 +428 -901 +894 5031 +-1784 204 +-521 2052 +-2277 3618 +170 -1334 +786 -761 +889 -2607 +474 -3394 +-1529 -485 +-2912 1249 +-3047 -1846 +-3675 3565 +581 -181 +-2339 -4216 +-5556 2976 +4296 -994 +-1673 804 +1093 -306 +5392 -4298 +-2029 -1302 +2611 2867 +1658 6136 +408 6138 +-3552 2212 +-464 -4558 +4041 -1227 +-3609 -2185 +72 -2775 +1538 515 +64 -3649 +1470 -3316 +2100 1217 +110 501 +-1340 -3516 +5594 3085 +2245 -1014 +-1547 -5006 +-471 6218 +-1104 2387 +6478 -3874 +1766 -3498 +-1843 356 +3342 4839 +-1349 -884 +133 -5773 +-1479 1871 +-790 4158 +-504 678 +-2833 1696 +5976 -328 +-1260 3018 +-4260 1226 +217 -4051 +709 -1021 +1196 1797 +878 -1999 +2822 5429 +2985 476 +6750 -2788 +-1820 2581 +505 530 +-59 -5453 +-7384 -2220 +-234 -3160 +-1759 -4113 +-250 2184 +-2450 -3000 +-1307 926 +6203 5757 +3339 -1070 +-956 2579 +-424 -1538 +3198 -2305 +-4506 1368 +-3434 -519 +-953 4351 +-1092 728 +3792 -2184 +-2318 -338 +-2370 -1656 +-409 -1329 +522 -82 +59 -1172 +-2240 180 +-612 930 +1192 -7218 +2723 -3688 +-2143 1683 +-6057 -1142 +-3006 1460 +454 4633 +-2757 317 +-2344 -3437 +-2527 2824 +-2886 -1985 +4026 -2844 +2337 1277 +912 62 +-1128 4091 +-1636 -2266 +1553 455 +672 1712 +23 -2617 +-2104 590 +-1827 -2962 +-1838 227 +564 -154 +1738 335 +328 2101 +2847 -504 +3377 3864 +2370 1340 +2805 1416 +1390 3154 +1649 851 +6447 1160 +1931 1721 +-2937 3046 +-2627 -2591 +878 -1999 +2822 5429 +2985 476 +6750 -2788 +-1820 2581 +505 530 +-59 -5453 +-7384 -2220 +5452 1796 +-1153 3206 +-827 255 +-867 -4548 +2091 2174 +-617 1674 +882 -2346 +-1732 3476 +-240 2212 +-333 -3518 +-1917 806 +2637 374 +-676 -1346 +1143 5946 +-2443 3597 +-2639 -1578 +1468 -47 +4112 -2291 +7100 -2309 +3277 245 +1858 -2360 +-1015 1080 +971 2296 +-1423 -982 +-1106 -866 +3954 -4646 +-703 106 +2085 -745 +1296 -1864 +-6563 3001 +-4320 -1911 +-484 3938 +-2924 1680 +-1466 -3594 +-640 1094 +1680 -304 +2595 2142 +-4225 -1384 +-2205 -1966 +2139 1894 +2136 -2528 +-167 -841 +12 2659 +-549 -649 +2464 -2523 +3776 1669 +-3382 2961 +1081 -1067 +-204 -2165 +-1244 -2673 +3937 -4882 +2949 -1535 +1040 3100 +-2550 983 +-4289 -4873 +-2536 -86 +3002 7502 +-4289 -2103 +-5697 -4050 +-1687 2168 +-3083 676 +527 962 +-1647 978 +6182 1926 +5452 1796 +-1153 3206 +-827 255 +-867 -4548 +2091 2174 +-617 1674 +882 -2346 +-1732 3476 +-708 -4196 +-2968 173 +2376 2167 +-2541 -3100 +-4206 1294 +1421 554 +1592 1376 +-3170 3865 +2920 -2054 +2625 1324 +-1700 -462 +2289 -3764 +-6783 -891 +-3345 -191 +-1576 -2522 +-4457 -1999 +2328 1182 +2768 -1530 +554 2761 +-2766 1699 +2840 -2557 +3645 310 +-1238 -1589 +-1098 1653 +2844 866 +3087 -921 +2683 815 +3716 -2164 +-2313 -1925 +1156 -1316 +-762 65 +-456 -1358 +3868 2616 +1620 6118 +3454 -3548 +-1626 -3587 +-3593 640 +-3356 4036 +-796 3907 +-217 -1628 +556 790 +743 2061 +-4390 4480 +-704 1285 +-1941 -1305 +-2305 1238 +1929 -1923 +3203 1053 +200 -3394 +4181 -2196 +6084 3307 +-2428 -58 +535 3151 +1615 -757 +3385 -119 +-2770 -197 +-4424 -4658 +4619 -867 +-214 -1935 +1687 164 +293 2857 +-5393 2076 +-3798 805 +1227 -1976 +-708 -4196 +-2968 173 +2376 2167 +-2541 -3100 +-4206 1294 +1421 554 +1592 1376 +-3170 3865 +-6201 3395 +3796 -616 +-1476 -2069 +-1786 3142 +2542 1909 +220 -1164 +1973 815 +1227 2905 +2452 316 +1769 -4258 +2808 3944 +-5971 579 +-1375 -2125 +2467 -1180 +-9028 -4992 +804 -1021 +-28 -3804 +-412 1044 +3003 -319 +-5735 -1578 +-1320 2860 +3530 -1921 +4497 -369 +1082 -393 +-2844 -2452 +233 -1917 +305 3212 +-523 -735 +-1347 -2108 +704 2072 +4591 -842 +-921 3662 +-6755 2609 +-1233 3654 +5296 3821 +2690 -1310 +903 -798 +-391 -1247 +-2850 -3061 +2451 -4601 +1024 -3160 +-69 -1077 +-602 1792 +-3862 -629 +874 1307 +933 2442 +3771 -3316 +-755 -5810 +-2817 -3464 +4707 2835 +2811 419 +2363 6157 +-1492 3614 +-1940 -2848 +-2095 2027 +1110 -3258 +1264 -1024 +-3734 -929 +1760 1840 +-1171 4577 +-48 397 +-466 2581 +403 -376 +-1116 841 +-6201 3395 +3796 -616 +-1476 -2069 +-1786 3142 +2542 1909 +220 -1164 +1973 815 +1227 2905 +1009 2605 +-2814 1348 +-279 1422 +-1106 -3632 +-379 826 +-1757 2636 +-1796 -1873 +1851 4058 +-1972 316 +1209 -877 +3021 -712 +-1262 -687 +2989 -1815 +5323 -1701 +1288 -1701 +-302 -1714 +186 5356 +774 3869 +12 490 +-1688 -1710 +-541 1564 +286 -1952 +562 -6102 +1766 198 +-2844 -2288 +-5003 -745 +-2894 743 +8 1966 +2079 3147 +-4192 1916 +-255 2891 +3527 2746 +-2905 1819 +280 -2794 +-865 -799 +-5510 1566 +548 869 +2699 -1436 +-3220 -2035 +598 1909 +392 3792 +-211 3335 +3870 -518 +-3368 3927 +3392 3881 +-787 -5428 +-8109 -6687 +4428 -2822 +2975 332 +1650 4088 +1055 859 +2844 -7075 +-1525 -3891 +-3395 -2984 +2897 -1859 +-4737 -1557 +3160 -556 +2327 1978 +-1392 -222 +4719 2594 +-1508 -3317 +3611 1276 +1050 3460 +-1769 -2295 +1009 2605 +-2814 1348 +-279 1422 +-1106 -3632 +-379 826 +-1757 2636 +-1796 -1873 +1851 4058 +604 3515 +778 1315 +1557 2734 +-185 2019 +629 -971 +-762 -5220 +3955 -3557 +-12 1157 +550 -948 +3369 -2065 +882 -159 +2698 -5631 +-1636 -8780 +-2701 -119 +2268 1405 +2215 1106 +-621 -840 +-308 -802 +3514 3805 +3071 1408 +-1463 2914 +2514 -2308 +410 -4619 +-1763 938 +2686 1504 +-1359 -160 +2311 -2617 +1923 -1516 +911 123 +370 6196 +-7131 1902 +-3306 777 +-2184 4069 +-634 -3055 +2017 5252 +-1569 3391 +-853 -6196 +867 896 +-744 2821 +-100 -3345 +-3078 -632 +-3373 4652 +2272 854 +46 865 +3046 -2287 +-682 -3947 +1 131 +3308 1070 +-4751 840 +1210 -2268 +-1432 1604 +-1728 3014 +1686 1092 +-211 3416 +-1604 963 +-3504 -2041 +4266 -2452 +-3997 -146 +-6065 3696 +1847 766 +2734 -3593 +-135 -1442 +-4739 -1575 +2114 1081 +604 3515 +778 1315 +1557 2734 +-185 2019 +629 -971 +-762 -5220 +3955 -3557 +-12 1157 +382 -1683 +-4560 -3309 +-1763 -1472 +-1079 -867 +-1191 -348 +2769 885 +-809 2317 +-112 -2073 +-82 -474 +3579 2727 +3307 883 +6304 1517 +5364 364 +-1990 1415 +1751 4443 +470 6765 +1499 2069 +3706 -378 +-1780 3648 +-3333 -1665 +2500 -4560 +1094 -2681 +1188 2008 +785 1839 +-3002 -398 +2172 4627 +-3063 -1333 +-2248 -2308 +-1642 1162 +-1852 -2085 +2209 -1453 +-4033 -1291 +1830 1999 +743 495 +-4631 -2107 +1653 2322 +760 -932 +-4100 -3500 +1304 3232 +-1706 1128 +-4974 -2686 +6934 1237 +914 -2976 +-827 -3156 +1093 622 +-1073 -840 +2011 -3115 +-307 -5119 +713 3303 +-4839 5671 +-3771 -178 +-267 -1786 +1091 152 +2243 4273 +-310 -1715 +2615 -2408 +1106 398 +1050 -2809 +-590 -259 +-51 -2319 +-392 -1517 +-819 1856 +-1024 603 +-2922 1834 +382 -1683 +-4560 -3309 +-1763 -1472 +-1079 -867 +-1191 -348 +2769 885 +-809 2317 +-112 -2073 +3785 2269 +2496 4915 +2527 -2695 +4200 1100 +72 3098 +-2498 -5246 +207 -1412 +-1043 -1722 +-1188 316 +4824 -419 +2021 -1247 +-3838 2277 +-439 -1061 +-2718 -5583 +1203 -2817 +-1978 744 +-4994 -4836 +3524 -1253 +-477 2200 +185 -1348 +-362 -2029 +276 -2429 +4177 1229 +3822 4611 +-158 -866 +-4352 -303 +3307 8657 +2918 1967 +-1229 3768 +-2640 4473 +-2130 -2995 +780 2693 +4116 -1637 +1787 -2418 +-4305 -1765 +1680 -1279 +-1058 1071 +-1464 -3903 +-1770 446 +-2250 2084 +4348 632 +-1829 2754 +-1289 2680 +-51 690 +3769 -2661 +1390 -2709 +-5832 3675 +2266 3583 +253 411 +-3510 623 +-1058 -5567 +1206 -2404 +716 388 +1235 1352 +483 2943 +-5776 -2592 +-2370 -1346 +417 1770 +-1990 5321 +1068 478 +2324 -2574 +-4522 -1736 +-2657 -3597 +4396 -770 +3785 2269 +2496 4915 +2527 -2695 +4200 1100 +72 3098 +-2498 -5246 +207 -1412 +-1043 -1722 +-231 1780 +-2691 2930 +-481 -4225 +4138 -483 +4077 2547 +-4484 -904 +567 5438 +3100 6019 +4506 316 +5609 -133 +676 3776 +3089 1811 +1859 50 +-272 1191 +-1486 -931 +2133 2408 +554 -570 +-4354 -684 +-68 -485 +111 1564 +1982 4179 +2763 -5127 +-618 181 +1341 2681 +948 -1182 +-1259 1799 +357 1222 +-1959 -5730 +-1702 -3357 +-287 3905 +-1847 -4993 +-1554 -1827 +547 -1464 +2045 -3284 +-3914 1588 +-169 -1637 +-3745 575 +-8056 -5028 +4702 -711 +2492 4110 +550 -2212 +-225 1158 +-934 3203 +-2474 3253 +-4796 -1199 +1601 -4186 +2165 -1485 +2200 805 +-4031 886 +-983 1004 +5541 -1793 +1814 -3696 +1478 284 +-2366 932 +-5207 1426 +-3643 -617 +2212 3710 +-516 4515 +86 -3285 +1399 -600 +-1682 -1814 +3365 -3144 +-2070 -1452 +-1906 -3007 +-231 1780 +-2691 2930 +-481 -4225 +4138 -483 +4077 2547 +-4484 -904 +567 5438 +3100 6019 +5228 -2335 +3131 944 +-4087 602 +-2415 211 +2766 -783 +-1461 -4743 +383 -728 +-424 2815 +1024 1264 +1603 -1200 +-33 857 +5836 2603 +-1455 -661 +-105 -1779 +5991 -1331 +-4649 3589 +-4428 4122 +2133 -360 +2918 -1205 +-247 1344 +-4538 891 +-48 -3765 +-1547 -1650 +-1325 1645 +3950 -1182 +-2659 -5080 +1337 -4062 +2132 -6043 +-3901 -1946 +288 4077 +445 1061 +-151 4491 +-2700 2019 +-188 1936 +1409 3132 +-842 297 +1534 -673 +-296 -1460 +-1877 4778 +-3549 192 +-2604 2528 +-1974 4037 +-913 -4419 +-639 879 +-751 4384 +4504 2796 +3111 -1149 +1440 -1853 +-2524 -3806 +-3763 608 +1394 568 +750 -6293 +2135 4357 +1673 1893 +-3893 19 +-3322 1150 +-474 -3874 +-2381 1951 +3031 -1794 +1312 -1195 +-5902 753 +2071 -4911 +4971 263 +3565 1226 +5228 -2335 +3131 944 +-4087 602 +-2415 211 +2766 -783 +-1461 -4743 +383 -728 +-424 2815 +2863 -2031 +2998 176 +-4790 1228 +50 -5211 +1208 -180 +-1329 -1658 +1648 2292 +-777 1277 +2768 -6794 +-1184 -250 +142 1518 +754 -2968 +-2802 -1774 +2161 4468 +-4168 2217 +-889 1418 +5021 -4334 +-1741 -3503 +-2830 5887 +3305 451 +6151 2983 +-1044 -3852 +-3256 -6142 +12 -2233 +474 -6080 +-396 -162 +-1943 -302 +157 3600 +-391 1856 +-3642 2471 +-143 6200 +1168 -1618 +297 767 +2466 2352 +1314 860 +-3635 -972 +-2817 -1199 +5490 4388 +-764 4901 +-1892 1868 +3868 4582 +-4000 4507 +2086 1666 +2073 2976 +-4974 -1239 +-1533 -3671 +-2923 -2308 +-1279 1693 +668 1806 +1856 -2050 +1990 -4183 +2000 -5402 +4306 -340 +2096 -724 +-373 2217 +1422 1480 +1738 -3084 +0 2506 +-1026 909 +-2917 -1413 +-681 -2635 +-2200 -4997 +-2661 734 +449 5053 +2863 -2031 +2998 176 +-4790 1228 +50 -5211 +1208 -180 +-1329 -1658 +1648 2292 +-777 1277 +1283 -4100 +469 751 +-4843 -56 +-1105 1152 +1746 4320 +766 -4197 +-20 611 +3004 2298 +-76 -316 +-1161 104 +-1125 -3832 +-1262 2005 +2291 -2584 +-383 -4743 +-6 4136 +-2544 3278 +-1823 3621 +-1488 3750 +-2478 189 +2979 -154 +580 2042 +-985 777 +-105 -1771 +267 -231 +-1738 866 +-1357 64 +219 -2822 +-2295 1127 +-574 3801 +-371 -2565 +-4015 915 +-4731 99 +-1283 -640 +286 1938 +2141 -4533 +-2049 168 +428 1221 +7484 -1854 +1127 -1692 +3548 -398 +3552 2844 +1702 66 +2105 2996 +578 78 +1115 573 +-1259 -444 +3358 -6978 +-1213 -1257 +-2601 -5201 +3521 -5550 +-183 -549 +469 2540 +2935 1265 +733 -3871 +1679 -461 +3404 663 +-4898 1662 +-1233 -2080 +4164 2286 +-1633 4767 +-936 -1789 +-1669 5213 +-2018 3976 +-2476 -3493 +1283 -4100 +469 751 +-4843 -56 +-1105 1152 +1746 4320 +766 -4197 +-20 611 +3004 2298 +309 679 +1817 2654 +558 1248 +-531 421 +-1419 -525 +-138 -4705 +-1733 -5215 +-2890 -2920 +240 790 +154 1957 +291 3604 +3490 -2581 +944 -5955 +4526 3166 +3190 -248 +-2750 2969 +-786 4492 +605 -790 +1487 3405 +-1707 -1217 +3478 -876 +1812 -1624 +-2014 -555 +2795 6335 +-790 -392 +673 -1835 +-2325 -514 +-1784 1091 +-1153 2003 +-484 -1884 +7075 2565 +551 2307 +639 2797 +690 2049 +-726 -3802 +345 1808 +-2565 -2750 +3937 -1752 +3167 4336 +-1454 -6165 +1656 474 +3818 4066 +1597 -2783 +-1780 756 +-3063 75 +117 -1449 +-2377 -2012 +-10274 -1458 +-2690 -1648 +998 -1200 +-2321 -220 +993 -1109 +-759 1623 +-2323 2550 +-2516 802 +526 -1342 +3950 392 +-2587 1143 +-2352 325 +1958 1724 +-520 -1179 +4084 -2345 +1529 -938 +-5183 -619 +309 679 +1817 2654 +558 1248 +-531 421 +-1419 -525 +-138 -4705 +-1733 -5215 +-2890 -2920 +4781 -3729 +-1602 2782 +-2365 721 +4952 -2184 +-4440 3232 +-4581 -5319 +-1034 -5137 +-2034 -625 +4500 1580 +2295 -2001 +-2620 -6110 +-341 295 +-3873 4546 +-4593 3789 +3808 1928 +5145 -2437 +35 -1758 +237 1479 +-3540 881 +-4467 1543 +1126 -980 +805 1817 +-2890 5071 +-5600 -254 +474 -1814 +2917 -1543 +1668 -3064 +2302 -2174 +4321 1141 +4311 -1266 +-4405 -1853 +-1604 -98 +-2253 1517 +-1161 2257 +2522 -1692 +-1407 904 +3654 605 +-1116 528 +189 -84 +776 -6722 +-1972 -3792 +5492 -632 +1295 -2003 +26 2785 +-149 4194 +-3172 -580 +-2135 2676 +1664 -420 +597 -2982 +-269 731 +1562 -944 +-2391 -1111 +3452 -4121 +1694 655 +-1659 443 +3803 616 +2686 3394 +-2020 -3073 +-4844 2099 +2591 3518 +-299 4023 +-1762 375 +1807 2011 +-886 6364 +4781 -3729 +-1602 2782 +-2365 721 +4952 -2184 +-4440 3232 +-4581 -5319 +-1034 -5137 +-2034 -625 +-601 4816 +149 -929 +-1219 272 +-2507 -1873 +-754 20 +-1317 -1412 +-2654 -1649 +-1159 1462 +1188 -2844 +2803 3953 +1325 2619 +-44 3041 +2464 3401 +2804 -1454 +-1670 -695 +234 -3160 +647 2012 +-402 -1262 +1955 368 +-1489 -601 +-1714 -3524 +885 3678 +-1247 107 +-3434 -1565 +1896 -3552 +4179 1540 +-1519 -22 +-1226 -2897 +2837 -576 +-428 -213 +-1998 5830 +7682 -3085 +3761 240 +-1794 2273 +297 -3962 +-2000 4221 +2078 1458 +-1915 -1907 +-2977 3271 +-910 751 +-872 -3792 +3912 -2177 +2289 -6435 +1342 1482 +-3003 3797 +-1545 -5744 +228 1424 +-1487 733 +1881 -116 +-505 -1166 +-924 -1256 +-4444 -1969 +-2771 -6171 +1877 -63 +-1122 -2514 +1647 -1006 +948 1972 +-234 2607 +1588 4623 +-3104 -881 +-2930 2858 +4172 4805 +2591 -1982 +-1744 2818 +-601 4816 +149 -929 +-1219 272 +-2507 -1873 +-754 20 +-1317 -1412 +-2654 -1649 +-1159 1462 +3041 3148 +1566 -474 +4647 3431 +-4422 1827 +-3229 -941 +2850 -964 +-212 2417 +-858 971 +-3084 948 +1594 4411 +2943 1724 +185 -1457 +-1751 -3877 +-1829 1402 +-1158 19 +-4028 -5119 +82 -1607 +458 -2386 +-2962 436 +-945 4595 +-2199 6218 +-447 3002 +1381 -2336 +1139 -1471 +2844 -392 +-1765 1711 +3294 3209 +4238 -327 +-1895 -1708 +3091 2378 +-1818 -4130 +-41 -4172 +3595 3488 +1003 658 +2220 4080 +-1860 381 +-3855 -1926 +-2337 526 +4032 -1172 +-1718 4466 +-1656 1896 +5823 -3028 +2257 -4700 +2842 -4000 +-2619 -7097 +3454 -5276 +3611 4918 +-2364 -1896 +4658 -605 +-3292 3434 +-3241 -132 +1709 1312 +-3991 -4616 +-2378 -1126 +-439 644 +-5333 -3874 +-1896 708 +1456 -11 +-1574 -463 +347 -1283 +-687 -1223 +864 799 +-342 -359 +996 4991 +3041 3148 +1566 -474 +4647 3431 +-4422 1827 +-3229 -941 +2850 -964 +-212 2417 +-858 971 +-1244 -825 +-1011 4720 +-247 3839 +-4422 -4130 +5152 831 +3650 3039 +-3518 -1596 +1181 -1425 +-3710 -2370 +-2435 -1437 +1986 1483 +1134 -4130 +4725 -2259 +2135 -2495 +-208 -3559 +-1538 -2761 +-3887 -3877 +879 -663 +4459 -1331 +168 2138 +-3052 3343 +1465 314 +2781 -397 +-2399 5704 +-3792 4348 +-1270 -205 +-699 2390 +86 -1705 +-262 816 +-991 -3050 +3948 -1024 +1885 4499 +-20 -1388 +3761 -672 +-1241 1518 +-336 2965 +1829 -2580 +-1152 2128 +1743 3196 +2800 -768 +1498 -158 +-1738 -2999 +1 2663 +2846 161 +-3369 509 +-3928 -1356 +-2369 -308 +-2145 884 +1359 -4655 +1099 3694 +1160 3002 +78 76 +-2034 -2858 +602 -836 +73 -865 +-606 -1702 +-316 3868 +-4494 -4595 +-1627 -2189 +3868 -367 +2065 -331 +-1627 1886 +-1187 760 +2456 3090 +-1244 -825 +-1011 4720 +-247 3839 +-4422 -4130 +5152 831 +3650 3039 +-3518 -1596 +1181 -1425 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/testing_inputs/simulated/ht_mcs7_gi1_aggr0_len4000_pre100_post200_openwifi.txt b/testing_inputs/simulated/ht_mcs7_gi1_aggr0_len4000_pre100_post200_openwifi.txt new file mode 100644 index 0000000..0e4081a --- /dev/null +++ b/testing_inputs/simulated/ht_mcs7_gi1_aggr0_len4000_pre100_post200_openwifi.txt @@ -0,0 +1,9948 @@ +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +1507 1507 +-4340 77 +-441 -2573 +4678 -415 +3015 0 +4678 -415 +-441 -2573 +-4340 77 +1507 1507 +77 -4340 +-2573 -441 +-415 4678 +0 3015 +-415 4678 +-2573 -441 +77 -4340 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +5120 0 +-168 -3943 +1303 -3642 +3173 2713 +692 914 +1960 -2874 +-3773 -1808 +-1256 -3479 +3196 -848 +1748 134 +32 -3768 +-4483 -1553 +802 -1918 +1922 -490 +-737 5264 +3907 -134 +2048 -2048 +1210 3223 +-1875 1288 +-4301 2137 +2694 3026 +2279 463 +-1976 2664 +-1850 -714 +-1148 -4944 +-3994 -543 +-4172 -672 +2460 -2426 +-92 1762 +-3011 3773 +3005 3469 +403 3198 +-5120 0 +403 -3198 +3005 -3469 +-3011 -3773 +-92 -1762 +2460 2426 +-4172 672 +-3994 543 +-1148 4944 +-1850 714 +-1976 -2664 +2279 -463 +2694 -3026 +-4301 -2137 +-1875 -1288 +1210 -3223 +2048 2048 +3907 134 +-737 -5264 +1922 490 +802 1918 +-4483 1553 +32 3768 +1748 -134 +3196 848 +-1256 3479 +-3773 1808 +1960 2874 +692 -914 +3173 -2713 +1303 3642 +-168 3943 +-2048 -2048 +-900 -2823 +-145 772 +-834 2749 +-2335 -2942 +-2391 -681 +2667 -2531 +4086 -6588 +2596 -2048 +1983 2364 +-2400 4583 +-104 -403 +4456 1938 +50 2316 +212 -3468 +1440 5260 +-1024 0 +1440 -5260 +212 3468 +50 -2316 +4456 -1938 +-104 403 +-2400 -4583 +1983 -2364 +2596 2048 +4086 6588 +2667 2531 +-2391 681 +-2335 2942 +-834 -2749 +-145 -772 +-900 2823 +-2048 2048 +1164 1462 +3966 1276 +2416 2173 +-2010 -4050 +-2652 -3917 +2501 483 +154 -2551 +-4644 -2048 +-1760 -3255 +-1568 -2535 +-1533 918 +-112 -738 +-744 1927 +2959 1420 +-376 -4165 +-7168 0 +-376 4165 +2959 -1420 +-744 -1927 +-112 738 +-1533 -918 +-1568 2535 +-1760 3255 +-4644 2048 +154 2551 +2501 -483 +-2652 3917 +-2010 4050 +2416 -2173 +3966 -1276 +1164 -1462 +-2048 -2048 +-900 -2823 +-145 772 +-834 2749 +-2335 -2942 +-2391 -681 +2667 -2531 +4086 -6588 +2596 -2048 +1983 2364 +-2400 4583 +-104 -403 +4456 1938 +50 2316 +212 -3468 +1440 5260 +2048 -1024 +-4342 -58 +-5008 -1959 +-145 -788 +4058 -204 +-810 -735 +-4506 2431 +439 -1842 +1748 -1448 +-3079 2718 +-1864 842 +1455 1169 +-4052 360 +-2458 427 +5389 -843 +2578 -2646 +1024 -3072 +-995 -4453 +-4989 860 +1493 627 +2160 -1533 +-3414 2752 +727 1242 +3280 758 +-300 0 +1599 -1247 +6208 422 +1404 565 +-4842 580 +-1661 -2826 +2999 -822 +3043 907 +-2048 -3072 +-1422 429 +4484 1620 +1954 2755 +4383 5148 +4627 2096 +-5007 1693 +-921 1547 +300 1448 +-4395 -1536 +2241 -2070 +3562 1934 +4300 -1208 +3068 -1066 +-4216 -1714 +-1350 -4405 +-1024 -1024 +-234 -2599 +3816 -3417 +-2103 -1266 +-2408 684 +-1602 351 +-1103 -2470 +4195 424 +-1748 0 +-1117 953 +3304 3702 +-5221 797 +-3599 4364 +-148 4793 +-2476 482 +2721 -536 +2048 -1024 +-4342 -58 +-5008 -1959 +-145 -788 +4058 -204 +-810 -735 +-4506 2431 +439 -1842 +1748 -1448 +-3079 2718 +-1864 842 +1455 1169 +-4052 360 +-2458 427 +5389 -843 +2578 -2646 +-1024 -1024 +-2720 -4358 +-2354 -1610 +-918 5097 +-724 1860 +-795 730 +3293 6778 +812 4355 +-424 -3620 +3952 -1043 +-509 -1552 +-4519 -339 +-2616 4527 +1551 -6143 +4327 -3433 +701 4057 +1024 -2048 +882 2250 +-3927 -1730 +-2516 -5943 +724 2634 +2560 1244 +-629 -1152 +-3751 -3003 +1872 -2172 +1226 4950 +-1590 4769 +1389 2029 +-60 2644 +-888 3059 +345 -472 +1420 -3392 +1024 -3072 +-371 -1029 +3766 1270 +2230 -3383 +-724 -2708 +4415 520 +1756 -2654 +-1650 1566 +2472 3620 +3978 1802 +1461 324 +-1988 -2240 +1168 418 +3618 -34 +1181 876 +2577 443 +-1024 -2048 +-4161 2249 +-1580 -826 +-2652 -235 +724 2310 +3948 -3823 +-323 -75 +-4179 3762 +-3920 2172 +-388 972 +-3458 -645 +-5009 -779 +1508 -3492 +-424 -1345 +-1757 132 +1670 -1995 +-1024 -1024 +-2720 -4358 +-2354 -1610 +-918 5097 +-724 1860 +-795 730 +3293 6778 +812 4355 +-424 -3620 +3952 -1043 +-509 -1552 +-4519 -339 +-2616 4527 +1551 -6143 +4327 -3433 +701 4057 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +724 724 +-2085 37 +-212 -1236 +2247 -199 +1448 0 +2247 -199 +-212 -1236 +-2085 37 +724 724 +37 -2085 +-1236 -212 +-199 2247 +0 1448 +-199 2247 +-1236 -212 +37 -2085 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +5120 0 +-168 -4817 +1303 -2067 +3173 748 +692 2884 +1960 -4470 +-3773 -884 +-1256 -3574 +3196 -1572 +1748 1505 +32 -5497 +-4483 185 +802 -3334 +1922 356 +-737 5109 +3907 -645 +2048 -1024 +1210 1928 +-1875 2581 +-4301 1091 +2694 3658 +2279 308 +-1976 2383 +-1850 -126 +-1148 -5668 +-3994 146 +-4172 -1196 +2460 -2130 +-92 1684 +-3011 3700 +3005 3597 +403 3107 +-5120 0 +403 -3107 +3005 -3597 +-3011 -3700 +-92 -1684 +2460 2130 +-4172 1196 +-3994 -146 +-1148 5668 +-1850 126 +-1976 -2383 +2279 -308 +2694 -3658 +-4301 -1091 +-1875 -2581 +1210 -1928 +2048 1024 +3907 645 +-737 -5109 +1922 -356 +802 3334 +-4483 -185 +32 5497 +1748 -1505 +3196 1572 +-1256 3574 +-3773 884 +1960 4470 +692 -2884 +3173 -748 +1303 2067 +-168 4817 +1996 2728 +3624 1680 +-1296 -5632 +-3843 -260 +-3305 872 +-253 -1481 +-3278 1652 +-475 594 +5290 2528 +2271 -5141 +1705 3242 +-1934 678 +-4104 -4501 +-1424 4288 +812 -2936 +2901 -241 +-31 -941 +-299 253 +-1919 1911 +-4325 2461 +-1465 4445 +-1053 -2180 +-1672 1462 +-463 2885 +5056 1662 +2600 803 +-1227 1838 +-114 864 +-3345 634 +1504 -1236 +-2735 -4676 +-5669 2785 +2112 -516 +-4082 417 +-2320 2124 +4811 -2717 +3420 723 +1188 1243 +120 82 +1337 1823 +398 1264 +23 -2064 +-399 232 +431 -5514 +2138 -6404 +1825 -3199 +669 -4513 +-242 1647 +979 -1271 +3263 -1450 +2331 178 +-3156 -373 +-2442 279 +-2867 2205 +-903 1056 +5057 -2763 +-3160 2130 +-1821 -2607 +5653 -1367 +-1026 5819 +-3537 1422 +3084 -4171 +4458 288 +-876 4954 +1996 2728 +3624 1680 +-1296 -5632 +-3843 -260 +-3305 872 +-253 -1481 +-3278 1652 +-475 594 +331 -1711 +4340 651 +-1458 -1032 +-5079 163 +1308 -2290 +3040 665 +5044 -806 +992 455 +-2920 1896 +-2987 -1603 +1006 888 +3040 739 +6261 2724 +6762 1025 +-3270 -916 +709 -150 +-1897 -1825 +-2351 -1762 +1826 -361 +-4641 2291 +-3696 2474 +-1785 -1527 +430 -1790 +-307 1102 +1264 -3236 +-367 -3448 +1692 -2467 +3264 -934 +-3937 605 +1700 -238 +2968 3164 +2284 -4594 +1565 -2713 +-459 2603 +3302 -212 +2331 -487 +-1794 -6903 +209 1606 +3247 9197 +2868 1268 +1340 -1580 +-9236 -1827 +-2531 -3504 +1696 -1044 +-5175 -821 +-530 -600 +-1711 1672 +851 -1315 +-3792 4986 +-334 3922 +-1250 -1184 +-7912 1826 +5445 399 +1443 2798 +-721 3250 +1270 1744 +-948 -3400 +-227 47 +-1323 4080 +-745 -4712 +-3469 -6300 +781 217 +2862 7719 +-624 1120 +331 -1711 +4340 651 +-1458 -1032 +-5079 163 +1308 -2290 +3040 665 +5044 -806 +992 455 +1256 -1653 +1730 602 +1624 467 +2752 3585 +-592 -711 +-1089 3142 +4730 110 +5534 -2819 +1188 -948 +2454 -7331 +2078 -2550 +-4514 1471 +-288 -830 +4914 831 +1147 3167 +648 -1544 +-8 -1083 +-1164 3889 +-1585 -1138 +-606 -313 +-382 -3236 +-493 -5078 +-578 -3518 +-1995 -1462 +1106 7186 +-1255 3473 +-4344 -1374 +-3686 -4679 +-1475 226 +2458 3259 +33 -4003 +703 719 +-2204 -875 +-1539 96 +6286 3185 +1294 -1047 +538 -1686 +648 -4030 +-1200 -689 +3148 100 +-872 -316 +-630 2464 +-404 4061 +-6381 6077 +-2402 405 +710 -226 +1111 3890 +-2179 1605 +-3468 1715 +2345 -852 +411 -3669 +-431 1245 +-1460 -55 +936 -1155 +5417 5840 +-76 1878 +-2054 -4026 +-2618 340 +2255 2284 +1524 -83 +-6579 -4226 +178 577 +716 4052 +-3319 -4734 +1256 -1653 +1730 602 +1624 467 +2752 3585 +-592 -711 +-1089 3142 +4730 110 +5534 -2819 +-6901 -138 +899 542 +-1223 674 +-2760 2146 +-2635 -2771 +-6203 -1056 +5124 -3428 +-3457 -512 +-1656 -316 +4031 1049 +-4219 2891 +1957 -394 +3307 2028 +814 -3179 +595 1028 +-574 3478 +-2192 802 +-340 -2082 +-2130 -8369 +-1803 2543 +3238 3498 +-491 -6242 +4129 -448 +3216 3127 +-1422 398 +509 4698 +-4629 1005 +-2447 -2363 +2944 2026 +3235 -1691 +273 -2998 +-196 -616 +581 1086 +-2084 -3147 +1589 -1407 +970 3365 +-1033 313 +4016 -299 +3563 -4010 +4216 745 +5764 1580 +2870 -3885 +-739 250 +-2630 2737 +840 3699 +-1382 995 +-3626 -459 +1019 3264 +-968 5834 +-3677 5087 +3705 1472 +7197 -4595 +-202 -1040 +-1747 4760 +-980 -484 +679 -2446 +-2054 -1662 +-3102 -1061 +2590 -2837 +-4953 2511 +-138 2360 +2652 -4601 +-1493 -578 +-433 -2877 +-6901 -138 +899 542 +-1223 674 +-2760 2146 +-2635 -2771 +-6203 -1056 +5124 -3428 +-3457 -512 +5332 -3413 +-3083 3427 +317 1282 +1641 -5951 +2275 -2543 +3430 -635 +-4101 1639 +1577 1700 +4342 -1580 +1302 861 +333 2031 +614 -1929 +386 -4289 +818 -3116 +622 -859 +-3040 1116 +1332 1919 +1369 1981 +-986 316 +-1573 -2539 +-255 -5084 +-2246 -2071 +-3580 2810 +1497 2898 +-1264 1346 +4739 -3292 +3292 -1694 +2928 2251 +5311 1894 +-1976 4289 +3355 558 +-2700 -296 +-4384 1833 +1255 -3229 +2372 344 +-498 820 +-4341 -3129 +3165 4515 +-1384 1041 +-2134 -2316 +-550 5372 +1721 1350 +1969 -2365 +-3572 -1221 +3313 -566 +-2638 -3592 +-1475 -3793 +1060 6006 +-4808 4717 +-540 -1618 +-4199 -1126 +-428 663 +425 2540 +-1564 4377 +5828 2848 +753 -3392 +-2528 -3874 +-1554 -375 +-1833 3738 +-745 3436 +-2058 -2727 +859 -2873 +-3058 813 +-434 -1247 +5332 -3413 +-3083 3427 +317 1282 +1641 -5951 +2275 -2543 +3430 -635 +-4101 1639 +1577 1700 +2855 -3161 +356 -2999 +-1218 125 +4186 -154 +5181 -246 +-2254 -9110 +-1552 -4662 +1278 2058 +-3710 -4740 +4223 167 +3982 6194 +-1858 -734 +22 -1702 +-3438 -1733 +1136 -446 +1925 -1789 +397 -4724 +-447 2419 +-3515 2668 +3044 -457 +-582 2299 +-3130 3289 +4531 -1439 +886 279 +-2370 3084 +-1093 -741 +171 -151 +989 -1158 +-242 270 +1786 4288 +-190 6599 +-1943 -245 +-1275 -5056 +-1123 806 +438 1181 +-1748 779 +-303 -5656 +-1064 -3124 +-1805 4004 +993 -674 +-714 -1264 +-976 270 +1830 1704 +6122 433 +3438 1271 +2961 -1094 +-714 200 +-6108 5398 +1183 300 +-419 864 +-1777 -519 +-1951 -2848 +-3031 2972 +-1292 3443 +-3518 -770 +2518 2810 +1106 2920 +-3419 108 +1353 176 +1263 -5692 +573 3321 +1745 3147 +-1681 -4751 +-2014 1994 +2855 -3161 +356 -2999 +-1218 125 +4186 -154 +5181 -246 +-2254 -9110 +-1552 -4662 +1278 2058 +3021 -3070 +-5221 -1082 +-5020 635 +1624 -4157 +336 722 +-1017 -571 +4474 356 +3933 2791 +-2604 -2528 +-1674 83 +-1793 1387 +-2766 3053 +1366 1099 +4760 -1979 +2698 535 +-2291 1009 +994 -243 +-154 -86 +521 1406 +6945 2330 +337 1461 +-249 1339 +453 2948 +-3548 -2310 +-3002 3394 +-884 3196 +-654 -3799 +-7496 3657 +-6277 199 +-1952 289 +-729 -1160 +-200 -354 +455 3070 +655 1103 +-1435 4921 +2243 1151 +-227 2808 +740 -6294 +1484 -4955 +654 6698 +2288 -632 +-5741 563 +2359 -1650 +3942 633 +-3926 1691 +522 -6230 +2724 -6001 +4549 -1593 +-678 -1021 +30 -2266 +-169 3241 +-3510 1491 +3347 3857 +2301 1820 +433 -4669 +778 378 +2054 -1498 +4575 -2469 +1136 -3612 +-3294 -3625 +-2539 803 +781 -57 +-1424 306 +962 1488 +3021 -3070 +-5221 -1082 +-5020 635 +1624 -4157 +336 722 +-1017 -571 +4474 356 +3933 2791 +-5822 -2705 +-2308 -2591 +3439 1724 +-3637 -1054 +-3404 2555 +5110 2345 +1562 -3488 +-667 4100 +-1656 -1896 +-2944 641 +2179 5310 +-905 3413 +-3839 4754 +1233 -265 +-1389 1784 +4431 -54 +2232 -2875 +-4114 -5039 +3436 -1127 +2953 3938 +3985 59 +1832 -1704 +138 -2919 +309 1614 +474 -2130 +-674 1271 +-3050 6580 +6708 -443 +2334 3436 +-2275 -956 +1629 -5826 +911 -1845 +766 -139 +-2664 -134 +-3239 78 +-3481 1782 +-1659 -42 +-2388 1681 +-225 1031 +3877 -747 +3868 632 +3577 -395 +2107 302 +2373 1351 +-3829 -423 +-3333 -3174 +-952 -2071 +2134 -2138 +3456 -1865 +-4816 1624 +-399 -274 +337 -1625 +-1450 -675 +40 -621 +-1661 504 +1643 -1918 +1738 866 +-2950 1202 +-680 -3746 +5396 1422 +277 449 +-1023 1059 +-366 2135 +-4685 -2741 +-5822 -2705 +-2308 -2591 +3439 1724 +-3637 -1054 +-3404 2555 +5110 2345 +1562 -3488 +-667 4100 +-304 135 +-1201 293 +647 5172 +4582 1058 +2263 -1347 +-3467 -1281 +-3375 -1673 +-2488 -4149 +82 -1422 +2054 3739 +-3558 -1107 +-2475 -3184 +1303 573 +1515 2663 +3765 -2029 +1167 -279 +-3455 -3209 +44 -689 +6479 3288 +4346 -2707 +386 3042 +-852 -738 +497 -1862 +3147 -3391 +-2054 -1662 +-1584 2888 +-450 -2133 +-7292 1918 +-672 -5319 +-896 -534 +-6158 3427 +3361 -3266 +-644 2709 +-4894 1349 +1114 3193 +504 3414 +-868 -2829 +-1443 -5507 +334 -749 +663 1717 +234 -790 +1487 -212 +3781 1833 +1081 1648 +-2512 -81 +584 5378 +-3534 706 +1144 -1539 +6931 2261 +2188 782 +3257 1633 +202 1427 +115 6190 +-631 2544 +-1804 -218 +835 2291 +-2054 714 +-98 -42 +106 -503 +-731 -2744 +1250 -4022 +-389 2009 +-1102 -3924 +-461 -4855 +-304 135 +-1201 293 +647 5172 +4582 1058 +2263 -1347 +-3467 -1281 +-3375 -1673 +-2488 -4149 +-3032 -1429 +501 2563 +6849 -1469 +2518 27 +3956 2091 +1204 515 +-1735 5650 +1409 -608 +-2768 -3002 +2299 -5606 +-396 -2971 +-1652 2445 +576 -3893 +-5309 -1804 +-1250 -214 +1268 -1461 +-3032 -281 +1454 -1992 +3823 -1609 +-2579 -1811 +1036 -62 +-688 2056 +-2564 -3297 +4738 -1595 +2686 4500 +-1642 3866 +-508 -1543 +2557 -3762 +-2511 -1207 +-2960 -3958 +-1329 2763 +-2115 2253 +1768 -1099 +-678 3947 +-39 -3734 +3040 -434 +3080 1587 +2211 1063 +136 5610 +-3295 1503 +-2604 158 +218 2057 +27 2262 +-88 -236 +-384 4302 +1781 -546 +-1415 -4435 +3714 323 +1768 281 +-5545 2958 +5365 -5088 +-143 -1324 +-4280 7761 +-324 3475 +-3205 -1859 +-4624 -1402 +-2370 3400 +3916 -4001 +2575 -6073 +-600 -2273 +-4001 2062 +1034 2990 +3777 839 +-1619 770 +-3032 -1429 +501 2563 +6849 -1469 +2518 27 +3956 2091 +1204 515 +-1735 5650 +1409 -608 +-300 -867 +-2821 3577 +-966 3272 +-493 -3851 +682 -3042 +703 -2310 +1573 3081 +1692 -2402 +-398 -2528 +-2095 -1171 +-2776 -5998 +288 -1299 +-585 1135 +1925 3040 +4003 -1378 +-658 -3866 +-3198 -790 +-5080 -3326 +1109 -2746 +3556 2132 +-1520 1798 +2437 -446 +4784 1592 +-2753 -703 +-790 -3236 +931 -198 +-2468 3234 +1859 1624 +-4544 2413 +246 3994 +4030 3639 +-1975 4048 +4724 -81 +-1266 2868 +2788 -91 +6493 -4378 +-1908 6 +-2398 2952 +-1268 6353 +2059 339 +-6238 -1264 +-1604 -1508 +4182 -2441 +-5995 3105 +-1382 1932 +-99 -1770 +-681 -1288 +5964 2917 +38 1106 +-5953 -1961 +1014 -2548 +1242 1153 +-1047 1870 +2301 -4257 +1601 26 +1013 3038 +1106 1340 +2630 -69 +-355 -4058 +-4205 -5638 +-2339 208 +-2498 585 +1126 -648 +4554 3780 +-300 -867 +-2821 3577 +-966 3272 +-493 -3851 +682 -3042 +703 -2310 +1573 3081 +1692 -2402 +2208 2251 +737 2086 +2550 3238 +566 859 +3819 231 +754 3604 +3128 -3556 +978 1650 +-1498 -4740 +-397 -7749 +-942 2773 +-97 -2591 +-1580 -1150 +6064 -102 +1953 3559 +953 1213 +328 -1897 +-5247 -426 +2443 -3526 +-1833 2366 +-50 -1445 +5576 -2441 +-923 5222 +185 4814 +5214 240 +2597 -3076 +-2828 565 +-3250 3347 +1906 2785 +3689 5166 +-173 -340 +-120 -4029 +-1260 2805 +-274 -2148 +-312 709 +1209 4907 +1243 1017 +-4532 -226 +1151 -5128 +2397 -121 +2130 -1264 +683 -1593 +-3316 850 +-535 2493 +-1803 642 +-4434 -6793 +-3341 4978 +2985 3535 +-12 -3792 +-3328 -1062 +1205 -3363 +2049 -4655 +-2485 -435 +-3705 2855 +1114 -2162 +-2254 1266 +-790 -1188 +-1769 -1660 +-1329 21 +-49 -1825 +-4843 3411 +-1470 -1603 +-2908 -1309 +1874 1936 +2208 2251 +737 2086 +2550 3238 +566 859 +3819 231 +754 3604 +3128 -3556 +978 1650 +188 -2003 +-1488 840 +-1925 -1642 +-5817 -4463 +-3649 3729 +-301 2909 +-588 1199 +3832 -2096 +2762 -5214 +-2995 2210 +2402 3978 +1273 2488 +-1816 -4415 +2425 -2838 +1988 -601 +288 -1318 +3702 1888 +4041 -6941 +-88 -1891 +-3510 2370 +-54 -917 +4320 138 +-3667 -5139 +-2565 -1314 +-1264 -708 +-1041 -2160 +958 2595 +-4594 -450 +517 146 +-1144 2311 +-817 -822 +-1042 6670 +-4612 5479 +-472 -986 +-1622 1808 +1059 -2438 +1083 3438 +2745 3001 +213 -1372 +-89 778 +-1182 -2370 +-5932 4045 +2819 549 +1360 1012 +1223 5401 +-481 -1108 +-1642 -2079 +-287 -3519 +-2438 -1572 +2524 -1139 +-540 -662 +2010 -2145 +-1172 -3089 +-4786 2298 +914 -715 +3286 -555 +4108 3236 +-893 925 +3054 322 +174 1468 +-2452 -500 +3478 -978 +3599 1945 +4621 985 +188 -2003 +-1488 840 +-1925 -1642 +-5817 -4463 +-3649 3729 +-301 2909 +-588 1199 +3832 -2096 +-3395 -775 +1816 340 +3749 -972 +-588 -4700 +-3201 -2007 +1528 -6507 +123 -6869 +-356 1161 +-76 2212 +2024 -1060 +6559 4327 +-2960 2607 +2246 -3566 +2938 44 +-2412 -299 +7564 -2576 +2801 -3972 +-3422 -5219 +-417 -1216 +-1424 587 +-2719 909 +-591 -1369 +-1211 -4271 +-2821 -1092 +-2528 872 +850 4209 +-1638 -545 +-1895 -2760 +4685 1186 +-986 -3392 +1424 2215 +1370 1550 +-2609 459 +-1225 2414 +-315 -1931 +4806 4110 +-198 1113 +2316 -575 +-1627 2390 +-7187 -319 +-240 -3792 +740 -3455 +2981 2703 +-794 -524 +-2083 -2799 +74 1764 +4210 5417 +676 -627 +-3117 2392 +3009 9342 +807 -318 +71 683 +-3362 -15 +-177 -1379 +-152 1043 +-4933 1272 +316 3868 +2004 1626 +2178 4273 +-3013 2525 +841 1387 +4271 -1839 +-1620 -3420 +-3685 3159 +-3395 -775 +1816 340 +3749 -972 +-588 -4700 +-3201 -2007 +1528 -6507 +123 -6869 +-356 1161 +4277 1935 +559 -1296 +188 -267 +-5229 -2329 +-1765 -212 +-885 3453 +-965 -1172 +2664 -227 +-76 5056 +2853 1680 +851 -4182 +2114 -4076 +848 1016 +-1593 6485 +120 4506 +720 907 +2850 1672 +-1529 458 +-431 -329 +-1865 -555 +-2435 -3939 +-314 -2094 +368 591 +3393 -4638 +-1580 1972 +-597 5164 +419 -6327 +930 -2137 +1005 545 +-2101 -2881 +-797 -205 +-1010 494 +147 2489 +-2969 907 +-2711 -183 +-2075 815 +-1210 -583 +2531 -395 +295 2985 +1910 2472 +-2452 -1896 +-2680 460 +-26 832 +-4817 -173 +-3268 -2117 +-2615 -3318 +2892 199 +1909 -2962 +-6642 224 +-1091 2547 +2463 -1997 +1594 489 +354 -2218 +-1888 -1780 +1381 679 +4744 -1528 +-316 2452 +-5490 -2553 +8097 -6507 +7777 2387 +151 3717 +10225 749 +-2030 1264 +-5175 3478 +4277 1935 +559 -1296 +188 -267 +-5229 -2329 +-1765 -212 +-885 3453 +-965 -1172 +2664 -227 +-2497 -5914 +-87 1569 +2319 -769 +-1175 -580 +623 2609 +-67 -3716 +-2761 -2576 +2004 -1448 +1656 2370 +-453 1846 +-2590 2780 +128 2096 +1389 -869 +-2268 25 +947 -3554 +-1962 691 +1541 1145 +415 -1549 +-2966 3293 +1660 1660 +-4169 -667 +-3523 1085 +-2161 -715 +-482 759 +1896 3394 +418 -2269 +-1246 -4682 +374 -1109 +5249 5745 +-1514 7171 +343 -4980 +3420 -4851 +1865 5598 +3191 1558 +-2392 -1915 +1906 444 +4408 2508 +-105 1488 +-1278 -3432 +-3066 3709 +-1340 2054 +1235 -6786 +2304 1276 +3139 1399 +2997 -3770 +5030 953 +3751 -1634 +130 -6387 +987 1699 +-2384 -64 +-2988 1472 +-4287 9174 +-4654 -25 +-1561 870 +-2662 1897 +3974 -542 +-316 -4026 +-3970 -2874 +-25 3600 +-3686 -6304 +478 790 +586 3221 +1293 -2703 +2978 -1239 +-2497 -5914 +-87 1569 +2319 -769 +-1175 -580 +623 2609 +-67 -3716 +-2761 -2576 +2004 -1448 +1121 -7340 +-2330 -1689 +43 -3835 +-321 -3503 +-328 4574 +-2145 -1953 +-246 -69 +2451 2059 +1656 0 +3508 5182 +-88 -311 +-2978 423 +-2751 6630 +-1223 -132 +441 -103 +2263 -286 +-682 -720 +-3104 2492 +563 2451 +-2405 1175 +-5924 -6533 +-1994 -1390 +4100 609 +-40 -2462 +-5688 -1024 +-2831 -2448 +3167 4705 +3542 -2031 +-1135 -82 +2999 4199 +589 -4590 +-1572 1602 +2355 2600 +3766 2377 +5837 2300 +-260 -659 +-1839 -4791 +120 -3677 +-486 3168 +756 -2004 +1820 -3476 +-1657 -1640 +-4077 -2136 +1920 -623 +1618 4051 +3147 -100 +4872 -3776 +1032 4708 +998 2301 +-3860 2681 +-3684 1535 +252 -4184 +-5182 -835 +-802 443 +3768 838 +-3298 1068 +2212 1340 +774 1830 +-4288 2875 +621 616 +-892 -1750 +3102 -1118 +2130 1395 +565 -957 +1121 -7340 +-2330 -1689 +43 -3835 +-321 -3503 +-328 4574 +-2145 -1953 +-246 -69 +2451 2059 +-755 2269 +-1968 -572 +-928 -667 +1558 2772 +1467 -1587 +-2304 -94 +-354 -107 +1571 2115 +2610 474 +3561 -823 +-2513 3632 +-473 -1279 +5404 -1532 +74 1957 +-1305 1437 +1329 -2631 +1556 -667 +536 -882 +-3574 839 +-2461 4558 +355 -11 +4235 2447 +6133 -4854 +258 -4419 +-632 -1024 +1698 -3098 +-3115 7847 +-2634 2537 +6182 -5013 +1328 -991 +-2683 1002 +879 -1896 +-193 -1637 +1047 -4204 +46 -6921 +2436 1883 +2255 415 +600 432 +217 3692 +-3059 3270 +-2610 5530 +-5592 4920 +-565 3779 +-1102 261 +-4625 -2908 +4680 -230 +2062 5603 +-3413 801 +-5032 -1230 +-1894 2306 +3901 -894 +-635 -1596 +-1549 -714 +2135 1968 +-448 930 +965 -73 +1264 -2452 +-3798 -3750 +2957 1233 +2571 541 +-3168 -2555 +719 -4441 +-2358 -3912 +-2847 -1789 +-755 2269 +-1968 -572 +-928 -667 +1558 2772 +1467 -1587 +-2304 -94 +-354 -107 +1571 2115 +-9408 3113 +1550 17 +4330 4775 +-2514 2320 +-6597 1483 +1636 -2088 +883 -1422 +-4270 -4014 +2920 -3318 +1627 8208 +2562 -158 +-2182 4832 +-1234 444 +-118 -6241 +-980 974 +1238 -5564 +-8355 663 +267 3020 +3150 269 +-1317 188 +2036 -1580 +-3215 -100 +-239 2265 +6102 2167 +4898 -1340 +-1863 -320 +-1518 -1361 +1307 -455 +681 -2355 +3252 145 +-1742 2769 +-2501 -2225 +6564 995 +3736 -923 +-1047 -1395 +857 338 +247 -1128 +3839 1162 +3551 2155 +2792 -303 +2136 -1738 +-117 772 +446 1128 +-4209 607 +-755 1220 +-725 2226 +-1736 308 +-1385 266 +-7130 -347 +-212 584 +2569 1560 +380 -3348 +3681 594 +2999 -608 +645 -2257 +1668 1304 +-1738 -556 +-1196 -2943 +2149 238 +-3265 1404 +-3116 -6261 +-3874 -383 +2147 265 +-286 -46 +-9408 3113 +1550 17 +4330 4775 +-2514 2320 +-6597 1483 +1636 -2088 +883 -1422 +-4270 -4014 +3056 3040 +2231 2633 +823 -7071 +894 -2091 +-786 723 +1569 4181 +5676 3571 +664 -3972 +392 3160 +1768 235 +584 606 +2272 3087 +-6004 -627 +-2 -257 +5101 -669 +-6453 2140 +790 -643 +1560 -3336 +-2973 -2409 +-24 -384 +-3089 -512 +1181 656 +-5 151 +-3686 -3600 +-948 1024 +-1583 -2883 +455 -5036 +3001 -2007 +2106 -1516 +458 1522 +799 -128 +2326 -921 +2948 -196 +-1730 2627 +-2068 -2356 +3290 -6290 +-1726 -75 +-3666 -409 +-2105 -2145 +128 -895 +1504 -632 +-4563 -1500 +298 3922 +2077 1950 +1857 -1623 +7603 6909 +885 1549 +-2258 -579 +-1106 3487 +-1316 -3567 +-991 -2529 +-1886 1550 +3705 4288 +4750 2658 +597 -2471 +-1224 2264 +-2844 2136 +-1884 1538 +-1183 2232 +-4108 -502 +-4912 606 +-1322 -3423 +-835 142 +-70 2665 +3056 3040 +2231 2633 +823 -7071 +894 -2091 +-786 723 +1569 4181 +5676 3571 +664 -3972 +2192 6931 +-1431 -5564 +3656 -167 +2419 2301 +-3960 -630 +-773 351 +-3518 -2227 +-3063 -1157 +-3552 -4108 +-4411 -996 +3821 3812 +2515 3146 +-1800 3637 +-1067 2321 +3041 -2146 +1451 382 +-882 -1537 +-1008 -1303 +-2826 5733 +3898 1781 +1224 2431 +-2171 -531 +-7 -1199 +-412 -1802 +316 1024 +-1155 3431 +2414 -7794 +-335 2007 +-2821 -1519 +-1027 -6913 +1960 1482 +2194 -7016 +-4404 -3455 +1376 894 +26 -5180 +-3971 -1024 +-1 4253 +1565 -2402 +2460 -3571 +-2101 -4299 +2288 -2212 +3402 4941 +-37 2013 +1674 77 +-1344 -3097 +-719 -171 +-213 -3077 +512 -1052 +566 4381 +925 -946 +4756 3636 +1098 433 +-2951 3427 +-3772 2139 +-4112 -4240 +-2891 702 +-2844 1504 +-227 1855 +2096 -2054 +592 1481 +2805 1612 +5437 367 +1653 2337 +1474 6569 +2192 6931 +-1431 -5564 +3656 -167 +2419 2301 +-3960 -630 +-773 351 +-3518 -2227 +-3063 -1157 +371 -54 +-488 -4325 +2927 -1354 +3843 485 +2893 3841 +-738 1134 +-1346 2407 +-1796 -1827 +1498 -3002 +1780 3286 +-5480 372 +2968 -1262 +6732 493 +2256 3639 +2673 435 +4708 -2880 +2235 839 +292 262 +892 -1887 +-838 2108 +5272 -2165 +-1759 -5087 +-4793 4115 +594 -2208 +-2370 -1030 +683 3721 +-127 -2308 +379 5997 +-1259 766 +171 -6607 +-3042 532 +-6406 -188 +4053 54 +-127 -1005 +-1274 -3197 +2139 -2461 +44 -3094 +-1075 2248 +-1985 5754 +-977 701 +-1814 -3634 +1602 1709 +16 5353 +-928 -146 +-329 3784 +-2302 786 +2975 -6341 +2625 -3843 +-339 -4631 +-1027 1365 +-2500 4018 +611 3022 +-1888 -1110 +-2856 -1020 +2129 5031 +-3487 -3419 +-2370 -2446 +-1144 -174 +-3302 -2261 +2769 -67 +-2616 1277 +-2850 2596 +2125 -557 +1380 3460 +371 -54 +-488 -4325 +2927 -1354 +3843 485 +2893 3841 +-738 1134 +-1346 2407 +-1796 -1827 +-5598 -3649 +4964 3631 +5761 3806 +-1611 -680 +889 -1173 +187 -2788 +-283 292 +958 121 +2446 -4108 +1458 -223 +2817 -855 +3457 -1025 +-2395 -1611 +-1158 -2765 +-2696 -969 +-1962 -735 +3557 1807 +2825 -126 +-662 2335 +-6238 -222 +-882 1058 +3862 5775 +-1143 1568 +3455 1336 +3476 -5290 +-3091 -1815 +841 1500 +-557 1115 +1210 2156 +5769 419 +-2185 5147 +-2296 873 +542 489 +4067 -2683 +2640 -3036 +-3802 764 +213 -168 +-2255 3435 +-217 866 +3766 4428 +-1182 2844 +-294 -233 +752 -1225 +1419 -4203 +291 -2073 +2367 -2483 +3779 776 +-2944 -610 +-1029 -543 +-1661 -3089 +-5659 -1765 +200 3582 +-3379 283 +-5014 2982 +301 1360 +-4033 1702 +-3476 -398 +-3059 -2982 +-1435 -760 +5498 -4323 +262 -2264 +-3910 420 +2442 3601 +-365 404 +-5598 -3649 +4964 3631 +5761 3806 +-1611 -680 +889 -1173 +187 -2788 +-283 292 +958 121 +-58 1822 +-3832 -2052 +481 -4472 +158 -1328 +1256 6 +80 -152 +-131 -4643 +-4539 -2773 +866 -2528 +4915 3942 +-1242 5501 +750 -2133 +-445 4720 +-2881 -1207 +-1276 -1476 +-1416 -360 +1545 20 +1981 3358 +148 -4366 +1852 953 +-938 543 +-3592 510 +1667 750 +3367 1157 +1264 -82 +1098 -7705 +166 1324 +4651 750 +3693 -3490 +-1838 -2448 +2287 1935 +2029 4377 +58 -1190 +-1584 569 +1770 -817 +6260 1320 +-2411 2915 +-2991 -1815 +990 -2453 +1558 604 +1662 -1896 +-1384 -5216 +-4107 3091 +-2222 5312 +1185 1067 +-4585 3402 +-3476 3379 +-1785 -3756 +-2809 1244 +-1106 3035 +-5930 -2859 +-2753 2265 +3358 -304 +4711 -521 +418 612 +1281 -3076 +1264 -2446 +-4098 276 +-135 2597 +-2899 2017 +623 2127 +-63 -1560 +-1742 -633 +8877 2257 +-58 1822 +-3832 -2052 +481 -4472 +158 -1328 +1256 6 +80 -152 +-131 -4643 +-4539 -2773 +3453 -2354 +3049 1303 +858 1365 +-3409 -2832 +-4207 -650 +-6864 -70 +-1626 -1107 +-672 1923 +-3084 6478 +405 -53 +-4725 -6601 +2698 -2307 +41 -2326 +-6090 523 +-1190 -1979 +-114 -1472 +4153 3934 +175 -4540 +-3462 -2122 +-1797 3069 +274 -364 +1744 -1070 +2334 -230 +1768 687 +-632 -550 +-3035 241 +677 822 +3964 1864 +-1319 -178 +371 -1975 +-2302 -1014 +509 -263 +6027 2670 +2347 -2784 +2917 -3096 +912 2618 +4763 1174 +1604 -950 +-73 -2314 +2399 -881 +-2604 2054 +-1676 6674 +-2538 1923 +1 -1719 +-673 3482 +-886 -1594 +3437 1030 +-2147 4605 +-6681 -1090 +-1308 1148 +-453 616 +-1416 -2867 +2963 -2687 +2126 -779 +5392 937 +1511 3007 +-632 2762 +-173 -941 +-3386 3300 +4627 2915 +687 -2242 +627 -188 +1612 -1644 +-1251 -3290 +3453 -2354 +3049 1303 +858 1365 +-3409 -2832 +-4207 -650 +-6864 -70 +-1626 -1107 +-672 1923 +-2947 1368 +-2481 -3808 +8964 -3 +-3110 784 +1745 -676 +2288 383 +820 1186 +1609 2758 +-1978 474 +520 38 +-3618 244 +3098 -773 +138 1810 +-3414 1093 +-3000 -1873 +1266 1718 +4066 4173 +-3294 -645 +-928 -889 +-1465 394 +3183 -5079 +-2297 -4321 +-5879 -235 +-1023 2398 +-790 7502 +3028 3410 +-2480 -2768 +-972 -2533 +-803 -1736 +1419 -1710 +2842 -2576 +-2132 1177 +735 1476 +-2489 -3685 +-3123 -1754 +4116 2315 +3142 1631 +-5230 -1069 +1512 -1765 +1799 -2159 +-4342 -4266 +2483 1549 +866 5421 +-2550 -2253 +184 1596 +1535 1879 +-2435 -4482 +1820 4290 +1939 1831 +531 -3023 +5844 259 +2477 -1277 +3939 -1564 +1444 -4888 +-431 -2378 +1772 1830 +790 -1182 +-1720 -526 +795 4547 +-1747 4671 +-6472 226 +2621 155 +-2279 -518 +99 1829 +-2947 1368 +-2481 -3808 +8964 -3 +-3110 784 +1745 -676 +2288 383 +820 1186 +1609 2758 +-1988 2184 +-5422 562 +177 4180 +1487 1037 +205 475 +3244 1369 +-111 3033 +-4581 3249 +4974 -1264 +2861 79 +-834 782 +-85 4881 +-4332 3294 +-1086 -4031 +-2619 -4700 +-2059 -2979 +-2157 -2539 +-1723 -2233 +1317 1994 +2518 3051 +4777 512 +218 3601 +-3425 2111 +-2831 -1367 +1422 -392 +-2433 -4732 +-3071 2481 +9 7245 +-1996 -3925 +2032 -3646 +-2130 514 +-2640 -13 +-540 -604 +1232 79 +2331 1317 +-1134 558 +1614 -3897 +29 909 +1129 6691 +1513 -5432 +2610 -5372 +6375 -948 +2303 -4183 +-774 -2369 +539 959 +1376 2873 +-1175 -322 +1448 1996 +1525 1591 +-304 998 +2617 4270 +2466 2211 +-276 -2147 +815 -2220 +1481 -445 +-2315 -4036 +474 1340 +-4162 -432 +-3576 -727 +4452 -1049 +-1797 -7911 +-3054 5244 +530 703 +2527 -4455 +-1988 2184 +-5422 562 +177 4180 +1487 1037 +205 475 +3244 1369 +-111 3033 +-4581 3249 +216 -694 +58 3538 +2690 2642 +2394 1756 +-2993 1664 +2705 1504 +1963 -630 +2334 -5463 +1662 6004 +-3829 1473 +-2656 -5794 +-2394 2228 +2728 -5741 +-1293 -412 +2261 5375 +-420 -9 +-5495 -570 +4195 -2059 +-1580 3156 +107 1156 +798 -3186 +3947 -1260 +7983 2811 +446 1570 +-1264 -3710 +-1988 3131 +-944 1931 +-2444 -82 +4786 4173 +3230 -3791 +-2654 -3634 +774 906 +100 -2150 +2946 -23 +-1255 2887 +-2334 310 +-1361 -2998 +904 673 +2192 2907 +-983 -4606 +-398 -3476 +-3603 2685 +-2197 -1012 +-1034 -1817 +-53 -2189 +-2931 -4995 +-2404 -718 +1088 1481 +-1142 886 +3087 1041 +37 362 +-889 -1232 +-236 -3064 +-3823 269 +-3244 -1034 +-4100 372 +-2528 4974 +1138 -1371 +2114 -379 +-774 1779 +123 -35 +2840 2126 +2753 1243 +643 -879 +216 -694 +58 3538 +2690 2642 +2394 1756 +-2993 1664 +2705 1504 +1963 -630 +2334 -5463 +2288 3357 +557 2768 +1752 -4803 +-5042 2503 +-584 2908 +2518 5162 +1036 3073 +1200 1769 +-2768 3002 +1421 1614 +1283 7144 +-1309 2441 +440 -4825 +1989 -527 +-1825 278 +-1687 -2751 +3514 790 +-9 583 +-684 -3657 +4091 -1694 +-1336 -1085 +-1808 -238 +3067 212 +-2514 1104 +1896 3558 +-912 -67 +-4288 -1388 +1967 -1235 +-2206 1640 +1347 4715 +430 -2108 +-2578 1226 +-2288 3911 +445 -6419 +4817 -4519 +2180 882 +1963 945 +-2768 2930 +-1082 1345 +1129 -2775 +-2604 -1106 +-2864 559 +-3596 -2237 +-4347 179 +2203 2051 +6612 918 +-295 -1422 +4661 -2848 +278 -1106 +-5495 -2004 +263 -493 +-1289 688 +-1307 -872 +-3723 -3081 +1033 -2842 +-1316 -2178 +-2844 -1030 +-448 1764 +-3339 -2688 +326 -774 +827 502 +8194 1435 +3956 1465 +-529 -6649 +2288 3357 +557 2768 +1752 -4803 +-5042 2503 +-584 2908 +2518 5162 +1036 3073 +1200 1769 +-4623 -1260 +-323 2337 +1920 -3259 +-1983 -4686 +283 2731 +4030 -2585 +-2079 1780 +-4660 4856 +-82 1106 +-3421 808 +-78 -656 +1515 340 +1262 523 +1487 670 +3149 1831 +3558 -5 +-4285 -744 +741 2474 +2943 1189 +1850 -2179 +56 -1357 +-3978 -703 +2627 -2382 +-2300 1299 +-4108 2288 +2683 -2580 +3203 -3821 +4890 -2800 +499 4110 +1702 1268 +4915 799 +401 1981 +2411 -3164 +1931 5856 +1412 441 +602 -5499 +-2741 -480 +-1310 -921 +-3291 -971 +-1834 -674 +-5606 4898 +-3576 3170 +4164 1883 +-2983 1045 +857 -737 +793 1416 +-4268 -1498 +-380 -2895 +-1719 -2416 +-1385 -2658 +-2189 -4615 +-1690 701 +2402 2266 +1805 1420 +-2542 755 +816 -3474 +4108 3084 +2458 -2932 +1267 -6331 +-1306 4817 +1173 6849 +-3636 545 +-1038 -2843 +3506 -411 +-4623 -1260 +-323 2337 +1920 -3259 +-1983 -4686 +283 2731 +4030 -2585 +-2079 1780 +-4660 4856 +-504 678 +-2833 1696 +5976 -328 +-1260 3018 +-4260 1226 +217 -4051 +709 -1021 +1196 1797 +-2136 0 +3731 -1712 +-704 1039 +-528 -655 +1161 94 +-3704 16 +1073 478 +-1876 -374 +428 -901 +894 5031 +-1784 204 +-521 2052 +-2277 3618 +170 -1334 +786 -761 +889 -2607 +474 -3394 +-1529 -485 +-2912 1249 +-3047 -1846 +-3675 3565 +581 -181 +-2339 -4216 +-5556 2976 +4296 -994 +-1673 804 +1093 -306 +5392 -4298 +-2029 -1302 +2611 2867 +1658 6136 +408 6138 +-3552 2212 +-464 -4558 +4041 -1227 +-3609 -2185 +72 -2775 +1538 515 +64 -3649 +1470 -3316 +2100 1217 +110 501 +-1340 -3516 +5594 3085 +2245 -1014 +-1547 -5006 +-471 6218 +-1104 2387 +6478 -3874 +1766 -3498 +-1843 356 +3342 4839 +-1349 -884 +133 -5773 +-1479 1871 +-790 4158 +-504 678 +-2833 1696 +5976 -328 +-1260 3018 +-4260 1226 +217 -4051 +709 -1021 +1196 1797 +878 -1999 +2822 5429 +2985 476 +6750 -2788 +-1820 2581 +505 530 +-59 -5453 +-7384 -2220 +-234 -3160 +-1759 -4113 +-250 2184 +-2450 -3000 +-1307 926 +6203 5757 +3339 -1070 +-956 2579 +-424 -1538 +3198 -2305 +-4506 1368 +-3434 -519 +-953 4351 +-1092 728 +3792 -2184 +-2318 -338 +-2370 -1656 +-409 -1329 +522 -82 +59 -1172 +-2240 180 +-612 930 +1192 -7218 +2723 -3688 +-2143 1683 +-6057 -1142 +-3006 1460 +454 4633 +-2757 317 +-2344 -3437 +-2527 2824 +-2886 -1985 +4026 -2844 +2337 1277 +912 62 +-1128 4091 +-1636 -2266 +1553 455 +672 1712 +23 -2617 +-2104 590 +-1827 -2962 +-1838 227 +564 -154 +1738 335 +328 2101 +2847 -504 +3377 3864 +2370 1340 +2805 1416 +1390 3154 +1649 851 +6447 1160 +1931 1721 +-2937 3046 +-2627 -2591 +878 -1999 +2822 5429 +2985 476 +6750 -2788 +-1820 2581 +505 530 +-59 -5453 +-7384 -2220 +5452 1796 +-1153 3206 +-827 255 +-867 -4548 +2091 2174 +-617 1674 +882 -2346 +-1732 3476 +-240 2212 +-333 -3518 +-1917 806 +2637 374 +-676 -1346 +1143 5946 +-2443 3597 +-2639 -1578 +1468 -47 +4112 -2291 +7100 -2309 +3277 245 +1858 -2360 +-1015 1080 +971 2296 +-1423 -982 +-1106 -866 +3954 -4646 +-703 106 +2085 -745 +1296 -1864 +-6563 3001 +-4320 -1911 +-484 3938 +-2924 1680 +-1466 -3594 +-640 1094 +1680 -304 +2595 2142 +-4225 -1384 +-2205 -1966 +2139 1894 +2136 -2528 +-167 -841 +12 2659 +-549 -649 +2464 -2523 +3776 1669 +-3382 2961 +1081 -1067 +-204 -2165 +-1244 -2673 +3937 -4882 +2949 -1535 +1040 3100 +-2550 983 +-4289 -4873 +-2536 -86 +3002 7502 +-4289 -2103 +-5697 -4050 +-1687 2168 +-3083 676 +527 962 +-1647 978 +6182 1926 +5452 1796 +-1153 3206 +-827 255 +-867 -4548 +2091 2174 +-617 1674 +882 -2346 +-1732 3476 +-708 -4196 +-2968 173 +2376 2167 +-2541 -3100 +-4206 1294 +1421 554 +1592 1376 +-3170 3865 +2920 -2054 +2625 1324 +-1700 -462 +2289 -3764 +-6783 -891 +-3345 -191 +-1576 -2522 +-4457 -1999 +2328 1182 +2768 -1530 +554 2761 +-2766 1699 +2840 -2557 +3645 310 +-1238 -1589 +-1098 1653 +2844 866 +3087 -921 +2683 815 +3716 -2164 +-2313 -1925 +1156 -1316 +-762 65 +-456 -1358 +3868 2616 +1620 6118 +3454 -3548 +-1626 -3587 +-3593 640 +-3356 4036 +-796 3907 +-217 -1628 +556 790 +743 2061 +-4390 4480 +-704 1285 +-1941 -1305 +-2305 1238 +1929 -1923 +3203 1053 +200 -3394 +4181 -2196 +6084 3307 +-2428 -58 +535 3151 +1615 -757 +3385 -119 +-2770 -197 +-4424 -4658 +4619 -867 +-214 -1935 +1687 164 +293 2857 +-5393 2076 +-3798 805 +1227 -1976 +-708 -4196 +-2968 173 +2376 2167 +-2541 -3100 +-4206 1294 +1421 554 +1592 1376 +-3170 3865 +-6201 3395 +3796 -616 +-1476 -2069 +-1786 3142 +2542 1909 +220 -1164 +1973 815 +1227 2905 +2452 316 +1769 -4258 +2808 3944 +-5971 579 +-1375 -2125 +2467 -1180 +-9028 -4992 +804 -1021 +-28 -3804 +-412 1044 +3003 -319 +-5735 -1578 +-1320 2860 +3530 -1921 +4497 -369 +1082 -393 +-2844 -2452 +233 -1917 +305 3212 +-523 -735 +-1347 -2108 +704 2072 +4591 -842 +-921 3662 +-6755 2609 +-1233 3654 +5296 3821 +2690 -1310 +903 -798 +-391 -1247 +-2850 -3061 +2451 -4601 +1024 -3160 +-69 -1077 +-602 1792 +-3862 -629 +874 1307 +933 2442 +3771 -3316 +-755 -5810 +-2817 -3464 +4707 2835 +2811 419 +2363 6157 +-1492 3614 +-1940 -2848 +-2095 2027 +1110 -3258 +1264 -1024 +-3734 -929 +1760 1840 +-1171 4577 +-48 397 +-466 2581 +403 -376 +-1116 841 +-6201 3395 +3796 -616 +-1476 -2069 +-1786 3142 +2542 1909 +220 -1164 +1973 815 +1227 2905 +1009 2605 +-2814 1348 +-279 1422 +-1106 -3632 +-379 826 +-1757 2636 +-1796 -1873 +1851 4058 +-1972 316 +1209 -877 +3021 -712 +-1262 -687 +2989 -1815 +5323 -1701 +1288 -1701 +-302 -1714 +186 5356 +774 3869 +12 490 +-1688 -1710 +-541 1564 +286 -1952 +562 -6102 +1766 198 +-2844 -2288 +-5003 -745 +-2894 743 +8 1966 +2079 3147 +-4192 1916 +-255 2891 +3527 2746 +-2905 1819 +280 -2794 +-865 -799 +-5510 1566 +548 869 +2699 -1436 +-3220 -2035 +598 1909 +392 3792 +-211 3335 +3870 -518 +-3368 3927 +3392 3881 +-787 -5428 +-8109 -6687 +4428 -2822 +2975 332 +1650 4088 +1055 859 +2844 -7075 +-1525 -3891 +-3395 -2984 +2897 -1859 +-4737 -1557 +3160 -556 +2327 1978 +-1392 -222 +4719 2594 +-1508 -3317 +3611 1276 +1050 3460 +-1769 -2295 +1009 2605 +-2814 1348 +-279 1422 +-1106 -3632 +-379 826 +-1757 2636 +-1796 -1873 +1851 4058 +604 3515 +778 1315 +1557 2734 +-185 2019 +629 -971 +-762 -5220 +3955 -3557 +-12 1157 +550 -948 +3369 -2065 +882 -159 +2698 -5631 +-1636 -8780 +-2701 -119 +2268 1405 +2215 1106 +-621 -840 +-308 -802 +3514 3805 +3071 1408 +-1463 2914 +2514 -2308 +410 -4619 +-1763 938 +2686 1504 +-1359 -160 +2311 -2617 +1923 -1516 +911 123 +370 6196 +-7131 1902 +-3306 777 +-2184 4069 +-634 -3055 +2017 5252 +-1569 3391 +-853 -6196 +867 896 +-744 2821 +-100 -3345 +-3078 -632 +-3373 4652 +2272 854 +46 865 +3046 -2287 +-682 -3947 +1 131 +3308 1070 +-4751 840 +1210 -2268 +-1432 1604 +-1728 3014 +1686 1092 +-211 3416 +-1604 963 +-3504 -2041 +4266 -2452 +-3997 -146 +-6065 3696 +1847 766 +2734 -3593 +-135 -1442 +-4739 -1575 +2114 1081 +604 3515 +778 1315 +1557 2734 +-185 2019 +629 -971 +-762 -5220 +3955 -3557 +-12 1157 +382 -1683 +-4560 -3309 +-1763 -1472 +-1079 -867 +-1191 -348 +2769 885 +-809 2317 +-112 -2073 +-82 -474 +3579 2727 +3307 883 +6304 1517 +5364 364 +-1990 1415 +1751 4443 +470 6765 +1499 2069 +3706 -378 +-1780 3648 +-3333 -1665 +2500 -4560 +1094 -2681 +1188 2008 +785 1839 +-3002 -398 +2172 4627 +-3063 -1333 +-2248 -2308 +-1642 1162 +-1852 -2085 +2209 -1453 +-4033 -1291 +1830 1999 +743 495 +-4631 -2107 +1653 2322 +760 -932 +-4100 -3500 +1304 3232 +-1706 1128 +-4974 -2686 +6934 1237 +914 -2976 +-827 -3156 +1093 622 +-1073 -840 +2011 -3115 +-307 -5119 +713 3303 +-4839 5671 +-3771 -178 +-267 -1786 +1091 152 +2243 4273 +-310 -1715 +2615 -2408 +1106 398 +1050 -2809 +-590 -259 +-51 -2319 +-392 -1517 +-819 1856 +-1024 603 +-2922 1834 +382 -1683 +-4560 -3309 +-1763 -1472 +-1079 -867 +-1191 -348 +2769 885 +-809 2317 +-112 -2073 +3785 2269 +2496 4915 +2527 -2695 +4200 1100 +72 3098 +-2498 -5246 +207 -1412 +-1043 -1722 +-1188 316 +4824 -419 +2021 -1247 +-3838 2277 +-439 -1061 +-2718 -5583 +1203 -2817 +-1978 744 +-4994 -4836 +3524 -1253 +-477 2200 +185 -1348 +-362 -2029 +276 -2429 +4177 1229 +3822 4611 +-158 -866 +-4352 -303 +3307 8657 +2918 1967 +-1229 3768 +-2640 4473 +-2130 -2995 +780 2693 +4116 -1637 +1787 -2418 +-4305 -1765 +1680 -1279 +-1058 1071 +-1464 -3903 +-1770 446 +-2250 2084 +4348 632 +-1829 2754 +-1289 2680 +-51 690 +3769 -2661 +1390 -2709 +-5832 3675 +2266 3583 +253 411 +-3510 623 +-1058 -5567 +1206 -2404 +716 388 +1235 1352 +483 2943 +-5776 -2592 +-2370 -1346 +417 1770 +-1990 5321 +1068 478 +2324 -2574 +-4522 -1736 +-2657 -3597 +4396 -770 +3785 2269 +2496 4915 +2527 -2695 +4200 1100 +72 3098 +-2498 -5246 +207 -1412 +-1043 -1722 +-231 1780 +-2691 2930 +-481 -4225 +4138 -483 +4077 2547 +-4484 -904 +567 5438 +3100 6019 +4506 316 +5609 -133 +676 3776 +3089 1811 +1859 50 +-272 1191 +-1486 -931 +2133 2408 +554 -570 +-4354 -684 +-68 -485 +111 1564 +1982 4179 +2763 -5127 +-618 181 +1341 2681 +948 -1182 +-1259 1799 +357 1222 +-1959 -5730 +-1702 -3357 +-287 3905 +-1847 -4993 +-1554 -1827 +547 -1464 +2045 -3284 +-3914 1588 +-169 -1637 +-3745 575 +-8056 -5028 +4702 -711 +2492 4110 +550 -2212 +-225 1158 +-934 3203 +-2474 3253 +-4796 -1199 +1601 -4186 +2165 -1485 +2200 805 +-4031 886 +-983 1004 +5541 -1793 +1814 -3696 +1478 284 +-2366 932 +-5207 1426 +-3643 -617 +2212 3710 +-516 4515 +86 -3285 +1399 -600 +-1682 -1814 +3365 -3144 +-2070 -1452 +-1906 -3007 +-231 1780 +-2691 2930 +-481 -4225 +4138 -483 +4077 2547 +-4484 -904 +567 5438 +3100 6019 +5228 -2335 +3131 944 +-4087 602 +-2415 211 +2766 -783 +-1461 -4743 +383 -728 +-424 2815 +1024 1264 +1603 -1200 +-33 857 +5836 2603 +-1455 -661 +-105 -1779 +5991 -1331 +-4649 3589 +-4428 4122 +2133 -360 +2918 -1205 +-247 1344 +-4538 891 +-48 -3765 +-1547 -1650 +-1325 1645 +3950 -1182 +-2659 -5080 +1337 -4062 +2132 -6043 +-3901 -1946 +288 4077 +445 1061 +-151 4491 +-2700 2019 +-188 1936 +1409 3132 +-842 297 +1534 -673 +-296 -1460 +-1877 4778 +-3549 192 +-2604 2528 +-1974 4037 +-913 -4419 +-639 879 +-751 4384 +4504 2796 +3111 -1149 +1440 -1853 +-2524 -3806 +-3763 608 +1394 568 +750 -6293 +2135 4357 +1673 1893 +-3893 19 +-3322 1150 +-474 -3874 +-2381 1951 +3031 -1794 +1312 -1195 +-5902 753 +2071 -4911 +4971 263 +3565 1226 +5228 -2335 +3131 944 +-4087 602 +-2415 211 +2766 -783 +-1461 -4743 +383 -728 +-424 2815 +2863 -2031 +2998 176 +-4790 1228 +50 -5211 +1208 -180 +-1329 -1658 +1648 2292 +-777 1277 +2768 -6794 +-1184 -250 +142 1518 +754 -2968 +-2802 -1774 +2161 4468 +-4168 2217 +-889 1418 +5021 -4334 +-1741 -3503 +-2830 5887 +3305 451 +6151 2983 +-1044 -3852 +-3256 -6142 +12 -2233 +474 -6080 +-396 -162 +-1943 -302 +157 3600 +-391 1856 +-3642 2471 +-143 6200 +1168 -1618 +297 767 +2466 2352 +1314 860 +-3635 -972 +-2817 -1199 +5490 4388 +-764 4901 +-1892 1868 +3868 4582 +-4000 4507 +2086 1666 +2073 2976 +-4974 -1239 +-1533 -3671 +-2923 -2308 +-1279 1693 +668 1806 +1856 -2050 +1990 -4183 +2000 -5402 +4306 -340 +2096 -724 +-373 2217 +1422 1480 +1738 -3084 +0 2506 +-1026 909 +-2917 -1413 +-681 -2635 +-2200 -4997 +-2661 734 +449 5053 +2863 -2031 +2998 176 +-4790 1228 +50 -5211 +1208 -180 +-1329 -1658 +1648 2292 +-777 1277 +1283 -4100 +469 751 +-4843 -56 +-1105 1152 +1746 4320 +766 -4197 +-20 611 +3004 2298 +-76 -316 +-1161 104 +-1125 -3832 +-1262 2005 +2291 -2584 +-383 -4743 +-6 4136 +-2544 3278 +-1823 3621 +-1488 3750 +-2478 189 +2979 -154 +580 2042 +-985 777 +-105 -1771 +267 -231 +-1738 866 +-1357 64 +219 -2822 +-2295 1127 +-574 3801 +-371 -2565 +-4015 915 +-4731 99 +-1283 -640 +286 1938 +2141 -4533 +-2049 168 +428 1221 +7484 -1854 +1127 -1692 +3548 -398 +3552 2844 +1702 66 +2105 2996 +578 78 +1115 573 +-1259 -444 +3358 -6978 +-1213 -1257 +-2601 -5201 +3521 -5550 +-183 -549 +469 2540 +2935 1265 +733 -3871 +1679 -461 +3404 663 +-4898 1662 +-1233 -2080 +4164 2286 +-1633 4767 +-936 -1789 +-1669 5213 +-2018 3976 +-2476 -3493 +1283 -4100 +469 751 +-4843 -56 +-1105 1152 +1746 4320 +766 -4197 +-20 611 +3004 2298 +309 679 +1817 2654 +558 1248 +-531 421 +-1419 -525 +-138 -4705 +-1733 -5215 +-2890 -2920 +240 790 +154 1957 +291 3604 +3490 -2581 +944 -5955 +4526 3166 +3190 -248 +-2750 2969 +-786 4492 +605 -790 +1487 3405 +-1707 -1217 +3478 -876 +1812 -1624 +-2014 -555 +2795 6335 +-790 -392 +673 -1835 +-2325 -514 +-1784 1091 +-1153 2003 +-484 -1884 +7075 2565 +551 2307 +639 2797 +690 2049 +-726 -3802 +345 1808 +-2565 -2750 +3937 -1752 +3167 4336 +-1454 -6165 +1656 474 +3818 4066 +1597 -2783 +-1780 756 +-3063 75 +117 -1449 +-2377 -2012 +-10274 -1458 +-2690 -1648 +998 -1200 +-2321 -220 +993 -1109 +-759 1623 +-2323 2550 +-2516 802 +526 -1342 +3950 392 +-2587 1143 +-2352 325 +1958 1724 +-520 -1179 +4084 -2345 +1529 -938 +-5183 -619 +309 679 +1817 2654 +558 1248 +-531 421 +-1419 -525 +-138 -4705 +-1733 -5215 +-2890 -2920 +4781 -3729 +-1602 2782 +-2365 721 +4952 -2184 +-4440 3232 +-4581 -5319 +-1034 -5137 +-2034 -625 +4500 1580 +2295 -2001 +-2620 -6110 +-341 295 +-3873 4546 +-4593 3789 +3808 1928 +5145 -2437 +35 -1758 +237 1479 +-3540 881 +-4467 1543 +1126 -980 +805 1817 +-2890 5071 +-5600 -254 +474 -1814 +2917 -1543 +1668 -3064 +2302 -2174 +4321 1141 +4311 -1266 +-4405 -1853 +-1604 -98 +-2253 1517 +-1161 2257 +2522 -1692 +-1407 904 +3654 605 +-1116 528 +189 -84 +776 -6722 +-1972 -3792 +5492 -632 +1295 -2003 +26 2785 +-149 4194 +-3172 -580 +-2135 2676 +1664 -420 +597 -2982 +-269 731 +1562 -944 +-2391 -1111 +3452 -4121 +1694 655 +-1659 443 +3803 616 +2686 3394 +-2020 -3073 +-4844 2099 +2591 3518 +-299 4023 +-1762 375 +1807 2011 +-886 6364 +4781 -3729 +-1602 2782 +-2365 721 +4952 -2184 +-4440 3232 +-4581 -5319 +-1034 -5137 +-2034 -625 +-601 4816 +149 -929 +-1219 272 +-2507 -1873 +-754 20 +-1317 -1412 +-2654 -1649 +-1159 1462 +1188 -2844 +2803 3953 +1325 2619 +-44 3041 +2464 3401 +2804 -1454 +-1670 -695 +234 -3160 +647 2012 +-402 -1262 +1955 368 +-1489 -601 +-1714 -3524 +885 3678 +-1247 107 +-3434 -1565 +1896 -3552 +4179 1540 +-1519 -22 +-1226 -2897 +2837 -576 +-428 -213 +-1998 5830 +7682 -3085 +3761 240 +-1794 2273 +297 -3962 +-2000 4221 +2078 1458 +-1915 -1907 +-2977 3271 +-910 751 +-872 -3792 +3912 -2177 +2289 -6435 +1342 1482 +-3003 3797 +-1545 -5744 +228 1424 +-1487 733 +1881 -116 +-505 -1166 +-924 -1256 +-4444 -1969 +-2771 -6171 +1877 -63 +-1122 -2514 +1647 -1006 +948 1972 +-234 2607 +1588 4623 +-3104 -881 +-2930 2858 +4172 4805 +2591 -1982 +-1744 2818 +-601 4816 +149 -929 +-1219 272 +-2507 -1873 +-754 20 +-1317 -1412 +-2654 -1649 +-1159 1462 +3041 3148 +1566 -474 +4647 3431 +-4422 1827 +-3229 -941 +2850 -964 +-212 2417 +-858 971 +-3084 948 +1594 4411 +2943 1724 +185 -1457 +-1751 -3877 +-1829 1402 +-1158 19 +-4028 -5119 +82 -1607 +458 -2386 +-2962 436 +-945 4595 +-2199 6218 +-447 3002 +1381 -2336 +1139 -1471 +2844 -392 +-1765 1711 +3294 3209 +4238 -327 +-1895 -1708 +3091 2378 +-1818 -4130 +-41 -4172 +3595 3488 +1003 658 +2220 4080 +-1860 381 +-3855 -1926 +-2337 526 +4032 -1172 +-1718 4466 +-1656 1896 +5823 -3028 +2257 -4700 +2842 -4000 +-2619 -7097 +3454 -5276 +3611 4918 +-2364 -1896 +4658 -605 +-3292 3434 +-3241 -132 +1709 1312 +-3991 -4616 +-2378 -1126 +-439 644 +-5333 -3874 +-1896 708 +1456 -11 +-1574 -463 +347 -1283 +-687 -1223 +864 799 +-342 -359 +996 4991 +3041 3148 +1566 -474 +4647 3431 +-4422 1827 +-3229 -941 +2850 -964 +-212 2417 +-858 971 +1538 -397 +4775 -356 +6282 23 +-4006 -2201 +2707 1849 +2714 -1789 +-1873 -1866 +3838 2694 +2136 -316 +-2428 -1456 +4113 -3032 +5014 -2113 +-2425 -298 +-514 -2087 +4053 978 +3015 2048 +-1961 -213 +-1578 -1202 +-574 -767 +-819 192 +1361 -556 +3547 -758 +5074 -78 +432 3445 +-1896 872 +-3442 1490 +-6979 1832 +-5968 -3912 +-1905 691 +-1124 -1229 +-3066 -1118 +-3154 1752 +-590 -1183 +4034 -711 +1886 738 +4284 1620 +5015 -1341 +-215 2573 +-697 3047 +-564 1905 +4184 -2212 +-2614 -2285 +-1942 4480 +5638 -4381 +-4588 -612 +-5917 800 +-3388 2199 +-3463 4690 +381 -3895 +992 3466 +-1751 2796 +-2518 -1427 +-2130 -5640 +-3080 -1311 +-1196 2951 +242 -1469 +-2528 6080 +-1743 -4526 +5287 -2278 +2166 5288 +702 -2941 +-991 1797 +-5228 207 +3446 -548 +1538 -397 +4775 -356 +6282 23 +-4006 -2201 +2707 1849 +2714 -1789 +-1873 -1866 +3838 2694 +-2478 -1854 +1936 -4837 +-1398 181 +-7725 238 +4597 -3096 +3665 1449 +2417 2120 +912 -1150 +2294 -1422 +923 816 +-3252 -445 +7865 -2884 +2634 738 +1200 -1772 +46 -2655 +-5028 324 +-3324 -1268 +-4539 3370 +-2729 5789 +-2426 -1264 +-782 1085 +-3563 4939 +1765 -1419 +2277 267 +1422 1814 +1369 1029 +-5798 1979 +2447 -267 +1003 1203 +1662 -809 +3819 177 +-1923 2275 +-4158 274 +-1229 2087 +4096 -650 +-2279 -2819 +-798 2241 +-2759 1789 +-2588 -1809 +780 1151 +2130 158 +6740 -847 +-1409 -1594 +-163 -3301 +2352 -2564 +3503 -4123 +3361 3101 +1818 242 +6168 -4736 +2930 2911 +1339 1415 +-2300 2122 +-3017 -1493 +-2075 -3843 +-2379 4792 +-286 2131 +-4582 -3078 +-3445 -2589 +2830 -355 +-106 4446 +-933 1887 +1265 -4628 +-2648 -515 +-1447 3545 +-2478 -1854 +1936 -4837 +-1398 181 +-7725 238 +4597 -3096 +3665 1449 +2417 2120 +912 -1150 +-3591 -2828 +165 -5405 +-178 -3654 +-3272 -401 +716 -2015 +1683 -1731 +-614 4248 +272 2893 +-240 948 +2312 2164 +1516 163 +-1749 -1606 +2429 -3118 +-3724 52 +-2981 1008 +2789 4561 +-3792 5819 +1590 1599 +583 -914 +2706 -956 +2806 1082 +-5380 1003 +-107 336 +-146 -3769 +4424 708 +1797 1891 +1365 420 +-536 772 +-7986 -4350 +2660 -960 +615 -1047 +1106 1248 +2327 2196 +23 5025 +-1988 4156 +-4769 -2537 +4248 2369 +1373 -3174 +2364 -1742 +1169 -289 +-1340 -1264 +5557 3289 +-1276 -3023 +-4155 -616 +1131 4443 +-560 -1277 +-2980 -5239 +50 4282 +-1897 3030 +-1615 1763 +-313 4619 +838 -4791 +1711 -2068 +-2517 -739 +4351 2412 +1841 1200 +316 -2288 +3426 2928 +-3501 -502 +1258 456 +1267 -135 +-1732 -1371 +615 -3768 +-2460 -5503 +-3591 -2828 +165 -5405 +-178 -3654 +-3272 -401 +716 -2015 +1683 -1731 +-614 4248 +272 2893 +-1110 -547 +-85 -1260 +2304 -1551 +3629 -656 +20 -4890 +-4207 -1331 +209 1945 +-2489 -969 +-3236 -790 +414 769 +-1073 -6607 +-3741 -1406 +-3207 773 +-93 -2683 +-4742 5470 +-3607 -2297 +1364 -401 +257 -348 +-987 -4630 +-2488 582 +-4821 -3550 +1647 -503 +5257 4361 +-3097 3497 +1738 -1504 +2733 2506 +2702 2216 +5847 -2389 +474 136 +1983 -1536 +2938 3748 +3876 3599 +794 231 +1161 -4374 +-2151 -510 +-4975 2724 +3533 -3218 +2718 757 +3301 186 +-3864 3020 +-3400 -1422 +3146 -571 +-147 7116 +782 4467 +-5108 3913 +-4309 -1268 +-1456 1641 +-216 -1982 +1480 -1179 +1276 5238 +1282 -2387 +-340 -1838 +5692 -983 +1554 -81 +-2739 1756 +1612 -1154 +-158 -1972 +-2799 -912 +-1929 -3759 +546 -744 +-1639 2762 +-340 542 +4818 3646 +3470 602 +-1110 -547 +-85 -1260 +2304 -1551 +3629 -656 +20 -4890 +-4207 -1331 +209 1945 +-2489 -969 +-1599 -2165 +1497 -3389 +3694 -3560 +393 -3313 +3617 1490 +-1632 765 +-2348 4764 +2552 2681 +240 -948 +837 540 +-3112 1870 +234 193 +-1766 -4829 +-1041 274 +-357 4715 +-4771 -3011 +5153 -609 +3167 590 +-326 -6169 +-3070 1799 +-2884 883 +1194 740 +560 3276 +1060 -5876 +-4582 -1498 +1056 2255 +4068 -1139 +586 -4045 +-1021 -1184 +-362 571 +3740 -1310 +240 176 +967 -47 +-1807 2955 +-2797 792 +-1694 2924 +-2908 1300 +1664 1109 +2750 6687 +3648 -42 +1024 3792 +-2176 -1353 +-448 -5223 +-228 3841 +-2812 -751 +-1746 -2482 +-3848 -705 +-4223 2585 +3696 2189 +1210 -2607 +1248 381 +4130 1266 +912 120 +-3485 3661 +-4569 83 +1319 -1446 +158 3078 +162 1380 +3992 406 +1067 -3035 +1806 -821 +3993 -2481 +-2248 -4872 +-3771 2775 +-1599 -2165 +1497 -3389 +3694 -3560 +393 -3313 +3617 1490 +-1632 765 +-2348 4764 +2552 2681 +-851 278 +1867 770 +-1363 -1563 +-3981 -1105 +807 -1330 +1524 -2112 +2521 -5226 +1057 434 +-2294 1580 +2978 -2868 +112 3366 +1310 -522 +3158 -688 +-2288 1401 +-684 -4467 +-896 -1792 +2015 -1826 +2860 -314 +-1849 4573 +-805 923 +-2052 -3042 +-3201 -1981 +1545 1221 +-1100 4737 +790 4980 +5177 -1409 +399 -1204 +4619 5112 +1417 87 +-783 -4914 +715 -2235 +-2336 3441 +3063 3514 +-3893 -2990 +-2587 -2103 +3028 -1262 +-2627 1036 +-727 1421 +-1824 -1415 +-1782 -1065 +-550 -1580 +205 5339 +3594 1495 +6356 -507 +4395 2584 +-523 -6510 +-446 1392 +-109 7297 +1461 1194 +-1210 1002 +-6855 2330 +-4471 -303 +-2449 -1720 +-1950 3946 +4308 -715 +2874 -3187 +-1106 708 +2742 -2276 +-1565 -3102 +-2480 409 +-121 1810 +-2778 -1147 +-1078 68 +-1284 31 +-851 278 +1867 770 +-1363 -1563 +-3981 -1105 +807 -1330 +1524 -2112 +2521 -5226 +1057 434 +-2237 -994 +-2662 -2767 +-319 -2452 +-4803 -725 +1849 5661 +-1481 -1679 +-1270 -1523 +993 3306 +-4506 -790 +-390 -1166 +1800 -1901 +5290 -1199 +4570 287 +1328 -1719 +1907 -3058 +-3122 3791 +-4181 585 +178 -2565 +-2239 5208 +-2792 2089 +1119 -470 +-3119 -1795 +-2501 4606 +2442 4430 +2212 -556 +-2127 6669 +125 3104 +2649 2117 +-2851 -2771 +4590 -5788 +883 -1330 +-346 -2658 +7926 678 +-3408 -1143 +-1959 -2608 +3147 -2188 +2984 1353 +3464 1300 +-1371 -1235 +-2619 1539 +-2130 -1738 +4252 295 +2688 -1869 +-3166 -3239 +-1403 -718 +980 -3937 +3396 -909 +-2408 -516 +-3403 -1533 +454 -1900 +-2557 1192 +2519 5079 +4161 1673 +592 1917 +4172 169 +1173 -2396 +-2528 556 +-766 726 +-67 -675 +-1949 1705 +-1580 6362 +-1886 912 +-2687 -4305 +2993 1507 +-2237 -994 +-2662 -2767 +-319 -2452 +-4803 -725 +1849 5661 +-1481 -1679 +-1270 -1523 +993 3306 +-2577 -447 +-2731 -5507 +-2432 4322 +1742 2741 +-730 2313 +-2551 -1008 +-1008 -3846 +3118 -3472 +5764 -2370 +2769 1881 +124 -1354 +-1465 -5610 +-957 -1619 +-3560 849 +-1062 -1810 +357 1046 +1145 -15 +5202 1834 +-457 4327 +-2975 2424 +-421 3272 +2583 -589 +1295 -760 +258 2326 +3476 82 +-515 -6416 +-629 -449 +-3491 874 +-307 -888 +8805 6783 +-1504 -1121 +-317 -1047 +2893 -1449 +-3715 -4345 +727 1497 +-1010 -104 +-3008 2613 +-3165 1482 +-2133 -1164 +-1405 -278 +-2920 -2054 +1393 -2371 +-1578 -183 +-2040 109 +-515 1826 +-799 1577 +-1772 649 +-640 3429 +1699 -1249 +-5189 2404 +3165 -360 +5228 -2958 +-1529 2547 +-460 -5145 +-791 -2599 +4953 1938 +632 2446 +5468 -1845 +4873 1047 +-4033 6163 +2411 -2480 +-3534 -2225 +-1873 -725 +1720 5059 +-2577 -447 +-2731 -5507 +-2432 4322 +1742 2741 +-730 2313 +-2551 -1008 +-1008 -3846 +3118 -3472 +-625 84 +-1911 1348 +-982 68 +1372 3008 +932 2791 +3634 2221 +2590 -286 +1910 -3380 +2604 1106 +321 77 +754 796 +1799 5894 +3462 4417 +-2818 -3481 +892 -4973 +6104 -5265 +-1873 -1387 +-5500 1175 +-396 -5229 +10115 -3452 +1320 -2761 +-6379 2745 +-214 3223 +-1568 1300 +-1106 1340 +-810 -3102 +943 3845 +703 -421 +-856 -2150 +-195 1332 +-3183 -1149 +-2576 -2263 +-955 -2928 +176 3859 +-414 -292 +459 -868 +2474 4037 +-647 167 +-1250 -3380 +-2859 -3131 +-1340 2054 +1146 1109 +-2594 1413 +-1311 3813 +-394 853 +2788 16 +3243 160 +-699 -1900 +925 2967 +-173 896 +1236 -6090 +-4185 2148 +-2830 2886 +4718 477 +-940 1044 +1687 -139 +-2686 -1972 +-4562 -1200 +-2341 2960 +-4790 -5346 +3477 -3752 +100 2471 +128 306 +3950 -109 +-625 84 +-1911 1348 +-982 68 +1372 3008 +932 2791 +3634 2221 +2590 -286 +1910 -3380 +-20 -1267 +1307 2192 +-151 4418 +-103 -1536 +-1377 3647 +915 2185 +830 1813 +-1347 -174 +3236 -2054 +3607 2050 +-2054 -10 +-1242 1936 +4099 159 +-2735 -1052 +-2297 -2112 +-82 -2914 +-422 635 +177 -1922 +-3987 -838 +3705 -1448 +2392 -2066 +3659 2010 +636 136 +-7293 -2837 +474 -2604 +-2005 293 +257 -696 +-705 -2685 +-2503 2844 +2779 1178 +1439 -5193 +850 1215 +-1244 4427 +634 -1960 +2033 -2390 +5437 -410 +3497 -2607 +-917 -1646 +633 307 +-4820 -3253 +-4184 -1422 +3231 3318 +1323 385 +-200 -2344 +6696 -1385 +321 1611 +-856 3962 +1220 -2382 +-9691 -5059 +-1814 1235 +1581 3649 +-3275 5720 +-720 3553 +-2312 4212 +-465 3477 +-1478 -1518 +-4582 3552 +2077 -1044 +3525 -1991 +-5468 181 +-3237 -5410 +3717 -2550 +5135 137 +2160 2339 +-20 -1267 +1307 2192 +-151 4418 +-103 -1536 +-1377 3647 +915 2185 +830 1813 +-1347 -174 +1321 -771 +-1935 282 +-342 -1891 +2640 1524 +-4177 2642 +4084 -3175 +5349 -4715 +-3527 -1132 +-2446 1422 +-738 774 +-818 4901 +-302 3458 +452 -1406 +2187 3576 +334 3083 +-5103 -484 +2454 2875 +3791 -3 +-1733 2854 +806 3965 +-1811 -231 +1025 -799 +3782 -5808 +754 577 +632 -708 +688 -4237 +-1395 1043 +689 -2846 +2841 670 +-655 4282 +1136 -106 +1351 -4115 +-2585 -3337 +-3736 -1370 +2395 -529 +3316 -767 +-794 2066 +921 3027 +-1497 -1281 +-251 4667 +-1978 2054 +-3927 -3084 +-2533 -3745 +-1841 -2676 +-1662 -405 +-5327 -2419 +1350 4960 +526 959 +-558 1865 +1396 1175 +-5900 -5273 +265 3633 +4885 -1318 +-203 -3780 +-2207 -3608 +-119 -2069 +632 -872 +-378 -4479 +2740 113 +2842 1076 +-2263 5564 +280 2645 +4394 -109 +483 1814 +1321 -771 +-1935 282 +-342 -1891 +2640 1524 +-4177 2642 +4084 -3175 +5349 -4715 +-3527 -1132 +1503 -1634 +1829 3723 +-122 -2219 +2820 -437 +-1786 891 +-371 -687 +523 -1061 +-4006 -2196 +-3868 316 +1041 1205 +7162 458 +-1359 1816 +-3540 6646 +1572 -2013 +-1306 -596 +181 6983 +986 -147 +286 1819 +2009 -26 +368 -3303 +1624 -2372 +3060 -4281 +1071 245 +700 -524 +-1580 -3084 +2682 2299 +2347 -305 +-2133 -964 +2005 -686 +-546 -2876 +-4194 5562 +-2037 1347 +2289 -1526 +3081 1100 +2886 -477 +-920 2707 +-2699 -1615 +2980 -2665 +-446 -4156 +1802 -1547 +4184 1896 +-1248 -474 +-559 1663 +4379 1303 +319 2787 +-5103 -569 +1939 387 +-1987 526 +-2250 -4277 +-3338 2045 +-7946 7115 +1454 4952 +-300 1201 +-1070 1529 +-810 -2626 +-3435 -4685 +-2528 -1656 +-16 -466 +3071 -3679 +15 -4808 +584 734 +218 -2215 +-570 2245 +-896 1360 +1503 -1634 +1829 3723 +-122 -2219 +2820 -437 +-1786 891 +-371 -687 +523 -1061 +-4006 -2196 +936 1426 +2601 252 +5825 -1659 +-439 1757 +2623 -1770 +268 -4642 +-2107 -1362 +-2957 262 +-1030 -316 +4750 2753 +1955 -1556 +-703 -1001 +-5868 5320 +-3263 -2367 +401 -2371 +283 -1840 +2523 1041 +-594 3394 +3323 -1554 +-268 856 +-2039 -78 +3141 2264 +-131 3036 +2212 1573 +2370 3552 +3495 967 +3549 23 +-2713 936 +-886 -2551 +1090 -3504 +-4343 564 +-1211 1906 +1276 4894 +-4268 2293 +2925 1626 +4034 1505 +882 -1559 +197 2985 +-1976 806 +6120 -245 +2294 -1896 +-2632 -1369 +3759 1040 +3427 -2033 +-3804 1847 +-1481 1778 +3429 -3418 +-4493 -3187 +-4735 3383 +-3773 -949 +89 -3251 +783 3336 +-6523 -1017 +1151 -2295 +160 355 +332 866 +1422 -3236 +-6576 704 +-2465 2805 +-4276 -4462 +-2083 -1455 +838 -2265 +-1753 -2666 +4923 -229 +936 1426 +2601 252 +5825 -1659 +-439 1757 +2623 -1770 +268 -4642 +-2107 -1362 +-2957 262 +1160 1075 +3337 1671 +-1122 -3697 +-3491 261 +1793 2929 +-43 32 +139 -1544 +2361 -938 +-2768 2528 +810 -508 +-1823 -502 +536 3703 +404 -1282 +-3146 -894 +2473 4830 +2324 -1761 +1291 2609 +-2815 5615 +-1582 -2043 +-934 3827 +-796 1570 +-215 -631 +-609 3721 +526 2793 +-4108 -76 +-2228 -2202 +-2679 1485 +2282 -2649 +2338 -4567 +-4086 -451 +-225 415 +-2926 1064 +1052 -3287 +1565 -2172 +-86 2355 +5648 -1024 +3585 -231 +510 3511 +-549 -3112 +30 -4489 +-1656 1896 +-3282 952 +-1274 -944 +1567 163 +4790 -1000 +7201 -3132 +1758 -1069 +-2218 1499 +289 3395 +-1184 582 +2126 -2903 +2539 -2277 +-1422 -1107 +162 1940 +2576 -2979 +1063 -3463 +-316 708 +-518 -887 +-1144 -70 +-256 -1480 +-5636 -2631 +-1123 -898 +2021 3530 +-3995 2243 +1160 1075 +3337 1671 +-1122 -3697 +-3491 261 +1793 2929 +-43 32 +139 -1544 +2361 -938 +-4902 -347 +-686 4319 +-1299 2122 +392 -468 +-2024 -986 +-3981 -2 +4265 -2854 +2563 380 +-1182 -1580 +-95 -465 +-2996 5717 +1365 -1033 +2064 -4453 +2500 -1106 +2247 -119 +-3348 -1125 +4492 194 +1386 1794 +-1327 3477 +4274 -2229 +-2161 -1077 +3375 3003 +2775 -1053 +-5050 -502 +948 -3394 +3143 -1462 +-118 -2731 +-1571 -974 +190 -583 +-1324 -1594 +-1778 3807 +493 -3032 +-2998 663 +-5637 -1319 +-2788 -5309 +331 -3096 +-280 -949 +1331 6356 +2343 2329 +2595 2670 +5606 316 +3258 -506 +1661 1466 +-969 1998 +-2657 -763 +7476 -4081 +5610 1589 +-5764 -771 +-1648 4547 +932 940 +-1692 -5762 +640 -2750 +-590 484 +-2650 4733 +-796 -1366 +-783 3487 +-2844 2130 +-2454 -2190 +-290 -2773 +1055 290 +-2124 8327 +-1518 -835 +4296 -1069 +-1279 -432 +-4902 -347 +-686 4319 +-1299 2122 +392 -468 +-2024 -986 +-3981 -2 +4265 -2854 +2563 380 +4419 -1155 +4418 -2418 +1116 -1947 +1944 2370 +-77 -286 +-926 2399 +803 3885 +-218 -2570 +398 316 +-2168 -918 +-3380 -1618 +-3059 -842 +-1012 -2038 +3279 -2508 +-379 -1403 +522 2600 +-475 1340 +-1644 -239 +5216 266 +2122 1246 +-2932 -250 +-1058 1616 +-406 6767 +-1404 -2218 +-2370 -9088 +-2201 87 +990 57 +2082 -2199 +-1417 1497 +-7049 -2088 +-3390 -2038 +1078 -906 +-2839 4315 +1288 2657 +757 -4306 +441 -144 +1233 -3105 +-1731 -3914 +76 -2260 +-1766 932 +6870 -316 +7399 -2895 +584 2417 +3532 878 +-1070 698 +-1515 -6113 +3398 -1286 +2409 4309 +-2370 -3236 +-305 -622 +-724 145 +-2481 8446 +512 4904 +-2085 -942 +684 5711 +387 857 +158 -1024 +1411 -1449 +-766 -1335 +1523 -382 +-1558 -156 +-2168 2178 +-2049 4529 +-2055 2793 +4419 -1155 +4418 -2418 +1116 -1947 +1944 2370 +-77 -286 +-926 2399 +803 3885 +-218 -2570 +-1134 -3488 +2341 3460 +-2146 3289 +-3449 3477 +3545 -213 +-5845 -6556 +-854 -1319 +4085 185 +76 1106 +185 1528 +-1098 2020 +-1881 4267 +-2920 3751 +-3720 -226 +178 865 +4058 1876 +-1788 -3487 +1949 -1784 +4234 810 +-3236 -149 +-414 -3078 +-1555 -3844 +-1437 -1318 +1258 -2743 +0 -3874 +1552 -1139 +1751 814 +3270 3718 +3215 1504 +2685 -4644 +2502 2936 +-1951 -341 +-3923 -3148 +-1840 4481 +1517 -1722 +829 -1351 +-231 -2762 +315 -1809 +-2245 -798 +2930 -3352 +3716 1738 +-3300 -2206 +-1379 542 +975 723 +794 -1191 +-2213 3113 +-1701 -1716 +2775 -1534 +-108 643 +-5168 -1106 +-2788 2124 +458 5746 +-1636 997 +1690 -2395 +-1120 568 +-2337 2218 +632 -866 +-2040 -1140 +2437 -1556 +415 -2438 +2703 7313 +2324 4153 +-379 -483 +4440 -191 +-1134 -3488 +2341 3460 +-2146 3289 +-3449 3477 +3545 -213 +-5845 -6556 +-854 -1319 +4085 185 +-4770 5883 +-5028 159 +6903 -2675 +-1133 621 +4070 2563 +7653 5724 +-1724 6776 +2363 971 +-1972 -948 +-1308 -436 +3593 -117 +646 2077 +-394 -2549 +-660 -1202 +309 2280 +-1213 2950 +-177 -874 +-996 -2681 +-3980 2383 +-2743 847 +-903 -4 +3326 -500 +1648 -1962 +-1014 -1919 +-474 -1346 +-2974 -6911 +-174 -3239 +107 6334 +-3174 -365 +1359 -1992 +1372 490 +-1683 -467 +30 -6515 +328 -1792 +2898 4386 +347 -3144 +22 -1576 +7509 -4224 +3624 -359 +2542 1575 +2604 -4424 +-3754 532 +-1342 2069 +876 -1620 +-755 -3123 +-1827 1258 +-1603 -2356 +-962 -306 +2389 2138 +776 -1192 +-5713 3274 +415 239 +1867 -350 +-743 224 +-1499 3807 +-2606 3840 +1106 -1498 +-3656 -625 +1607 1504 +3797 4019 +-1998 -2179 +2523 -1511 +-3391 -1091 +-2266 -847 +-4770 5883 +-5028 159 +6903 -2675 +-1133 621 +4070 2563 +7653 5724 +-1724 6776 +2363 971 +-2327 -6915 +-77 1657 +2894 807 +-3362 -2318 +-1317 1523 +1986 3386 +409 1776 +747 -4865 +-556 474 +2420 -1349 +1218 -1098 +2995 764 +-234 -1186 +-3536 1505 +320 -2650 +-1473 605 +-932 -1075 +-867 -1883 +648 -2067 +-2106 -5109 +-1114 -912 +1465 -2748 +-4366 -802 +-923 -3869 +1896 -82 +1717 7691 +2040 3786 +-1230 4981 +-788 3252 +2197 -1506 +-222 -6175 +770 -414 +3591 6599 +920 4666 +3627 2358 +3369 -4940 +1602 -1839 +-654 4437 +-1837 -2016 +1192 -2580 +-1972 4266 +-1119 523 +-682 -792 +-3582 3310 +550 2459 +1723 3057 +-4155 -2361 +1172 -1160 +4092 3287 +-3384 -186 +1202 -466 +342 -2462 +-4858 596 +-4544 -681 +-3469 -3968 +942 1264 +1264 -1498 +-503 410 +431 -1264 +2679 -2180 +1104 8747 +2257 -1282 +1944 -2764 +-1532 1277 +-2327 -6915 +-77 1657 +2894 807 +-3362 -2318 +-1317 1523 +1986 3386 +409 1776 +747 -4865 +-3129 -2354 +-2742 -1287 +1917 -989 +1414 -3763 +-2793 -3122 +1187 -666 +799 3361 +-18 -4503 +-2130 0 +-1497 -732 +-1305 -4765 +-5920 2681 +2600 -6691 +3326 -549 +-2043 518 +1381 -1302 +-786 3672 +-2034 -1904 +1932 777 +-428 -2060 +-4609 -2628 +294 843 +-1978 3238 +-4147 2982 +2686 -1188 +85 -1134 +-774 1973 +1202 1451 +3408 -1551 +3438 737 +-2397 -901 +1737 2832 +1233 2670 +-3905 2445 +-1106 3807 +1408 -1803 +6592 2659 +2665 -1313 +-5529 -2200 +-1862 321 +3078 -3160 +964 -197 +-3656 3046 +-734 1603 +-1004 -3188 +-3039 1247 +1159 3600 +963 1529 +2682 436 +2351 1969 +-2590 89 +-3269 -5011 +811 -69 +3828 1673 +-658 -869 +-497 -3354 +2686 -76 +-526 -1102 +526 -147 +4693 5268 +1316 1951 +662 5024 +3064 2100 +-979 -1926 +-3129 -2354 +-2742 -1287 +1917 -989 +1414 -3763 +-2793 -3122 +1187 -666 +799 3361 +-18 -4503 +-4709 3950 +2788 -5480 +2799 -4838 +723 -302 +-49 -163 +-3775 3274 +2622 1211 +5332 1101 +1024 -3634 +299 565 +1060 7467 +-1930 -379 +-4685 85 +-2068 -1744 +685 -887 +-2484 1839 +-2143 -4566 +480 -90 +529 4184 +321 2980 +1798 893 +38 35 +-2102 -1235 +1063 -3711 +-2844 1498 +158 603 +1448 -64 +453 4308 +769 618 +-7015 -1460 +-1066 2839 +-2528 3317 +-347 2055 +5847 2179 +122 -1237 +2278 3130 +-251 703 +-2641 -5376 +-2708 1993 +732 2489 +-708 2370 +-5356 -850 +-1955 -32 +2292 2081 +4014 -4385 +-868 400 +3465 -3369 +2304 -5327 +878 458 +2619 63 +-1554 2262 +2543 -71 +1030 -801 +1171 -1125 +1032 1236 +2471 1871 +1264 -866 +-1535 -627 +1343 -5213 +-3041 -956 +-98 1786 +-2781 -3007 +-664 -4316 +2112 271 +-4709 3950 +2788 -5480 +2799 -4838 +723 -302 +-49 -163 +-3775 3274 +2622 1211 +5332 1101 +-274 3079 +-1089 -480 +-4378 -3587 +-1662 486 +-1203 -726 +-2516 290 +-361 45 +557 275 +2288 1580 +6843 -2459 +1193 3120 +-1661 2976 +2029 -2644 +368 775 +-2512 -2537 +218 -254 +-2747 234 +-7256 -4046 +-98 1867 +-417 3001 +-183 145 +-1163 -956 +-4347 -1036 +-954 2249 +-1264 2136 +289 -1937 +-2336 -229 +-3033 -1762 +2907 -4407 +3550 2488 +-3250 1796 +-2138 -622 +1854 2293 +-1998 79 +864 103 +476 -328 +347 -1208 +1481 3700 +1532 946 +-556 -3446 +-3552 -948 +1480 2141 +241 1926 +4330 -333 +7566 6781 +2613 556 +-1269 -5005 +-1480 4057 +1167 -4342 +-2060 -632 +2239 4777 +4772 -2898 +-225 -739 +-3982 -679 +2173 -433 +5371 -604 +-1264 2288 +739 1448 +-4046 -1657 +-1540 -619 +2666 -3522 +170 2240 +4241 -96 +244 -4707 +-274 3079 +-1089 -480 +-4378 -3587 +-1662 486 +-1203 -726 +-2516 290 +-361 45 +557 275 +-952 -494 +-986 1769 +194 5454 +4343 -3808 +-765 1953 +1656 -3834 +7699 -3801 +3800 1897 +-866 -474 +1552 2922 +-793 -1250 +-3168 324 +2707 1298 +-82 1753 +-3103 353 +-2052 -3793 +-2663 -770 +-1393 1182 +-497 -1539 +-142 -5635 +1801 652 +-316 2470 +-1058 -3593 +-999 507 +-5056 2768 +2164 -3858 +2075 -1025 +-3148 4409 +-1344 2411 +-1883 3001 +864 3792 +174 2063 +952 -1718 +-1946 531 +-2269 735 +-436 -1536 +-3835 -2639 +2431 367 +3202 5118 +-1835 -2532 +-4822 790 +-3956 -2908 +-2615 -3568 +-2071 5938 +-3099 -4219 +-3430 -1265 +4972 2067 +1348 281 +135 454 +3395 -3080 +-3347 -104 +-2282 -503 +3431 -3125 +3131 -1965 +-286 782 +805 793 +-1896 3236 +-772 -1028 +3459 -1232 +1695 -82 +3633 -2651 +5491 3942 +4143 339 +-1086 1678 +-952 -494 +-986 1769 +194 5454 +4343 -3808 +-765 1953 +1656 -3834 +7699 -3801 +3800 1897 +-679 674 +-609 3118 +-2379 -3420 +288 -1411 +551 -1755 +-9116 -3454 +-5795 -786 +1273 1422 +1346 2686 +2404 -1752 +1603 -377 +2235 -219 +2255 745 +4735 3073 +2592 728 +-3662 2769 +732 1576 +-36 -1174 +-808 800 +560 1722 +-3816 712 +950 -21 +575 -210 +2132 2712 +4424 2604 +-1355 -1911 +1031 -1009 +2121 1545 +4608 -707 +-187 -2696 +-3091 -3202 +-897 -7290 +-2797 -2570 +5572 2761 +1008 -1560 +-4149 -1565 +1498 -512 +1781 2610 +-1945 523 +-3490 -5519 +2130 -2686 +2400 2555 +1564 6509 +-196 3030 +1167 -1824 +1826 -9 +-226 -2948 +2156 -2874 +848 3480 +4731 1210 +946 5214 +-3900 3169 +503 -4766 +3119 2304 +3187 -2872 +-2859 -3780 +-4740 -708 +-3735 -2803 +826 3955 +-968 662 +-2973 522 +2688 3772 +-4146 1182 +-5813 -1958 +-679 674 +-609 3118 +-2379 -3420 +288 -1411 +551 -1755 +-9116 -3454 +-5795 -786 +1273 1422 +-2026 1630 +428 3747 +2237 -1783 +2517 316 +3122 1445 +3722 -1911 +651 -2931 +297 2191 +-1656 4266 +-2144 -3857 +-3514 45 +4108 2841 +2837 1878 +-1141 2888 +3619 -877 +-3661 -4013 +-316 -4528 +-4795 -487 +-4976 -1914 +4427 -448 +-495 -1588 +2732 -2533 +1136 3246 +-1092 5104 +-1580 3242 +-944 -1355 +544 5386 +-464 33 +4348 -2455 +-3077 5648 +-1827 -467 +6813 2380 +762 -50 +1588 -1401 +1011 1189 +-3090 -1405 +2982 2130 +1743 644 +-209 -355 +3916 -1150 +1024 -2686 +1342 -932 +1592 2009 +-253 3805 +1587 3670 +1973 2946 +-1384 3541 +-525 1172 +1580 -4636 +-5727 -274 +-4222 1461 +328 -2412 +-553 -1988 +0 -867 +-1578 -2119 +-2440 -2466 +-1580 -1030 +-320 -4750 +-2784 -1337 +-576 1216 +77 -1829 +-435 -34 +-409 -2567 +-5249 -4636 +-2026 1630 +428 3747 +2237 -1783 +2517 316 +3122 1445 +3722 -1911 +651 -2931 +297 2191 +-652 -2858 +138 1322 +-758 -1021 +-2615 -4507 +-3885 -1969 +4681 2482 +3871 -988 +1230 2867 +2288 1264 +2507 -79 +5978 739 +1686 -4130 +-2249 -1685 +-2842 -3668 +-1956 1480 +1746 -2282 +1490 -4864 +-2313 3365 +-1043 1158 +879 2049 +879 2977 +-3279 3737 +-3846 -2135 +1178 -2724 +-1106 82 +2421 584 +660 575 +2884 -2075 +5175 7845 +-3713 1546 +2281 -3339 +687 6612 +-1876 5070 +-2154 1830 +-4726 756 +-2224 -275 +-2426 -5050 +211 -3157 +-2935 1485 +-1485 -2275 +-1024 -2844 +-1561 2887 +227 -1446 +271 -3559 +4123 245 +-2311 -5322 +-549 1330 +1950 1806 +-4650 -4301 +-2426 -464 +-253 371 +1865 3569 +3536 3411 +-1012 -665 +228 -1414 +1853 3321 +-3318 2130 +3324 1127 +2443 1395 +-896 -1645 +1168 547 +-4312 -469 +2905 1053 +3631 -1808 +-652 -2858 +138 1322 +-758 -1021 +-2615 -4507 +-3885 -1969 +4681 2482 +3871 -988 +1230 2867 +3068 -169 +228 -2997 +979 -5726 +2494 -4583 +733 1983 +3604 1296 +-1509 3236 +-3824 1177 +1024 -3792 +5227 3950 +-587 -757 +-394 3 +12 -215 +-1517 -5153 +4157 5916 +2050 -722 +-408 -6458 +-3429 229 +-962 -904 +-3465 765 +909 -3753 +6016 -2371 +-2814 6046 +3080 4021 +948 2288 +515 -138 +2265 2675 +-1078 2457 +-745 -3212 +-5470 1718 +-3450 1011 +-2367 585 +4516 3961 +2374 -1454 +-6179 -2541 +-2711 973 +-5048 274 +-2724 826 +2110 -1817 +629 -3120 +240 2528 +478 -2763 +-1653 1334 +951 8896 +3857 -841 +633 -647 +303 553 +4502 -947 +1040 138 +-5038 -146 +-1761 1095 +-5691 -830 +-1650 -2928 +5108 -884 +1504 2463 +1180 -2125 +-316 -1024 +1279 422 +-3478 -1497 +-677 2369 +1932 -1420 +-2229 529 +962 1554 +267 -1333 +3068 -169 +228 -2997 +979 -5726 +2494 -4583 +733 1983 +3604 1296 +-1509 3236 +-3824 1177 +-1480 -1299 +-533 -2785 +499 -662 +2790 -1580 +-211 -655 +1171 4583 +1168 -3319 +-1368 352 +-2920 7268 +-1320 -61 +4863 -3336 +-2984 -716 +-1298 1532 +933 -41 +-1668 730 +-644 992 +1849 127 +2718 -1618 +-3192 -4848 +220 -2857 +-1276 -640 +1022 3651 +1894 5315 +-3633 -1900 +790 -3558 +764 2981 +71 973 +1175 -1749 +-2336 1246 +-5483 -2032 +-2630 371 +-3013 537 +-1364 -1862 +-2140 4135 +-3967 1828 +-1385 1486 +1775 1118 +6636 -6461 +-2103 -3242 +973 3062 +2920 2528 +-393 1319 +2082 1090 +-1297 1641 +1413 1427 +-3316 -3044 +2146 -2601 +1902 2769 +-269 1137 +1924 -1323 +-2170 -4055 +3853 -2328 +-289 3337 +3292 -1163 +-1961 -1065 +-1076 1726 +4266 -1814 +-3854 -2125 +5606 -1102 +-1325 217 +-1571 -2309 +3638 1456 +-638 6340 +756 877 +-1480 -1299 +-533 -2785 +499 -662 +2790 -1580 +-211 -655 +1171 4583 +1168 -3319 +-1368 352 +497 -1279 +757 6266 +-1336 -7731 +2750 -6820 +4225 -2084 +841 -841 +-93 -1458 +2606 -260 +-1024 -790 +-234 676 +3599 4922 +836 -682 +-517 2502 +1771 -1286 +4404 2119 +-1787 4533 +-419 -3244 +4001 -3354 +1584 -6083 +-1142 1651 +-2084 2532 +3259 -1100 +-1959 -1719 +-1200 -14 +4108 -550 +646 -4554 +-757 -230 +-2811 -3081 +-705 1585 +-3334 2304 +-1845 553 +-256 3258 +-2077 -2513 +2158 1750 +-1264 1405 +781 -204 +1307 2046 +-2548 -904 +2565 246 +2070 -1885 +-240 -2054 +52 2625 +-2000 160 +-631 3563 +-2551 4010 +-5367 -71 +-3644 2860 +-4341 -419 +3263 5140 +5943 3272 +45 -768 +-1223 1176 +-5345 -1230 +-1914 8767 +827 -1245 +-1472 -7902 +948 234 +1719 163 +2657 741 +2487 -659 +-1915 -1776 +-2692 -3600 +-255 3700 +-1723 -2368 +497 -1279 +757 6266 +-1336 -7731 +2750 -6820 +4225 -2084 +841 -841 +-93 -1458 +2606 -260 +-7699 839 +1795 1849 +832 -1842 +526 1941 +5950 -1907 +-879 -2752 +-2300 4838 +-5208 2147 +-76 1896 +788 -868 +-1648 -5079 +2032 -2629 +2933 3137 +8043 1522 +1574 -380 +-2356 -108 +-670 -4577 +-571 -1615 +585 -3279 +-1966 3445 +1579 5074 +644 -2226 +-1881 399 +2783 -1138 +4108 2920 +1454 -1689 +-1094 -239 +-2256 2950 +-367 -5175 +2184 -1653 +4386 1880 +3053 971 +-1782 -4631 +406 231 +1833 2727 +-1318 2023 +371 4598 +3148 -3526 +-1801 -1570 +-269 -428 +4500 -1264 +-3163 692 +-3153 -2357 +166 -3738 +2168 -324 +1779 1507 +-2141 -1238 +238 400 +2566 2681 +2509 4574 +-2049 -1876 +3363 -1465 +4741 2980 +-426 -1574 +1142 3068 +-3853 566 +-2212 -392 +-2631 3083 +-5418 -1959 +-2922 -1634 +-3470 1731 +-4966 2445 +-1508 -678 +-2126 -3303 +-7699 839 +1795 1849 +832 -1842 +526 1941 +5950 -1907 +-879 -2752 +-2300 4838 +-5208 2147 +1283 308 +-854 7170 +-2956 -792 +6026 2244 +2751 -2114 +-441 -2686 +1592 -675 +3173 -3777 +-1024 2844 +-648 2344 +1681 848 +-4153 354 +1988 4559 +2137 324 +-3460 -3066 +-3794 -2356 +-1807 -3800 +2428 2461 +-1350 2293 +1266 2821 +2126 2732 +2005 1359 +1477 -3533 +-3587 -167 +1422 3558 +772 -3703 +-1492 -1804 +-1292 -1790 +-2509 -2567 +-1265 -6481 +583 433 +1132 4656 +-1283 -3152 +-289 1546 +-3553 4100 +-6842 5065 +-2512 -1068 +1793 -3114 +-2875 1624 +-4739 1180 +1656 0 +-2476 147 +-485 -3285 +2904 -7091 +1980 334 +4849 -1723 +512 1091 +1202 -514 +543 -3469 +-29 6728 +3358 732 +1046 -798 +795 -1446 +1953 -374 +4306 3030 +394 2211 +-2054 3710 +789 -1868 +-1524 -4620 +-435 459 +-3355 2097 +-612 -4659 +4185 1095 +-2414 31 +1283 308 +-854 7170 +-2956 -792 +6026 2244 +2751 -2114 +-441 -2686 +1592 -675 +3173 -3777 +-5113 -1431 +-1009 -3505 +-1818 -1101 +-1211 2961 +-264 -3338 +2282 -2628 +505 1403 +-5182 -6205 +-4032 2370 +451 22 +-1461 -1263 +-1544 -249 +3634 -525 +2212 4561 +-1099 -2240 +-548 -1102 +281 -1021 +2177 817 +1669 267 +-1827 3677 +-632 4665 +-2566 -3113 +-3823 991 +1616 -3618 +1106 -1340 +1038 1005 +2754 698 +296 3403 +-1941 -435 +-1574 1803 +-558 3740 +-523 3793 +-1207 -4890 +-1365 -7142 +-517 -433 +-1502 3689 +357 3277 +3480 1018 +6015 942 +2858 -2975 +-5132 4266 +-1704 5007 +2162 -338 +-863 970 +1036 -1017 +2893 -566 +-2203 -711 +327 -1169 +-281 -243 +-5827 -424 +4011 -1076 +3280 3515 +1171 1084 +4606 815 +-834 3106 +73 -732 +4266 3552 +7721 428 +784 -547 +-1685 127 +1695 -2448 +-2702 -5684 +-533 -5966 +-3678 1498 +-5113 -1431 +-1009 -3505 +-1818 -1101 +-1211 2961 +-264 -3338 +2282 -2628 +505 1403 +-5182 -6205 +1839 -2508 +201 274 +2598 -5 +5160 -4733 +-450 527 +-3304 1442 +-713 -2740 +-1809 688 +5612 -474 +-1521 3860 +-5983 7794 +1228 591 +-1923 -575 +5016 -1306 +359 2015 +-3476 1092 +-1822 -4728 +-932 -1438 +-1227 -1726 +-5566 71 +2366 -82 +-937 -2926 +2201 195 +2211 -1102 +790 -3552 +2617 999 +-3824 1325 +2806 -6636 +-3584 -4046 +315 2817 +1087 1482 +-3686 -634 +5745 -1284 +364 -3821 +836 -3152 +-2208 -394 +227 -2353 +3733 3229 +-3982 3033 +-2225 -2295 +392 5214 +688 4829 +-2817 -921 +-1037 246 +-4065 1137 +-5185 2491 +4568 1852 +3259 -2683 +1190 304 +5364 -223 +4018 -3608 +-1285 3664 +-2142 3172 +-1031 2821 +1262 2334 +46 -1296 +-2370 -556 +-1941 -4787 +79 293 +3214 3923 +724 -308 +-918 1850 +-990 1943 +840 -613 +1839 -2508 +201 274 +2598 -5 +5160 -4733 +-450 527 +-3304 1442 +-713 -2740 +-1809 688 +-2820 1687 +-5514 6013 +-1228 -3186 +4139 1453 +-851 4160 +-3375 -2025 +2953 -153 +-869 -2630 +-708 7426 +4591 -1700 +-2483 -4194 +1493 2716 +3343 1981 +1187 1229 +2190 -5498 +-984 3676 +2428 -470 +1031 -3495 +-996 2660 +313 -2829 +1084 -2255 +422 3845 +22 7337 +326 3661 +-1422 3868 +1850 950 +324 65 +-807 5092 +-1334 -338 +-3071 -3938 +-1221 1273 +-162 -1804 +3768 -5795 +-3549 19 +-7436 -2375 +95 -1447 +-528 163 +1847 -2897 +3313 -1716 +1097 91 +3236 3950 +-997 1879 +-1767 634 +386 -1631 +-5093 -3807 +-1223 -278 +-173 1275 +-1527 -1576 +2312 -2374 +-851 -1988 +1999 -2340 +3718 564 +1559 -4595 +2 381 +-3683 4024 +-602 -368 +3318 556 +172 -3682 +-1053 -2641 +4658 -1914 +1819 3428 +-2633 -1897 +-873 -2752 +-1161 4529 +-2820 1687 +-5514 6013 +-1228 -3186 +4139 1453 +-851 4160 +-3375 -2025 +2953 -153 +-869 -2630 +1469 -574 +2850 -683 +-194 -3555 +-1904 2713 +-1147 2992 +-2061 1612 +-4203 2463 +-2701 521 +3236 158 +-2473 -5052 +-4710 -1730 +1155 -2226 +4255 -5424 +4035 -1692 +-1586 2200 +-626 2204 +1345 -837 +-812 1420 +778 153 +-3109 3138 +-5849 1765 +1023 -539 +904 4429 +319 4436 +158 4816 +-4804 -203 +-461 -1239 +488 395 +-3757 -2177 +-1125 2867 +-1180 7464 +-1183 978 +3587 -690 +-960 101 +-4977 110 +302 3334 +1639 -1859 +1450 4049 +546 -915 +-1546 -7238 +-3868 474 +1798 -4562 +1957 2445 +-2393 165 +6056 -2183 +855 3220 +-2431 -2970 +-742 -2994 +-3241 -2955 +-1545 795 +-369 -577 +5721 -1870 +-3491 262 +-2801 3025 +6775 3842 +2873 -4220 +4898 -2920 +1107 -1278 +2921 -664 +4580 239 +-234 -961 +935 -552 +1175 -1344 +1295 -2101 +1469 -574 +2850 -683 +-194 -3555 +-1904 2713 +-1147 2992 +-2061 1612 +-4203 2463 +-2701 521 +-2570 7322 +346 1246 +-3120 1509 +3114 3054 +-1541 -2524 +-3290 3477 +4442 2261 +-638 1410 +-3394 1422 +-2586 -6039 +-1635 -2033 +1836 -1022 +-253 711 +-3081 4214 +685 -1811 +2121 1647 +-805 2443 +1124 -350 +2886 -1388 +-269 -551 +-1867 2188 +4961 -4174 +7408 -944 +-733 2289 +-632 -556 +2288 2283 +-326 1759 +-2132 -1526 +-1243 -3712 +-3804 -3359 +-5211 -3123 +1413 1575 +674 1843 +2300 -413 +2341 269 +-2148 -1175 +-39 2393 +-2456 -2322 +3836 -4696 +1548 1550 +-4822 3950 +-1315 2363 +-5456 -1728 +-475 1415 +907 206 +1663 -1343 +2171 508 +-1053 -853 +3333 1665 +-704 -2159 +205 -4182 +-1563 140 +287 -1425 +3358 2655 +-4002 2638 +5360 -7012 +4424 -1656 +-559 1652 +2578 -1791 +-2831 -704 +-2572 -1628 +1756 4797 +3312 110 +-3550 -2764 +-2570 7322 +346 1246 +-3120 1509 +3114 3054 +-1541 -2524 +-3290 3477 +4442 2261 +-638 1410 +751 566 +861 -1141 +2822 1063 +527 -21 +1853 3707 +-1284 3038 +-436 -37 +7863 1663 +1972 316 +-133 6397 +2715 5929 +1538 -3218 +-1080 -818 +-1702 -617 +-1252 2955 +1123 3016 +4512 -5907 +-490 -435 +1970 3920 +-1699 324 +-6239 3776 +-927 1964 +-4569 -3101 +-1260 528 +632 -1504 +2357 -3356 +3824 1665 +-211 2783 +4192 -2303 +3890 -6678 +-457 -875 +-1075 1925 +197 -882 +-1499 -868 +-933 1273 +-186 -560 +-767 -1850 +-69 1894 +-2670 -2645 +2808 -581 +-1340 1580 +-6199 -4434 +-5 -2217 +-616 806 +1597 2829 +41 -523 +-962 -5497 +-730 -4127 +-2299 1798 +-2598 2644 +-3827 511 +1904 4468 +-1167 -578 +-1231 -4237 +3698 803 +-2602 2196 +-632 -1024 +332 -2076 +-246 496 +2537 -1314 +347 292 +1066 -1686 +-2200 -4244 +-2335 2225 +751 566 +861 -1141 +2822 1063 +527 -21 +1853 3707 +-1284 3038 +-436 -37 +7863 1663 +-2063 -520 +-118 -1655 +180 4783 +1656 1549 +-954 -301 +-577 993 +-1583 -1089 +-3675 3001 +4500 2370 +2965 -784 +1468 -562 +1347 -3583 +420 -2084 +-1070 2369 +-4595 -78 +-2922 1356 +-3688 2470 +-3443 -1194 +-2422 -359 +1127 2570 +94 854 +-2757 -870 +-1504 1034 +-2989 -2213 +1738 -708 +2575 3460 +-1179 1053 +1173 -6223 +-65 -4851 +-4746 2480 +-397 -4778 +-1004 -1339 +-5522 1152 +4477 -3510 +4515 -161 +-136 -310 +5046 4764 +1605 3043 +131 1208 +258 2313 +-4816 1106 +2226 1293 +3004 -1692 +-1091 1314 +3117 826 +-807 -239 +2519 620 +4699 3082 +1791 2586 +-383 -2560 +-2350 -132 +1523 -586 +870 2268 +8717 3255 +352 -4236 +-8139 -5029 +1738 -872 +-3612 -42 +-689 863 +440 1323 +-2208 -4004 +2533 -3511 +2550 -1529 +148 246 +-2063 -520 +-118 -1655 +180 4783 +1656 1549 +-954 -301 +-577 993 +-1583 -1089 +-3675 3001 +-1734 636 +-519 -871 +-1991 -87 +3179 -2452 +2067 -1337 +-1525 1770 +3107 -2292 +4935 -5147 +3868 -4108 +-3511 236 +1473 708 +1004 -2947 +-4924 -2003 +3462 -555 +2038 2086 +-7350 2407 +-4585 -2439 +2258 -749 +137 -1736 +-2473 -1292 +-527 724 +-1922 -1184 +-2042 4818 +6011 1735 +4898 5290 +2225 9992 +-1012 -1913 +-7086 -30 +-518 2272 +26 102 +1885 3179 +87 1499 +-3638 -1268 +-318 2646 +-3598 1070 +-1004 -2874 +1362 1091 +1501 -1856 +-271 2372 +3481 3198 +1188 -2528 +-2713 1270 +3596 469 +-2287 3920 +4439 3360 +5037 -1993 +-2228 -2286 +2389 -349 +1109 -89 +1731 -4787 +-1437 -1251 +-3774 2899 +-5430 3946 +-2257 3359 +4153 -1476 +156 -2485 +-4898 -550 +-941 -2897 +1568 -4843 +-1860 -274 +2267 -469 +-2534 -1954 +-323 -1344 +4593 -334 +-1734 636 +-519 -871 +-1991 -87 +3179 -2452 +2067 -1337 +-1525 1770 +3107 -2292 +4935 -5147 +-4369 1580 +4155 -884 +-2164 3837 +-384 -175 +-27 -3566 +112 1235 +-3075 2046 +-4415 3900 +4974 -2686 +-3346 2536 +-3394 2107 +2212 1547 +-3920 1046 +-1416 -3347 +3240 1028 +2578 -4949 +-2828 -2435 +-3047 -959 +676 269 +3139 -219 +1915 328 +-1524 4142 +450 -1572 +2337 3373 +4582 -866 +-782 -5423 +-681 -886 +3155 4437 +-4690 4130 +388 2090 +2942 6544 +-1949 486 +-687 -316 +-648 -2783 +2082 -1164 +923 2716 +-1892 67 +-3414 3005 +-1345 -564 +1127 -2772 +714 -1106 +2585 975 +1245 -1815 +3757 1659 +2231 2669 +-1757 -5111 +649 -333 +959 2820 +2196 -93 +-3355 -2755 +-5913 -1525 +318 -2776 +636 642 +-749 246 +2137 -4379 +3088 2683 +1738 866 +4003 -1648 +-701 -3351 +-173 -1609 +-574 2267 +-6376 1099 +3849 -2770 +-1503 -3536 +-4369 1580 +4155 -884 +-2164 3837 +-384 -175 +-27 -3566 +112 1235 +-3075 2046 +-4415 3900 +-1742 -1367 +1809 -658 +2663 -747 +-838 810 +2893 4743 +2655 -4544 +-1594 -6425 +-287 1020 +-1182 1896 +-4558 173 +125 4935 +6742 149 +4667 -3605 +479 722 +-3553 -1399 +-2729 3170 +-5078 -3657 +-354 -5778 +4800 2976 +-4179 1234 +-4093 -342 +-2588 -474 +-2596 3447 +-1690 3958 +-158 1504 +1912 790 +-1686 4078 +-2565 -702 +-1654 -2610 +-3212 4122 +-100 -2546 +2380 -2617 +-5210 2315 +1349 4604 +6981 1543 +-1758 -337 +-1830 -682 +-1026 891 +1398 4122 +3368 -9184 +4026 -1264 +-434 6756 +-3371 1537 +519 2986 +2161 -2568 +1489 869 +-2456 916 +3641 1734 +1286 -1083 +-1753 -5215 +3234 -286 +1116 -5254 +1134 -4983 +-1839 -693 +1050 273 +-1841 -318 +-2054 -2136 +3445 -302 +1159 3662 +4909 743 +1778 -1329 +97 1264 +-997 -916 +-4261 79 +-1742 -1367 +1809 -658 +2663 -747 +-838 810 +2893 4743 +2655 -4544 +-1594 -6425 +-287 1020 +3498 -1645 +1389 2644 +-2902 2455 +-124 27 +-3181 359 +-5334 -157 +702 573 +1056 5544 +4026 -316 +-740 -2065 +-1326 1955 +1387 2237 +-6245 3537 +-415 -687 +-415 -1546 +2644 -844 +4385 3579 +-400 3186 +275 -4614 +-4403 -769 +588 2610 +-1519 -143 +-2268 -914 +-2532 2414 +-4266 2768 +3110 3071 +-3048 4 +1279 -4150 +5044 313 +-2007 569 +328 -1682 +-1070 -5238 +-2866 697 +611 4177 +1893 -1447 +2782 1635 +2811 -2147 +-3965 -939 +-4977 2342 +-297 -2672 +2294 -1896 +2123 6 +-539 -1162 +191 -2326 +2300 -39 +2175 1844 +-119 5438 +4666 3006 +3831 -103 +-2272 4151 +3046 184 +3992 -3720 +-2745 -822 +-1728 325 +3491 -2895 +-870 -2255 +-790 -556 +1325 -3487 +74 -2431 +476 -1042 +-3626 -1284 +64 145 +731 -1316 +-1593 -4486 +3498 -1645 +1389 2644 +-2902 2455 +-124 27 +-3181 359 +-5334 -157 +702 573 +1056 5544 +574 3741 +2291 -1811 +258 3968 +1325 1557 +3151 -2706 +546 5161 +125 569 +1836 -7 +-3394 1580 +-3348 2067 +1834 1698 +2839 6121 +-420 4956 +-1877 -2049 +-2543 -259 +112 -891 +405 -1337 +1572 -3359 +8017 -344 +1756 -1220 +-3843 -886 +-195 2689 +4201 -113 +-1063 3357 +-2528 -1182 +381 930 +-5852 4523 +381 -1749 +-244 -1797 +-4650 6 +1266 4296 +967 1055 +690 -3741 +1096 -805 +1642 865 +2654 -3042 +1279 -3282 +1098 4644 +1001 4505 +-6126 -4212 +-714 -5056 +302 -3038 +-4057 -4015 +5869 704 +-2593 -1234 +-1789 -3992 +-120 2105 +-5052 5301 +2755 -559 +-2280 -3920 +-1687 -621 +191 -5804 +677 -1974 +188 1115 +-2091 -352 +-619 2236 +-316 -2294 +4978 -1162 +1109 -1019 +-343 228 +-535 603 +-841 992 +1952 -639 +-2199 -1105 +574 3741 +2291 -1811 +258 3968 +1325 1557 +3151 -2706 +546 5161 +125 569 +1836 -7 +-2516 -1684 +-3218 -1096 +3584 4369 +1427 610 +579 1891 +-789 -29 +-2516 -1877 +-432 4532 +-3716 0 +-1417 -3802 +3383 -482 +-3546 -444 +-1736 -139 +4437 -1064 +2689 -3593 +-336 654 +1161 906 +2556 -4754 +104 2432 +2878 2314 +1529 -1047 +-1829 -1827 +-3499 -5377 +516 -1102 +5372 -1656 +1627 -1781 +4579 -1062 +2073 1469 +-4123 -1584 +-985 -3457 +4112 3720 +1224 -963 +-2856 -1792 +1019 1305 +284 1611 +1183 -2393 +-3238 -658 +-5456 4278 +2149 1910 +3082 3150 +2136 -1580 +-2774 -975 +-3644 -4224 +-1576 -2824 +1496 3561 +2801 -2456 +-2232 1946 +2727 817 +4843 -1222 +-1615 537 +-2075 1637 +-1072 -435 +-3295 -3977 +-665 503 +29 -2023 +-3907 3632 +-1896 5764 +-864 3352 +-2422 3304 +-2043 -1809 +1202 3218 +-412 -1375 +533 -2289 +5387 5432 +-2516 -1684 +-3218 -1096 +3584 4369 +1427 610 +579 1891 +-789 -29 +-2516 -1877 +-432 4532 +93 -3841 +2893 -2220 +1174 -5408 +2415 -4907 +-1293 4966 +2064 1779 +-1841 -4583 +-1964 -1354 +2294 -3160 +519 230 +2315 2510 +-376 3259 +59 713 +697 -187 +-2684 3810 +-2074 2063 +-893 6570 +-93 -2481 +-1332 -5574 +627 596 +319 -2354 +-3812 2564 +2779 -1005 +3225 -3529 +-2370 2136 +-513 2364 +-3873 -691 +-3663 -52 +2194 2972 +2435 6861 +212 743 +18 -5032 +2435 1629 +4165 -3452 +-264 2690 +-6677 1920 +-4494 -7178 +-3698 3075 +-2427 -703 +1061 -5474 +-1662 -4108 +-1442 -377 +516 161 +805 -6596 +-81 -5128 +-834 3747 +-2770 4349 +135 -769 +2789 5122 +-1598 273 +792 -1757 +2026 38 +1045 141 +-1558 4924 +2013 -3171 +4747 158 +-2686 3236 +2697 211 +3201 484 +1790 469 +2252 3339 +607 387 +2191 3088 +-4624 1514 +93 -3841 +2893 -2220 +1174 -5408 +2415 -4907 +-1293 4966 +2064 1779 +-1841 -4583 +-1964 -1354 +1618 2023 +1833 -3310 +-2968 -691 +-852 1224 +52 2710 +-5390 530 +-323 -504 +-2322 5880 +-398 -4424 +2549 -2960 +-65 995 +746 1931 +-546 5294 +7002 -1655 +5103 2747 +-1419 -1587 +-6011 -2371 +-5333 3948 +-375 3042 +-2057 2118 +-2268 -3949 +-2865 -1644 +872 -654 +-671 2144 +790 -1024 +-1390 -3789 +628 1752 +8590 -5303 +86 864 +352 5914 +-2549 -1288 +-2274 -2700 +-1618 -2339 +-735 55 +6615 107 +1414 552 +2144 1744 +-1145 372 +269 -2893 +-116 -1386 +-3078 -632 +2896 -809 +-972 2274 +1195 588 +2372 1164 +-1244 786 +-2828 1215 +-1303 20 +-309 -4266 +-3919 2220 +-4536 4649 +-955 -1870 +1335 -3665 +1412 -207 +7290 -1050 +1882 -481 +-1106 4184 +1571 -4510 +-856 -3279 +1598 6341 +-3176 -2898 +-651 -2525 +4807 1163 +1599 114 +1618 2023 +1833 -3310 +-2968 -691 +-852 1224 +52 2710 +-5390 530 +-323 -504 +-2322 5880 +2253 -309 +-3502 1168 +-6343 4039 +1869 -632 +-91 641 +826 -2378 +1107 -1162 +1821 407 +1188 316 +188 2128 +1564 -1021 +-4506 -3136 +84 -3526 +1692 -680 +-2430 166 +-3361 -1360 +-2069 -177 +1484 -3275 +-3051 -2544 +331 2940 +3176 2206 +-800 -429 +-639 -4958 +-234 -236 +158 4190 +2267 -2346 +546 748 +-6217 146 +-1162 -940 +2356 2128 +-560 -703 +-1833 1847 +-4781 -639 +1167 -3264 +3253 2955 +-1350 1274 +1965 -1928 +4757 1113 +1344 1859 +3889 3752 +1972 -1896 +-7689 -5206 +-3236 172 +966 -3388 +47 312 +579 15 +-2852 -1594 +-222 3869 +2069 2389 +409 2508 +2380 -1998 +1570 -585 +3166 977 +1645 140 +-4001 1810 +1784 801 +1738 2446 +-363 26 +3622 5234 +864 916 +399 -4062 +2569 769 +-817 -3002 +-2955 969 +2253 -309 +-3502 1168 +-6343 4039 +1869 -632 +-91 641 +826 -2378 +1107 -1162 +1821 407 +1160 -1699 +-3818 273 +-2064 -1244 +2737 -3271 +969 -99 +-971 5499 +-183 1207 +-1302 2100 +-8450 2054 +-3450 -17 +2684 2362 +-5080 -2350 +852 -3449 +471 819 +-3669 4095 +-1983 4408 +805 -1161 +2496 -5889 +-3381 -471 +1911 3578 +-335 2754 +-3995 976 +-812 -208 +-2656 4436 +474 1182 +1304 -1673 +-767 -5716 +1344 -5838 +4396 3802 +-848 845 +-968 -477 +2462 -1283 +1052 -1145 +-3299 201 +-4864 -1625 +2730 2262 +-953 1040 +-3182 -179 +-1084 -1975 +2219 -3150 +4026 -1422 +-3524 1327 +808 2220 +3223 -537 +1507 3203 +1042 1643 +-388 882 +1258 -703 +2039 -4843 +3697 1673 +1709 -529 +2139 622 +3480 5785 +484 -2124 +1154 -518 +4800 -2170 +-1106 -6870 +-3147 3427 +2082 -54 +-1048 -4515 +197 869 +-956 782 +-370 2050 +4945 -1173 +1160 -1699 +-3818 273 +-2064 -1244 +2737 -3271 +969 -99 +-971 5499 +-183 1207 +-1302 2100 +-790 -6931 +-4298 2572 +8349 -2353 +6188 -1351 +1108 452 +-1361 -3001 +195 -2299 +-222 -1490 +-1972 948 +-1095 -559 +-1360 3563 +1216 6629 +-542 -1301 +3240 136 +-2277 3174 +-2212 876 +2093 1552 +-1111 1922 +1788 2175 +-3448 619 +1538 -2326 +707 -1016 +1072 -571 +5310 -929 +-2844 -708 +1246 -4518 +-799 -291 +-1179 3415 +-1824 -3727 +-3044 -1850 +2011 -211 +-1639 -645 +1106 3455 +-96 -1351 +2122 2225 +5033 5772 +-5184 441 +-948 3514 +2871 -2135 +-2174 -3396 +-240 3792 +-2297 2945 +-2099 3799 +1476 1913 +1382 1346 +-704 -511 +-3563 438 +-1637 5186 +2647 -1236 +7676 -2148 +25 775 +-3975 298 +642 1432 +-2555 -640 +2195 -2070 +344 -1027 +-1264 392 +129 25 +-442 220 +1685 -95 +-911 -5166 +-545 -3106 +-5033 1145 +289 -8189 +-790 -6931 +-4298 2572 +8349 -2353 +6188 -1351 +1108 452 +-1361 -3001 +195 -2299 +-222 -1490 +-389 -902 +691 -1942 +-4684 3246 +947 1107 +921 3910 +1426 738 +2108 4713 +-2257 2328 +-4184 632 +-202 4717 +-1983 -3570 +244 -2211 +8595 692 +6 -2527 +-2479 -3822 +2347 -1391 +-111 -1980 +-544 245 +-87 2467 +-877 133 +-2661 2254 +-1133 -208 +-796 -1202 +2925 -1048 +1106 -398 +-8350 -2803 +-1368 -2899 +1490 1352 +-677 -1808 +3468 -1618 +439 -53 +1659 -14 +389 -2574 +-3419 1445 +2427 -1320 +2687 -7153 +-1476 3458 +2009 2251 +5373 -1976 +32 -2160 +-872 316 +2635 2848 +1998 -929 +276 2587 +-3386 4147 +-2478 891 +477 59 +4666 748 +2007 1032 +-3758 1814 +2159 -741 +1048 168 +-576 -2038 +-187 -4105 +-1291 2488 +-1642 -3923 +-4266 -3710 +740 304 +274 1219 +1029 2754 +523 -503 +-1017 479 +2489 -207 +-4461 4195 +-389 -902 +691 -1942 +-4684 3246 +947 1107 +921 3910 +1426 738 +2108 4713 +-2257 2328 +-2205 4535 +848 5751 +-104 -1826 +-2049 -2168 +-2403 544 +-4133 -1447 +-861 4280 +-2610 292 +82 -474 +3186 -836 +2853 2550 +-1212 690 +-7259 -4291 +3027 1150 +2293 -3275 +3135 -1919 +6280 -1653 +-473 3006 +1148 -1746 +-1905 -5917 +-34 6787 +6376 1435 +4328 2314 +-2057 -580 +-3792 -2288 +-1502 -574 +-1073 -3938 +-2768 1615 +1183 -2694 +8056 2417 +2234 4435 +352 358 +-2536 2417 +-1131 -4544 +4552 -3289 +-1121 3220 +538 1461 +-2436 42 +-3125 1460 +4325 -134 +4658 -1422 +-855 -3380 +-2295 -2301 +1909 -481 +-3192 -471 +-2603 778 +1169 1658 +-3508 3288 +-3436 -875 +700 392 +1849 -692 +3949 -3466 +4427 -1207 +2833 -5046 +2480 -502 +-2768 2393 +-316 -2768 +1818 -1233 +-1873 2394 +-6545 -1014 +-7165 2400 +3986 4617 +1594 -1522 +-4824 1294 +-2205 4535 +848 5751 +-104 -1826 +-2049 -2168 +-2403 544 +-4133 -1447 +-861 4280 +-2610 292 +1380 -1935 +-709 3770 +1592 3684 +-336 2553 +4453 -2563 +4237 -2504 +-1924 -3659 +215 453 +-1188 4740 +-692 199 +-368 -211 +814 -3901 +-403 1503 +2263 2890 +5626 -1928 +1412 -1992 +2720 -4408 +3499 99 +4662 2702 +799 -1221 +-2763 -575 +63 2122 +-2261 155 +-2494 916 +-948 -1024 +-1168 -1908 +-1558 4412 +1456 3953 +929 1101 +54 1465 +4978 1205 +1063 -1080 +-748 -2489 +1554 -6468 +129 -2254 +1977 2761 +-986 798 +-2045 2790 +4471 -4211 +1449 -3212 +-3236 2212 +1354 1269 +-628 1166 +-4046 -17 +2191 3238 +-570 1342 +-5114 -1476 +-1171 -2395 +-2088 616 +-1027 1970 +-1914 662 +-3896 -728 +-4496 -2084 +-1000 264 +6034 -3554 +-5307 4126 +-4740 4816 +3140 -873 +-1915 1215 +-931 -1459 +-2717 3639 +1160 -1373 +830 -5493 +-1117 -3812 +1380 -1935 +-709 3770 +1592 3684 +-336 2553 +4453 -2563 +4237 -2504 +-1924 -3659 +215 453 +-2141 3379 +-625 5204 +-278 -2693 +2006 -5775 +2451 -560 +565 5384 +956 -1214 +-716 -3588 +-550 0 +-4017 2595 +-2518 1121 +3411 -5403 +1718 2989 +2237 1215 +-1553 -4062 +-2332 -415 +1935 2724 +3129 -1314 +499 -3488 +-2266 1348 +1004 -3183 +-8 -149 +2197 -554 +2832 -1035 +-2370 -1972 +-3302 -3464 +-2450 -607 +570 -2174 +683 2638 +1502 -1318 +6 393 +444 -717 +4670 -535 +-733 -471 +-2724 -4815 +-731 7593 +1456 -88 +1105 -1157 +-6300 1625 +-1032 -2067 +2130 3160 +-3877 880 +2133 1349 +407 -3476 +-3206 -445 +3294 -2509 +3285 -7090 +2504 924 +2489 -512 +3448 1471 +2580 3029 +-1004 -3907 +-1119 -593 +-99 4784 +-351 -3572 +-3018 -516 +-2370 2604 +-2069 526 +230 3577 +2076 1004 +-1724 3034 +-551 964 +-768 4362 +-3151 5564 +-2141 3379 +-625 5204 +-278 -2693 +2006 -5775 +2451 -560 +565 5384 +956 -1214 +-716 -3588 +1841 1499 +1470 -1352 +-1252 -341 +1142 -1463 +-2251 3269 +2061 3707 +1949 -949 +966 2742 +6396 -790 +2606 -626 +1300 498 +-3549 -2848 +-2270 4127 +1801 5343 +-1783 150 +-2757 -1052 +-1134 288 +2504 38 +1799 -539 +-1025 2740 +-3728 -2440 +-2327 -1256 +-418 1062 +-1051 -3157 +0 714 +1782 1774 +1005 2213 +244 -3426 +2226 503 +414 6165 +2186 -503 +-663 3636 +-1841 713 +-190 -3162 +-3977 -400 +1867 3829 +2219 1277 +-357 -5315 +191 1448 +-1011 1368 +-4500 -2054 +-5352 -3054 +-265 -2921 +-188 -2485 +-2755 -2339 +-3893 -1702 +3917 -1622 +-295 2467 +-3923 -2500 +4237 -1177 +2798 2960 +4983 47 +-2561 -4634 +-1747 -5171 +3443 4651 +-3548 977 +632 -398 +-1324 -3014 +-2672 -5262 +1519 1666 +-994 -2291 +843 3746 +4419 4611 +839 17 +1841 1499 +1470 -1352 +-1252 -341 +1142 -1463 +-2251 3269 +2061 3707 +1949 -949 +966 2742 +706 -1163 +-5111 -1701 +2515 -273 +3846 -1281 +2110 -2927 +341 1448 +-5025 1844 +742 329 +76 1264 +1985 -5331 +-2538 -1154 +-2102 95 +-1131 -1644 +-3021 5490 +2447 558 +-5679 1093 +613 3490 +4039 -1101 +-919 2784 +-167 4455 +-475 1076 +-202 3926 +-3721 1213 +3422 -3859 +-2054 234 +-3064 -1007 +5807 353 +-1080 -1963 +2552 -3740 +4760 3447 +32 -3306 +1870 -4454 +3718 2743 +2044 4018 +-416 2147 +2734 -1850 +4625 -16 +817 -633 +2212 -2019 +319 3380 +-4500 1580 +-1391 -2545 +1374 831 +1904 1124 +-4155 641 +-1781 -3598 +-406 -3004 +-4075 2618 +3179 -4438 +-374 -2210 +-886 3418 +1367 3306 +60 3131 +1899 -2029 +507 1089 +-51 2177 +-3002 -3710 +-3338 -4272 +119 -1786 +4069 -465 +206 950 +-2659 1042 +-3631 -166 +-2065 351 +706 -1163 +-5111 -1701 +2515 -273 +3846 -1281 +2110 -2927 +341 1448 +-5025 1844 +742 329 +-3028 -928 +1927 -2230 +583 757 +-1439 1086 +780 6458 +-1791 2942 +1381 -1171 +1236 -461 +-6554 -1106 +14 -1342 +-1637 1357 +-1869 68 +6159 -4367 +3024 -1341 +512 -4600 +1272 689 +5702 8165 +341 2781 +-2297 -2535 +5995 -4086 +2693 -614 +-1331 -2622 +418 556 +-674 1757 +-1580 1340 +-1760 2723 +-2382 -3054 +2602 -628 +1101 -154 +-798 -1471 +-2300 -4433 +-5692 -3871 +3344 296 +1560 -1738 +-1317 -3162 +-1818 -6839 +500 -840 +6769 480 +-3107 -2961 +-2941 524 +234 1422 +-763 6034 +-373 3006 +547 12 +299 3958 +-4320 3206 +3777 -810 +1321 -3325 +-2226 683 +768 3259 +-1841 2966 +6346 3629 +1715 1316 +-4494 868 +4021 3328 +1508 2019 +-3476 240 +-670 779 +415 -600 +-1056 -5296 +-3135 -701 +-1005 2842 +1617 -3813 +-2810 -448 +-3028 -928 +1927 -2230 +583 757 +-1439 1086 +780 6458 +-1791 2942 +1381 -1171 +1236 -461 +-3981 1533 +1914 -324 +5442 -937 +3259 -3413 +-5282 -512 +2485 -260 +2414 -148 +3054 -285 +1182 1422 +1290 2462 +1876 1545 +-7193 648 +2714 -1290 +-862 -1364 +-2303 187 +4910 4961 +-613 1126 +2573 520 +2538 8510 +560 1828 +1360 -1622 +1743 2159 +-1405 -1162 +-51 -2006 +0 -240 +-3327 -2256 +5549 -1089 +3529 3454 +-2253 -1527 +636 -2033 +3637 1362 +4326 -3508 +-2971 -585 +-2724 3193 +4327 888 +586 -3541 +-2402 -3041 +-1731 635 +-4767 -2064 +-994 182 +-1814 -474 +-4213 1714 +79 2816 +541 -4719 +3259 -213 +-21 -1349 +-510 -2399 +4750 1833 +-3179 2350 +-4362 5467 +-885 2436 +-6948 1927 +-1893 751 +2045 -984 +-973 -1050 +-159 -2480 +-1264 -2604 +65 -3256 +-5021 2263 +-1639 -129 +1968 -2658 +-567 -1796 +5171 -3574 +-3477 2719 +-3981 1533 +1914 -324 +5442 -937 +3259 -3413 +-5282 -512 +2485 -260 +2414 -148 +3054 -285 +-6658 -736 +-3975 -1260 +7250 3380 +-1770 -16 +2092 776 +-2320 -1814 +-2092 -426 +-2123 -907 +-4184 -474 +1530 583 +-552 -201 +2495 5234 +89 1889 +1461 -602 +502 1498 +4473 3850 +2513 -304 +-8480 -896 +-2124 4147 +-810 -3505 +3502 -4693 +-692 -3511 +-1456 -2358 +3404 -1965 +-948 -3558 +2667 1909 +-1313 -2558 +-555 -4298 +1605 2163 +-1367 868 +-1074 -600 +-911 39 +-294 -844 +1818 2633 +1728 163 +-2490 -3609 +-997 4549 +-2871 1276 +-1450 -4324 +475 -150 +1972 -790 +1282 -470 +-3374 2127 +4689 959 +-706 -216 +-3389 1542 +5899 -366 +2901 -1980 +1279 -644 +-2935 -1353 +-4805 -846 +2630 1201 +-172 633 +-1956 -1005 +1684 -1524 +-2228 -1068 +2528 2294 +2820 4959 +1925 3900 +4659 -2069 +908 -44 +-1289 2197 +-3277 -2014 +2857 3228 +-6658 -736 +-3975 -1260 +7250 3380 +-1770 -16 +2092 776 +-2320 -1814 +-2092 -426 +-2123 -907 +367 -2247 +303 -238 +1155 -2417 +518 2720 +-457 1256 +2089 2208 +2037 4834 +1768 -46 +2762 3160 +-2096 1560 +-7189 -1952 +-2332 3042 +-1164 -1425 +-2603 -98 +-2023 110 +972 -3282 +1469 1429 +-5294 1239 +-1983 -733 +-2638 -396 +-2261 3013 +-326 -1832 +-2099 -328 +-1630 5594 +-1264 234 +2584 4084 +-1621 2338 +2736 -245 +2067 1779 +829 -5363 +1802 -4149 +-2284 -385 +7849 -2810 +266 -134 +-1952 1414 +217 -935 +-3127 -1301 +2107 -4852 +3956 -1777 +6786 4501 +-866 1264 +1206 -3180 +1476 -838 +-360 1463 +4825 -4308 +1214 -1617 +-1020 1788 +-1882 -547 +3587 1099 +-548 477 +-1492 -269 +-1753 1588 +-4899 5881 +1577 2248 +-1475 -3622 +146 -5819 +1264 -866 +158 4236 +-2299 -5128 +-114 -2769 +2488 1427 +-6521 1263 +85 3145 +4909 -4486 +367 -2247 +303 -238 +1155 -2417 +518 2720 +-457 1256 +2089 2208 +2037 4834 +1768 -46 +-1133 1961 +887 -1021 +577 3253 +-5155 1549 +252 -196 +2460 1406 +-1 -2259 +1891 -1240 +1340 -1106 +-405 325 +4487 2813 +962 2373 +-4485 1675 +-2460 -364 +1349 895 +3356 -2071 +-4092 -4713 +2164 1390 +4445 1463 +-3359 899 +57 5494 +-1816 4557 +-1316 -2182 +2916 -1826 +1580 714 +-787 -1673 +-1933 1634 +-5573 784 +-1790 -1262 +4495 -2414 +-482 -2706 +-2522 2028 +-131 -381 +-823 446 +-5499 1560 +-2240 1517 +1236 1214 +653 -2055 +-2195 294 +-5931 -197 +240 1106 +-387 2166 +1464 477 +-820 1305 +-1950 991 +2582 -1970 +-6028 -794 +-2011 2686 +932 -1924 +1954 -1263 +3037 -5350 +-2027 -7836 +984 440 +2543 856 +4375 3221 +2295 2818 +2528 550 +6245 -1632 +4798 471 +426 2460 +-623 -4564 +391 -1282 +-2021 -2790 +96 -2721 +-1133 1961 +887 -1021 +577 3253 +-5155 1549 +252 -196 +2460 1406 +-1 -2259 +1891 -1240 +-832 -8 +263 -4596 +2458 417 +652 5195 +-2333 1426 +-1118 1932 +2452 1877 +-2592 -4734 +708 790 +3124 2875 +-1312 -2686 +-601 -392 +-1826 2973 +-2833 224 +-1504 -3491 +-1078 -555 +2520 -454 +2385 -667 +-3113 -629 +1560 -94 +700 2382 +-885 1018 +696 3718 +-2162 3375 +4898 -1504 +3505 -1112 +-3423 662 +-2227 3398 +626 3695 +-4306 -1496 +-4309 2021 +3372 1802 +2412 -3468 +7106 1422 +4636 616 +-290 4177 +1685 2797 +2395 -2963 +2355 -2545 +-231 -4309 +-708 2370 +-4081 -1825 +-304 -1475 +-1893 2467 +-609 -691 +1260 2527 +-6588 -3552 +2659 -1662 +-940 770 +-5769 -2832 +-144 -3935 +-4581 -3910 +-4476 -2181 +965 -5273 +6748 787 +5205 1949 +790 -2288 +-2433 -1373 +-62 -1819 +1494 2631 +-2614 3503 +-2106 -502 +1413 -80 +3242 3304 +-832 -8 +263 -4596 +2458 417 +652 5195 +-2333 1426 +-1118 1932 +2452 1877 +-2592 -4734 +-196 1934 +2402 -1155 +2689 2820 +-2098 1922 +1254 -2739 +1092 -3369 +-1191 -694 +-2176 -2633 +-866 -316 +-3524 -2635 +-5704 -5146 +4261 4502 +4035 1179 +-4217 4150 +824 4068 +3943 -1190 +181 524 +-621 -542 +-76 1723 +3563 -2484 +1688 -735 +-895 -3698 +43 -4472 +-2673 1970 +158 708 +779 4129 +-2152 226 +-1466 3882 +-2618 6831 +-3956 -1510 +-1391 322 +3386 986 +3040 -1302 +-629 1946 +-1002 1185 +-3484 -725 +-4584 5676 +3698 3172 +1631 192 +-3448 377 +2130 -1896 +-241 -504 +-1983 -3735 +-2585 -1822 +-5206 -554 +-372 300 +3439 1668 +894 -4360 +-2393 -1156 +-1114 3317 +4908 -212 +6270 3622 +-2783 4119 +-1119 -3258 +3200 -235 +431 -2331 +1738 -3552 +-845 807 +2056 -1917 +2908 43 +1894 1392 +1977 -1150 +-2764 -5905 +-141 -1758 +-196 1934 +2402 -1155 +2689 2820 +-2098 1922 +1254 -2739 +1092 -3369 +-1191 -694 +-2176 -2633 +-594 -328 +1253 1111 +-1989 -774 +-3584 2203 +-458 -5800 +-381 -2312 +3121 4293 +1384 -1760 +-866 -316 +3092 153 +4975 1293 +-2188 -1289 +-5372 4456 +1255 7083 +2991 -848 +196 -4944 +801 -6778 +-47 2599 +1503 1177 +5197 1449 +566 6217 +1743 -996 +1432 -1907 +1673 -1667 +6478 556 +1251 -654 +769 -2360 +789 1176 +-1389 241 +-2274 988 +277 -87 +618 -2300 +-3830 12 +-1392 -257 +-722 584 +679 3104 +-1400 -3524 +-1122 -2323 +432 6399 +-2140 802 +2446 -948 +3260 2839 +1740 -929 +-1065 -2055 +-617 -1867 +-258 1556 +2088 3785 +4648 -1128 +-3329 -1754 +1174 -4256 +2351 -1325 +-4527 1449 +-3765 -2581 +-7009 1539 +-3535 2189 +3110 4388 +-4898 -1820 +-3228 -5110 +5278 6127 +-4242 1113 +-1471 -3462 +2684 -1958 +-3014 80 +-551 -541 +-594 -328 +1253 1111 +-1989 -774 +-3584 2203 +-458 -5800 +-381 -2312 +3121 4293 +1384 -1760 +-245 -16 +3280 78 +2446 -1709 +-1184 -2074 +-7298 3339 +-3980 390 +4378 -1686 +2255 1129 +1024 -316 +-3578 1130 +-40 1708 +6139 -117 +-1193 31 +-992 2506 +-512 797 +-4367 -1391 +1156 -1340 +3811 2266 +-1752 -1450 +-3335 -2060 +-90 6092 +-2650 -2531 +-6675 -1656 +-89 573 +632 -1340 +-3130 1680 +1445 -3162 +1541 1064 +1724 -272 +770 -675 +-25 3559 +3850 283 +6566 -5040 +7606 -2473 +1277 5476 +-265 -352 +1048 3651 +-686 1899 +2296 -4509 +2134 2914 +1188 -3792 +2475 -4583 +388 -293 +-2086 -1993 +446 -440 +2161 -1085 +1432 2560 +1190 5595 +-524 3236 +-3138 848 +-2942 -3766 +88 -2425 +-1244 -442 +-2512 -2471 +-3868 516 +-4403 -1633 +-2212 2288 +-1441 4628 +1706 -596 +2154 2593 +1551 -583 +-524 -1608 +-2082 -844 +-1094 -2106 +-245 -16 +3280 78 +2446 -1709 +-1184 -2074 +-7298 3339 +-3980 390 +4378 -1686 +2255 1129 +1222 -528 +-3118 127 +7200 319 +4676 -3025 +2867 -1374 +3391 -793 +-3360 3805 +-2122 -1400 +-2130 -4582 +2116 -106 +-729 3052 +-2500 513 +1038 -3195 +-3508 4947 +-58 1447 +-4457 -2493 +-3372 3203 +3 2051 +-2433 -2038 +2009 -1094 +-246 -1742 +1611 -4734 +699 -1068 +-655 382 +-158 -1814 +123 -1872 +811 2215 +-2489 -2059 +2331 -1252 +2950 2802 +1473 -3362 +643 962 +-906 -420 +-2273 -2078 +-1575 -31 +2457 -4114 +-2328 -4375 +-3561 -777 +-343 463 +4207 -1270 +4026 158 +-337 -1750 +-628 2081 +901 -817 +1582 2100 +-4645 7271 +-2242 -3260 +-2744 4269 +-3264 5330 +2973 3840 +-217 1259 +358 -5208 +339 1803 +1504 2346 +28 3242 +-2917 718 +-1738 -1346 +-3433 -2677 +2628 1992 +2109 5756 +737 -2077 +3677 -1013 +-1255 -3 +3053 1297 +1222 -528 +-3118 127 +7200 319 +4676 -3025 +2867 -1374 +3391 -793 +-3360 3805 +-2122 -1400 +-844 -1788 +1132 1116 +-946 -184 +-2166 -4213 +65 2533 +-2917 2915 +2951 1268 +-1496 -4859 +-5606 -5056 +-5468 -791 +91 31 +2524 3332 +-5090 441 +1367 -968 +31 -4030 +2005 -1407 +5199 878 +-2568 1854 +-2087 3651 +-3737 -3186 +-416 2475 +4963 3810 +-264 -4661 +2084 1669 +8374 5132 +2267 -2417 +1253 1517 +1288 4424 +-682 -1615 +1768 -408 +2039 1733 +5108 2739 +-736 -108 +-993 -624 +2082 -454 +-1033 -2293 +1730 2060 +-2213 689 +299 -4621 +3163 -821 +-3242 3476 +-3753 -2386 +357 -873 +2662 1969 +-2240 1439 +-4232 4953 +683 1084 +4244 -3008 +3966 -2143 +933 1226 +1954 -3906 +-909 -3916 +-8962 -116 +-373 -962 +1221 2434 +-3095 -3164 +4266 -392 +-181 1192 +1088 218 +1064 2926 +-837 366 +157 3442 +-5696 -792 +-1592 -2832 +-844 -1788 +1132 1116 +-946 -184 +-2166 -4213 +65 2533 +-2917 2915 +2951 1268 +-1496 -4859 +743 -636 +-104 3939 +-3701 3444 +-1786 -4543 +3679 -10 +-1166 -3102 +-76 2546 +-2535 -777 +-4500 -2370 +311 8333 +-1612 -708 +2405 -1174 +1209 2645 +-1238 -1769 +2630 -6673 +-408 -4157 +3441 -4057 +2174 -3218 +-760 1128 +124 -4372 +-2378 -2559 +2217 -4513 +4017 -394 +683 3450 +-1422 -708 +2063 2842 +552 -767 +-943 60 +2422 2039 +454 1118 +-107 92 +-2144 405 +-1375 1268 +441 -2991 +2843 -3836 +-1896 -1266 +-2894 1820 +4141 745 +-2979 3959 +-1665 2036 +-556 -790 +-1785 3236 +-1029 900 +261 942 +5188 -4040 +-357 -3668 +3604 2688 +1061 1797 +-912 3425 +5713 2171 +1695 1500 +905 3119 +-2200 -3675 +-2758 -2907 +-1409 5648 +3203 2320 +790 76 +-4128 -3433 +-515 -1660 +-5543 3505 +1293 -2540 +1605 -1838 +-5680 2245 +694 3711 +743 -636 +-104 3939 +-3701 3444 +-1786 -4543 +3679 -10 +-1166 -3102 +-76 2546 +-2535 -777 +551 -1134 +-57 197 +696 2600 +-881 -4940 +3589 2692 +4195 -1648 +155 -6104 +-1262 -322 +392 -1106 +-1222 1593 +2336 -463 +4166 2243 +-2618 5979 +-988 3199 +-456 1377 +524 1122 +-936 -3645 +-3742 -4310 +-370 -1632 +-2569 -1285 +2850 191 +2760 -1598 +-4745 2209 +-1257 1039 +2212 -2130 +1969 -1342 +-5046 857 +-1780 3786 +4821 2070 +-1666 1544 +-2322 -2135 +-2858 -3449 +-235 -3923 +1291 -269 +-4917 -2506 +508 -1647 +3517 3705 +776 -4562 +909 3294 +-3454 2217 +-4500 -3950 +1595 190 +1965 182 +-3901 1705 +1941 -1140 +3597 3084 +-4320 3828 +-1944 5222 +-1276 485 +397 -4279 +2247 -326 +-1054 -3361 +-1107 3525 +-3442 1651 +-4242 -1633 +-1269 2732 +2528 234 +3038 -194 +-704 3817 +455 -598 +3440 -4381 +1611 4217 +3644 -836 +6462 -1935 +551 -1134 +-57 197 +696 2600 +-881 -4940 +3589 2692 +4195 -1648 +155 -6104 +-1262 -322 +7137 5683 +-678 -1850 +-43 2522 +-52 1688 +-1176 -1404 +-2055 3577 +-5112 -39 +584 651 +550 -632 +468 -1604 +-2087 -497 +-4003 -2451 +-4119 -1450 +-3237 1420 +-1365 -614 +-3777 -19 +-1510 -751 +1241 -4428 +2453 892 +1765 3167 +1566 474 +807 -833 +36 2077 +487 -648 +2054 -1188 +1571 1462 +-1234 647 +2807 2011 +-793 -6030 +-2723 23 +-723 3903 +1074 -1941 +6136 -1575 +1806 -5525 +2197 -316 +3182 -1607 +-481 -2302 +-1110 3628 +980 4815 +217 -442 +-1814 -4108 +-3053 2576 +-5794 3345 +2207 664 +5960 -5524 +3865 -56 +-89 1573 +-2231 -6724 +1510 -197 +-3396 -1214 +-3036 -3098 +109 3635 +-541 3864 +-111 -1834 +303 3042 +-59 2600 +-158 -1024 +-1382 3801 +-40 -3496 +4559 -2116 +847 3524 +2929 3384 +-1616 -2118 +-1812 -999 +7137 5683 +-678 -1850 +-43 2522 +-52 1688 +-1176 -1404 +-2055 3577 +-5112 -39 +584 651 +-2505 1218 +-1065 3136 +-6583 -1453 +-7975 -2742 +-1764 -904 +-642 -3183 +36 1657 +3211 2972 +-3558 1264 +-2916 3610 +5971 3783 +573 3555 +2944 -421 +1469 645 +-1093 550 +-1137 1521 +-5240 678 +1684 -2458 +4146 -3580 +1831 -4891 +-3363 2354 +-1270 -3166 +1846 631 +-2525 3880 +0 -3710 +-1081 5368 +-4519 -577 +992 -1827 +6235 4642 +2191 1214 +978 -1945 +-1733 -1745 +293 2890 +993 -4286 +-2546 -5492 +4621 1140 +-1827 658 +-2326 -2256 +2204 -1770 +308 198 +-866 -632 +-6135 1350 +335 -518 +1957 -1202 +3022 -272 +4276 -2541 +-1660 -1730 +-1545 -193 +1132 -994 +357 76 +-412 923 +5589 311 +3794 2316 +2149 -2599 +2204 -3122 +-152 656 +632 550 +-1145 1771 +-2713 -671 +-3643 664 +1072 475 +934 789 +1808 3201 +2154 232 +-2505 1218 +-1065 3136 +-6583 -1453 +-7975 -2742 +-1764 -904 +-642 -3183 +36 1657 +3211 2972 +-213 3002 +1046 -1840 +-5542 468 +2118 847 +5926 -3932 +-3391 242 +2894 -3460 +-2252 -4497 +-3236 316 +-1214 1402 +525 1496 +-56 223 +-5457 -4354 +1239 -61 +-1139 1437 +1102 -3114 +4828 -1198 +171 -2580 +-254 2125 +1274 462 +2005 -1589 +-2663 -279 +917 -2816 +6215 1561 +2844 -1024 +239 -148 +-1130 -78 +27 -433 +2339 3105 +-1774 4004 +-135 2196 +3508 -1636 +-3895 1107 +-3920 -2861 +-1357 -1420 +-1700 -1139 +-585 2036 +8 7966 +555 -1963 +2844 1874 +2288 2528 +-4830 -1065 +246 -5257 +3004 -6911 +-331 5727 +2547 1727 +360 -1024 +-2816 1368 +-1983 250 +-1482 4711 +-3342 168 +-414 -3378 +-2921 -307 +-3901 -936 +397 271 +524 -2488 +1896 -4980 +619 1027 +3270 2499 +-245 2746 +-975 1843 +2138 3859 +1208 2830 +2035 -652 +-213 3002 +1046 -1840 +-5542 468 +2118 847 +5926 -3932 +-3391 242 +2894 -3460 +-2252 -4497 +3757 -220 +3266 -4095 +-7403 -2537 +-91 2350 +4469 -2232 +3232 4547 +3376 -358 +2281 -4466 +1504 1422 +707 -3273 +1341 2549 +20 4257 +-3408 614 +-2532 108 +-571 -3321 +-2913 2369 +-4320 1190 +-1067 -1913 +1310 4695 +-2339 2061 +411 -2791 +1677 -2849 +-1999 105 +-1224 3246 +-2054 -872 +4805 -12 +3648 1045 +-3625 -2259 +2201 490 +3023 -475 +-1870 -1728 +-108 540 +-596 -1676 +-2033 -2477 +3414 1057 +-2618 893 +-1749 -1197 +4141 540 +-2172 -997 +1957 -1842 +2604 158 +354 -434 +144 2058 +-461 3458 +-1393 1690 +-192 -1306 +4153 -5225 +-2534 -3478 +1159 -1822 +3442 -1946 +-787 -578 +1841 2737 +-4395 8748 +-6337 4865 +-885 -2127 +-89 -3820 +-4582 -708 +-2259 5366 +2125 560 +57 650 +-1192 2261 +2414 -1701 +3759 -253 +-2797 -1641 +3757 -220 +3266 -4095 +-7403 -2537 +-91 2350 +4469 -2232 +3232 4547 +3376 -358 +2281 -4466 +-2258 -2917 +-5740 -1220 +2511 -1259 +-931 1219 +-474 3791 +1295 2372 +-2149 -2690 +-1663 2263 +-2288 632 +187 -5356 +1788 4131 +-3264 734 +140 472 +137 4702 +-1967 -2642 +2013 435 +-2089 481 +-692 -6064 +-2089 -3579 +-167 2696 +2045 1310 +-764 -1648 +4124 185 +-85 3417 +-474 3558 +-2907 -87 +1128 1435 +2715 1274 +-3701 1685 +4533 2002 +-653 -710 +772 -804 +-586 -2139 +-6440 -979 +1792 -1813 +369 3713 +675 6220 +-1822 -2556 +-296 391 +6144 524 +5448 -948 +2306 2580 +-1201 -1232 +-510 -91 +-864 -63 +-2451 -3287 +-3580 57 +-3894 -263 +-2652 151 +5188 -188 +3814 -3321 +-2530 -1326 +2178 -2472 +1046 -358 +338 3455 +1837 3207 +2370 1182 +1471 -6472 +-157 -4475 +220 2417 +2530 -830 +2125 -1136 +-3401 1954 +1503 -1718 +-2258 -2917 +-5740 -1220 +2511 -1259 +-931 1219 +-474 3791 +1295 2372 +-2149 -2690 +-1663 2263 +-427 1865 +-2815 -260 +-3501 -3356 +299 -2857 +-2636 -772 +3155 -1454 +-3340 -157 +-6353 -776 +-1340 -316 +212 4366 +5455 -973 +1042 2519 +2026 1151 +5016 -6147 +1124 2965 +-2641 7806 +-612 717 +756 -6078 +-4918 -1549 +224 1439 +-485 836 +-2036 1915 +-289 -1247 +-3522 -3580 +3634 866 +936 1149 +-2699 -4147 +233 2637 +1043 2666 +8604 -795 +5705 -870 +-609 -3329 +1691 2875 +1433 662 +-1282 -5394 +-317 -3791 +1704 941 +-3792 -596 +-2122 -1013 +5089 3617 +-872 -2212 +-2165 -1329 +994 2097 +-2337 768 +-2435 1916 +-3734 -2401 +-4302 -3763 +-1722 1235 +612 1495 +2910 3091 +1178 4719 +856 -2232 +2681 -2901 +1187 370 +-768 3681 +423 1187 +2370 -4026 +-1204 1604 +-1546 1018 +1724 -1688 +-1898 -45 +4177 3374 +5255 2932 +-5030 -427 +-427 1865 +-2815 -260 +-3501 -3356 +299 -2857 +-2636 -772 +3155 -1454 +-3340 -157 +-6353 -776 +4061 2998 +-1408 2054 +1424 3462 +4201 3429 +697 2415 +-4283 165 +-1078 -2582 +3365 1762 +-1656 -1106 +3477 1033 +5218 3221 +3602 -1841 +2774 -1092 +-84 -2574 +767 -2701 +1835 1144 +-1780 1241 +-2937 -1099 +-994 2976 +-1561 3968 +2236 2453 +-748 3153 +-2407 -2782 +53 130 +2686 1820 +1393 -1677 +-5290 -536 +-225 -4726 +-2928 -2274 +-3470 4955 +-2152 2608 +-4950 915 +1943 4902 +-2211 -896 +-3094 -3856 +-383 722 +-3640 58 +-699 2166 +-628 -3116 +408 -4767 +5132 -2370 +72 -3330 +-1231 2362 +3352 -501 +431 -1413 +2342 -660 +2791 -55 +661 2141 +1464 -1557 +1820 2407 +-157 393 +-1596 -1228 +1972 -1766 +798 -3716 +984 6769 +1029 2628 +-474 -2136 +-7943 -650 +-5988 -2965 +3923 547 +-2805 -4700 +3770 -3858 +1723 -669 +-3602 -1795 +4061 2998 +-1408 2054 +1424 3462 +4201 3429 +697 2415 +-4283 165 +-1078 -2582 +3365 1762 +-1142 -3298 +-5535 -1539 +-4857 -1084 +-2554 -1742 +2228 -499 +1038 9020 +2078 2022 +2234 4661 +-2604 790 +2208 -4635 +4965 3374 +63 -4365 +-1637 -1025 +2555 2859 +3042 -105 +-207 -2911 +208 -3229 +445 1911 +548 -3892 +1831 -2372 +462 3121 +-842 -3029 +-1376 -3478 +22 1043 +4898 2288 +3221 670 +1617 -4109 +1993 -1305 +-122 506 +2647 -2687 +3005 -1169 +-1694 -2668 +-5495 -2074 +-2665 3960 +1693 1293 +1127 -7666 +-16 -2630 +783 1212 +-1101 1222 +-3668 3700 +4184 -1106 +2306 -1342 +-2871 -1592 +1818 1769 +-4706 163 +-4111 1823 +1968 5561 +-46 -2846 +3900 -879 +2386 -2244 +-4196 1340 +-1363 1698 +1750 8 +2189 2914 +584 -627 +-98 4941 +2370 -1340 +-1625 -663 +-691 878 +-80 -10 +-1752 6677 +57 1883 +-6937 2896 +-4434 -2038 +-1142 -3298 +-5535 -1539 +-4857 -1084 +-2554 -1742 +2228 -499 +1038 9020 +2078 2022 +2234 4661 +205 5491 +2200 -1908 +1268 -3658 +-635 -3290 +2290 -4028 +-4474 1806 +581 -2916 +1924 -2158 +-2920 2370 +550 676 +-3189 684 +3335 -1927 +2551 892 +80 -152 +225 -2196 +-936 -1829 +4297 -4396 +-2967 -1357 +-205 833 +4136 -2931 +31 -6101 +-962 -592 +-2226 5067 +1903 4099 +1422 -3868 +-2848 -1984 +-3502 2347 +-3581 -4610 +-3734 2892 +-631 2815 +278 -2914 +653 -330 +2323 -435 +2813 2524 +-760 3595 +-6126 2522 +1132 -5398 +1897 922 +-364 5108 +2913 -1275 +1024 5214 +-28 -805 +-4031 -1157 +-1296 3038 +1918 -1023 +-2269 -1909 +-2586 -4081 +2209 1385 +3287 3764 +637 747 +4842 756 +6675 128 +1603 -1538 +-2928 2329 +-518 2681 +1 -1565 +-2054 3236 +-1314 -1468 +-2008 -2135 +236 5283 +-735 -2129 +-2396 -1645 +-445 3043 +1228 3461 +205 5491 +2200 -1908 +1268 -3658 +-635 -3290 +2290 -4028 +-4474 1806 +581 -2916 +1924 -2158 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 +0 0 diff --git a/verilog/atan_lut.coe b/verilog/atan_lut.coe deleted file mode 100644 index d764cb3..0000000 --- a/verilog/atan_lut.coe +++ /dev/null @@ -1,258 +0,0 @@ -memory_initialization_radix=2; -memory_initialization_vector= -000000000, -000000010, -000000100, -000000110, -000001000, -000001010, -000001100, -000001110, -000010000, -000010010, -000010100, -000010110, -000011000, -000011010, -000011100, -000011110, -000100000, -000100010, -000100100, -000100110, -000101000, -000101010, -000101100, -000101110, -000110000, -000110010, -000110100, -000110110, -000111000, -000111010, -000111100, -000111110, -001000000, -001000010, -001000100, -001000110, -001001000, -001001001, -001001011, -001001101, -001001111, -001010001, -001010011, -001010101, -001010111, -001011001, -001011011, -001011101, -001011111, -001100001, -001100011, -001100101, -001100111, -001101001, -001101010, -001101100, -001101110, -001110000, -001110010, -001110100, -001110110, -001111000, -001111010, -001111100, -001111101, -001111111, -010000001, -010000011, -010000101, -010000111, -010001001, -010001011, -010001100, -010001110, -010010000, -010010010, -010010100, -010010110, -010010111, -010011001, -010011011, -010011101, -010011111, -010100001, -010100010, -010100100, -010100110, -010101000, -010101010, -010101011, -010101101, -010101111, -010110001, -010110010, -010110100, -010110110, -010111000, -010111001, -010111011, -010111101, -010111111, -011000000, -011000010, -011000100, -011000110, -011000111, -011001001, -011001011, -011001100, -011001110, -011010000, -011010001, -011010011, -011010101, -011010111, -011011000, -011011010, -011011011, -011011101, -011011111, -011100000, -011100010, -011100100, -011100101, -011100111, -011101001, -011101010, -011101100, -011101101, -011101111, -011110001, -011110010, -011110100, -011110101, -011110111, -011111000, -011111010, -011111100, -011111101, -011111111, -100000000, -100000010, -100000011, -100000101, -100000110, -100001000, -100001001, -100001011, -100001100, -100001110, -100001111, -100010001, -100010010, -100010100, -100010101, -100010111, -100011000, -100011010, -100011011, -100011101, -100011110, -100011111, -100100001, -100100010, -100100100, -100100101, -100100111, -100101000, -100101001, -100101011, -100101100, -100101110, -100101111, -100110000, -100110010, -100110011, -100110100, -100110110, -100110111, -100111000, -100111010, -100111011, -100111100, -100111110, -100111111, -101000000, -101000010, -101000011, -101000100, -101000110, -101000111, -101001000, -101001001, -101001011, -101001100, -101001101, -101001111, -101010000, -101010001, -101010010, -101010100, -101010101, -101010110, -101010111, -101011000, -101011010, -101011011, -101011100, -101011101, -101011111, -101100000, -101100001, -101100010, -101100011, -101100100, -101100110, -101100111, -101101000, -101101001, -101101010, -101101011, -101101101, -101101110, -101101111, -101110000, -101110001, -101110010, -101110011, -101110101, -101110110, -101110111, -101111000, -101111001, -101111010, -101111011, -101111100, -101111101, -101111110, -101111111, -110000001, -110000010, -110000011, -110000100, -110000101, -110000110, -110000111, -110001000, -110001001, -110001010, -110001011, -110001100, -110001101, -110001110, -110001111, -110010000, -110010001; \ No newline at end of file diff --git a/verilog/atan_lut.mif b/verilog/atan_lut.mif deleted file mode 100644 index 66f443f..0000000 --- a/verilog/atan_lut.mif +++ /dev/null @@ -1,256 +0,0 @@ -000000000 -000000010 -000000100 -000000110 -000001000 -000001010 -000001100 -000001110 -000010000 -000010010 -000010100 -000010110 -000011000 -000011010 -000011100 -000011110 -000100000 -000100010 -000100100 -000100110 -000101000 -000101010 -000101100 -000101110 -000110000 -000110010 -000110100 -000110110 -000111000 -000111010 -000111100 -000111110 -001000000 -001000010 -001000100 -001000110 -001001000 -001001001 -001001011 -001001101 -001001111 -001010001 -001010011 -001010101 -001010111 -001011001 -001011011 -001011101 -001011111 -001100001 -001100011 -001100101 -001100111 -001101001 -001101010 -001101100 -001101110 -001110000 -001110010 -001110100 -001110110 -001111000 -001111010 -001111100 -001111101 -001111111 -010000001 -010000011 -010000101 -010000111 -010001001 -010001011 -010001100 -010001110 -010010000 -010010010 -010010100 -010010110 -010010111 -010011001 -010011011 -010011101 -010011111 -010100001 -010100010 -010100100 -010100110 -010101000 -010101010 -010101011 -010101101 -010101111 -010110001 -010110010 -010110100 -010110110 -010111000 -010111001 -010111011 -010111101 -010111111 -011000000 -011000010 -011000100 -011000110 -011000111 -011001001 -011001011 -011001100 -011001110 -011010000 -011010001 -011010011 -011010101 -011010111 -011011000 -011011010 -011011011 -011011101 -011011111 -011100000 -011100010 -011100100 -011100101 -011100111 -011101001 -011101010 -011101100 -011101101 -011101111 -011110001 -011110010 -011110100 -011110101 -011110111 -011111000 -011111010 -011111100 -011111101 -011111111 -100000000 -100000010 -100000011 -100000101 -100000110 -100001000 -100001001 -100001011 -100001100 -100001110 -100001111 -100010001 -100010010 -100010100 -100010101 -100010111 -100011000 -100011010 -100011011 -100011101 -100011110 -100011111 -100100001 -100100010 -100100100 -100100101 -100100111 -100101000 -100101001 -100101011 -100101100 -100101110 -100101111 -100110000 -100110010 -100110011 -100110100 -100110110 -100110111 -100111000 -100111010 -100111011 -100111100 -100111110 -100111111 -101000000 -101000010 -101000011 -101000100 -101000110 -101000111 -101001000 -101001001 -101001011 -101001100 -101001101 -101001111 -101010000 -101010001 -101010010 -101010100 -101010101 -101010110 -101010111 -101011000 -101011010 -101011011 -101011100 -101011101 -101011111 -101100000 -101100001 -101100010 -101100011 -101100100 -101100110 -101100111 -101101000 -101101001 -101101010 -101101011 -101101101 -101101110 -101101111 -101110000 -101110001 -101110010 -101110011 -101110101 -101110110 -101110111 -101111000 -101111001 -101111010 -101111011 -101111100 -101111101 -101111110 -101111111 -110000001 -110000010 -110000011 -110000100 -110000101 -110000110 -110000111 -110001000 -110001001 -110001010 -110001011 -110001100 -110001101 -110001110 -110001111 -110010000 -110010001 diff --git a/verilog/dot11.v b/verilog/dot11.v index 2890b6e..60713a0 100644 --- a/verilog/dot11.v +++ b/verilog/dot11.v @@ -21,6 +21,7 @@ module dot11 ( input sample_in_strobe, input soft_decoding, input wire force_ht_smoothing, + input wire disable_all_smoothing, // OUTPUT: bytes and FCS status output reg demod_is_ongoing, @@ -376,6 +377,7 @@ equalizer equalizer_inst ( .ht_next(ht_next), .pkt_ht(pkt_ht), .ht_smoothing(ht_smoothing|force_ht_smoothing), + .disable_all_smoothing(disable_all_smoothing), .phase_in_i(eq_phase_in_i), .phase_in_q(eq_phase_in_q), diff --git a/verilog/dot11_tb.v b/verilog/dot11_tb.v index 2529393..6d74651 100644 --- a/verilog/dot11_tb.v +++ b/verilog/dot11_tb.v @@ -49,6 +49,7 @@ reg signal_done; wire [3:0] dot11_state; +wire pkt_header_valid; wire pkt_header_valid_strobe; wire [7:0] byte_out; wire byte_out_strobe; @@ -64,6 +65,10 @@ reg [7:0] set_addr; reg [31:0] set_data; wire fcs_out_strobe, fcs_ok; +wire demod_is_ongoing; +wire receiver_rst; + +wire sig_valid = (pkt_header_valid_strobe&pkt_header_valid); integer addr; @@ -104,10 +109,31 @@ integer file_i, file_q, file_rssi_half_db, iq_sample_file; //`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_6.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" //`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_52mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" //`define SAMPLE_FILE "../../../../../testing_inputs/radiated/dot11n_19.5mbps_openwifi.txt" -`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_58.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_58.5mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" //`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11n_65mbps_98_5f_d3_c7_06_27_e8_de_27_90_6e_42_openwifi.txt" //`define SAMPLE_FILE "../../../../../testing_inputs/conducted/dot11a_48mbps_qos_data_e4_90_7e_15_2a_16_e8_de_27_90_6e_42_openwifi.txt" //`define SAMPLE_FILE "../../../../../testing_inputs/radiated/ack-ok-openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/conducted/fake-demod-0.txt" + +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs7_gi1_aggr0_len14_pre100_post200_openwifi.txt" +`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs7_gi1_aggr0_len1537_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs7_gi1_aggr0_len4000_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs7_gi0_aggr0_len14_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs7_gi0_aggr0_len1537_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs7_gi0_aggr0_len4000_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs0_gi1_aggr0_len14_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs0_gi1_aggr0_len1537_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs0_gi1_aggr0_len4000_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs0_gi0_aggr0_len14_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs0_gi0_aggr0_len1537_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ht_mcs0_gi0_aggr0_len4000_pre100_post200_openwifi.txt" + +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ag_54M_len14_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ag_54M_len1537_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ag_54M_len4000_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ag_6M_len14_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ag_6M_len1537_pre100_post200_openwifi.txt" +//`define SAMPLE_FILE "../../../../../testing_inputs/simulated/ag_6M_len4000_pre100_post200_openwifi.txt" `define NUM_SAMPLE 118560 @@ -308,10 +334,28 @@ always @(posedge clock) begin end end +signal_watchdog signal_watchdog_inst ( + .clk(clock), + .rstn(~reset), + .enable(~demod_is_ongoing), + + .i_data(sample_in[31:16]), + .q_data(sample_in[15:0]), + .iq_valid(sample_in_strobe), + + .signal_len(pkt_len), + .sig_valid(sig_valid), + + .max_signal_len_th(4095), + .dc_running_sum_th(64), + + .receiver_rst(receiver_rst) +); + dot11 dot11_inst ( .clock(clock), .enable(enable), - .reset(reset), + .reset(reset|receiver_rst), //.set_stb(set_stb), //.set_addr(set_addr), @@ -325,6 +369,8 @@ dot11 dot11_inst ( .sample_in_strobe(sample_in_strobe), .soft_decoding(1'b1), + .demod_is_ongoing(demod_is_ongoing), + .pkt_header_valid(pkt_header_valid), .pkt_header_valid_strobe(pkt_header_valid_strobe), .pkt_len(pkt_len), .pkt_len_total(pkt_len_total), diff --git a/verilog/equalizer.v b/verilog/equalizer.v index 559965d..2f0a0a8 100644 --- a/verilog/equalizer.v +++ b/verilog/equalizer.v @@ -11,6 +11,7 @@ module equalizer input ht_next, input pkt_ht, input ht_smoothing, + input wire disable_all_smoothing, output [31:0] phase_in_i, output [31:0] phase_in_q, @@ -548,8 +549,7 @@ always @(posedge clock) begin lts_raddr <= 62; lts_in_stb <= 0; lts_div_in_stb <= 0; - // Always smooth legacy channel - state <= S_SMOOTH_CH_DC; + state <= (disable_all_smoothing?S_GET_POLARITY:S_SMOOTH_CH_DC); end else begin lts_waddr <= lts_waddr + 1; end @@ -848,7 +848,7 @@ always @(posedge clock) begin lts_in_stb <= 0; lts_div_in_stb <= 0; // Depending on smoothing bit in HT-SIG, smooth the channel - if(ht_smoothing) begin + if(ht_smoothing==1 && disable_all_smoothing==0) begin state <= S_SMOOTH_CH_DC; end else begin state <= S_GET_POLARITY; diff --git a/verilog/ofdm_decoder.v b/verilog/ofdm_decoder.v index d1be6ab..1913018 100644 --- a/verilog/ofdm_decoder.v +++ b/verilog/ofdm_decoder.v @@ -39,8 +39,8 @@ reg [1:0] conv_erase, conv_erase_dly; wire [15:0] input_i = sample_in[31:16]; wire [15:0] input_q = sample_in[15:0]; -wire vit_ce = reset | (enable & conv_in_stb) | conv_in_stb_dly; -//wire vit_ce = 1'b1 ; +// wire vit_ce = reset | (enable & conv_in_stb) | conv_in_stb_dly; //Seems new viter decoder IP core does not need this complicated CE signal +wire vit_ce = 1'b1 ; //Need to be 1 to avoid the viterbi decoder freezing issue on adrv9364z7020 (demod_is_ongoing always high. dot11 stuck at state 3) wire vit_clr = reset; reg vit_clr_dly; wire vit_rdy; diff --git a/verilog/openofdm_rx.v b/verilog/openofdm_rx.v index 4bc848d..d57d528 100644 --- a/verilog/openofdm_rx.v +++ b/verilog/openofdm_rx.v @@ -1,5 +1,7 @@ +// Xianjun jiao. putaoshu@msn.com; xianjun.jiao@imec.be; `timescale 1 ns / 1 ps +`include "openofdm_rx_git_rev.v" module openofdm_rx # ( @@ -101,15 +103,38 @@ wire [(C_S00_AXI_DATA_WIDTH-1):0] slv_reg28; wire [(C_S00_AXI_DATA_WIDTH-1):0] slv_reg29; wire [(C_S00_AXI_DATA_WIDTH-1):0] slv_reg30; - wire [(C_S00_AXI_DATA_WIDTH-1):0] slv_reg31; */ + wire [(C_S00_AXI_DATA_WIDTH-1):0] slv_reg31; + + assign slv_reg31 = `OPENOFDM_RX_GIT_REV; + + wire sig_valid = (pkt_header_valid_strobe&pkt_header_valid); + wire receiver_rst; + + signal_watchdog signal_watchdog_inst ( + .clk(s00_axi_aclk), + .rstn(s00_axi_aresetn), + .enable(~demod_is_ongoing), + + .i_data(sample_in[31:16]), + .q_data(sample_in[15:0]), + .iq_valid(sample_in_strobe), + + .signal_len(pkt_len), + .sig_valid(sig_valid), + + .max_signal_len_th(slv_reg4[31:16]), + .dc_running_sum_th(slv_reg2[23:16]), + + .receiver_rst(receiver_rst) + ); dot11 # ( ) dot11_i ( .clock(s00_axi_aclk), .enable( 1 ), //.reset ( (~s00_axi_aresetn)|slv_reg0[0]|openofdm_core_rst ), - .reset ( (~s00_axi_aresetn)|slv_reg0[0] ), + .reset ( (~s00_axi_aresetn)|slv_reg0[0]|receiver_rst ), .power_thres(slv_reg2[10:0]), .min_plateau(slv_reg3), @@ -120,6 +145,7 @@ .sample_in_strobe(sample_in_strobe), .soft_decoding(slv_reg4[0]), .force_ht_smoothing(slv_reg1[0]), + .disable_all_smoothing(slv_reg1[4]), // OUTPUT: bytes and FCS status .demod_is_ongoing(demod_is_ongoing), @@ -258,7 +284,7 @@ .SLV_REG17(slv_reg17), .SLV_REG18(slv_reg18), .SLV_REG19(slv_reg19),*/ - .SLV_REG20(slv_reg20)/* + .SLV_REG20(slv_reg20),/* .SLV_REG21(slv_reg21), .SLV_REG22(slv_reg22), .SLV_REG23(slv_reg23), @@ -268,8 +294,8 @@ .SLV_REG27(slv_reg27), .SLV_REG28(slv_reg28), .SLV_REG29(slv_reg29), - .SLV_REG30(slv_reg30), - .SLV_REG31(slv_reg31)*/ + .SLV_REG30(slv_reg30),*/ + .SLV_REG31(slv_reg31) ); endmodule diff --git a/verilog/openofdm_rx_s_axi.v b/verilog/openofdm_rx_s_axi.v index 3a592cc..3214f7f 100644 --- a/verilog/openofdm_rx_s_axi.v +++ b/verilog/openofdm_rx_s_axi.v @@ -1,3 +1,5 @@ +// based on Xilinx module template +// Xianjun jiao. putaoshu@msn.com; xianjun.jiao@imec.be; `timescale 1 ns / 1 ps @@ -45,8 +47,8 @@ input wire [C_S_AXI_DATA_WIDTH-1:0] SLV_REG27, input wire [C_S_AXI_DATA_WIDTH-1:0] SLV_REG28, input wire [C_S_AXI_DATA_WIDTH-1:0] SLV_REG29, - input wire [C_S_AXI_DATA_WIDTH-1:0] SLV_REG30, - input wire [C_S_AXI_DATA_WIDTH-1:0] SLV_REG31,*/ + input wire [C_S_AXI_DATA_WIDTH-1:0] SLV_REG30,*/ + input wire [C_S_AXI_DATA_WIDTH-1:0] SLV_REG31, // User ports ends // Do not modify the ports beyond this line @@ -165,8 +167,8 @@ reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg27; reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg28; reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg29; - reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg30; - reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg31;*/ + reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg30;*/ + reg [C_S_AXI_DATA_WIDTH-1:0] slv_reg31; wire slv_reg_rden; wire slv_reg_wren; reg [C_S_AXI_DATA_WIDTH-1:0] reg_data_out; @@ -712,8 +714,8 @@ 5'h1B : reg_data_out <= slv_reg27; 5'h1C : reg_data_out <= slv_reg28; 5'h1D : reg_data_out <= slv_reg29; - 5'h1E : reg_data_out <= slv_reg30; - 5'h1F : reg_data_out <= slv_reg31;*/ + 5'h1E : reg_data_out <= slv_reg30;*/ + 5'h1F : reg_data_out <= slv_reg31; default : reg_data_out <= 0; endcase end @@ -752,8 +754,8 @@ slv_reg27 <= 32'h0; slv_reg28 <= 32'h0; slv_reg29 <= 32'h0; - slv_reg30 <= 32'h0; - slv_reg31 <= 32'h0;*/ + slv_reg30 <= 32'h0;*/ + slv_reg31 <= 32'h0; end else begin @@ -767,8 +769,8 @@ slv_reg27 <= SLV_REG27; slv_reg28 <= SLV_REG28; slv_reg29 <= SLV_REG29; - slv_reg30 <= SLV_REG30; - slv_reg31 <= SLV_REG31;*/ + slv_reg30 <= SLV_REG30;*/ + slv_reg31 <= SLV_REG31; end end diff --git a/verilog/running_sum.v b/verilog/running_sum.v new file mode 100644 index 0000000..504a9af --- /dev/null +++ b/verilog/running_sum.v @@ -0,0 +1,93 @@ +// Xianjun jiao. putaoshu@msn.com; xianjun.jiao@imec.be; + +module running_sum +#( + parameter DATA_WIDTH = 16, + parameter LOG2_SUM_LEN = 6 +) +( + input clk, + input rstn, + + input signed [DATA_WIDTH-1:0] data_in, + input data_in_valid, + + output reg signed [(DATA_WIDTH + LOG2_SUM_LEN-1):0] running_sum_result, + output reg data_out_valid +); + +localparam FIFO_SIZE = 1<=dc_running_sum_th || running_sum_result_q_abs>=dc_running_sum_th)); + + assign receiver_rst_pulse = (receiver_rst_internal&&(~receiver_rst_reg)); + + assign receiver_rst = ( receiver_rst_reg | (sig_valid && (signal_len<14 || signal_len>max_signal_len_th)) ); + + always @(posedge clk) begin + if (~rstn) begin + receiver_rst_reg <= 0; + end else begin + receiver_rst_reg <= receiver_rst_internal; + end + end + + running_sum_dual_ch #(.DATA_WIDTH0(2), .DATA_WIDTH1(2), .LOG2_SUM_LEN(LOG2_SUM_LEN)) signal_watchdog_running_sum_inst ( + .clk(clk), + .rstn(rstn), + + .data_in0(i_sign), + .data_in1(q_sign), + .data_in_valid(iq_valid), + .running_sum_result0(running_sum_result_i), + .running_sum_result1(running_sum_result_q), + .data_out_valid() + ); + +endmodule