lollms-webui/web/dist/assets/index-97c65ac7.js
Saifeddine ALOUI 2dae2cbd93 upgraded web ui
2024-03-15 01:34:21 +01:00

3867 lines
2.5 MiB
Raw Blame History

This file contains invisible Unicode characters

This file contains invisible Unicode characters that are indistinguishable to humans but may be processed differently by a computer. If you think that this is intentional, you can safely ignore this warning. Use the Escape button to reveal them.

This file contains Unicode characters that might be confused with other characters. If you think that this is intentional, you can safely ignore this warning. Use the Escape button to reveal them.

(function(){const e=document.createElement("link").relList;if(e&&e.supports&&e.supports("modulepreload"))return;for(const s of document.querySelectorAll('link[rel="modulepreload"]'))i(s);new MutationObserver(s=>{for(const r of s)if(r.type==="childList")for(const o of r.addedNodes)o.tagName==="LINK"&&o.rel==="modulepreload"&&i(o)}).observe(document,{childList:!0,subtree:!0});function t(s){const r={};return s.integrity&&(r.integrity=s.integrity),s.referrerPolicy&&(r.referrerPolicy=s.referrerPolicy),s.crossOrigin==="use-credentials"?r.credentials="include":s.crossOrigin==="anonymous"?r.credentials="omit":r.credentials="same-origin",r}function i(s){if(s.ep)return;s.ep=!0;const r=t(s);fetch(s.href,r)}})();function Rb(n,e){const t=Object.create(null),i=n.split(",");for(let s=0;s<i.length;s++)t[i[s]]=!0;return e?s=>!!t[s.toLowerCase()]:s=>!!t[s]}const Zt={},Zo=[],Bi=()=>{},fM=()=>!1,mM=/^on[^a-z]/,Ru=n=>mM.test(n),Ab=n=>n.startsWith("onUpdate:"),on=Object.assign,wb=(n,e)=>{const t=n.indexOf(e);t>-1&&n.splice(t,1)},gM=Object.prototype.hasOwnProperty,Pt=(n,e)=>gM.call(n,e),ot=Array.isArray,Jo=n=>Ba(n)==="[object Map]",Fa=n=>Ba(n)==="[object Set]",yv=n=>Ba(n)==="[object Date]",bM=n=>Ba(n)==="[object RegExp]",vt=n=>typeof n=="function",an=n=>typeof n=="string",Hl=n=>typeof n=="symbol",Yt=n=>n!==null&&typeof n=="object",QR=n=>Yt(n)&&vt(n.then)&&vt(n.catch),XR=Object.prototype.toString,Ba=n=>XR.call(n),EM=n=>Ba(n).slice(8,-1),ZR=n=>Ba(n)==="[object Object]",Nb=n=>an(n)&&n!=="NaN"&&n[0]!=="-"&&""+parseInt(n,10)===n,Nd=Rb(",key,ref,ref_for,ref_key,onVnodeBeforeMount,onVnodeMounted,onVnodeBeforeUpdate,onVnodeUpdated,onVnodeBeforeUnmount,onVnodeUnmounted"),Au=n=>{const e=Object.create(null);return t=>e[t]||(e[t]=n(t))},vM=/-(\w)/g,os=Au(n=>n.replace(vM,(e,t)=>t?t.toUpperCase():"")),yM=/\B([A-Z])/g,yo=Au(n=>n.replace(yM,"-$1").toLowerCase()),wu=Au(n=>n.charAt(0).toUpperCase()+n.slice(1)),vp=Au(n=>n?`on${wu(n)}`:""),ql=(n,e)=>!Object.is(n,e),ea=(n,e)=>{for(let t=0;t<n.length;t++)n[t](e)},Yd=(n,e,t)=>{Object.defineProperty(n,e,{configurable:!0,enumerable:!1,value:t})},$d=n=>{const e=parseFloat(n);return isNaN(e)?n:e},SM=n=>{const e=an(n)?Number(n):NaN;return isNaN(e)?n:e};let Sv;const yg=()=>Sv||(Sv=typeof globalThis<"u"?globalThis:typeof self<"u"?self:typeof window<"u"?window:typeof global<"u"?global:{});function Jt(n){if(ot(n)){const e={};for(let t=0;t<n.length;t++){const i=n[t],s=an(i)?RM(i):Jt(i);if(s)for(const r in s)e[r]=s[r]}return e}else{if(an(n))return n;if(Yt(n))return n}}const TM=/;(?![^(]*\))/g,xM=/:([^]+)/,CM=/\/\*[^]*?\*\//g;function RM(n){const e={};return n.replace(CM,"").split(TM).forEach(t=>{if(t){const i=t.split(xM);i.length>1&&(e[i[0].trim()]=i[1].trim())}}),e}function Ye(n){let e="";if(an(n))e=n;else if(ot(n))for(let t=0;t<n.length;t++){const i=Ye(n[t]);i&&(e+=i+" ")}else if(Yt(n))for(const t in n)n[t]&&(e+=t+" ");return e.trim()}const AM="itemscope,allowfullscreen,formnovalidate,ismap,nomodule,novalidate,readonly",wM=Rb(AM);function JR(n){return!!n||n===""}function NM(n,e){if(n.length!==e.length)return!1;let t=!0;for(let i=0;t&&i<n.length;i++)t=_o(n[i],e[i]);return t}function _o(n,e){if(n===e)return!0;let t=yv(n),i=yv(e);if(t||i)return t&&i?n.getTime()===e.getTime():!1;if(t=Hl(n),i=Hl(e),t||i)return n===e;if(t=ot(n),i=ot(e),t||i)return t&&i?NM(n,e):!1;if(t=Yt(n),i=Yt(e),t||i){if(!t||!i)return!1;const s=Object.keys(n).length,r=Object.keys(e).length;if(s!==r)return!1;for(const o in n){const a=n.hasOwnProperty(o),l=e.hasOwnProperty(o);if(a&&!l||!a&&l||!_o(n[o],e[o]))return!1}}return String(n)===String(e)}function Ob(n,e){return n.findIndex(t=>_o(t,e))}const ge=n=>an(n)?n:n==null?"":ot(n)||Yt(n)&&(n.toString===XR||!vt(n.toString))?JSON.stringify(n,eA,2):String(n),eA=(n,e)=>e&&e.__v_isRef?eA(n,e.value):Jo(e)?{[`Map(${e.size})`]:[...e.entries()].reduce((t,[i,s])=>(t[`${i} =>`]=s,t),{})}:Fa(e)?{[`Set(${e.size})`]:[...e.values()]}:Yt(e)&&!ot(e)&&!ZR(e)?String(e):e;let ri;class tA{constructor(e=!1){this.detached=e,this._active=!0,this.effects=[],this.cleanups=[],this.parent=ri,!e&&ri&&(this.index=(ri.scopes||(ri.scopes=[])).push(this)-1)}get active(){return this._active}run(e){if(this._active){const t=ri;try{return ri=this,e()}finally{ri=t}}}on(){ri=this}off(){ri=this.parent}stop(e){if(this._active){let t,i;for(t=0,i=this.effects.length;t<i;t++)this.effects[t].stop();for(t=0,i=this.cleanups.length;t<i;t++)this.cleanups[t]();if(this.scopes)for(t=0,i=this.scopes.length;t<i;t++)this.scopes[t].stop(!0);if(!this.detached&&this.parent&&!e){const s=this.parent.scopes.pop();s&&s!==this&&(this.parent.scopes[this.index]=s,s.index=this.index)}this.parent=void 0,this._active=!1}}}function OM(n){return new tA(n)}function IM(n,e=ri){e&&e.active&&e.effects.push(n)}function nA(){return ri}function MM(n){ri&&ri.cleanups.push(n)}const Ib=n=>{const e=new Set(n);return e.w=0,e.n=0,e},iA=n=>(n.w&Cr)>0,sA=n=>(n.n&Cr)>0,DM=({deps:n})=>{if(n.length)for(let e=0;e<n.length;e++)n[e].w|=Cr},kM=n=>{const{deps:e}=n;if(e.length){let t=0;for(let i=0;i<e.length;i++){const s=e[i];iA(s)&&!sA(s)?s.delete(n):e[t++]=s,s.w&=~Cr,s.n&=~Cr}e.length=t}},Wd=new WeakMap;let xl=0,Cr=1;const Sg=30;let Ui;const so=Symbol(""),Tg=Symbol("");class Mb{constructor(e,t=null,i){this.fn=e,this.scheduler=t,this.active=!0,this.deps=[],this.parent=void 0,IM(this,i)}run(){if(!this.active)return this.fn();let e=Ui,t=Er;for(;e;){if(e===this)return;e=e.parent}try{return this.parent=Ui,Ui=this,Er=!0,Cr=1<<++xl,xl<=Sg?DM(this):Tv(this),this.fn()}finally{xl<=Sg&&kM(this),Cr=1<<--xl,Ui=this.parent,Er=t,this.parent=void 0,this.deferStop&&this.stop()}}stop(){Ui===this?this.deferStop=!0:this.active&&(Tv(this),this.onStop&&this.onStop(),this.active=!1)}}function Tv(n){const{deps:e}=n;if(e.length){for(let t=0;t<e.length;t++)e[t].delete(n);e.length=0}}let Er=!0;const rA=[];function Ga(){rA.push(Er),Er=!1}function za(){const n=rA.pop();Er=n===void 0?!0:n}function Jn(n,e,t){if(Er&&Ui){let i=Wd.get(n);i||Wd.set(n,i=new Map);let s=i.get(t);s||i.set(t,s=Ib()),oA(s)}}function oA(n,e){let t=!1;xl<=Sg?sA(n)||(n.n|=Cr,t=!iA(n)):t=!n.has(Ui),t&&(n.add(Ui),Ui.deps.push(n))}function Bs(n,e,t,i,s,r){const o=Wd.get(n);if(!o)return;let a=[];if(e==="clear")a=[...o.values()];else if(t==="length"&&ot(n)){const l=Number(i);o.forEach((d,c)=>{(c==="length"||c>=l)&&a.push(d)})}else switch(t!==void 0&&a.push(o.get(t)),e){case"add":ot(n)?Nb(t)&&a.push(o.get("length")):(a.push(o.get(so)),Jo(n)&&a.push(o.get(Tg)));break;case"delete":ot(n)||(a.push(o.get(so)),Jo(n)&&a.push(o.get(Tg)));break;case"set":Jo(n)&&a.push(o.get(so));break}if(a.length===1)a[0]&&xg(a[0]);else{const l=[];for(const d of a)d&&l.push(...d);xg(Ib(l))}}function xg(n,e){const t=ot(n)?n:[...n];for(const i of t)i.computed&&xv(i);for(const i of t)i.computed||xv(i)}function xv(n,e){(n!==Ui||n.allowRecurse)&&(n.scheduler?n.scheduler():n.run())}function LM(n,e){var t;return(t=Wd.get(n))==null?void 0:t.get(e)}const PM=Rb("__proto__,__v_isRef,__isVue"),aA=new Set(Object.getOwnPropertyNames(Symbol).filter(n=>n!=="arguments"&&n!=="caller").map(n=>Symbol[n]).filter(Hl)),UM=Nu(),FM=Nu(!1,!0),BM=Nu(!0),GM=Nu(!0,!0),Cv=zM();function zM(){const n={};return["includes","indexOf","lastIndexOf"].forEach(e=>{n[e]=function(...t){const i=Lt(this);for(let r=0,o=this.length;r<o;r++)Jn(i,"get",r+"");const s=i[e](...t);return s===-1||s===!1?i[e](...t.map(Lt)):s}}),["push","pop","shift","unshift","splice"].forEach(e=>{n[e]=function(...t){Ga();const i=Lt(this)[e].apply(this,t);return za(),i}}),n}function VM(n){const e=Lt(this);return Jn(e,"has",n),e.hasOwnProperty(n)}function Nu(n=!1,e=!1){return function(i,s,r){if(s==="__v_isReactive")return!n;if(s==="__v_isReadonly")return n;if(s==="__v_isShallow")return e;if(s==="__v_raw"&&r===(n?e?hA:_A:e?pA:uA).get(i))return i;const o=ot(i);if(!n){if(o&&Pt(Cv,s))return Reflect.get(Cv,s,r);if(s==="hasOwnProperty")return VM}const a=Reflect.get(i,s,r);return(Hl(s)?aA.has(s):PM(s))||(n||Jn(i,"get",s),e)?a:hn(a)?o&&Nb(s)?a:a.value:Yt(a)?n?mA(a):ei(a):a}}const HM=lA(),qM=lA(!0);function lA(n=!1){return function(t,i,s,r){let o=t[i];if(da(o)&&hn(o)&&!hn(s))return!1;if(!n&&(!Kd(s)&&!da(s)&&(o=Lt(o),s=Lt(s)),!ot(t)&&hn(o)&&!hn(s)))return o.value=s,!0;const a=ot(t)&&Nb(i)?Number(i)<t.length:Pt(t,i),l=Reflect.set(t,i,s,r);return t===Lt(r)&&(a?ql(s,o)&&Bs(t,"set",i,s):Bs(t,"add",i,s)),l}}function YM(n,e){const t=Pt(n,e);n[e];const i=Reflect.deleteProperty(n,e);return i&&t&&Bs(n,"delete",e,void 0),i}function $M(n,e){const t=Reflect.has(n,e);return(!Hl(e)||!aA.has(e))&&Jn(n,"has",e),t}function WM(n){return Jn(n,"iterate",ot(n)?"length":so),Reflect.ownKeys(n)}const cA={get:UM,set:HM,deleteProperty:YM,has:$M,ownKeys:WM},dA={get:BM,set(n,e){return!0},deleteProperty(n,e){return!0}},KM=on({},cA,{get:FM,set:qM}),jM=on({},dA,{get:GM}),Db=n=>n,Ou=n=>Reflect.getPrototypeOf(n);function Oc(n,e,t=!1,i=!1){n=n.__v_raw;const s=Lt(n),r=Lt(e);t||(e!==r&&Jn(s,"get",e),Jn(s,"get",r));const{has:o}=Ou(s),a=i?Db:t?kb:Yl;if(o.call(s,e))return a(n.get(e));if(o.call(s,r))return a(n.get(r));n!==s&&n.get(e)}function Ic(n,e=!1){const t=this.__v_raw,i=Lt(t),s=Lt(n);return e||(n!==s&&Jn(i,"has",n),Jn(i,"has",s)),n===s?t.has(n):t.has(n)||t.has(s)}function Mc(n,e=!1){return n=n.__v_raw,!e&&Jn(Lt(n),"iterate",so),Reflect.get(n,"size",n)}function Rv(n){n=Lt(n);const e=Lt(this);return Ou(e).has.call(e,n)||(e.add(n),Bs(e,"add",n,n)),this}function Av(n,e){e=Lt(e);const t=Lt(this),{has:i,get:s}=Ou(t);let r=i.call(t,n);r||(n=Lt(n),r=i.call(t,n));const o=s.call(t,n);return t.set(n,e),r?ql(e,o)&&Bs(t,"set",n,e):Bs(t,"add",n,e),this}function wv(n){const e=Lt(this),{has:t,get:i}=Ou(e);let s=t.call(e,n);s||(n=Lt(n),s=t.call(e,n)),i&&i.call(e,n);const r=e.delete(n);return s&&Bs(e,"delete",n,void 0),r}function Nv(){const n=Lt(this),e=n.size!==0,t=n.clear();return e&&Bs(n,"clear",void 0,void 0),t}function Dc(n,e){return function(i,s){const r=this,o=r.__v_raw,a=Lt(o),l=e?Db:n?kb:Yl;return!n&&Jn(a,"iterate",so),o.forEach((d,c)=>i.call(s,l(d),l(c),r))}}function kc(n,e,t){return function(...i){const s=this.__v_raw,r=Lt(s),o=Jo(r),a=n==="entries"||n===Symbol.iterator&&o,l=n==="keys"&&o,d=s[n](...i),c=t?Db:e?kb:Yl;return!e&&Jn(r,"iterate",l?Tg:so),{next(){const{value:_,done:f}=d.next();return f?{value:_,done:f}:{value:a?[c(_[0]),c(_[1])]:c(_),done:f}},[Symbol.iterator](){return this}}}}function Qs(n){return function(...e){return n==="delete"?!1:this}}function QM(){const n={get(r){return Oc(this,r)},get size(){return Mc(this)},has:Ic,add:Rv,set:Av,delete:wv,clear:Nv,forEach:Dc(!1,!1)},e={get(r){return Oc(this,r,!1,!0)},get size(){return Mc(this)},has:Ic,add:Rv,set:Av,delete:wv,clear:Nv,forEach:Dc(!1,!0)},t={get(r){return Oc(this,r,!0)},get size(){return Mc(this,!0)},has(r){return Ic.call(this,r,!0)},add:Qs("add"),set:Qs("set"),delete:Qs("delete"),clear:Qs("clear"),forEach:Dc(!0,!1)},i={get(r){return Oc(this,r,!0,!0)},get size(){return Mc(this,!0)},has(r){return Ic.call(this,r,!0)},add:Qs("add"),set:Qs("set"),delete:Qs("delete"),clear:Qs("clear"),forEach:Dc(!0,!0)};return["keys","values","entries",Symbol.iterator].forEach(r=>{n[r]=kc(r,!1,!1),t[r]=kc(r,!0,!1),e[r]=kc(r,!1,!0),i[r]=kc(r,!0,!0)}),[n,t,e,i]}const[XM,ZM,JM,e2]=QM();function Iu(n,e){const t=e?n?e2:JM:n?ZM:XM;return(i,s,r)=>s==="__v_isReactive"?!n:s==="__v_isReadonly"?n:s==="__v_raw"?i:Reflect.get(Pt(t,s)&&s in i?t:i,s,r)}const t2={get:Iu(!1,!1)},n2={get:Iu(!1,!0)},i2={get:Iu(!0,!1)},s2={get:Iu(!0,!0)},uA=new WeakMap,pA=new WeakMap,_A=new WeakMap,hA=new WeakMap;function r2(n){switch(n){case"Object":case"Array":return 1;case"Map":case"Set":case"WeakMap":case"WeakSet":return 2;default:return 0}}function o2(n){return n.__v_skip||!Object.isExtensible(n)?0:r2(EM(n))}function ei(n){return da(n)?n:Mu(n,!1,cA,t2,uA)}function fA(n){return Mu(n,!1,KM,n2,pA)}function mA(n){return Mu(n,!0,dA,i2,_A)}function a2(n){return Mu(n,!0,jM,s2,hA)}function Mu(n,e,t,i,s){if(!Yt(n)||n.__v_raw&&!(e&&n.__v_isReactive))return n;const r=s.get(n);if(r)return r;const o=o2(n);if(o===0)return n;const a=new Proxy(n,o===2?i:t);return s.set(n,a),a}function ta(n){return da(n)?ta(n.__v_raw):!!(n&&n.__v_isReactive)}function da(n){return!!(n&&n.__v_isReadonly)}function Kd(n){return!!(n&&n.__v_isShallow)}function gA(n){return ta(n)||da(n)}function Lt(n){const e=n&&n.__v_raw;return e?Lt(e):n}function uc(n){return Yd(n,"__v_skip",!0),n}const Yl=n=>Yt(n)?ei(n):n,kb=n=>Yt(n)?mA(n):n;function Lb(n){Er&&Ui&&(n=Lt(n),oA(n.dep||(n.dep=Ib())))}function Pb(n,e){n=Lt(n);const t=n.dep;t&&xg(t)}function hn(n){return!!(n&&n.__v_isRef===!0)}function ft(n){return bA(n,!1)}function l2(n){return bA(n,!0)}function bA(n,e){return hn(n)?n:new c2(n,e)}class c2{constructor(e,t){this.__v_isShallow=t,this.dep=void 0,this.__v_isRef=!0,this._rawValue=t?e:Lt(e),this._value=t?e:Yl(e)}get value(){return Lb(this),this._value}set value(e){const t=this.__v_isShallow||Kd(e)||da(e);e=t?e:Lt(e),ql(e,this._rawValue)&&(this._rawValue=e,this._value=t?e:Yl(e),Pb(this))}}function Tt(n){return hn(n)?n.value:n}const d2={get:(n,e,t)=>Tt(Reflect.get(n,e,t)),set:(n,e,t,i)=>{const s=n[e];return hn(s)&&!hn(t)?(s.value=t,!0):Reflect.set(n,e,t,i)}};function EA(n){return ta(n)?n:new Proxy(n,d2)}class u2{constructor(e){this.dep=void 0,this.__v_isRef=!0;const{get:t,set:i}=e(()=>Lb(this),()=>Pb(this));this._get=t,this._set=i}get value(){return this._get()}set value(e){this._set(e)}}function p2(n){return new u2(n)}function _2(n){const e=ot(n)?new Array(n.length):{};for(const t in n)e[t]=vA(n,t);return e}class h2{constructor(e,t,i){this._object=e,this._key=t,this._defaultValue=i,this.__v_isRef=!0}get value(){const e=this._object[this._key];return e===void 0?this._defaultValue:e}set value(e){this._object[this._key]=e}get dep(){return LM(Lt(this._object),this._key)}}class f2{constructor(e){this._getter=e,this.__v_isRef=!0,this.__v_isReadonly=!0}get value(){return this._getter()}}function jd(n,e,t){return hn(n)?n:vt(n)?new f2(n):Yt(n)&&arguments.length>1?vA(n,e,t):ft(n)}function vA(n,e,t){const i=n[e];return hn(i)?i:new h2(n,e,t)}class m2{constructor(e,t,i,s){this._setter=t,this.dep=void 0,this.__v_isRef=!0,this.__v_isReadonly=!1,this._dirty=!0,this.effect=new Mb(e,()=>{this._dirty||(this._dirty=!0,Pb(this))}),this.effect.computed=this,this.effect.active=this._cacheable=!s,this.__v_isReadonly=i}get value(){const e=Lt(this);return Lb(e),(e._dirty||!e._cacheable)&&(e._dirty=!1,e._value=e.effect.run()),e._value}set value(e){this._setter(e)}}function g2(n,e,t=!1){let i,s;const r=vt(n);return r?(i=n,s=Bi):(i=n.get,s=n.set),new m2(i,s,r||!s,t)}function vr(n,e,t,i){let s;try{s=i?n(...i):n()}catch(r){Du(r,e,t)}return s}function yi(n,e,t,i){if(vt(n)){const r=vr(n,e,t,i);return r&&QR(r)&&r.catch(o=>{Du(o,e,t)}),r}const s=[];for(let r=0;r<n.length;r++)s.push(yi(n[r],e,t,i));return s}function Du(n,e,t,i=!0){const s=e?e.vnode:null;if(e){let r=e.parent;const o=e.proxy,a=t;for(;r;){const d=r.ec;if(d){for(let c=0;c<d.length;c++)if(d[c](n,o,a)===!1)return}r=r.parent}const l=e.appContext.config.errorHandler;if(l){vr(l,null,10,[n,o,a]);return}}b2(n,t,s,i)}function b2(n,e,t,i=!0){console.error(n)}let $l=!1,Cg=!1;const Ln=[];let Zi=0;const na=[];let Is=null,Kr=0;const yA=Promise.resolve();let Ub=null;function Ve(n){const e=Ub||yA;return n?e.then(this?n.bind(this):n):e}function E2(n){let e=Zi+1,t=Ln.length;for(;e<t;){const i=e+t>>>1;Wl(Ln[i])<n?e=i+1:t=i}return e}function Fb(n){(!Ln.length||!Ln.includes(n,$l&&n.allowRecurse?Zi+1:Zi))&&(n.id==null?Ln.push(n):Ln.splice(E2(n.id),0,n),SA())}function SA(){!$l&&!Cg&&(Cg=!0,Ub=yA.then(xA))}function v2(n){const e=Ln.indexOf(n);e>Zi&&Ln.splice(e,1)}function y2(n){ot(n)?na.push(...n):(!Is||!Is.includes(n,n.allowRecurse?Kr+1:Kr))&&na.push(n),SA()}function Ov(n,e=$l?Zi+1:0){for(;e<Ln.length;e++){const t=Ln[e];t&&t.pre&&(Ln.splice(e,1),e--,t())}}function TA(n){if(na.length){const e=[...new Set(na)];if(na.length=0,Is){Is.push(...e);return}for(Is=e,Is.sort((t,i)=>Wl(t)-Wl(i)),Kr=0;Kr<Is.length;Kr++)Is[Kr]();Is=null,Kr=0}}const Wl=n=>n.id==null?1/0:n.id,S2=(n,e)=>{const t=Wl(n)-Wl(e);if(t===0){if(n.pre&&!e.pre)return-1;if(e.pre&&!n.pre)return 1}return t};function xA(n){Cg=!1,$l=!0,Ln.sort(S2);const e=Bi;try{for(Zi=0;Zi<Ln.length;Zi++){const t=Ln[Zi];t&&t.active!==!1&&vr(t,null,14)}}finally{Zi=0,Ln.length=0,TA(),$l=!1,Ub=null,(Ln.length||na.length)&&xA()}}function T2(n,e,...t){if(n.isUnmounted)return;const i=n.vnode.props||Zt;let s=t;const r=e.startsWith("update:"),o=r&&e.slice(7);if(o&&o in i){const c=`${o==="modelValue"?"model":o}Modifiers`,{number:_,trim:f}=i[c]||Zt;f&&(s=t.map(m=>an(m)?m.trim():m)),_&&(s=t.map($d))}let a,l=i[a=vp(e)]||i[a=vp(os(e))];!l&&r&&(l=i[a=vp(yo(e))]),l&&yi(l,n,6,s);const d=i[a+"Once"];if(d){if(!n.emitted)n.emitted={};else if(n.emitted[a])return;n.emitted[a]=!0,yi(d,n,6,s)}}function CA(n,e,t=!1){const i=e.emitsCache,s=i.get(n);if(s!==void 0)return s;const r=n.emits;let o={},a=!1;if(!vt(n)){const l=d=>{const c=CA(d,e,!0);c&&(a=!0,on(o,c))};!t&&e.mixins.length&&e.mixins.forEach(l),n.extends&&l(n.extends),n.mixins&&n.mixins.forEach(l)}return!r&&!a?(Yt(n)&&i.set(n,null),null):(ot(r)?r.forEach(l=>o[l]=null):on(o,r),Yt(n)&&i.set(n,o),o)}function ku(n,e){return!n||!Ru(e)?!1:(e=e.slice(2).replace(/Once$/,""),Pt(n,e[0].toLowerCase()+e.slice(1))||Pt(n,yo(e))||Pt(n,e))}let An=null,Lu=null;function Qd(n){const e=An;return An=n,Lu=n&&n.type.__scopeId||null,e}function wr(n){Lu=n}function Nr(){Lu=null}function tt(n,e=An,t){if(!e||n._n)return n;const i=(...s)=>{i._d&&Vv(-1);const r=Qd(e);let o;try{o=n(...s)}finally{Qd(r),i._d&&Vv(1)}return o};return i._n=!0,i._c=!0,i._d=!0,i}function yp(n){const{type:e,vnode:t,proxy:i,withProxy:s,props:r,propsOptions:[o],slots:a,attrs:l,emit:d,render:c,renderCache:_,data:f,setupState:m,ctx:h,inheritAttrs:E}=n;let b,g;const v=Qd(n);try{if(t.shapeFlag&4){const T=s||i;b=Qi(c.call(T,T,_,r,m,f,h)),g=l}else{const T=e;b=Qi(T.length>1?T(r,{attrs:l,slots:a,emit:d}):T(r,null)),g=e.props?l:x2(l)}}catch(T){Il.length=0,Du(T,n,1),b=Ie(Si)}let y=b;if(g&&E!==!1){const T=Object.keys(g),{shapeFlag:C}=y;T.length&&C&7&&(o&&T.some(Ab)&&(g=C2(g,o)),y=Gs(y,g))}return t.dirs&&(y=Gs(y),y.dirs=y.dirs?y.dirs.concat(t.dirs):t.dirs),t.transition&&(y.transition=t.transition),b=y,Qd(v),b}const x2=n=>{let e;for(const t in n)(t==="class"||t==="style"||Ru(t))&&((e||(e={}))[t]=n[t]);return e},C2=(n,e)=>{const t={};for(const i in n)(!Ab(i)||!(i.slice(9)in e))&&(t[i]=n[i]);return t};function R2(n,e,t){const{props:i,children:s,component:r}=n,{props:o,children:a,patchFlag:l}=e,d=r.emitsOptions;if(e.dirs||e.transition)return!0;if(t&&l>=0){if(l&1024)return!0;if(l&16)return i?Iv(i,o,d):!!o;if(l&8){const c=e.dynamicProps;for(let _=0;_<c.length;_++){const f=c[_];if(o[f]!==i[f]&&!ku(d,f))return!0}}}else return(s||a)&&(!a||!a.$stable)?!0:i===o?!1:i?o?Iv(i,o,d):!0:!!o;return!1}function Iv(n,e,t){const i=Object.keys(e);if(i.length!==Object.keys(n).length)return!0;for(let s=0;s<i.length;s++){const r=i[s];if(e[r]!==n[r]&&!ku(t,r))return!0}return!1}function A2({vnode:n,parent:e},t){for(;e&&e.subTree===n;)(n=e.vnode).el=t,e=e.parent}const RA=n=>n.__isSuspense;function w2(n,e){e&&e.pendingBranch?ot(n)?e.effects.push(...n):e.effects.push(n):y2(n)}const Lc={};function qn(n,e,t){return AA(n,e,t)}function AA(n,e,{immediate:t,deep:i,flush:s,onTrack:r,onTrigger:o}=Zt){var a;const l=nA()===((a=vn)==null?void 0:a.scope)?vn:null;let d,c=!1,_=!1;if(hn(n)?(d=()=>n.value,c=Kd(n)):ta(n)?(d=()=>n,i=!0):ot(n)?(_=!0,c=n.some(T=>ta(T)||Kd(T)),d=()=>n.map(T=>{if(hn(T))return T.value;if(ta(T))return to(T);if(vt(T))return vr(T,l,2)})):vt(n)?e?d=()=>vr(n,l,2):d=()=>{if(!(l&&l.isUnmounted))return f&&f(),yi(n,l,3,[m])}:d=Bi,e&&i){const T=d;d=()=>to(T())}let f,m=T=>{f=v.onStop=()=>{vr(T,l,4)}},h;if(Xl)if(m=Bi,e?t&&yi(e,l,3,[d(),_?[]:void 0,m]):d(),s==="sync"){const T=ED();h=T.__watcherHandles||(T.__watcherHandles=[])}else return Bi;let E=_?new Array(n.length).fill(Lc):Lc;const b=()=>{if(v.active)if(e){const T=v.run();(i||c||(_?T.some((C,x)=>ql(C,E[x])):ql(T,E)))&&(f&&f(),yi(e,l,3,[T,E===Lc?void 0:_&&E[0]===Lc?[]:E,m]),E=T)}else v.run()};b.allowRecurse=!!e;let g;s==="sync"?g=b:s==="post"?g=()=>Rn(b,l&&l.suspense):(b.pre=!0,l&&(b.id=l.uid),g=()=>Fb(b));const v=new Mb(d,g);e?t?b():E=v.run():s==="post"?Rn(v.run.bind(v),l&&l.suspense):v.run();const y=()=>{v.stop(),l&&l.scope&&wb(l.scope.effects,v)};return h&&h.push(y),y}function N2(n,e,t){const i=this.proxy,s=an(n)?n.includes(".")?wA(i,n):()=>i[n]:n.bind(i,i);let r;vt(e)?r=e:(r=e.handler,t=e);const o=vn;pa(this);const a=AA(s,r.bind(i),t);return o?pa(o):ro(),a}function wA(n,e){const t=e.split(".");return()=>{let i=n;for(let s=0;s<t.length&&i;s++)i=i[t[s]];return i}}function to(n,e){if(!Yt(n)||n.__v_skip||(e=e||new Set,e.has(n)))return n;if(e.add(n),hn(n))to(n.value,e);else if(ot(n))for(let t=0;t<n.length;t++)to(n[t],e);else if(Fa(n)||Jo(n))n.forEach(t=>{to(t,e)});else if(ZR(n))for(const t in n)to(n[t],e);return n}function le(n,e){const t=An;if(t===null)return n;const i=Vu(t)||t.proxy,s=n.dirs||(n.dirs=[]);for(let r=0;r<e.length;r++){let[o,a,l,d=Zt]=e[r];o&&(vt(o)&&(o={mounted:o,updated:o}),o.deep&&to(a),s.push({dir:o,instance:i,value:a,oldValue:void 0,arg:l,modifiers:d}))}return n}function Lr(n,e,t,i){const s=n.dirs,r=e&&e.dirs;for(let o=0;o<s.length;o++){const a=s[o];r&&(a.oldValue=r[o].value);let l=a.dir[i];l&&(Ga(),yi(l,t,8,[n.el,a,n,e]),za())}}function NA(){const n={isMounted:!1,isLeaving:!1,isUnmounting:!1,leavingVNodes:new Map};return qs(()=>{n.isMounted=!0}),Va(()=>{n.isUnmounting=!0}),n}const ui=[Function,Array],OA={mode:String,appear:Boolean,persisted:Boolean,onBeforeEnter:ui,onEnter:ui,onAfterEnter:ui,onEnterCancelled:ui,onBeforeLeave:ui,onLeave:ui,onAfterLeave:ui,onLeaveCancelled:ui,onBeforeAppear:ui,onAppear:ui,onAfterAppear:ui,onAppearCancelled:ui},O2={name:"BaseTransition",props:OA,setup(n,{slots:e}){const t=qb(),i=NA();let s;return()=>{const r=e.default&&Bb(e.default(),!0);if(!r||!r.length)return;let o=r[0];if(r.length>1){for(const E of r)if(E.type!==Si){o=E;break}}const a=Lt(n),{mode:l}=a;if(i.isLeaving)return Sp(o);const d=Mv(o);if(!d)return Sp(o);const c=Kl(d,a,i,t);ua(d,c);const _=t.subTree,f=_&&Mv(_);let m=!1;const{getTransitionKey:h}=d.type;if(h){const E=h();s===void 0?s=E:E!==s&&(s=E,m=!0)}if(f&&f.type!==Si&&(!fr(d,f)||m)){const E=Kl(f,a,i,t);if(ua(f,E),l==="out-in")return i.isLeaving=!0,E.afterLeave=()=>{i.isLeaving=!1,t.update.active!==!1&&t.update()},Sp(o);l==="in-out"&&d.type!==Si&&(E.delayLeave=(b,g,v)=>{const y=IA(i,f);y[String(f.key)]=f,b._leaveCb=()=>{g(),b._leaveCb=void 0,delete c.delayedLeave},c.delayedLeave=v})}return o}}},I2=O2;function IA(n,e){const{leavingVNodes:t}=n;let i=t.get(e.type);return i||(i=Object.create(null),t.set(e.type,i)),i}function Kl(n,e,t,i){const{appear:s,mode:r,persisted:o=!1,onBeforeEnter:a,onEnter:l,onAfterEnter:d,onEnterCancelled:c,onBeforeLeave:_,onLeave:f,onAfterLeave:m,onLeaveCancelled:h,onBeforeAppear:E,onAppear:b,onAfterAppear:g,onAppearCancelled:v}=e,y=String(n.key),T=IA(t,n),C=(R,S)=>{R&&yi(R,i,9,S)},x=(R,S)=>{const A=S[1];C(R,S),ot(R)?R.every(U=>U.length<=1)&&A():R.length<=1&&A()},O={mode:r,persisted:o,beforeEnter(R){let S=a;if(!t.isMounted)if(s)S=E||a;else return;R._leaveCb&&R._leaveCb(!0);const A=T[y];A&&fr(n,A)&&A.el._leaveCb&&A.el._leaveCb(),C(S,[R])},enter(R){let S=l,A=d,U=c;if(!t.isMounted)if(s)S=b||l,A=g||d,U=v||c;else return;let F=!1;const K=R._enterCb=L=>{F||(F=!0,L?C(U,[R]):C(A,[R]),O.delayedLeave&&O.delayedLeave(),R._enterCb=void 0)};S?x(S,[R,K]):K()},leave(R,S){const A=String(n.key);if(R._enterCb&&R._enterCb(!0),t.isUnmounting)return S();C(_,[R]);let U=!1;const F=R._leaveCb=K=>{U||(U=!0,S(),K?C(h,[R]):C(m,[R]),R._leaveCb=void 0,T[A]===n&&delete T[A])};T[A]=n,f?x(f,[R,F]):F()},clone(R){return Kl(R,e,t,i)}};return O}function Sp(n){if(Pu(n))return n=Gs(n),n.children=null,n}function Mv(n){return Pu(n)?n.children?n.children[0]:void 0:n}function ua(n,e){n.shapeFlag&6&&n.component?ua(n.component.subTree,e):n.shapeFlag&128?(n.ssContent.transition=e.clone(n.ssContent),n.ssFallback.transition=e.clone(n.ssFallback)):n.transition=e}function Bb(n,e=!1,t){let i=[],s=0;for(let r=0;r<n.length;r++){let o=n[r];const a=t==null?o.key:String(t)+String(o.key!=null?o.key:r);o.type===$e?(o.patchFlag&128&&s++,i=i.concat(Bb(o.children,e,a))):(e||o.type!==Si)&&i.push(a!=null?Gs(o,{key:a}):o)}if(s>1)for(let r=0;r<i.length;r++)i[r].patchFlag=-2;return i}function pn(n,e){return vt(n)?(()=>on({name:n.name},e,{setup:n}))():n}const ia=n=>!!n.type.__asyncLoader,Pu=n=>n.type.__isKeepAlive,M2={name:"KeepAlive",__isKeepAlive:!0,props:{include:[String,RegExp,Array],exclude:[String,RegExp,Array],max:[String,Number]},setup(n,{slots:e}){const t=qb(),i=t.ctx;if(!i.renderer)return()=>{const v=e.default&&e.default();return v&&v.length===1?v[0]:v};const s=new Map,r=new Set;let o=null;const a=t.suspense,{renderer:{p:l,m:d,um:c,o:{createElement:_}}}=i,f=_("div");i.activate=(v,y,T,C,x)=>{const O=v.component;d(v,y,T,0,a),l(O.vnode,v,y,T,O,a,C,v.slotScopeIds,x),Rn(()=>{O.isDeactivated=!1,O.a&&ea(O.a);const R=v.props&&v.props.onVnodeMounted;R&&hi(R,O.parent,v)},a)},i.deactivate=v=>{const y=v.component;d(v,f,null,1,a),Rn(()=>{y.da&&ea(y.da);const T=v.props&&v.props.onVnodeUnmounted;T&&hi(T,y.parent,v),y.isDeactivated=!0},a)};function m(v){Tp(v),c(v,t,a,!0)}function h(v){s.forEach((y,T)=>{const C=Ig(y.type);C&&(!v||!v(C))&&E(T)})}function E(v){const y=s.get(v);!o||!fr(y,o)?m(y):o&&Tp(o),s.delete(v),r.delete(v)}qn(()=>[n.include,n.exclude],([v,y])=>{v&&h(T=>Cl(v,T)),y&&h(T=>!Cl(y,T))},{flush:"post",deep:!0});let b=null;const g=()=>{b!=null&&s.set(b,xp(t.subTree))};return qs(g),pc(g),Va(()=>{s.forEach(v=>{const{subTree:y,suspense:T}=t,C=xp(y);if(v.type===C.type&&v.key===C.key){Tp(C);const x=C.component.da;x&&Rn(x,T);return}m(v)})}),()=>{if(b=null,!e.default)return null;const v=e.default(),y=v[0];if(v.length>1)return o=null,v;if(!Ql(y)||!(y.shapeFlag&4)&&!(y.shapeFlag&128))return o=null,y;let T=xp(y);const C=T.type,x=Ig(ia(T)?T.type.__asyncResolved||{}:C),{include:O,exclude:R,max:S}=n;if(O&&(!x||!Cl(O,x))||R&&x&&Cl(R,x))return o=T,y;const A=T.key==null?C:T.key,U=s.get(A);return T.el&&(T=Gs(T),y.shapeFlag&128&&(y.ssContent=T)),b=A,U?(T.el=U.el,T.component=U.component,T.transition&&ua(T,T.transition),T.shapeFlag|=512,r.delete(A),r.add(A)):(r.add(A),S&&r.size>parseInt(S,10)&&E(r.values().next().value)),T.shapeFlag|=256,o=T,RA(y.type)?y:T}}},D2=M2;function Cl(n,e){return ot(n)?n.some(t=>Cl(t,e)):an(n)?n.split(",").includes(e):bM(n)?n.test(e):!1}function k2(n,e){MA(n,"a",e)}function L2(n,e){MA(n,"da",e)}function MA(n,e,t=vn){const i=n.__wdc||(n.__wdc=()=>{let s=t;for(;s;){if(s.isDeactivated)return;s=s.parent}return n()});if(Uu(e,i,t),t){let s=t.parent;for(;s&&s.parent;)Pu(s.parent.vnode)&&P2(i,e,t,s),s=s.parent}}function P2(n,e,t,i){const s=Uu(e,n,i,!0);DA(()=>{wb(i[e],s)},t)}function Tp(n){n.shapeFlag&=-257,n.shapeFlag&=-513}function xp(n){return n.shapeFlag&128?n.ssContent:n}function Uu(n,e,t=vn,i=!1){if(t){const s=t[n]||(t[n]=[]),r=e.__weh||(e.__weh=(...o)=>{if(t.isUnmounted)return;Ga(),pa(t);const a=yi(e,t,n,o);return ro(),za(),a});return i?s.unshift(r):s.push(r),r}}const Hs=n=>(e,t=vn)=>(!Xl||n==="sp")&&Uu(n,(...i)=>e(...i),t),U2=Hs("bm"),qs=Hs("m"),F2=Hs("bu"),pc=Hs("u"),Va=Hs("bum"),DA=Hs("um"),B2=Hs("sp"),G2=Hs("rtg"),z2=Hs("rtc");function V2(n,e=vn){Uu("ec",n,e)}const Gb="components";function mt(n,e){return LA(Gb,n,!0,e)||n}const kA=Symbol.for("v-ndc");function Fu(n){return an(n)?LA(Gb,n,!1)||n:n||kA}function LA(n,e,t=!0,i=!1){const s=An||vn;if(s){const r=s.type;if(n===Gb){const a=Ig(r,!1);if(a&&(a===e||a===os(e)||a===wu(os(e))))return r}const o=Dv(s[n]||r[n],e)||Dv(s.appContext[n],e);return!o&&i?r:o}}function Dv(n,e){return n&&(n[e]||n[os(e)]||n[wu(os(e))])}function dt(n,e,t,i){let s;const r=t&&t[i];if(ot(n)||an(n)){s=new Array(n.length);for(let o=0,a=n.length;o<a;o++)s[o]=e(n[o],o,void 0,r&&r[o])}else if(typeof n=="number"){s=new Array(n);for(let o=0;o<n;o++)s[o]=e(o+1,o,void 0,r&&r[o])}else if(Yt(n))if(n[Symbol.iterator])s=Array.from(n,(o,a)=>e(o,a,void 0,r&&r[a]));else{const o=Object.keys(n);s=new Array(o.length);for(let a=0,l=o.length;a<l;a++){const d=o[a];s[a]=e(n[d],d,a,r&&r[a])}}else s=[];return t&&(t[i]=s),s}function Dn(n,e,t={},i,s){if(An.isCE||An.parent&&ia(An.parent)&&An.parent.isCE)return e!=="default"&&(t.name=e),Ie("slot",t,i&&i());let r=n[e];r&&r._c&&(r._d=!1),N();const o=r&&PA(r(t)),a=Ot($e,{key:t.key||o&&o.key||`_${e}`},o||(i?i():[]),o&&n._===1?64:-2);return!s&&a.scopeId&&(a.slotScopeIds=[a.scopeId+"-s"]),r&&r._c&&(r._d=!0),a}function PA(n){return n.some(e=>Ql(e)?!(e.type===Si||e.type===$e&&!PA(e.children)):!0)?n:null}const Rg=n=>n?WA(n)?Vu(n)||n.proxy:Rg(n.parent):null,Ol=on(Object.create(null),{$:n=>n,$el:n=>n.vnode.el,$data:n=>n.data,$props:n=>n.props,$attrs:n=>n.attrs,$slots:n=>n.slots,$refs:n=>n.refs,$parent:n=>Rg(n.parent),$root:n=>Rg(n.root),$emit:n=>n.emit,$options:n=>zb(n),$forceUpdate:n=>n.f||(n.f=()=>Fb(n.update)),$nextTick:n=>n.n||(n.n=Ve.bind(n.proxy)),$watch:n=>N2.bind(n)}),Cp=(n,e)=>n!==Zt&&!n.__isScriptSetup&&Pt(n,e),H2={get({_:n},e){const{ctx:t,setupState:i,data:s,props:r,accessCache:o,type:a,appContext:l}=n;let d;if(e[0]!=="$"){const m=o[e];if(m!==void 0)switch(m){case 1:return i[e];case 2:return s[e];case 4:return t[e];case 3:return r[e]}else{if(Cp(i,e))return o[e]=1,i[e];if(s!==Zt&&Pt(s,e))return o[e]=2,s[e];if((d=n.propsOptions[0])&&Pt(d,e))return o[e]=3,r[e];if(t!==Zt&&Pt(t,e))return o[e]=4,t[e];Ag&&(o[e]=0)}}const c=Ol[e];let _,f;if(c)return e==="$attrs"&&Jn(n,"get",e),c(n);if((_=a.__cssModules)&&(_=_[e]))return _;if(t!==Zt&&Pt(t,e))return o[e]=4,t[e];if(f=l.config.globalProperties,Pt(f,e))return f[e]},set({_:n},e,t){const{data:i,setupState:s,ctx:r}=n;return Cp(s,e)?(s[e]=t,!0):i!==Zt&&Pt(i,e)?(i[e]=t,!0):Pt(n.props,e)||e[0]==="$"&&e.slice(1)in n?!1:(r[e]=t,!0)},has({_:{data:n,setupState:e,accessCache:t,ctx:i,appContext:s,propsOptions:r}},o){let a;return!!t[o]||n!==Zt&&Pt(n,o)||Cp(e,o)||(a=r[0])&&Pt(a,o)||Pt(i,o)||Pt(Ol,o)||Pt(s.config.globalProperties,o)},defineProperty(n,e,t){return t.get!=null?n._.accessCache[e]=0:Pt(t,"value")&&this.set(n,e,t.value,null),Reflect.defineProperty(n,e,t)}};function kv(n){return ot(n)?n.reduce((e,t)=>(e[t]=null,e),{}):n}let Ag=!0;function q2(n){const e=zb(n),t=n.proxy,i=n.ctx;Ag=!1,e.beforeCreate&&Lv(e.beforeCreate,n,"bc");const{data:s,computed:r,methods:o,watch:a,provide:l,inject:d,created:c,beforeMount:_,mounted:f,beforeUpdate:m,updated:h,activated:E,deactivated:b,beforeDestroy:g,beforeUnmount:v,destroyed:y,unmounted:T,render:C,renderTracked:x,renderTriggered:O,errorCaptured:R,serverPrefetch:S,expose:A,inheritAttrs:U,components:F,directives:K,filters:L}=e;if(d&&Y2(d,i,null),o)for(const P in o){const j=o[P];vt(j)&&(i[P]=j.bind(t))}if(s){const P=s.call(t,t);Yt(P)&&(n.data=ei(P))}if(Ag=!0,r)for(const P in r){const j=r[P],Y=vt(j)?j.bind(t,t):vt(j.get)?j.get.bind(t,t):Bi,Q=!vt(j)&&vt(j.set)?j.set.bind(t):Bi,re=it({get:Y,set:Q});Object.defineProperty(i,P,{enumerable:!0,configurable:!0,get:()=>re.value,set:te=>re.value=te})}if(a)for(const P in a)UA(a[P],i,t,P);if(l){const P=vt(l)?l.call(t):l;Reflect.ownKeys(P).forEach(j=>{sa(j,P[j])})}c&&Lv(c,n,"c");function G(P,j){ot(j)?j.forEach(Y=>P(Y.bind(t))):j&&P(j.bind(t))}if(G(U2,_),G(qs,f),G(F2,m),G(pc,h),G(k2,E),G(L2,b),G(V2,R),G(z2,x),G(G2,O),G(Va,v),G(DA,T),G(B2,S),ot(A))if(A.length){const P=n.exposed||(n.exposed={});A.forEach(j=>{Object.defineProperty(P,j,{get:()=>t[j],set:Y=>t[j]=Y})})}else n.exposed||(n.exposed={});C&&n.render===Bi&&(n.render=C),U!=null&&(n.inheritAttrs=U),F&&(n.components=F),K&&(n.directives=K)}function Y2(n,e,t=Bi){ot(n)&&(n=wg(n));for(const i in n){const s=n[i];let r;Yt(s)?"default"in s?r=Gi(s.from||i,s.default,!0):r=Gi(s.from||i):r=Gi(s),hn(r)?Object.defineProperty(e,i,{enumerable:!0,configurable:!0,get:()=>r.value,set:o=>r.value=o}):e[i]=r}}function Lv(n,e,t){yi(ot(n)?n.map(i=>i.bind(e.proxy)):n.bind(e.proxy),e,t)}function UA(n,e,t,i){const s=i.includes(".")?wA(t,i):()=>t[i];if(an(n)){const r=e[n];vt(r)&&qn(s,r)}else if(vt(n))qn(s,n.bind(t));else if(Yt(n))if(ot(n))n.forEach(r=>UA(r,e,t,i));else{const r=vt(n.handler)?n.handler.bind(t):e[n.handler];vt(r)&&qn(s,r,n)}}function zb(n){const e=n.type,{mixins:t,extends:i}=e,{mixins:s,optionsCache:r,config:{optionMergeStrategies:o}}=n.appContext,a=r.get(e);let l;return a?l=a:!s.length&&!t&&!i?l=e:(l={},s.length&&s.forEach(d=>Xd(l,d,o,!0)),Xd(l,e,o)),Yt(e)&&r.set(e,l),l}function Xd(n,e,t,i=!1){const{mixins:s,extends:r}=e;r&&Xd(n,r,t,!0),s&&s.forEach(o=>Xd(n,o,t,!0));for(const o in e)if(!(i&&o==="expose")){const a=$2[o]||t&&t[o];n[o]=a?a(n[o],e[o]):e[o]}return n}const $2={data:Pv,props:Uv,emits:Uv,methods:Rl,computed:Rl,beforeCreate:Bn,created:Bn,beforeMount:Bn,mounted:Bn,beforeUpdate:Bn,updated:Bn,beforeDestroy:Bn,beforeUnmount:Bn,destroyed:Bn,unmounted:Bn,activated:Bn,deactivated:Bn,errorCaptured:Bn,serverPrefetch:Bn,components:Rl,directives:Rl,watch:K2,provide:Pv,inject:W2};function Pv(n,e){return e?n?function(){return on(vt(n)?n.call(this,this):n,vt(e)?e.call(this,this):e)}:e:n}function W2(n,e){return Rl(wg(n),wg(e))}function wg(n){if(ot(n)){const e={};for(let t=0;t<n.length;t++)e[n[t]]=n[t];return e}return n}function Bn(n,e){return n?[...new Set([].concat(n,e))]:e}function Rl(n,e){return n?on(Object.create(null),n,e):e}function Uv(n,e){return n?ot(n)&&ot(e)?[...new Set([...n,...e])]:on(Object.create(null),kv(n),kv(e??{})):e}function K2(n,e){if(!n)return e;if(!e)return n;const t=on(Object.create(null),n);for(const i in e)t[i]=Bn(n[i],e[i]);return t}function FA(){return{app:null,config:{isNativeTag:fM,performance:!1,globalProperties:{},optionMergeStrategies:{},errorHandler:void 0,warnHandler:void 0,compilerOptions:{}},mixins:[],components:{},directives:{},provides:Object.create(null),optionsCache:new WeakMap,propsCache:new WeakMap,emitsCache:new WeakMap}}let j2=0;function Q2(n,e){return function(i,s=null){vt(i)||(i=on({},i)),s!=null&&!Yt(s)&&(s=null);const r=FA(),o=new Set;let a=!1;const l=r.app={_uid:j2++,_component:i,_props:s,_container:null,_context:r,_instance:null,version:vD,get config(){return r.config},set config(d){},use(d,...c){return o.has(d)||(d&&vt(d.install)?(o.add(d),d.install(l,...c)):vt(d)&&(o.add(d),d(l,...c))),l},mixin(d){return r.mixins.includes(d)||r.mixins.push(d),l},component(d,c){return c?(r.components[d]=c,l):r.components[d]},directive(d,c){return c?(r.directives[d]=c,l):r.directives[d]},mount(d,c,_){if(!a){const f=Ie(i,s);return f.appContext=r,c&&e?e(f,d):n(f,d,_),a=!0,l._container=d,d.__vue_app__=l,Vu(f.component)||f.component.proxy}},unmount(){a&&(n(null,l._container),delete l._container.__vue_app__)},provide(d,c){return r.provides[d]=c,l},runWithContext(d){Zd=l;try{return d()}finally{Zd=null}}};return l}}let Zd=null;function sa(n,e){if(vn){let t=vn.provides;const i=vn.parent&&vn.parent.provides;i===t&&(t=vn.provides=Object.create(i)),t[n]=e}}function Gi(n,e,t=!1){const i=vn||An;if(i||Zd){const s=i?i.parent==null?i.vnode.appContext&&i.vnode.appContext.provides:i.parent.provides:Zd._context.provides;if(s&&n in s)return s[n];if(arguments.length>1)return t&&vt(e)?e.call(i&&i.proxy):e}}function X2(n,e,t,i=!1){const s={},r={};Yd(r,Gu,1),n.propsDefaults=Object.create(null),BA(n,e,s,r);for(const o in n.propsOptions[0])o in s||(s[o]=void 0);t?n.props=i?s:fA(s):n.type.props?n.props=s:n.props=r,n.attrs=r}function Z2(n,e,t,i){const{props:s,attrs:r,vnode:{patchFlag:o}}=n,a=Lt(s),[l]=n.propsOptions;let d=!1;if((i||o>0)&&!(o&16)){if(o&8){const c=n.vnode.dynamicProps;for(let _=0;_<c.length;_++){let f=c[_];if(ku(n.emitsOptions,f))continue;const m=e[f];if(l)if(Pt(r,f))m!==r[f]&&(r[f]=m,d=!0);else{const h=os(f);s[h]=Ng(l,a,h,m,n,!1)}else m!==r[f]&&(r[f]=m,d=!0)}}}else{BA(n,e,s,r)&&(d=!0);let c;for(const _ in a)(!e||!Pt(e,_)&&((c=yo(_))===_||!Pt(e,c)))&&(l?t&&(t[_]!==void 0||t[c]!==void 0)&&(s[_]=Ng(l,a,_,void 0,n,!0)):delete s[_]);if(r!==a)for(const _ in r)(!e||!Pt(e,_))&&(delete r[_],d=!0)}d&&Bs(n,"set","$attrs")}function BA(n,e,t,i){const[s,r]=n.propsOptions;let o=!1,a;if(e)for(let l in e){if(Nd(l))continue;const d=e[l];let c;s&&Pt(s,c=os(l))?!r||!r.includes(c)?t[c]=d:(a||(a={}))[c]=d:ku(n.emitsOptions,l)||(!(l in i)||d!==i[l])&&(i[l]=d,o=!0)}if(r){const l=Lt(t),d=a||Zt;for(let c=0;c<r.length;c++){const _=r[c];t[_]=Ng(s,l,_,d[_],n,!Pt(d,_))}}return o}function Ng(n,e,t,i,s,r){const o=n[t];if(o!=null){const a=Pt(o,"default");if(a&&i===void 0){const l=o.default;if(o.type!==Function&&!o.skipFactory&&vt(l)){const{propsDefaults:d}=s;t in d?i=d[t]:(pa(s),i=d[t]=l.call(null,e),ro())}else i=l}o[0]&&(r&&!a?i=!1:o[1]&&(i===""||i===yo(t))&&(i=!0))}return i}function GA(n,e,t=!1){const i=e.propsCache,s=i.get(n);if(s)return s;const r=n.props,o={},a=[];let l=!1;if(!vt(n)){const c=_=>{l=!0;const[f,m]=GA(_,e,!0);on(o,f),m&&a.push(...m)};!t&&e.mixins.length&&e.mixins.forEach(c),n.extends&&c(n.extends),n.mixins&&n.mixins.forEach(c)}if(!r&&!l)return Yt(n)&&i.set(n,Zo),Zo;if(ot(r))for(let c=0;c<r.length;c++){const _=os(r[c]);Fv(_)&&(o[_]=Zt)}else if(r)for(const c in r){const _=os(c);if(Fv(_)){const f=r[c],m=o[_]=ot(f)||vt(f)?{type:f}:on({},f);if(m){const h=zv(Boolean,m.type),E=zv(String,m.type);m[0]=h>-1,m[1]=E<0||h<E,(h>-1||Pt(m,"default"))&&a.push(_)}}}const d=[o,a];return Yt(n)&&i.set(n,d),d}function Fv(n){return n[0]!=="$"}function Bv(n){const e=n&&n.toString().match(/^\s*(function|class) (\w+)/);return e?e[2]:n===null?"null":""}function Gv(n,e){return Bv(n)===Bv(e)}function zv(n,e){return ot(e)?e.findIndex(t=>Gv(t,n)):vt(e)&&Gv(e,n)?0:-1}const zA=n=>n[0]==="_"||n==="$stable",Vb=n=>ot(n)?n.map(Qi):[Qi(n)],J2=(n,e,t)=>{if(e._n)return e;const i=tt((...s)=>Vb(e(...s)),t);return i._c=!1,i},VA=(n,e,t)=>{const i=n._ctx;for(const s in n){if(zA(s))continue;const r=n[s];if(vt(r))e[s]=J2(s,r,i);else if(r!=null){const o=Vb(r);e[s]=()=>o}}},HA=(n,e)=>{const t=Vb(e);n.slots.default=()=>t},eD=(n,e)=>{if(n.vnode.shapeFlag&32){const t=e._;t?(n.slots=Lt(e),Yd(e,"_",t)):VA(e,n.slots={})}else n.slots={},e&&HA(n,e);Yd(n.slots,Gu,1)},tD=(n,e,t)=>{const{vnode:i,slots:s}=n;let r=!0,o=Zt;if(i.shapeFlag&32){const a=e._;a?t&&a===1?r=!1:(on(s,e),!t&&a===1&&delete s._):(r=!e.$stable,VA(e,s)),o=e}else e&&(HA(n,e),o={default:1});if(r)for(const a in s)!zA(a)&&!(a in o)&&delete s[a]};function Og(n,e,t,i,s=!1){if(ot(n)){n.forEach((f,m)=>Og(f,e&&(ot(e)?e[m]:e),t,i,s));return}if(ia(i)&&!s)return;const r=i.shapeFlag&4?Vu(i.component)||i.component.proxy:i.el,o=s?null:r,{i:a,r:l}=n,d=e&&e.r,c=a.refs===Zt?a.refs={}:a.refs,_=a.setupState;if(d!=null&&d!==l&&(an(d)?(c[d]=null,Pt(_,d)&&(_[d]=null)):hn(d)&&(d.value=null)),vt(l))vr(l,a,12,[o,c]);else{const f=an(l),m=hn(l);if(f||m){const h=()=>{if(n.f){const E=f?Pt(_,l)?_[l]:c[l]:l.value;s?ot(E)&&wb(E,r):ot(E)?E.includes(r)||E.push(r):f?(c[l]=[r],Pt(_,l)&&(_[l]=c[l])):(l.value=[r],n.k&&(c[n.k]=l.value))}else f?(c[l]=o,Pt(_,l)&&(_[l]=o)):m&&(l.value=o,n.k&&(c[n.k]=o))};o?(h.id=-1,Rn(h,t)):h()}}}const Rn=w2;function nD(n){return iD(n)}function iD(n,e){const t=yg();t.__VUE__=!0;const{insert:i,remove:s,patchProp:r,createElement:o,createText:a,createComment:l,setText:d,setElementText:c,parentNode:_,nextSibling:f,setScopeId:m=Bi,insertStaticContent:h}=n,E=(k,B,$,ce=null,ne=null,Ce=null,we=!1,V=null,_e=!!B.dynamicChildren)=>{if(k===B)return;k&&!fr(k,B)&&(ce=J(k),te(k,ne,Ce,!0),k=null),B.patchFlag===-2&&(_e=!1,B.dynamicChildren=null);const{type:ie,ref:ae,shapeFlag:D}=B;switch(ie){case Bu:b(k,B,$,ce);break;case Si:g(k,B,$,ce);break;case Od:k==null&&v(B,$,ce,we);break;case $e:F(k,B,$,ce,ne,Ce,we,V,_e);break;default:D&1?C(k,B,$,ce,ne,Ce,we,V,_e):D&6?K(k,B,$,ce,ne,Ce,we,V,_e):(D&64||D&128)&&ie.process(k,B,$,ce,ne,Ce,we,V,_e,ee)}ae!=null&&ne&&Og(ae,k&&k.ref,Ce,B||k,!B)},b=(k,B,$,ce)=>{if(k==null)i(B.el=a(B.children),$,ce);else{const ne=B.el=k.el;B.children!==k.children&&d(ne,B.children)}},g=(k,B,$,ce)=>{k==null?i(B.el=l(B.children||""),$,ce):B.el=k.el},v=(k,B,$,ce)=>{[k.el,k.anchor]=h(k.children,B,$,ce,k.el,k.anchor)},y=({el:k,anchor:B},$,ce)=>{let ne;for(;k&&k!==B;)ne=f(k),i(k,$,ce),k=ne;i(B,$,ce)},T=({el:k,anchor:B})=>{let $;for(;k&&k!==B;)$=f(k),s(k),k=$;s(B)},C=(k,B,$,ce,ne,Ce,we,V,_e)=>{we=we||B.type==="svg",k==null?x(B,$,ce,ne,Ce,we,V,_e):S(k,B,ne,Ce,we,V,_e)},x=(k,B,$,ce,ne,Ce,we,V)=>{let _e,ie;const{type:ae,props:D,shapeFlag:I,transition:z,dirs:he}=k;if(_e=k.el=o(k.type,Ce,D&&D.is,D),I&8?c(_e,k.children):I&16&&R(k.children,_e,null,ce,ne,Ce&&ae!=="foreignObject",we,V),he&&Lr(k,null,ce,"created"),O(_e,k,k.scopeId,we,ce),D){for(const se in D)se!=="value"&&!Nd(se)&&r(_e,se,null,D[se],Ce,k.children,ce,ne,Ae);"value"in D&&r(_e,"value",null,D.value),(ie=D.onVnodeBeforeMount)&&hi(ie,ce,k)}he&&Lr(k,null,ce,"beforeMount");const X=(!ne||ne&&!ne.pendingBranch)&&z&&!z.persisted;X&&z.beforeEnter(_e),i(_e,B,$),((ie=D&&D.onVnodeMounted)||X||he)&&Rn(()=>{ie&&hi(ie,ce,k),X&&z.enter(_e),he&&Lr(k,null,ce,"mounted")},ne)},O=(k,B,$,ce,ne)=>{if($&&m(k,$),ce)for(let Ce=0;Ce<ce.length;Ce++)m(k,ce[Ce]);if(ne){let Ce=ne.subTree;if(B===Ce){const we=ne.vnode;O(k,we,we.scopeId,we.slotScopeIds,ne.parent)}}},R=(k,B,$,ce,ne,Ce,we,V,_e=0)=>{for(let ie=_e;ie<k.length;ie++){const ae=k[ie]=V?ar(k[ie]):Qi(k[ie]);E(null,ae,B,$,ce,ne,Ce,we,V)}},S=(k,B,$,ce,ne,Ce,we)=>{const V=B.el=k.el;let{patchFlag:_e,dynamicChildren:ie,dirs:ae}=B;_e|=k.patchFlag&16;const D=k.props||Zt,I=B.props||Zt;let z;$&&Pr($,!1),(z=I.onVnodeBeforeUpdate)&&hi(z,$,B,k),ae&&Lr(B,k,$,"beforeUpdate"),$&&Pr($,!0);const he=ne&&B.type!=="foreignObject";if(ie?A(k.dynamicChildren,ie,V,$,ce,he,Ce):we||j(k,B,V,null,$,ce,he,Ce,!1),_e>0){if(_e&16)U(V,B,D,I,$,ce,ne);else if(_e&2&&D.class!==I.class&&r(V,"class",null,I.class,ne),_e&4&&r(V,"style",D.style,I.style,ne),_e&8){const X=B.dynamicProps;for(let se=0;se<X.length;se++){const Re=X[se],Te=D[Re],Me=I[Re];(Me!==Te||Re==="value")&&r(V,Re,Te,Me,ne,k.children,$,ce,Ae)}}_e&1&&k.children!==B.children&&c(V,B.children)}else!we&&ie==null&&U(V,B,D,I,$,ce,ne);((z=I.onVnodeUpdated)||ae)&&Rn(()=>{z&&hi(z,$,B,k),ae&&Lr(B,k,$,"updated")},ce)},A=(k,B,$,ce,ne,Ce,we)=>{for(let V=0;V<B.length;V++){const _e=k[V],ie=B[V],ae=_e.el&&(_e.type===$e||!fr(_e,ie)||_e.shapeFlag&70)?_(_e.el):$;E(_e,ie,ae,null,ce,ne,Ce,we,!0)}},U=(k,B,$,ce,ne,Ce,we)=>{if($!==ce){if($!==Zt)for(const V in $)!Nd(V)&&!(V in ce)&&r(k,V,$[V],null,we,B.children,ne,Ce,Ae);for(const V in ce){if(Nd(V))continue;const _e=ce[V],ie=$[V];_e!==ie&&V!=="value"&&r(k,V,ie,_e,we,B.children,ne,Ce,Ae)}"value"in ce&&r(k,"value",$.value,ce.value)}},F=(k,B,$,ce,ne,Ce,we,V,_e)=>{const ie=B.el=k?k.el:a(""),ae=B.anchor=k?k.anchor:a("");let{patchFlag:D,dynamicChildren:I,slotScopeIds:z}=B;z&&(V=V?V.concat(z):z),k==null?(i(ie,$,ce),i(ae,$,ce),R(B.children,$,ae,ne,Ce,we,V,_e)):D>0&&D&64&&I&&k.dynamicChildren?(A(k.dynamicChildren,I,$,ne,Ce,we,V),(B.key!=null||ne&&B===ne.subTree)&&qA(k,B,!0)):j(k,B,$,ae,ne,Ce,we,V,_e)},K=(k,B,$,ce,ne,Ce,we,V,_e)=>{B.slotScopeIds=V,k==null?B.shapeFlag&512?ne.ctx.activate(B,$,ce,we,_e):L(B,$,ce,ne,Ce,we,_e):H(k,B,_e)},L=(k,B,$,ce,ne,Ce,we)=>{const V=k.component=pD(k,ce,ne);if(Pu(k)&&(V.ctx.renderer=ee),_D(V),V.asyncDep){if(ne&&ne.registerDep(V,G),!k.el){const _e=V.subTree=Ie(Si);g(null,_e,B,$)}return}G(V,k,B,$,ne,Ce,we)},H=(k,B,$)=>{const ce=B.component=k.component;if(R2(k,B,$))if(ce.asyncDep&&!ce.asyncResolved){P(ce,B,$);return}else ce.next=B,v2(ce.update),ce.update();else B.el=k.el,ce.vnode=B},G=(k,B,$,ce,ne,Ce,we)=>{const V=()=>{if(k.isMounted){let{next:ae,bu:D,u:I,parent:z,vnode:he}=k,X=ae,se;Pr(k,!1),ae?(ae.el=he.el,P(k,ae,we)):ae=he,D&&ea(D),(se=ae.props&&ae.props.onVnodeBeforeUpdate)&&hi(se,z,ae,he),Pr(k,!0);const Re=yp(k),Te=k.subTree;k.subTree=Re,E(Te,Re,_(Te.el),J(Te),k,ne,Ce),ae.el=Re.el,X===null&&A2(k,Re.el),I&&Rn(I,ne),(se=ae.props&&ae.props.onVnodeUpdated)&&Rn(()=>hi(se,z,ae,he),ne)}else{let ae;const{el:D,props:I}=B,{bm:z,m:he,parent:X}=k,se=ia(B);if(Pr(k,!1),z&&ea(z),!se&&(ae=I&&I.onVnodeBeforeMount)&&hi(ae,X,B),Pr(k,!0),D&&Oe){const Re=()=>{k.subTree=yp(k),Oe(D,k.subTree,k,ne,null)};se?B.type.__asyncLoader().then(()=>!k.isUnmounted&&Re()):Re()}else{const Re=k.subTree=yp(k);E(null,Re,$,ce,k,ne,Ce),B.el=Re.el}if(he&&Rn(he,ne),!se&&(ae=I&&I.onVnodeMounted)){const Re=B;Rn(()=>hi(ae,X,Re),ne)}(B.shapeFlag&256||X&&ia(X.vnode)&&X.vnode.shapeFlag&256)&&k.a&&Rn(k.a,ne),k.isMounted=!0,B=$=ce=null}},_e=k.effect=new Mb(V,()=>Fb(ie),k.scope),ie=k.update=()=>_e.run();ie.id=k.uid,Pr(k,!0),ie()},P=(k,B,$)=>{B.component=k;const ce=k.vnode.props;k.vnode=B,k.next=null,Z2(k,B.props,ce,$),tD(k,B.children,$),Ga(),Ov(),za()},j=(k,B,$,ce,ne,Ce,we,V,_e=!1)=>{const ie=k&&k.children,ae=k?k.shapeFlag:0,D=B.children,{patchFlag:I,shapeFlag:z}=B;if(I>0){if(I&128){Q(ie,D,$,ce,ne,Ce,we,V,_e);return}else if(I&256){Y(ie,D,$,ce,ne,Ce,we,V,_e);return}}z&8?(ae&16&&Ae(ie,ne,Ce),D!==ie&&c($,D)):ae&16?z&16?Q(ie,D,$,ce,ne,Ce,we,V,_e):Ae(ie,ne,Ce,!0):(ae&8&&c($,""),z&16&&R(D,$,ce,ne,Ce,we,V,_e))},Y=(k,B,$,ce,ne,Ce,we,V,_e)=>{k=k||Zo,B=B||Zo;const ie=k.length,ae=B.length,D=Math.min(ie,ae);let I;for(I=0;I<D;I++){const z=B[I]=_e?ar(B[I]):Qi(B[I]);E(k[I],z,$,null,ne,Ce,we,V,_e)}ie>ae?Ae(k,ne,Ce,!0,!1,D):R(B,$,ce,ne,Ce,we,V,_e,D)},Q=(k,B,$,ce,ne,Ce,we,V,_e)=>{let ie=0;const ae=B.length;let D=k.length-1,I=ae-1;for(;ie<=D&&ie<=I;){const z=k[ie],he=B[ie]=_e?ar(B[ie]):Qi(B[ie]);if(fr(z,he))E(z,he,$,null,ne,Ce,we,V,_e);else break;ie++}for(;ie<=D&&ie<=I;){const z=k[D],he=B[I]=_e?ar(B[I]):Qi(B[I]);if(fr(z,he))E(z,he,$,null,ne,Ce,we,V,_e);else break;D--,I--}if(ie>D){if(ie<=I){const z=I+1,he=z<ae?B[z].el:ce;for(;ie<=I;)E(null,B[ie]=_e?ar(B[ie]):Qi(B[ie]),$,he,ne,Ce,we,V,_e),ie++}}else if(ie>I)for(;ie<=D;)te(k[ie],ne,Ce,!0),ie++;else{const z=ie,he=ie,X=new Map;for(ie=he;ie<=I;ie++){const lt=B[ie]=_e?ar(B[ie]):Qi(B[ie]);lt.key!=null&&X.set(lt.key,ie)}let se,Re=0;const Te=I-he+1;let Me=!1,ze=0;const st=new Array(Te);for(ie=0;ie<Te;ie++)st[ie]=0;for(ie=z;ie<=D;ie++){const lt=k[ie];if(Re>=Te){te(lt,ne,Ce,!0);continue}let Qe;if(lt.key!=null)Qe=X.get(lt.key);else for(se=he;se<=I;se++)if(st[se-he]===0&&fr(lt,B[se])){Qe=se;break}Qe===void 0?te(lt,ne,Ce,!0):(st[Qe-he]=ie+1,Qe>=ze?ze=Qe:Me=!0,E(lt,B[Qe],$,null,ne,Ce,we,V,_e),Re++)}const De=Me?sD(st):Zo;for(se=De.length-1,ie=Te-1;ie>=0;ie--){const lt=he+ie,Qe=B[lt],He=lt+1<ae?B[lt+1].el:ce;st[ie]===0?E(null,Qe,$,He,ne,Ce,we,V,_e):Me&&(se<0||ie!==De[se]?re(Qe,$,He,2):se--)}}},re=(k,B,$,ce,ne=null)=>{const{el:Ce,type:we,transition:V,children:_e,shapeFlag:ie}=k;if(ie&6){re(k.component.subTree,B,$,ce);return}if(ie&128){k.suspense.move(B,$,ce);return}if(ie&64){we.move(k,B,$,ee);return}if(we===$e){i(Ce,B,$);for(let D=0;D<_e.length;D++)re(_e[D],B,$,ce);i(k.anchor,B,$);return}if(we===Od){y(k,B,$);return}if(ce!==2&&ie&1&&V)if(ce===0)V.beforeEnter(Ce),i(Ce,B,$),Rn(()=>V.enter(Ce),ne);else{const{leave:D,delayLeave:I,afterLeave:z}=V,he=()=>i(Ce,B,$),X=()=>{D(Ce,()=>{he(),z&&z()})};I?I(Ce,he,X):X()}else i(Ce,B,$)},te=(k,B,$,ce=!1,ne=!1)=>{const{type:Ce,props:we,ref:V,children:_e,dynamicChildren:ie,shapeFlag:ae,patchFlag:D,dirs:I}=k;if(V!=null&&Og(V,null,$,k,!0),ae&256){B.ctx.deactivate(k);return}const z=ae&1&&I,he=!ia(k);let X;if(he&&(X=we&&we.onVnodeBeforeUnmount)&&hi(X,B,k),ae&6)ve(k.component,$,ce);else{if(ae&128){k.suspense.unmount($,ce);return}z&&Lr(k,null,B,"beforeUnmount"),ae&64?k.type.remove(k,B,$,ne,ee,ce):ie&&(Ce!==$e||D>0&&D&64)?Ae(ie,B,$,!1,!0):(Ce===$e&&D&384||!ne&&ae&16)&&Ae(_e,B,$),ce&&Z(k)}(he&&(X=we&&we.onVnodeUnmounted)||z)&&Rn(()=>{X&&hi(X,B,k),z&&Lr(k,null,B,"unmounted")},$)},Z=k=>{const{type:B,el:$,anchor:ce,transition:ne}=k;if(B===$e){fe($,ce);return}if(B===Od){T(k);return}const Ce=()=>{s($),ne&&!ne.persisted&&ne.afterLeave&&ne.afterLeave()};if(k.shapeFlag&1&&ne&&!ne.persisted){const{leave:we,delayLeave:V}=ne,_e=()=>we($,Ce);V?V(k.el,Ce,_e):_e()}else Ce()},fe=(k,B)=>{let $;for(;k!==B;)$=f(k),s(k),k=$;s(B)},ve=(k,B,$)=>{const{bum:ce,scope:ne,update:Ce,subTree:we,um:V}=k;ce&&ea(ce),ne.stop(),Ce&&(Ce.active=!1,te(we,k,B,$)),V&&Rn(V,B),Rn(()=>{k.isUnmounted=!0},B),B&&B.pendingBranch&&!B.isUnmounted&&k.asyncDep&&!k.asyncResolved&&k.suspenseId===B.pendingId&&(B.deps--,B.deps===0&&B.resolve())},Ae=(k,B,$,ce=!1,ne=!1,Ce=0)=>{for(let we=Ce;we<k.length;we++)te(k[we],B,$,ce,ne)},J=k=>k.shapeFlag&6?J(k.component.subTree):k.shapeFlag&128?k.suspense.next():f(k.anchor||k.el),me=(k,B,$)=>{k==null?B._vnode&&te(B._vnode,null,null,!0):E(B._vnode||null,k,B,null,null,null,$),Ov(),TA(),B._vnode=k},ee={p:E,um:te,m:re,r:Z,mt:L,mc:R,pc:j,pbc:A,n:J,o:n};let Se,Oe;return e&&([Se,Oe]=e(ee)),{render:me,hydrate:Se,createApp:Q2(me,Se)}}function Pr({effect:n,update:e},t){n.allowRecurse=e.allowRecurse=t}function qA(n,e,t=!1){const i=n.children,s=e.children;if(ot(i)&&ot(s))for(let r=0;r<i.length;r++){const o=i[r];let a=s[r];a.shapeFlag&1&&!a.dynamicChildren&&((a.patchFlag<=0||a.patchFlag===32)&&(a=s[r]=ar(s[r]),a.el=o.el),t||qA(o,a)),a.type===Bu&&(a.el=o.el)}}function sD(n){const e=n.slice(),t=[0];let i,s,r,o,a;const l=n.length;for(i=0;i<l;i++){const d=n[i];if(d!==0){if(s=t[t.length-1],n[s]<d){e[i]=s,t.push(i);continue}for(r=0,o=t.length-1;r<o;)a=r+o>>1,n[t[a]]<d?r=a+1:o=a;d<n[t[r]]&&(r>0&&(e[i]=t[r-1]),t[r]=i)}}for(r=t.length,o=t[r-1];r-- >0;)t[r]=o,o=e[o];return t}const rD=n=>n.__isTeleport,$e=Symbol.for("v-fgt"),Bu=Symbol.for("v-txt"),Si=Symbol.for("v-cmt"),Od=Symbol.for("v-stc"),Il=[];let Fi=null;function N(n=!1){Il.push(Fi=n?null:[])}function oD(){Il.pop(),Fi=Il[Il.length-1]||null}let jl=1;function Vv(n){jl+=n}function YA(n){return n.dynamicChildren=jl>0?Fi||Zo:null,oD(),jl>0&&Fi&&Fi.push(n),n}function M(n,e,t,i,s,r){return YA(u(n,e,t,i,s,r,!0))}function Ot(n,e,t,i,s){return YA(Ie(n,e,t,i,s,!0))}function Ql(n){return n?n.__v_isVNode===!0:!1}function fr(n,e){return n.type===e.type&&n.key===e.key}const Gu="__vInternal",$A=({key:n})=>n??null,Id=({ref:n,ref_key:e,ref_for:t})=>(typeof n=="number"&&(n=""+n),n!=null?an(n)||hn(n)||vt(n)?{i:An,r:n,k:e,f:!!t}:n:null);function u(n,e=null,t=null,i=0,s=null,r=n===$e?0:1,o=!1,a=!1){const l={__v_isVNode:!0,__v_skip:!0,type:n,props:e,key:e&&$A(e),ref:e&&Id(e),scopeId:Lu,slotScopeIds:null,children:t,component:null,suspense:null,ssContent:null,ssFallback:null,dirs:null,transition:null,el:null,anchor:null,target:null,targetAnchor:null,staticCount:0,shapeFlag:r,patchFlag:i,dynamicProps:s,dynamicChildren:null,appContext:null,ctx:An};return a?(Hb(l,t),r&128&&n.normalize(l)):t&&(l.shapeFlag|=an(t)?8:16),jl>0&&!o&&Fi&&(l.patchFlag>0||r&6)&&l.patchFlag!==32&&Fi.push(l),l}const Ie=aD;function aD(n,e=null,t=null,i=0,s=null,r=!1){if((!n||n===kA)&&(n=Si),Ql(n)){const a=Gs(n,e,!0);return t&&Hb(a,t),jl>0&&!r&&Fi&&(a.shapeFlag&6?Fi[Fi.indexOf(n)]=a:Fi.push(a)),a.patchFlag|=-2,a}if(gD(n)&&(n=n.__vccOpts),e){e=lD(e);let{class:a,style:l}=e;a&&!an(a)&&(e.class=Ye(a)),Yt(l)&&(gA(l)&&!ot(l)&&(l=on({},l)),e.style=Jt(l))}const o=an(n)?1:RA(n)?128:rD(n)?64:Yt(n)?4:vt(n)?2:0;return u(n,e,t,i,s,o,r,!0)}function lD(n){return n?gA(n)||Gu in n?on({},n):n:null}function Gs(n,e,t=!1){const{props:i,ref:s,patchFlag:r,children:o}=n,a=e?cD(i||{},e):i;return{__v_isVNode:!0,__v_skip:!0,type:n.type,props:a,key:a&&$A(a),ref:e&&e.ref?t&&s?ot(s)?s.concat(Id(e)):[s,Id(e)]:Id(e):s,scopeId:n.scopeId,slotScopeIds:n.slotScopeIds,children:o,target:n.target,targetAnchor:n.targetAnchor,staticCount:n.staticCount,shapeFlag:n.shapeFlag,patchFlag:e&&n.type!==$e?r===-1?16:r|16:r,dynamicProps:n.dynamicProps,dynamicChildren:n.dynamicChildren,appContext:n.appContext,dirs:n.dirs,transition:n.transition,component:n.component,suspense:n.suspense,ssContent:n.ssContent&&Gs(n.ssContent),ssFallback:n.ssFallback&&Gs(n.ssFallback),el:n.el,anchor:n.anchor,ctx:n.ctx,ce:n.ce}}function Ze(n=" ",e=0){return Ie(Bu,null,n,e)}function zu(n,e){const t=Ie(Od,null,n);return t.staticCount=e,t}function q(n="",e=!1){return e?(N(),Ot(Si,null,n)):Ie(Si,null,n)}function Qi(n){return n==null||typeof n=="boolean"?Ie(Si):ot(n)?Ie($e,null,n.slice()):typeof n=="object"?ar(n):Ie(Bu,null,String(n))}function ar(n){return n.el===null&&n.patchFlag!==-1||n.memo?n:Gs(n)}function Hb(n,e){let t=0;const{shapeFlag:i}=n;if(e==null)e=null;else if(ot(e))t=16;else if(typeof e=="object")if(i&65){const s=e.default;s&&(s._c&&(s._d=!1),Hb(n,s()),s._c&&(s._d=!0));return}else{t=32;const s=e._;!s&&!(Gu in e)?e._ctx=An:s===3&&An&&(An.slots._===1?e._=1:(e._=2,n.patchFlag|=1024))}else vt(e)?(e={default:e,_ctx:An},t=32):(e=String(e),i&64?(t=16,e=[Ze(e)]):t=8);n.children=e,n.shapeFlag|=t}function cD(...n){const e={};for(let t=0;t<n.length;t++){const i=n[t];for(const s in i)if(s==="class")e.class!==i.class&&(e.class=Ye([e.class,i.class]));else if(s==="style")e.style=Jt([e.style,i.style]);else if(Ru(s)){const r=e[s],o=i[s];o&&r!==o&&!(ot(r)&&r.includes(o))&&(e[s]=r?[].concat(r,o):o)}else s!==""&&(e[s]=i[s])}return e}function hi(n,e,t,i=null){yi(n,e,7,[t,i])}const dD=FA();let uD=0;function pD(n,e,t){const i=n.type,s=(e?e.appContext:n.appContext)||dD,r={uid:uD++,vnode:n,type:i,parent:e,appContext:s,root:null,next:null,subTree:null,effect:null,update:null,scope:new tA(!0),render:null,proxy:null,exposed:null,exposeProxy:null,withProxy:null,provides:e?e.provides:Object.create(s.provides),accessCache:null,renderCache:[],components:null,directives:null,propsOptions:GA(i,s),emitsOptions:CA(i,s),emit:null,emitted:null,propsDefaults:Zt,inheritAttrs:i.inheritAttrs,ctx:Zt,data:Zt,props:Zt,attrs:Zt,slots:Zt,refs:Zt,setupState:Zt,setupContext:null,attrsProxy:null,slotsProxy:null,suspense:t,suspenseId:t?t.pendingId:0,asyncDep:null,asyncResolved:!1,isMounted:!1,isUnmounted:!1,isDeactivated:!1,bc:null,c:null,bm:null,m:null,bu:null,u:null,um:null,bum:null,da:null,a:null,rtg:null,rtc:null,ec:null,sp:null};return r.ctx={_:r},r.root=e?e.root:r,r.emit=T2.bind(null,r),n.ce&&n.ce(r),r}let vn=null;const qb=()=>vn||An;let Yb,xo,Hv="__VUE_INSTANCE_SETTERS__";(xo=yg()[Hv])||(xo=yg()[Hv]=[]),xo.push(n=>vn=n),Yb=n=>{xo.length>1?xo.forEach(e=>e(n)):xo[0](n)};const pa=n=>{Yb(n),n.scope.on()},ro=()=>{vn&&vn.scope.off(),Yb(null)};function WA(n){return n.vnode.shapeFlag&4}let Xl=!1;function _D(n,e=!1){Xl=e;const{props:t,children:i}=n.vnode,s=WA(n);X2(n,t,s,e),eD(n,i);const r=s?hD(n,e):void 0;return Xl=!1,r}function hD(n,e){const t=n.type;n.accessCache=Object.create(null),n.proxy=uc(new Proxy(n.ctx,H2));const{setup:i}=t;if(i){const s=n.setupContext=i.length>1?mD(n):null;pa(n),Ga();const r=vr(i,n,0,[n.props,s]);if(za(),ro(),QR(r)){if(r.then(ro,ro),e)return r.then(o=>{qv(n,o,e)}).catch(o=>{Du(o,n,0)});n.asyncDep=r}else qv(n,r,e)}else KA(n,e)}function qv(n,e,t){vt(e)?n.type.__ssrInlineRender?n.ssrRender=e:n.render=e:Yt(e)&&(n.setupState=EA(e)),KA(n,t)}let Yv;function KA(n,e,t){const i=n.type;if(!n.render){if(!e&&Yv&&!i.render){const s=i.template||zb(n).template;if(s){const{isCustomElement:r,compilerOptions:o}=n.appContext.config,{delimiters:a,compilerOptions:l}=i,d=on(on({isCustomElement:r,delimiters:a},o),l);i.render=Yv(s,d)}}n.render=i.render||Bi}pa(n),Ga(),q2(n),za(),ro()}function fD(n){return n.attrsProxy||(n.attrsProxy=new Proxy(n.attrs,{get(e,t){return Jn(n,"get","$attrs"),e[t]}}))}function mD(n){const e=t=>{n.exposed=t||{}};return{get attrs(){return fD(n)},slots:n.slots,emit:n.emit,expose:e}}function Vu(n){if(n.exposed)return n.exposeProxy||(n.exposeProxy=new Proxy(EA(uc(n.exposed)),{get(e,t){if(t in e)return e[t];if(t in Ol)return Ol[t](n)},has(e,t){return t in e||t in Ol}}))}function Ig(n,e=!0){return vt(n)?n.displayName||n.name:n.name||e&&n.__name}function gD(n){return vt(n)&&"__vccOpts"in n}const it=(n,e)=>g2(n,e,Xl);function $b(n,e,t){const i=arguments.length;return i===2?Yt(e)&&!ot(e)?Ql(e)?Ie(n,null,[e]):Ie(n,e):Ie(n,null,e):(i>3?t=Array.prototype.slice.call(arguments,2):i===3&&Ql(t)&&(t=[t]),Ie(n,e,t))}const bD=Symbol.for("v-scx"),ED=()=>Gi(bD),vD="3.3.4",yD="http://www.w3.org/2000/svg",jr=typeof document<"u"?document:null,$v=jr&&jr.createElement("template"),SD={insert:(n,e,t)=>{e.insertBefore(n,t||null)},remove:n=>{const e=n.parentNode;e&&e.removeChild(n)},createElement:(n,e,t,i)=>{const s=e?jr.createElementNS(yD,n):jr.createElement(n,t?{is:t}:void 0);return n==="select"&&i&&i.multiple!=null&&s.setAttribute("multiple",i.multiple),s},createText:n=>jr.createTextNode(n),createComment:n=>jr.createComment(n),setText:(n,e)=>{n.nodeValue=e},setElementText:(n,e)=>{n.textContent=e},parentNode:n=>n.parentNode,nextSibling:n=>n.nextSibling,querySelector:n=>jr.querySelector(n),setScopeId(n,e){n.setAttribute(e,"")},insertStaticContent(n,e,t,i,s,r){const o=t?t.previousSibling:e.lastChild;if(s&&(s===r||s.nextSibling))for(;e.insertBefore(s.cloneNode(!0),t),!(s===r||!(s=s.nextSibling)););else{$v.innerHTML=i?`<svg>${n}</svg>`:n;const a=$v.content;if(i){const l=a.firstChild;for(;l.firstChild;)a.appendChild(l.firstChild);a.removeChild(l)}e.insertBefore(a,t)}return[o?o.nextSibling:e.firstChild,t?t.previousSibling:e.lastChild]}};function TD(n,e,t){const i=n._vtc;i&&(e=(e?[e,...i]:[...i]).join(" ")),e==null?n.removeAttribute("class"):t?n.setAttribute("class",e):n.className=e}function xD(n,e,t){const i=n.style,s=an(t);if(t&&!s){if(e&&!an(e))for(const r in e)t[r]==null&&Mg(i,r,"");for(const r in t)Mg(i,r,t[r])}else{const r=i.display;s?e!==t&&(i.cssText=t):e&&n.removeAttribute("style"),"_vod"in n&&(i.display=r)}}const Wv=/\s*!important$/;function Mg(n,e,t){if(ot(t))t.forEach(i=>Mg(n,e,i));else if(t==null&&(t=""),e.startsWith("--"))n.setProperty(e,t);else{const i=CD(n,e);Wv.test(t)?n.setProperty(yo(i),t.replace(Wv,""),"important"):n[i]=t}}const Kv=["Webkit","Moz","ms"],Rp={};function CD(n,e){const t=Rp[e];if(t)return t;let i=os(e);if(i!=="filter"&&i in n)return Rp[e]=i;i=wu(i);for(let s=0;s<Kv.length;s++){const r=Kv[s]+i;if(r in n)return Rp[e]=r}return e}const jv="http://www.w3.org/1999/xlink";function RD(n,e,t,i,s){if(i&&e.startsWith("xlink:"))t==null?n.removeAttributeNS(jv,e.slice(6,e.length)):n.setAttributeNS(jv,e,t);else{const r=wM(e);t==null||r&&!JR(t)?n.removeAttribute(e):n.setAttribute(e,r?"":t)}}function AD(n,e,t,i,s,r,o){if(e==="innerHTML"||e==="textContent"){i&&o(i,s,r),n[e]=t??"";return}const a=n.tagName;if(e==="value"&&a!=="PROGRESS"&&!a.includes("-")){n._value=t;const d=a==="OPTION"?n.getAttribute("value"):n.value,c=t??"";d!==c&&(n.value=c),t==null&&n.removeAttribute(e);return}let l=!1;if(t===""||t==null){const d=typeof n[e];d==="boolean"?t=JR(t):t==null&&d==="string"?(t="",l=!0):d==="number"&&(t=0,l=!0)}try{n[e]=t}catch{}l&&n.removeAttribute(e)}function Ms(n,e,t,i){n.addEventListener(e,t,i)}function wD(n,e,t,i){n.removeEventListener(e,t,i)}function ND(n,e,t,i,s=null){const r=n._vei||(n._vei={}),o=r[e];if(i&&o)o.value=i;else{const[a,l]=OD(e);if(i){const d=r[e]=DD(i,s);Ms(n,a,d,l)}else o&&(wD(n,a,o,l),r[e]=void 0)}}const Qv=/(?:Once|Passive|Capture)$/;function OD(n){let e;if(Qv.test(n)){e={};let i;for(;i=n.match(Qv);)n=n.slice(0,n.length-i[0].length),e[i[0].toLowerCase()]=!0}return[n[2]===":"?n.slice(3):yo(n.slice(2)),e]}let Ap=0;const ID=Promise.resolve(),MD=()=>Ap||(ID.then(()=>Ap=0),Ap=Date.now());function DD(n,e){const t=i=>{if(!i._vts)i._vts=Date.now();else if(i._vts<=t.attached)return;yi(kD(i,t.value),e,5,[i])};return t.value=n,t.attached=MD(),t}function kD(n,e){if(ot(e)){const t=n.stopImmediatePropagation;return n.stopImmediatePropagation=()=>{t.call(n),n._stopped=!0},e.map(i=>s=>!s._stopped&&i&&i(s))}else return e}const Xv=/^on[a-z]/,LD=(n,e,t,i,s=!1,r,o,a,l)=>{e==="class"?TD(n,i,s):e==="style"?xD(n,t,i):Ru(e)?Ab(e)||ND(n,e,t,i,o):(e[0]==="."?(e=e.slice(1),!0):e[0]==="^"?(e=e.slice(1),!1):PD(n,e,i,s))?AD(n,e,i,r,o,a,l):(e==="true-value"?n._trueValue=i:e==="false-value"&&(n._falseValue=i),RD(n,e,i,s))};function PD(n,e,t,i){return i?!!(e==="innerHTML"||e==="textContent"||e in n&&Xv.test(e)&&vt(t)):e==="spellcheck"||e==="draggable"||e==="translate"||e==="form"||e==="list"&&n.tagName==="INPUT"||e==="type"&&n.tagName==="TEXTAREA"||Xv.test(e)&&an(t)?!1:e in n}const Xs="transition",rl="animation",as=(n,{slots:e})=>$b(I2,QA(n),e);as.displayName="Transition";const jA={name:String,type:String,css:{type:Boolean,default:!0},duration:[String,Number,Object],enterFromClass:String,enterActiveClass:String,enterToClass:String,appearFromClass:String,appearActiveClass:String,appearToClass:String,leaveFromClass:String,leaveActiveClass:String,leaveToClass:String},UD=as.props=on({},OA,jA),Ur=(n,e=[])=>{ot(n)?n.forEach(t=>t(...e)):n&&n(...e)},Zv=n=>n?ot(n)?n.some(e=>e.length>1):n.length>1:!1;function QA(n){const e={};for(const F in n)F in jA||(e[F]=n[F]);if(n.css===!1)return e;const{name:t="v",type:i,duration:s,enterFromClass:r=`${t}-enter-from`,enterActiveClass:o=`${t}-enter-active`,enterToClass:a=`${t}-enter-to`,appearFromClass:l=r,appearActiveClass:d=o,appearToClass:c=a,leaveFromClass:_=`${t}-leave-from`,leaveActiveClass:f=`${t}-leave-active`,leaveToClass:m=`${t}-leave-to`}=n,h=FD(s),E=h&&h[0],b=h&&h[1],{onBeforeEnter:g,onEnter:v,onEnterCancelled:y,onLeave:T,onLeaveCancelled:C,onBeforeAppear:x=g,onAppear:O=v,onAppearCancelled:R=y}=e,S=(F,K,L)=>{or(F,K?c:a),or(F,K?d:o),L&&L()},A=(F,K)=>{F._isLeaving=!1,or(F,_),or(F,m),or(F,f),K&&K()},U=F=>(K,L)=>{const H=F?O:v,G=()=>S(K,F,L);Ur(H,[K,G]),Jv(()=>{or(K,F?l:r),Ns(K,F?c:a),Zv(H)||ey(K,i,E,G)})};return on(e,{onBeforeEnter(F){Ur(g,[F]),Ns(F,r),Ns(F,o)},onBeforeAppear(F){Ur(x,[F]),Ns(F,l),Ns(F,d)},onEnter:U(!1),onAppear:U(!0),onLeave(F,K){F._isLeaving=!0;const L=()=>A(F,K);Ns(F,_),ZA(),Ns(F,f),Jv(()=>{F._isLeaving&&(or(F,_),Ns(F,m),Zv(T)||ey(F,i,b,L))}),Ur(T,[F,L])},onEnterCancelled(F){S(F,!1),Ur(y,[F])},onAppearCancelled(F){S(F,!0),Ur(R,[F])},onLeaveCancelled(F){A(F),Ur(C,[F])}})}function FD(n){if(n==null)return null;if(Yt(n))return[wp(n.enter),wp(n.leave)];{const e=wp(n);return[e,e]}}function wp(n){return SM(n)}function Ns(n,e){e.split(/\s+/).forEach(t=>t&&n.classList.add(t)),(n._vtc||(n._vtc=new Set)).add(e)}function or(n,e){e.split(/\s+/).forEach(i=>i&&n.classList.remove(i));const{_vtc:t}=n;t&&(t.delete(e),t.size||(n._vtc=void 0))}function Jv(n){requestAnimationFrame(()=>{requestAnimationFrame(n)})}let BD=0;function ey(n,e,t,i){const s=n._endId=++BD,r=()=>{s===n._endId&&i()};if(t)return setTimeout(r,t);const{type:o,timeout:a,propCount:l}=XA(n,e);if(!o)return i();const d=o+"end";let c=0;const _=()=>{n.removeEventListener(d,f),r()},f=m=>{m.target===n&&++c>=l&&_()};setTimeout(()=>{c<l&&_()},a+1),n.addEventListener(d,f)}function XA(n,e){const t=window.getComputedStyle(n),i=h=>(t[h]||"").split(", "),s=i(`${Xs}Delay`),r=i(`${Xs}Duration`),o=ty(s,r),a=i(`${rl}Delay`),l=i(`${rl}Duration`),d=ty(a,l);let c=null,_=0,f=0;e===Xs?o>0&&(c=Xs,_=o,f=r.length):e===rl?d>0&&(c=rl,_=d,f=l.length):(_=Math.max(o,d),c=_>0?o>d?Xs:rl:null,f=c?c===Xs?r.length:l.length:0);const m=c===Xs&&/\b(transform|all)(,|$)/.test(i(`${Xs}Property`).toString());return{type:c,timeout:_,propCount:f,hasTransform:m}}function ty(n,e){for(;n.length<e.length;)n=n.concat(n);return Math.max(...e.map((t,i)=>ny(t)+ny(n[i])))}function ny(n){return Number(n.slice(0,-1).replace(",","."))*1e3}function ZA(){return document.body.offsetHeight}const JA=new WeakMap,ew=new WeakMap,tw={name:"TransitionGroup",props:on({},UD,{tag:String,moveClass:String}),setup(n,{slots:e}){const t=qb(),i=NA();let s,r;return pc(()=>{if(!s.length)return;const o=n.moveClass||`${n.name||"v"}-move`;if(!qD(s[0].el,t.vnode.el,o))return;s.forEach(zD),s.forEach(VD);const a=s.filter(HD);ZA(),a.forEach(l=>{const d=l.el,c=d.style;Ns(d,o),c.transform=c.webkitTransform=c.transitionDuration="";const _=d._moveCb=f=>{f&&f.target!==d||(!f||/transform$/.test(f.propertyName))&&(d.removeEventListener("transitionend",_),d._moveCb=null,or(d,o))};d.addEventListener("transitionend",_)})}),()=>{const o=Lt(n),a=QA(o);let l=o.tag||$e;s=r,r=e.default?Bb(e.default()):[];for(let d=0;d<r.length;d++){const c=r[d];c.key!=null&&ua(c,Kl(c,a,i,t))}if(s)for(let d=0;d<s.length;d++){const c=s[d];ua(c,Kl(c,a,i,t)),JA.set(c,c.el.getBoundingClientRect())}return Ie(l,null,r)}}},GD=n=>delete n.mode;tw.props;const Ls=tw;function zD(n){const e=n.el;e._moveCb&&e._moveCb(),e._enterCb&&e._enterCb()}function VD(n){ew.set(n,n.el.getBoundingClientRect())}function HD(n){const e=JA.get(n),t=ew.get(n),i=e.left-t.left,s=e.top-t.top;if(i||s){const r=n.el.style;return r.transform=r.webkitTransform=`translate(${i}px,${s}px)`,r.transitionDuration="0s",n}}function qD(n,e,t){const i=n.cloneNode();n._vtc&&n._vtc.forEach(o=>{o.split(/\s+/).forEach(a=>a&&i.classList.remove(a))}),t.split(/\s+/).forEach(o=>o&&i.classList.add(o)),i.style.display="none";const s=e.nodeType===1?e:e.parentNode;s.appendChild(i);const{hasTransform:r}=XA(i);return s.removeChild(i),r}const Rr=n=>{const e=n.props["onUpdate:modelValue"]||!1;return ot(e)?t=>ea(e,t):e};function YD(n){n.target.composing=!0}function iy(n){const e=n.target;e.composing&&(e.composing=!1,e.dispatchEvent(new Event("input")))}const Pe={created(n,{modifiers:{lazy:e,trim:t,number:i}},s){n._assign=Rr(s);const r=i||s.props&&s.props.type==="number";Ms(n,e?"change":"input",o=>{if(o.target.composing)return;let a=n.value;t&&(a=a.trim()),r&&(a=$d(a)),n._assign(a)}),t&&Ms(n,"change",()=>{n.value=n.value.trim()}),e||(Ms(n,"compositionstart",YD),Ms(n,"compositionend",iy),Ms(n,"change",iy))},mounted(n,{value:e}){n.value=e??""},beforeUpdate(n,{value:e,modifiers:{lazy:t,trim:i,number:s}},r){if(n._assign=Rr(r),n.composing||document.activeElement===n&&n.type!=="range"&&(t||i&&n.value.trim()===e||(s||n.type==="number")&&$d(n.value)===e))return;const o=e??"";n.value!==o&&(n.value=o)}},ht={deep:!0,created(n,e,t){n._assign=Rr(t),Ms(n,"change",()=>{const i=n._modelValue,s=_a(n),r=n.checked,o=n._assign;if(ot(i)){const a=Ob(i,s),l=a!==-1;if(r&&!l)o(i.concat(s));else if(!r&&l){const d=[...i];d.splice(a,1),o(d)}}else if(Fa(i)){const a=new Set(i);r?a.add(s):a.delete(s),o(a)}else o(nw(n,r))})},mounted:sy,beforeUpdate(n,e,t){n._assign=Rr(t),sy(n,e,t)}};function sy(n,{value:e,oldValue:t},i){n._modelValue=e,ot(e)?n.checked=Ob(e,i.props.value)>-1:Fa(e)?n.checked=e.has(i.props.value):e!==t&&(n.checked=_o(e,nw(n,!0)))}const $D={created(n,{value:e},t){n.checked=_o(e,t.props.value),n._assign=Rr(t),Ms(n,"change",()=>{n._assign(_a(n))})},beforeUpdate(n,{value:e,oldValue:t},i){n._assign=Rr(i),e!==t&&(n.checked=_o(e,i.props.value))}},zn={deep:!0,created(n,{value:e,modifiers:{number:t}},i){const s=Fa(e);Ms(n,"change",()=>{const r=Array.prototype.filter.call(n.options,o=>o.selected).map(o=>t?$d(_a(o)):_a(o));n._assign(n.multiple?s?new Set(r):r:r[0])}),n._assign=Rr(i)},mounted(n,{value:e}){ry(n,e)},beforeUpdate(n,e,t){n._assign=Rr(t)},updated(n,{value:e}){ry(n,e)}};function ry(n,e){const t=n.multiple;if(!(t&&!ot(e)&&!Fa(e))){for(let i=0,s=n.options.length;i<s;i++){const r=n.options[i],o=_a(r);if(t)ot(e)?r.selected=Ob(e,o)>-1:r.selected=e.has(o);else if(_o(_a(r),e)){n.selectedIndex!==i&&(n.selectedIndex=i);return}}!t&&n.selectedIndex!==-1&&(n.selectedIndex=-1)}}function _a(n){return"_value"in n?n._value:n.value}function nw(n,e){const t=e?"_trueValue":"_falseValue";return t in n?n[t]:e}const WD=["ctrl","shift","alt","meta"],KD={stop:n=>n.stopPropagation(),prevent:n=>n.preventDefault(),self:n=>n.target!==n.currentTarget,ctrl:n=>!n.ctrlKey,shift:n=>!n.shiftKey,alt:n=>!n.altKey,meta:n=>!n.metaKey,left:n=>"button"in n&&n.button!==0,middle:n=>"button"in n&&n.button!==1,right:n=>"button"in n&&n.button!==2,exact:(n,e)=>WD.some(t=>n[`${t}Key`]&&!e.includes(t))},xe=(n,e)=>(t,...i)=>{for(let s=0;s<e.length;s++){const r=KD[e[s]];if(r&&r(t,e))return}return n(t,...i)},jD={esc:"escape",space:" ",up:"arrow-up",left:"arrow-left",right:"arrow-right",down:"arrow-down",delete:"backspace"},Ar=(n,e)=>t=>{if(!("key"in t))return;const i=yo(t.key);if(e.some(s=>s===i||jD[s]===i))return n(t)},Mt={beforeMount(n,{value:e},{transition:t}){n._vod=n.style.display==="none"?"":n.style.display,t&&e?t.beforeEnter(n):ol(n,e)},mounted(n,{value:e},{transition:t}){t&&e&&t.enter(n)},updated(n,{value:e,oldValue:t},{transition:i}){!e!=!t&&(i?e?(i.beforeEnter(n),ol(n,!0),i.enter(n)):i.leave(n,()=>{ol(n,!1)}):ol(n,e))},beforeUnmount(n,{value:e}){ol(n,e)}};function ol(n,e){n.style.display=e?n._vod:"none"}const QD=on({patchProp:LD},SD);let oy;function XD(){return oy||(oy=nD(QD))}const ZD=(...n)=>{const e=XD().createApp(...n),{mount:t}=e;return e.mount=i=>{const s=JD(i);if(!s)return;const r=e._component;!vt(r)&&!r.render&&!r.template&&(r.template=s.innerHTML),s.innerHTML="";const o=t(s,!1,s instanceof SVGElement);return s instanceof Element&&(s.removeAttribute("v-cloak"),s.setAttribute("data-v-app","")),o},e};function JD(n){return an(n)?document.querySelector(n):n}function ek(){return iw().__VUE_DEVTOOLS_GLOBAL_HOOK__}function iw(){return typeof navigator<"u"&&typeof window<"u"?window:typeof global<"u"?global:{}}const tk=typeof Proxy=="function",nk="devtools-plugin:setup",ik="plugin:settings:set";let Co,Dg;function sk(){var n;return Co!==void 0||(typeof window<"u"&&window.performance?(Co=!0,Dg=window.performance):typeof global<"u"&&(!((n=global.perf_hooks)===null||n===void 0)&&n.performance)?(Co=!0,Dg=global.perf_hooks.performance):Co=!1),Co}function rk(){return sk()?Dg.now():Date.now()}class ok{constructor(e,t){this.target=null,this.targetQueue=[],this.onQueue=[],this.plugin=e,this.hook=t;const i={};if(e.settings)for(const o in e.settings){const a=e.settings[o];i[o]=a.defaultValue}const s=`__vue-devtools-plugin-settings__${e.id}`;let r=Object.assign({},i);try{const o=localStorage.getItem(s),a=JSON.parse(o);Object.assign(r,a)}catch{}this.fallbacks={getSettings(){return r},setSettings(o){try{localStorage.setItem(s,JSON.stringify(o))}catch{}r=o},now(){return rk()}},t&&t.on(ik,(o,a)=>{o===this.plugin.id&&this.fallbacks.setSettings(a)}),this.proxiedOn=new Proxy({},{get:(o,a)=>this.target?this.target.on[a]:(...l)=>{this.onQueue.push({method:a,args:l})}}),this.proxiedTarget=new Proxy({},{get:(o,a)=>this.target?this.target[a]:a==="on"?this.proxiedOn:Object.keys(this.fallbacks).includes(a)?(...l)=>(this.targetQueue.push({method:a,args:l,resolve:()=>{}}),this.fallbacks[a](...l)):(...l)=>new Promise(d=>{this.targetQueue.push({method:a,args:l,resolve:d})})})}async setRealTarget(e){this.target=e;for(const t of this.onQueue)this.target.on[t.method](...t.args);for(const t of this.targetQueue)t.resolve(await this.target[t.method](...t.args))}}function ak(n,e){const t=n,i=iw(),s=ek(),r=tk&&t.enableEarlyProxy;if(s&&(i.__VUE_DEVTOOLS_PLUGIN_API_AVAILABLE__||!r))s.emit(nk,n,e);else{const o=r?new ok(t,s):null;(i.__VUE_DEVTOOLS_PLUGINS__=i.__VUE_DEVTOOLS_PLUGINS__||[]).push({pluginDescriptor:t,setupFn:e,proxy:o}),o&&e(o.proxiedTarget)}}/*!
* vuex v4.1.0
* (c) 2022 Evan You
* @license MIT
*/var lk="store";function Ha(n,e){Object.keys(n).forEach(function(t){return e(n[t],t)})}function ck(n){return n!==null&&typeof n=="object"}function dk(n){return n&&typeof n.then=="function"}function uk(n,e){return function(){return n(e)}}function sw(n,e,t){return e.indexOf(n)<0&&(t&&t.prepend?e.unshift(n):e.push(n)),function(){var i=e.indexOf(n);i>-1&&e.splice(i,1)}}function rw(n,e){n._actions=Object.create(null),n._mutations=Object.create(null),n._wrappedGetters=Object.create(null),n._modulesNamespaceMap=Object.create(null);var t=n.state;Hu(n,t,[],n._modules.root,!0),Wb(n,t,e)}function Wb(n,e,t){var i=n._state,s=n._scope;n.getters={},n._makeLocalGettersCache=Object.create(null);var r=n._wrappedGetters,o={},a={},l=OM(!0);l.run(function(){Ha(r,function(d,c){o[c]=uk(d,n),a[c]=it(function(){return o[c]()}),Object.defineProperty(n.getters,c,{get:function(){return a[c].value},enumerable:!0})})}),n._state=ei({data:e}),n._scope=l,n.strict&&mk(n),i&&t&&n._withCommit(function(){i.data=null}),s&&s.stop()}function Hu(n,e,t,i,s){var r=!t.length,o=n._modules.getNamespace(t);if(i.namespaced&&(n._modulesNamespaceMap[o],n._modulesNamespaceMap[o]=i),!r&&!s){var a=Kb(e,t.slice(0,-1)),l=t[t.length-1];n._withCommit(function(){a[l]=i.state})}var d=i.context=pk(n,o,t);i.forEachMutation(function(c,_){var f=o+_;_k(n,f,c,d)}),i.forEachAction(function(c,_){var f=c.root?_:o+_,m=c.handler||c;hk(n,f,m,d)}),i.forEachGetter(function(c,_){var f=o+_;fk(n,f,c,d)}),i.forEachChild(function(c,_){Hu(n,e,t.concat(_),c,s)})}function pk(n,e,t){var i=e==="",s={dispatch:i?n.dispatch:function(r,o,a){var l=Jd(r,o,a),d=l.payload,c=l.options,_=l.type;return(!c||!c.root)&&(_=e+_),n.dispatch(_,d)},commit:i?n.commit:function(r,o,a){var l=Jd(r,o,a),d=l.payload,c=l.options,_=l.type;(!c||!c.root)&&(_=e+_),n.commit(_,d,c)}};return Object.defineProperties(s,{getters:{get:i?function(){return n.getters}:function(){return ow(n,e)}},state:{get:function(){return Kb(n.state,t)}}}),s}function ow(n,e){if(!n._makeLocalGettersCache[e]){var t={},i=e.length;Object.keys(n.getters).forEach(function(s){if(s.slice(0,i)===e){var r=s.slice(i);Object.defineProperty(t,r,{get:function(){return n.getters[s]},enumerable:!0})}}),n._makeLocalGettersCache[e]=t}return n._makeLocalGettersCache[e]}function _k(n,e,t,i){var s=n._mutations[e]||(n._mutations[e]=[]);s.push(function(o){t.call(n,i.state,o)})}function hk(n,e,t,i){var s=n._actions[e]||(n._actions[e]=[]);s.push(function(o){var a=t.call(n,{dispatch:i.dispatch,commit:i.commit,getters:i.getters,state:i.state,rootGetters:n.getters,rootState:n.state},o);return dk(a)||(a=Promise.resolve(a)),n._devtoolHook?a.catch(function(l){throw n._devtoolHook.emit("vuex:error",l),l}):a})}function fk(n,e,t,i){n._wrappedGetters[e]||(n._wrappedGetters[e]=function(r){return t(i.state,i.getters,r.state,r.getters)})}function mk(n){qn(function(){return n._state.data},function(){},{deep:!0,flush:"sync"})}function Kb(n,e){return e.reduce(function(t,i){return t[i]},n)}function Jd(n,e,t){return ck(n)&&n.type&&(t=e,e=n,n=n.type),{type:n,payload:e,options:t}}var gk="vuex bindings",ay="vuex:mutations",Np="vuex:actions",Ro="vuex",bk=0;function Ek(n,e){ak({id:"org.vuejs.vuex",app:n,label:"Vuex",homepage:"https://next.vuex.vuejs.org/",logo:"https://vuejs.org/images/icons/favicon-96x96.png",packageName:"vuex",componentStateTypes:[gk]},function(t){t.addTimelineLayer({id:ay,label:"Vuex Mutations",color:ly}),t.addTimelineLayer({id:Np,label:"Vuex Actions",color:ly}),t.addInspector({id:Ro,label:"Vuex",icon:"storage",treeFilterPlaceholder:"Filter stores..."}),t.on.getInspectorTree(function(i){if(i.app===n&&i.inspectorId===Ro)if(i.filter){var s=[];dw(s,e._modules.root,i.filter,""),i.rootNodes=s}else i.rootNodes=[cw(e._modules.root,"")]}),t.on.getInspectorState(function(i){if(i.app===n&&i.inspectorId===Ro){var s=i.nodeId;ow(e,s),i.state=Sk(xk(e._modules,s),s==="root"?e.getters:e._makeLocalGettersCache,s)}}),t.on.editInspectorState(function(i){if(i.app===n&&i.inspectorId===Ro){var s=i.nodeId,r=i.path;s!=="root"&&(r=s.split("/").filter(Boolean).concat(r)),e._withCommit(function(){i.set(e._state.data,r,i.state.value)})}}),e.subscribe(function(i,s){var r={};i.payload&&(r.payload=i.payload),r.state=s,t.notifyComponentUpdate(),t.sendInspectorTree(Ro),t.sendInspectorState(Ro),t.addTimelineEvent({layerId:ay,event:{time:Date.now(),title:i.type,data:r}})}),e.subscribeAction({before:function(i,s){var r={};i.payload&&(r.payload=i.payload),i._id=bk++,i._time=Date.now(),r.state=s,t.addTimelineEvent({layerId:Np,event:{time:i._time,title:i.type,groupId:i._id,subtitle:"start",data:r}})},after:function(i,s){var r={},o=Date.now()-i._time;r.duration={_custom:{type:"duration",display:o+"ms",tooltip:"Action duration",value:o}},i.payload&&(r.payload=i.payload),r.state=s,t.addTimelineEvent({layerId:Np,event:{time:Date.now(),title:i.type,groupId:i._id,subtitle:"end",data:r}})}})})}var ly=8702998,vk=6710886,yk=16777215,aw={label:"namespaced",textColor:yk,backgroundColor:vk};function lw(n){return n&&n!=="root"?n.split("/").slice(-2,-1)[0]:"Root"}function cw(n,e){return{id:e||"root",label:lw(e),tags:n.namespaced?[aw]:[],children:Object.keys(n._children).map(function(t){return cw(n._children[t],e+t+"/")})}}function dw(n,e,t,i){i.includes(t)&&n.push({id:i||"root",label:i.endsWith("/")?i.slice(0,i.length-1):i||"Root",tags:e.namespaced?[aw]:[]}),Object.keys(e._children).forEach(function(s){dw(n,e._children[s],t,i+s+"/")})}function Sk(n,e,t){e=t==="root"?e:e[t];var i=Object.keys(e),s={state:Object.keys(n.state).map(function(o){return{key:o,editable:!0,value:n.state[o]}})};if(i.length){var r=Tk(e);s.getters=Object.keys(r).map(function(o){return{key:o.endsWith("/")?lw(o):o,editable:!1,value:kg(function(){return r[o]})}})}return s}function Tk(n){var e={};return Object.keys(n).forEach(function(t){var i=t.split("/");if(i.length>1){var s=e,r=i.pop();i.forEach(function(o){s[o]||(s[o]={_custom:{value:{},display:o,tooltip:"Module",abstract:!0}}),s=s[o]._custom.value}),s[r]=kg(function(){return n[t]})}else e[t]=kg(function(){return n[t]})}),e}function xk(n,e){var t=e.split("/").filter(function(i){return i});return t.reduce(function(i,s,r){var o=i[s];if(!o)throw new Error('Missing module "'+s+'" for path "'+e+'".');return r===t.length-1?o:o._children},e==="root"?n:n.root._children)}function kg(n){try{return n()}catch(e){return e}}var Yi=function(e,t){this.runtime=t,this._children=Object.create(null),this._rawModule=e;var i=e.state;this.state=(typeof i=="function"?i():i)||{}},uw={namespaced:{configurable:!0}};uw.namespaced.get=function(){return!!this._rawModule.namespaced};Yi.prototype.addChild=function(e,t){this._children[e]=t};Yi.prototype.removeChild=function(e){delete this._children[e]};Yi.prototype.getChild=function(e){return this._children[e]};Yi.prototype.hasChild=function(e){return e in this._children};Yi.prototype.update=function(e){this._rawModule.namespaced=e.namespaced,e.actions&&(this._rawModule.actions=e.actions),e.mutations&&(this._rawModule.mutations=e.mutations),e.getters&&(this._rawModule.getters=e.getters)};Yi.prototype.forEachChild=function(e){Ha(this._children,e)};Yi.prototype.forEachGetter=function(e){this._rawModule.getters&&Ha(this._rawModule.getters,e)};Yi.prototype.forEachAction=function(e){this._rawModule.actions&&Ha(this._rawModule.actions,e)};Yi.prototype.forEachMutation=function(e){this._rawModule.mutations&&Ha(this._rawModule.mutations,e)};Object.defineProperties(Yi.prototype,uw);var So=function(e){this.register([],e,!1)};So.prototype.get=function(e){return e.reduce(function(t,i){return t.getChild(i)},this.root)};So.prototype.getNamespace=function(e){var t=this.root;return e.reduce(function(i,s){return t=t.getChild(s),i+(t.namespaced?s+"/":"")},"")};So.prototype.update=function(e){pw([],this.root,e)};So.prototype.register=function(e,t,i){var s=this;i===void 0&&(i=!0);var r=new Yi(t,i);if(e.length===0)this.root=r;else{var o=this.get(e.slice(0,-1));o.addChild(e[e.length-1],r)}t.modules&&Ha(t.modules,function(a,l){s.register(e.concat(l),a,i)})};So.prototype.unregister=function(e){var t=this.get(e.slice(0,-1)),i=e[e.length-1],s=t.getChild(i);s&&s.runtime&&t.removeChild(i)};So.prototype.isRegistered=function(e){var t=this.get(e.slice(0,-1)),i=e[e.length-1];return t?t.hasChild(i):!1};function pw(n,e,t){if(e.update(t),t.modules)for(var i in t.modules){if(!e.getChild(i))return;pw(n.concat(i),e.getChild(i),t.modules[i])}}function Ck(n){return new ti(n)}var ti=function(e){var t=this;e===void 0&&(e={});var i=e.plugins;i===void 0&&(i=[]);var s=e.strict;s===void 0&&(s=!1);var r=e.devtools;this._committing=!1,this._actions=Object.create(null),this._actionSubscribers=[],this._mutations=Object.create(null),this._wrappedGetters=Object.create(null),this._modules=new So(e),this._modulesNamespaceMap=Object.create(null),this._subscribers=[],this._makeLocalGettersCache=Object.create(null),this._scope=null,this._devtools=r;var o=this,a=this,l=a.dispatch,d=a.commit;this.dispatch=function(f,m){return l.call(o,f,m)},this.commit=function(f,m,h){return d.call(o,f,m,h)},this.strict=s;var c=this._modules.root.state;Hu(this,c,[],this._modules.root),Wb(this,c),i.forEach(function(_){return _(t)})},jb={state:{configurable:!0}};ti.prototype.install=function(e,t){e.provide(t||lk,this),e.config.globalProperties.$store=this;var i=this._devtools!==void 0?this._devtools:!1;i&&Ek(e,this)};jb.state.get=function(){return this._state.data};jb.state.set=function(n){};ti.prototype.commit=function(e,t,i){var s=this,r=Jd(e,t,i),o=r.type,a=r.payload,l={type:o,payload:a},d=this._mutations[o];d&&(this._withCommit(function(){d.forEach(function(_){_(a)})}),this._subscribers.slice().forEach(function(c){return c(l,s.state)}))};ti.prototype.dispatch=function(e,t){var i=this,s=Jd(e,t),r=s.type,o=s.payload,a={type:r,payload:o},l=this._actions[r];if(l){try{this._actionSubscribers.slice().filter(function(c){return c.before}).forEach(function(c){return c.before(a,i.state)})}catch{}var d=l.length>1?Promise.all(l.map(function(c){return c(o)})):l[0](o);return new Promise(function(c,_){d.then(function(f){try{i._actionSubscribers.filter(function(m){return m.after}).forEach(function(m){return m.after(a,i.state)})}catch{}c(f)},function(f){try{i._actionSubscribers.filter(function(m){return m.error}).forEach(function(m){return m.error(a,i.state,f)})}catch{}_(f)})})}};ti.prototype.subscribe=function(e,t){return sw(e,this._subscribers,t)};ti.prototype.subscribeAction=function(e,t){var i=typeof e=="function"?{before:e}:e;return sw(i,this._actionSubscribers,t)};ti.prototype.watch=function(e,t,i){var s=this;return qn(function(){return e(s.state,s.getters)},t,Object.assign({},i))};ti.prototype.replaceState=function(e){var t=this;this._withCommit(function(){t._state.data=e})};ti.prototype.registerModule=function(e,t,i){i===void 0&&(i={}),typeof e=="string"&&(e=[e]),this._modules.register(e,t),Hu(this,this.state,e,this._modules.get(e),i.preserveState),Wb(this,this.state)};ti.prototype.unregisterModule=function(e){var t=this;typeof e=="string"&&(e=[e]),this._modules.unregister(e),this._withCommit(function(){var i=Kb(t.state,e.slice(0,-1));delete i[e[e.length-1]]}),rw(this)};ti.prototype.hasModule=function(e){return typeof e=="string"&&(e=[e]),this._modules.isRegistered(e)};ti.prototype.hotUpdate=function(e){this._modules.update(e),rw(this,!0)};ti.prototype._withCommit=function(e){var t=this._committing;this._committing=!0,e(),this._committing=t};Object.defineProperties(ti.prototype,jb);function _w(n,e){return function(){return n.apply(e,arguments)}}const{toString:Rk}=Object.prototype,{getPrototypeOf:Qb}=Object,qu=(n=>e=>{const t=Rk.call(e);return n[t]||(n[t]=t.slice(8,-1).toLowerCase())})(Object.create(null)),ds=n=>(n=n.toLowerCase(),e=>qu(e)===n),Yu=n=>e=>typeof e===n,{isArray:qa}=Array,Zl=Yu("undefined");function Ak(n){return n!==null&&!Zl(n)&&n.constructor!==null&&!Zl(n.constructor)&&Ti(n.constructor.isBuffer)&&n.constructor.isBuffer(n)}const hw=ds("ArrayBuffer");function wk(n){let e;return typeof ArrayBuffer<"u"&&ArrayBuffer.isView?e=ArrayBuffer.isView(n):e=n&&n.buffer&&hw(n.buffer),e}const Nk=Yu("string"),Ti=Yu("function"),fw=Yu("number"),$u=n=>n!==null&&typeof n=="object",Ok=n=>n===!0||n===!1,Md=n=>{if(qu(n)!=="object")return!1;const e=Qb(n);return(e===null||e===Object.prototype||Object.getPrototypeOf(e)===null)&&!(Symbol.toStringTag in n)&&!(Symbol.iterator in n)},Ik=ds("Date"),Mk=ds("File"),Dk=ds("Blob"),kk=ds("FileList"),Lk=n=>$u(n)&&Ti(n.pipe),Pk=n=>{let e;return n&&(typeof FormData=="function"&&n instanceof FormData||Ti(n.append)&&((e=qu(n))==="formdata"||e==="object"&&Ti(n.toString)&&n.toString()==="[object FormData]"))},Uk=ds("URLSearchParams"),Fk=n=>n.trim?n.trim():n.replace(/^[\s\uFEFF\xA0]+|[\s\uFEFF\xA0]+$/g,"");function _c(n,e,{allOwnKeys:t=!1}={}){if(n===null||typeof n>"u")return;let i,s;if(typeof n!="object"&&(n=[n]),qa(n))for(i=0,s=n.length;i<s;i++)e.call(null,n[i],i,n);else{const r=t?Object.getOwnPropertyNames(n):Object.keys(n),o=r.length;let a;for(i=0;i<o;i++)a=r[i],e.call(null,n[a],a,n)}}function mw(n,e){e=e.toLowerCase();const t=Object.keys(n);let i=t.length,s;for(;i-- >0;)if(s=t[i],e===s.toLowerCase())return s;return null}const gw=(()=>typeof globalThis<"u"?globalThis:typeof self<"u"?self:typeof window<"u"?window:global)(),bw=n=>!Zl(n)&&n!==gw;function Lg(){const{caseless:n}=bw(this)&&this||{},e={},t=(i,s)=>{const r=n&&mw(e,s)||s;Md(e[r])&&Md(i)?e[r]=Lg(e[r],i):Md(i)?e[r]=Lg({},i):qa(i)?e[r]=i.slice():e[r]=i};for(let i=0,s=arguments.length;i<s;i++)arguments[i]&&_c(arguments[i],t);return e}const Bk=(n,e,t,{allOwnKeys:i}={})=>(_c(e,(s,r)=>{t&&Ti(s)?n[r]=_w(s,t):n[r]=s},{allOwnKeys:i}),n),Gk=n=>(n.charCodeAt(0)===65279&&(n=n.slice(1)),n),zk=(n,e,t,i)=>{n.prototype=Object.create(e.prototype,i),n.prototype.constructor=n,Object.defineProperty(n,"super",{value:e.prototype}),t&&Object.assign(n.prototype,t)},Vk=(n,e,t,i)=>{let s,r,o;const a={};if(e=e||{},n==null)return e;do{for(s=Object.getOwnPropertyNames(n),r=s.length;r-- >0;)o=s[r],(!i||i(o,n,e))&&!a[o]&&(e[o]=n[o],a[o]=!0);n=t!==!1&&Qb(n)}while(n&&(!t||t(n,e))&&n!==Object.prototype);return e},Hk=(n,e,t)=>{n=String(n),(t===void 0||t>n.length)&&(t=n.length),t-=e.length;const i=n.indexOf(e,t);return i!==-1&&i===t},qk=n=>{if(!n)return null;if(qa(n))return n;let e=n.length;if(!fw(e))return null;const t=new Array(e);for(;e-- >0;)t[e]=n[e];return t},Yk=(n=>e=>n&&e instanceof n)(typeof Uint8Array<"u"&&Qb(Uint8Array)),$k=(n,e)=>{const i=(n&&n[Symbol.iterator]).call(n);let s;for(;(s=i.next())&&!s.done;){const r=s.value;e.call(n,r[0],r[1])}},Wk=(n,e)=>{let t;const i=[];for(;(t=n.exec(e))!==null;)i.push(t);return i},Kk=ds("HTMLFormElement"),jk=n=>n.toLowerCase().replace(/[-_\s]([a-z\d])(\w*)/g,function(t,i,s){return i.toUpperCase()+s}),cy=(({hasOwnProperty:n})=>(e,t)=>n.call(e,t))(Object.prototype),Qk=ds("RegExp"),Ew=(n,e)=>{const t=Object.getOwnPropertyDescriptors(n),i={};_c(t,(s,r)=>{let o;(o=e(s,r,n))!==!1&&(i[r]=o||s)}),Object.defineProperties(n,i)},Xk=n=>{Ew(n,(e,t)=>{if(Ti(n)&&["arguments","caller","callee"].indexOf(t)!==-1)return!1;const i=n[t];if(Ti(i)){if(e.enumerable=!1,"writable"in e){e.writable=!1;return}e.set||(e.set=()=>{throw Error("Can not rewrite read-only method '"+t+"'")})}})},Zk=(n,e)=>{const t={},i=s=>{s.forEach(r=>{t[r]=!0})};return qa(n)?i(n):i(String(n).split(e)),t},Jk=()=>{},eL=(n,e)=>(n=+n,Number.isFinite(n)?n:e),Op="abcdefghijklmnopqrstuvwxyz",dy="0123456789",vw={DIGIT:dy,ALPHA:Op,ALPHA_DIGIT:Op+Op.toUpperCase()+dy},tL=(n=16,e=vw.ALPHA_DIGIT)=>{let t="";const{length:i}=e;for(;n--;)t+=e[Math.random()*i|0];return t};function nL(n){return!!(n&&Ti(n.append)&&n[Symbol.toStringTag]==="FormData"&&n[Symbol.iterator])}const iL=n=>{const e=new Array(10),t=(i,s)=>{if($u(i)){if(e.indexOf(i)>=0)return;if(!("toJSON"in i)){e[s]=i;const r=qa(i)?[]:{};return _c(i,(o,a)=>{const l=t(o,s+1);!Zl(l)&&(r[a]=l)}),e[s]=void 0,r}}return i};return t(n,0)},sL=ds("AsyncFunction"),rL=n=>n&&($u(n)||Ti(n))&&Ti(n.then)&&Ti(n.catch),ke={isArray:qa,isArrayBuffer:hw,isBuffer:Ak,isFormData:Pk,isArrayBufferView:wk,isString:Nk,isNumber:fw,isBoolean:Ok,isObject:$u,isPlainObject:Md,isUndefined:Zl,isDate:Ik,isFile:Mk,isBlob:Dk,isRegExp:Qk,isFunction:Ti,isStream:Lk,isURLSearchParams:Uk,isTypedArray:Yk,isFileList:kk,forEach:_c,merge:Lg,extend:Bk,trim:Fk,stripBOM:Gk,inherits:zk,toFlatObject:Vk,kindOf:qu,kindOfTest:ds,endsWith:Hk,toArray:qk,forEachEntry:$k,matchAll:Wk,isHTMLForm:Kk,hasOwnProperty:cy,hasOwnProp:cy,reduceDescriptors:Ew,freezeMethods:Xk,toObjectSet:Zk,toCamelCase:jk,noop:Jk,toFiniteNumber:eL,findKey:mw,global:gw,isContextDefined:bw,ALPHABET:vw,generateString:tL,isSpecCompliantForm:nL,toJSONObject:iL,isAsyncFn:sL,isThenable:rL};function kt(n,e,t,i,s){Error.call(this),Error.captureStackTrace?Error.captureStackTrace(this,this.constructor):this.stack=new Error().stack,this.message=n,this.name="AxiosError",e&&(this.code=e),t&&(this.config=t),i&&(this.request=i),s&&(this.response=s)}ke.inherits(kt,Error,{toJSON:function(){return{message:this.message,name:this.name,description:this.description,number:this.number,fileName:this.fileName,lineNumber:this.lineNumber,columnNumber:this.columnNumber,stack:this.stack,config:ke.toJSONObject(this.config),code:this.code,status:this.response&&this.response.status?this.response.status:null}}});const yw=kt.prototype,Sw={};["ERR_BAD_OPTION_VALUE","ERR_BAD_OPTION","ECONNABORTED","ETIMEDOUT","ERR_NETWORK","ERR_FR_TOO_MANY_REDIRECTS","ERR_DEPRECATED","ERR_BAD_RESPONSE","ERR_BAD_REQUEST","ERR_CANCELED","ERR_NOT_SUPPORT","ERR_INVALID_URL"].forEach(n=>{Sw[n]={value:n}});Object.defineProperties(kt,Sw);Object.defineProperty(yw,"isAxiosError",{value:!0});kt.from=(n,e,t,i,s,r)=>{const o=Object.create(yw);return ke.toFlatObject(n,o,function(l){return l!==Error.prototype},a=>a!=="isAxiosError"),kt.call(o,n.message,e,t,i,s),o.cause=n,o.name=n.name,r&&Object.assign(o,r),o};const oL=null;function Pg(n){return ke.isPlainObject(n)||ke.isArray(n)}function Tw(n){return ke.endsWith(n,"[]")?n.slice(0,-2):n}function uy(n,e,t){return n?n.concat(e).map(function(s,r){return s=Tw(s),!t&&r?"["+s+"]":s}).join(t?".":""):e}function aL(n){return ke.isArray(n)&&!n.some(Pg)}const lL=ke.toFlatObject(ke,{},null,function(e){return/^is[A-Z]/.test(e)});function Wu(n,e,t){if(!ke.isObject(n))throw new TypeError("target must be an object");e=e||new FormData,t=ke.toFlatObject(t,{metaTokens:!0,dots:!1,indexes:!1},!1,function(E,b){return!ke.isUndefined(b[E])});const i=t.metaTokens,s=t.visitor||c,r=t.dots,o=t.indexes,l=(t.Blob||typeof Blob<"u"&&Blob)&&ke.isSpecCompliantForm(e);if(!ke.isFunction(s))throw new TypeError("visitor must be a function");function d(h){if(h===null)return"";if(ke.isDate(h))return h.toISOString();if(!l&&ke.isBlob(h))throw new kt("Blob is not supported. Use a Buffer instead.");return ke.isArrayBuffer(h)||ke.isTypedArray(h)?l&&typeof Blob=="function"?new Blob([h]):Buffer.from(h):h}function c(h,E,b){let g=h;if(h&&!b&&typeof h=="object"){if(ke.endsWith(E,"{}"))E=i?E:E.slice(0,-2),h=JSON.stringify(h);else if(ke.isArray(h)&&aL(h)||(ke.isFileList(h)||ke.endsWith(E,"[]"))&&(g=ke.toArray(h)))return E=Tw(E),g.forEach(function(y,T){!(ke.isUndefined(y)||y===null)&&e.append(o===!0?uy([E],T,r):o===null?E:E+"[]",d(y))}),!1}return Pg(h)?!0:(e.append(uy(b,E,r),d(h)),!1)}const _=[],f=Object.assign(lL,{defaultVisitor:c,convertValue:d,isVisitable:Pg});function m(h,E){if(!ke.isUndefined(h)){if(_.indexOf(h)!==-1)throw Error("Circular reference detected in "+E.join("."));_.push(h),ke.forEach(h,function(g,v){(!(ke.isUndefined(g)||g===null)&&s.call(e,g,ke.isString(v)?v.trim():v,E,f))===!0&&m(g,E?E.concat(v):[v])}),_.pop()}}if(!ke.isObject(n))throw new TypeError("data must be an object");return m(n),e}function py(n){const e={"!":"%21","'":"%27","(":"%28",")":"%29","~":"%7E","%20":"+","%00":"\0"};return encodeURIComponent(n).replace(/[!'()~]|%20|%00/g,function(i){return e[i]})}function Xb(n,e){this._pairs=[],n&&Wu(n,this,e)}const xw=Xb.prototype;xw.append=function(e,t){this._pairs.push([e,t])};xw.toString=function(e){const t=e?function(i){return e.call(this,i,py)}:py;return this._pairs.map(function(s){return t(s[0])+"="+t(s[1])},"").join("&")};function cL(n){return encodeURIComponent(n).replace(/%3A/gi,":").replace(/%24/g,"$").replace(/%2C/gi,",").replace(/%20/g,"+").replace(/%5B/gi,"[").replace(/%5D/gi,"]")}function Cw(n,e,t){if(!e)return n;const i=t&&t.encode||cL,s=t&&t.serialize;let r;if(s?r=s(e,t):r=ke.isURLSearchParams(e)?e.toString():new Xb(e,t).toString(i),r){const o=n.indexOf("#");o!==-1&&(n=n.slice(0,o)),n+=(n.indexOf("?")===-1?"?":"&")+r}return n}class dL{constructor(){this.handlers=[]}use(e,t,i){return this.handlers.push({fulfilled:e,rejected:t,synchronous:i?i.synchronous:!1,runWhen:i?i.runWhen:null}),this.handlers.length-1}eject(e){this.handlers[e]&&(this.handlers[e]=null)}clear(){this.handlers&&(this.handlers=[])}forEach(e){ke.forEach(this.handlers,function(i){i!==null&&e(i)})}}const _y=dL,Rw={silentJSONParsing:!0,forcedJSONParsing:!0,clarifyTimeoutError:!1},uL=typeof URLSearchParams<"u"?URLSearchParams:Xb,pL=typeof FormData<"u"?FormData:null,_L=typeof Blob<"u"?Blob:null,hL={isBrowser:!0,classes:{URLSearchParams:uL,FormData:pL,Blob:_L},protocols:["http","https","file","blob","url","data"]},Aw=typeof window<"u"&&typeof document<"u",fL=(n=>Aw&&["ReactNative","NativeScript","NS"].indexOf(n)<0)(typeof navigator<"u"&&navigator.product),mL=(()=>typeof WorkerGlobalScope<"u"&&self instanceof WorkerGlobalScope&&typeof self.importScripts=="function")(),gL=Object.freeze(Object.defineProperty({__proto__:null,hasBrowserEnv:Aw,hasStandardBrowserEnv:fL,hasStandardBrowserWebWorkerEnv:mL},Symbol.toStringTag,{value:"Module"})),ts={...gL,...hL};function bL(n,e){return Wu(n,new ts.classes.URLSearchParams,Object.assign({visitor:function(t,i,s,r){return ts.isNode&&ke.isBuffer(t)?(this.append(i,t.toString("base64")),!1):r.defaultVisitor.apply(this,arguments)}},e))}function EL(n){return ke.matchAll(/\w+|\[(\w*)]/g,n).map(e=>e[0]==="[]"?"":e[1]||e[0])}function vL(n){const e={},t=Object.keys(n);let i;const s=t.length;let r;for(i=0;i<s;i++)r=t[i],e[r]=n[r];return e}function ww(n){function e(t,i,s,r){let o=t[r++];const a=Number.isFinite(+o),l=r>=t.length;return o=!o&&ke.isArray(s)?s.length:o,l?(ke.hasOwnProp(s,o)?s[o]=[s[o],i]:s[o]=i,!a):((!s[o]||!ke.isObject(s[o]))&&(s[o]=[]),e(t,i,s[o],r)&&ke.isArray(s[o])&&(s[o]=vL(s[o])),!a)}if(ke.isFormData(n)&&ke.isFunction(n.entries)){const t={};return ke.forEachEntry(n,(i,s)=>{e(EL(i),s,t,0)}),t}return null}function yL(n,e,t){if(ke.isString(n))try{return(e||JSON.parse)(n),ke.trim(n)}catch(i){if(i.name!=="SyntaxError")throw i}return(t||JSON.stringify)(n)}const Zb={transitional:Rw,adapter:["xhr","http"],transformRequest:[function(e,t){const i=t.getContentType()||"",s=i.indexOf("application/json")>-1,r=ke.isObject(e);if(r&&ke.isHTMLForm(e)&&(e=new FormData(e)),ke.isFormData(e))return s&&s?JSON.stringify(ww(e)):e;if(ke.isArrayBuffer(e)||ke.isBuffer(e)||ke.isStream(e)||ke.isFile(e)||ke.isBlob(e))return e;if(ke.isArrayBufferView(e))return e.buffer;if(ke.isURLSearchParams(e))return t.setContentType("application/x-www-form-urlencoded;charset=utf-8",!1),e.toString();let a;if(r){if(i.indexOf("application/x-www-form-urlencoded")>-1)return bL(e,this.formSerializer).toString();if((a=ke.isFileList(e))||i.indexOf("multipart/form-data")>-1){const l=this.env&&this.env.FormData;return Wu(a?{"files[]":e}:e,l&&new l,this.formSerializer)}}return r||s?(t.setContentType("application/json",!1),yL(e)):e}],transformResponse:[function(e){const t=this.transitional||Zb.transitional,i=t&&t.forcedJSONParsing,s=this.responseType==="json";if(e&&ke.isString(e)&&(i&&!this.responseType||s)){const o=!(t&&t.silentJSONParsing)&&s;try{return JSON.parse(e)}catch(a){if(o)throw a.name==="SyntaxError"?kt.from(a,kt.ERR_BAD_RESPONSE,this,null,this.response):a}}return e}],timeout:0,xsrfCookieName:"XSRF-TOKEN",xsrfHeaderName:"X-XSRF-TOKEN",maxContentLength:-1,maxBodyLength:-1,env:{FormData:ts.classes.FormData,Blob:ts.classes.Blob},validateStatus:function(e){return e>=200&&e<300},headers:{common:{Accept:"application/json, text/plain, */*","Content-Type":void 0}}};ke.forEach(["delete","get","head","post","put","patch"],n=>{Zb.headers[n]={}});const Jb=Zb,SL=ke.toObjectSet(["age","authorization","content-length","content-type","etag","expires","from","host","if-modified-since","if-unmodified-since","last-modified","location","max-forwards","proxy-authorization","referer","retry-after","user-agent"]),TL=n=>{const e={};let t,i,s;return n&&n.split(`
`).forEach(function(o){s=o.indexOf(":"),t=o.substring(0,s).trim().toLowerCase(),i=o.substring(s+1).trim(),!(!t||e[t]&&SL[t])&&(t==="set-cookie"?e[t]?e[t].push(i):e[t]=[i]:e[t]=e[t]?e[t]+", "+i:i)}),e},hy=Symbol("internals");function al(n){return n&&String(n).trim().toLowerCase()}function Dd(n){return n===!1||n==null?n:ke.isArray(n)?n.map(Dd):String(n)}function xL(n){const e=Object.create(null),t=/([^\s,;=]+)\s*(?:=\s*([^,;]+))?/g;let i;for(;i=t.exec(n);)e[i[1]]=i[2];return e}const CL=n=>/^[-_a-zA-Z0-9^`|~,!#$%&'*+.]+$/.test(n.trim());function Ip(n,e,t,i,s){if(ke.isFunction(i))return i.call(this,e,t);if(s&&(e=t),!!ke.isString(e)){if(ke.isString(i))return e.indexOf(i)!==-1;if(ke.isRegExp(i))return i.test(e)}}function RL(n){return n.trim().toLowerCase().replace(/([a-z\d])(\w*)/g,(e,t,i)=>t.toUpperCase()+i)}function AL(n,e){const t=ke.toCamelCase(" "+e);["get","set","has"].forEach(i=>{Object.defineProperty(n,i+t,{value:function(s,r,o){return this[i].call(this,e,s,r,o)},configurable:!0})})}class Ku{constructor(e){e&&this.set(e)}set(e,t,i){const s=this;function r(a,l,d){const c=al(l);if(!c)throw new Error("header name must be a non-empty string");const _=ke.findKey(s,c);(!_||s[_]===void 0||d===!0||d===void 0&&s[_]!==!1)&&(s[_||l]=Dd(a))}const o=(a,l)=>ke.forEach(a,(d,c)=>r(d,c,l));return ke.isPlainObject(e)||e instanceof this.constructor?o(e,t):ke.isString(e)&&(e=e.trim())&&!CL(e)?o(TL(e),t):e!=null&&r(t,e,i),this}get(e,t){if(e=al(e),e){const i=ke.findKey(this,e);if(i){const s=this[i];if(!t)return s;if(t===!0)return xL(s);if(ke.isFunction(t))return t.call(this,s,i);if(ke.isRegExp(t))return t.exec(s);throw new TypeError("parser must be boolean|regexp|function")}}}has(e,t){if(e=al(e),e){const i=ke.findKey(this,e);return!!(i&&this[i]!==void 0&&(!t||Ip(this,this[i],i,t)))}return!1}delete(e,t){const i=this;let s=!1;function r(o){if(o=al(o),o){const a=ke.findKey(i,o);a&&(!t||Ip(i,i[a],a,t))&&(delete i[a],s=!0)}}return ke.isArray(e)?e.forEach(r):r(e),s}clear(e){const t=Object.keys(this);let i=t.length,s=!1;for(;i--;){const r=t[i];(!e||Ip(this,this[r],r,e,!0))&&(delete this[r],s=!0)}return s}normalize(e){const t=this,i={};return ke.forEach(this,(s,r)=>{const o=ke.findKey(i,r);if(o){t[o]=Dd(s),delete t[r];return}const a=e?RL(r):String(r).trim();a!==r&&delete t[r],t[a]=Dd(s),i[a]=!0}),this}concat(...e){return this.constructor.concat(this,...e)}toJSON(e){const t=Object.create(null);return ke.forEach(this,(i,s)=>{i!=null&&i!==!1&&(t[s]=e&&ke.isArray(i)?i.join(", "):i)}),t}[Symbol.iterator](){return Object.entries(this.toJSON())[Symbol.iterator]()}toString(){return Object.entries(this.toJSON()).map(([e,t])=>e+": "+t).join(`
`)}get[Symbol.toStringTag](){return"AxiosHeaders"}static from(e){return e instanceof this?e:new this(e)}static concat(e,...t){const i=new this(e);return t.forEach(s=>i.set(s)),i}static accessor(e){const i=(this[hy]=this[hy]={accessors:{}}).accessors,s=this.prototype;function r(o){const a=al(o);i[a]||(AL(s,o),i[a]=!0)}return ke.isArray(e)?e.forEach(r):r(e),this}}Ku.accessor(["Content-Type","Content-Length","Accept","Accept-Encoding","User-Agent","Authorization"]);ke.reduceDescriptors(Ku.prototype,({value:n},e)=>{let t=e[0].toUpperCase()+e.slice(1);return{get:()=>n,set(i){this[t]=i}}});ke.freezeMethods(Ku);const Ps=Ku;function Mp(n,e){const t=this||Jb,i=e||t,s=Ps.from(i.headers);let r=i.data;return ke.forEach(n,function(a){r=a.call(t,r,s.normalize(),e?e.status:void 0)}),s.normalize(),r}function Nw(n){return!!(n&&n.__CANCEL__)}function hc(n,e,t){kt.call(this,n??"canceled",kt.ERR_CANCELED,e,t),this.name="CanceledError"}ke.inherits(hc,kt,{__CANCEL__:!0});function wL(n,e,t){const i=t.config.validateStatus;!t.status||!i||i(t.status)?n(t):e(new kt("Request failed with status code "+t.status,[kt.ERR_BAD_REQUEST,kt.ERR_BAD_RESPONSE][Math.floor(t.status/100)-4],t.config,t.request,t))}const NL=ts.hasStandardBrowserEnv?{write(n,e,t,i,s,r){const o=[n+"="+encodeURIComponent(e)];ke.isNumber(t)&&o.push("expires="+new Date(t).toGMTString()),ke.isString(i)&&o.push("path="+i),ke.isString(s)&&o.push("domain="+s),r===!0&&o.push("secure"),document.cookie=o.join("; ")},read(n){const e=document.cookie.match(new RegExp("(^|;\\s*)("+n+")=([^;]*)"));return e?decodeURIComponent(e[3]):null},remove(n){this.write(n,"",Date.now()-864e5)}}:{write(){},read(){return null},remove(){}};function OL(n){return/^([a-z][a-z\d+\-.]*:)?\/\//i.test(n)}function IL(n,e){return e?n.replace(/\/+$/,"")+"/"+e.replace(/^\/+/,""):n}function Ow(n,e){return n&&!OL(e)?IL(n,e):e}const ML=ts.hasStandardBrowserEnv?function(){const e=/(msie|trident)/i.test(navigator.userAgent),t=document.createElement("a");let i;function s(r){let o=r;return e&&(t.setAttribute("href",o),o=t.href),t.setAttribute("href",o),{href:t.href,protocol:t.protocol?t.protocol.replace(/:$/,""):"",host:t.host,search:t.search?t.search.replace(/^\?/,""):"",hash:t.hash?t.hash.replace(/^#/,""):"",hostname:t.hostname,port:t.port,pathname:t.pathname.charAt(0)==="/"?t.pathname:"/"+t.pathname}}return i=s(window.location.href),function(o){const a=ke.isString(o)?s(o):o;return a.protocol===i.protocol&&a.host===i.host}}():function(){return function(){return!0}}();function DL(n){const e=/^([-+\w]{1,25})(:?\/\/|:)/.exec(n);return e&&e[1]||""}function kL(n,e){n=n||10;const t=new Array(n),i=new Array(n);let s=0,r=0,o;return e=e!==void 0?e:1e3,function(l){const d=Date.now(),c=i[r];o||(o=d),t[s]=l,i[s]=d;let _=r,f=0;for(;_!==s;)f+=t[_++],_=_%n;if(s=(s+1)%n,s===r&&(r=(r+1)%n),d-o<e)return;const m=c&&d-c;return m?Math.round(f*1e3/m):void 0}}function fy(n,e){let t=0;const i=kL(50,250);return s=>{const r=s.loaded,o=s.lengthComputable?s.total:void 0,a=r-t,l=i(a),d=r<=o;t=r;const c={loaded:r,total:o,progress:o?r/o:void 0,bytes:a,rate:l||void 0,estimated:l&&o&&d?(o-r)/l:void 0,event:s};c[e?"download":"upload"]=!0,n(c)}}const LL=typeof XMLHttpRequest<"u",PL=LL&&function(n){return new Promise(function(t,i){let s=n.data;const r=Ps.from(n.headers).normalize();let{responseType:o,withXSRFToken:a}=n,l;function d(){n.cancelToken&&n.cancelToken.unsubscribe(l),n.signal&&n.signal.removeEventListener("abort",l)}let c;if(ke.isFormData(s)){if(ts.hasStandardBrowserEnv||ts.hasStandardBrowserWebWorkerEnv)r.setContentType(!1);else if((c=r.getContentType())!==!1){const[E,...b]=c?c.split(";").map(g=>g.trim()).filter(Boolean):[];r.setContentType([E||"multipart/form-data",...b].join("; "))}}let _=new XMLHttpRequest;if(n.auth){const E=n.auth.username||"",b=n.auth.password?unescape(encodeURIComponent(n.auth.password)):"";r.set("Authorization","Basic "+btoa(E+":"+b))}const f=Ow(n.baseURL,n.url);_.open(n.method.toUpperCase(),Cw(f,n.params,n.paramsSerializer),!0),_.timeout=n.timeout;function m(){if(!_)return;const E=Ps.from("getAllResponseHeaders"in _&&_.getAllResponseHeaders()),g={data:!o||o==="text"||o==="json"?_.responseText:_.response,status:_.status,statusText:_.statusText,headers:E,config:n,request:_};wL(function(y){t(y),d()},function(y){i(y),d()},g),_=null}if("onloadend"in _?_.onloadend=m:_.onreadystatechange=function(){!_||_.readyState!==4||_.status===0&&!(_.responseURL&&_.responseURL.indexOf("file:")===0)||setTimeout(m)},_.onabort=function(){_&&(i(new kt("Request aborted",kt.ECONNABORTED,n,_)),_=null)},_.onerror=function(){i(new kt("Network Error",kt.ERR_NETWORK,n,_)),_=null},_.ontimeout=function(){let b=n.timeout?"timeout of "+n.timeout+"ms exceeded":"timeout exceeded";const g=n.transitional||Rw;n.timeoutErrorMessage&&(b=n.timeoutErrorMessage),i(new kt(b,g.clarifyTimeoutError?kt.ETIMEDOUT:kt.ECONNABORTED,n,_)),_=null},ts.hasStandardBrowserEnv&&(a&&ke.isFunction(a)&&(a=a(n)),a||a!==!1&&ML(f))){const E=n.xsrfHeaderName&&n.xsrfCookieName&&NL.read(n.xsrfCookieName);E&&r.set(n.xsrfHeaderName,E)}s===void 0&&r.setContentType(null),"setRequestHeader"in _&&ke.forEach(r.toJSON(),function(b,g){_.setRequestHeader(g,b)}),ke.isUndefined(n.withCredentials)||(_.withCredentials=!!n.withCredentials),o&&o!=="json"&&(_.responseType=n.responseType),typeof n.onDownloadProgress=="function"&&_.addEventListener("progress",fy(n.onDownloadProgress,!0)),typeof n.onUploadProgress=="function"&&_.upload&&_.upload.addEventListener("progress",fy(n.onUploadProgress)),(n.cancelToken||n.signal)&&(l=E=>{_&&(i(!E||E.type?new hc(null,n,_):E),_.abort(),_=null)},n.cancelToken&&n.cancelToken.subscribe(l),n.signal&&(n.signal.aborted?l():n.signal.addEventListener("abort",l)));const h=DL(f);if(h&&ts.protocols.indexOf(h)===-1){i(new kt("Unsupported protocol "+h+":",kt.ERR_BAD_REQUEST,n));return}_.send(s||null)})},Ug={http:oL,xhr:PL};ke.forEach(Ug,(n,e)=>{if(n){try{Object.defineProperty(n,"name",{value:e})}catch{}Object.defineProperty(n,"adapterName",{value:e})}});const my=n=>`- ${n}`,UL=n=>ke.isFunction(n)||n===null||n===!1,Iw={getAdapter:n=>{n=ke.isArray(n)?n:[n];const{length:e}=n;let t,i;const s={};for(let r=0;r<e;r++){t=n[r];let o;if(i=t,!UL(t)&&(i=Ug[(o=String(t)).toLowerCase()],i===void 0))throw new kt(`Unknown adapter '${o}'`);if(i)break;s[o||"#"+r]=i}if(!i){const r=Object.entries(s).map(([a,l])=>`adapter ${a} `+(l===!1?"is not supported by the environment":"is not available in the build"));let o=e?r.length>1?`since :
`+r.map(my).join(`
`):" "+my(r[0]):"as no adapter specified";throw new kt("There is no suitable adapter to dispatch the request "+o,"ERR_NOT_SUPPORT")}return i},adapters:Ug};function Dp(n){if(n.cancelToken&&n.cancelToken.throwIfRequested(),n.signal&&n.signal.aborted)throw new hc(null,n)}function gy(n){return Dp(n),n.headers=Ps.from(n.headers),n.data=Mp.call(n,n.transformRequest),["post","put","patch"].indexOf(n.method)!==-1&&n.headers.setContentType("application/x-www-form-urlencoded",!1),Iw.getAdapter(n.adapter||Jb.adapter)(n).then(function(i){return Dp(n),i.data=Mp.call(n,n.transformResponse,i),i.headers=Ps.from(i.headers),i},function(i){return Nw(i)||(Dp(n),i&&i.response&&(i.response.data=Mp.call(n,n.transformResponse,i.response),i.response.headers=Ps.from(i.response.headers))),Promise.reject(i)})}const by=n=>n instanceof Ps?n.toJSON():n;function ha(n,e){e=e||{};const t={};function i(d,c,_){return ke.isPlainObject(d)&&ke.isPlainObject(c)?ke.merge.call({caseless:_},d,c):ke.isPlainObject(c)?ke.merge({},c):ke.isArray(c)?c.slice():c}function s(d,c,_){if(ke.isUndefined(c)){if(!ke.isUndefined(d))return i(void 0,d,_)}else return i(d,c,_)}function r(d,c){if(!ke.isUndefined(c))return i(void 0,c)}function o(d,c){if(ke.isUndefined(c)){if(!ke.isUndefined(d))return i(void 0,d)}else return i(void 0,c)}function a(d,c,_){if(_ in e)return i(d,c);if(_ in n)return i(void 0,d)}const l={url:r,method:r,data:r,baseURL:o,transformRequest:o,transformResponse:o,paramsSerializer:o,timeout:o,timeoutMessage:o,withCredentials:o,withXSRFToken:o,adapter:o,responseType:o,xsrfCookieName:o,xsrfHeaderName:o,onUploadProgress:o,onDownloadProgress:o,decompress:o,maxContentLength:o,maxBodyLength:o,beforeRedirect:o,transport:o,httpAgent:o,httpsAgent:o,cancelToken:o,socketPath:o,responseEncoding:o,validateStatus:a,headers:(d,c)=>s(by(d),by(c),!0)};return ke.forEach(Object.keys(Object.assign({},n,e)),function(c){const _=l[c]||s,f=_(n[c],e[c],c);ke.isUndefined(f)&&_!==a||(t[c]=f)}),t}const Mw="1.6.2",eE={};["object","boolean","number","function","string","symbol"].forEach((n,e)=>{eE[n]=function(i){return typeof i===n||"a"+(e<1?"n ":" ")+n}});const Ey={};eE.transitional=function(e,t,i){function s(r,o){return"[Axios v"+Mw+"] Transitional option '"+r+"'"+o+(i?". "+i:"")}return(r,o,a)=>{if(e===!1)throw new kt(s(o," has been removed"+(t?" in "+t:"")),kt.ERR_DEPRECATED);return t&&!Ey[o]&&(Ey[o]=!0,console.warn(s(o," has been deprecated since v"+t+" and will be removed in the near future"))),e?e(r,o,a):!0}};function FL(n,e,t){if(typeof n!="object")throw new kt("options must be an object",kt.ERR_BAD_OPTION_VALUE);const i=Object.keys(n);let s=i.length;for(;s-- >0;){const r=i[s],o=e[r];if(o){const a=n[r],l=a===void 0||o(a,r,n);if(l!==!0)throw new kt("option "+r+" must be "+l,kt.ERR_BAD_OPTION_VALUE);continue}if(t!==!0)throw new kt("Unknown option "+r,kt.ERR_BAD_OPTION)}}const Fg={assertOptions:FL,validators:eE},Zs=Fg.validators;class eu{constructor(e){this.defaults=e,this.interceptors={request:new _y,response:new _y}}request(e,t){typeof e=="string"?(t=t||{},t.url=e):t=e||{},t=ha(this.defaults,t);const{transitional:i,paramsSerializer:s,headers:r}=t;i!==void 0&&Fg.assertOptions(i,{silentJSONParsing:Zs.transitional(Zs.boolean),forcedJSONParsing:Zs.transitional(Zs.boolean),clarifyTimeoutError:Zs.transitional(Zs.boolean)},!1),s!=null&&(ke.isFunction(s)?t.paramsSerializer={serialize:s}:Fg.assertOptions(s,{encode:Zs.function,serialize:Zs.function},!0)),t.method=(t.method||this.defaults.method||"get").toLowerCase();let o=r&&ke.merge(r.common,r[t.method]);r&&ke.forEach(["delete","get","head","post","put","patch","common"],h=>{delete r[h]}),t.headers=Ps.concat(o,r);const a=[];let l=!0;this.interceptors.request.forEach(function(E){typeof E.runWhen=="function"&&E.runWhen(t)===!1||(l=l&&E.synchronous,a.unshift(E.fulfilled,E.rejected))});const d=[];this.interceptors.response.forEach(function(E){d.push(E.fulfilled,E.rejected)});let c,_=0,f;if(!l){const h=[gy.bind(this),void 0];for(h.unshift.apply(h,a),h.push.apply(h,d),f=h.length,c=Promise.resolve(t);_<f;)c=c.then(h[_++],h[_++]);return c}f=a.length;let m=t;for(_=0;_<f;){const h=a[_++],E=a[_++];try{m=h(m)}catch(b){E.call(this,b);break}}try{c=gy.call(this,m)}catch(h){return Promise.reject(h)}for(_=0,f=d.length;_<f;)c=c.then(d[_++],d[_++]);return c}getUri(e){e=ha(this.defaults,e);const t=Ow(e.baseURL,e.url);return Cw(t,e.params,e.paramsSerializer)}}ke.forEach(["delete","get","head","options"],function(e){eu.prototype[e]=function(t,i){return this.request(ha(i||{},{method:e,url:t,data:(i||{}).data}))}});ke.forEach(["post","put","patch"],function(e){function t(i){return function(r,o,a){return this.request(ha(a||{},{method:e,headers:i?{"Content-Type":"multipart/form-data"}:{},url:r,data:o}))}}eu.prototype[e]=t(),eu.prototype[e+"Form"]=t(!0)});const kd=eu;class tE{constructor(e){if(typeof e!="function")throw new TypeError("executor must be a function.");let t;this.promise=new Promise(function(r){t=r});const i=this;this.promise.then(s=>{if(!i._listeners)return;let r=i._listeners.length;for(;r-- >0;)i._listeners[r](s);i._listeners=null}),this.promise.then=s=>{let r;const o=new Promise(a=>{i.subscribe(a),r=a}).then(s);return o.cancel=function(){i.unsubscribe(r)},o},e(function(r,o,a){i.reason||(i.reason=new hc(r,o,a),t(i.reason))})}throwIfRequested(){if(this.reason)throw this.reason}subscribe(e){if(this.reason){e(this.reason);return}this._listeners?this._listeners.push(e):this._listeners=[e]}unsubscribe(e){if(!this._listeners)return;const t=this._listeners.indexOf(e);t!==-1&&this._listeners.splice(t,1)}static source(){let e;return{token:new tE(function(s){e=s}),cancel:e}}}const BL=tE;function GL(n){return function(t){return n.apply(null,t)}}function zL(n){return ke.isObject(n)&&n.isAxiosError===!0}const Bg={Continue:100,SwitchingProtocols:101,Processing:102,EarlyHints:103,Ok:200,Created:201,Accepted:202,NonAuthoritativeInformation:203,NoContent:204,ResetContent:205,PartialContent:206,MultiStatus:207,AlreadyReported:208,ImUsed:226,MultipleChoices:300,MovedPermanently:301,Found:302,SeeOther:303,NotModified:304,UseProxy:305,Unused:306,TemporaryRedirect:307,PermanentRedirect:308,BadRequest:400,Unauthorized:401,PaymentRequired:402,Forbidden:403,NotFound:404,MethodNotAllowed:405,NotAcceptable:406,ProxyAuthenticationRequired:407,RequestTimeout:408,Conflict:409,Gone:410,LengthRequired:411,PreconditionFailed:412,PayloadTooLarge:413,UriTooLong:414,UnsupportedMediaType:415,RangeNotSatisfiable:416,ExpectationFailed:417,ImATeapot:418,MisdirectedRequest:421,UnprocessableEntity:422,Locked:423,FailedDependency:424,TooEarly:425,UpgradeRequired:426,PreconditionRequired:428,TooManyRequests:429,RequestHeaderFieldsTooLarge:431,UnavailableForLegalReasons:451,InternalServerError:500,NotImplemented:501,BadGateway:502,ServiceUnavailable:503,GatewayTimeout:504,HttpVersionNotSupported:505,VariantAlsoNegotiates:506,InsufficientStorage:507,LoopDetected:508,NotExtended:510,NetworkAuthenticationRequired:511};Object.entries(Bg).forEach(([n,e])=>{Bg[e]=n});const VL=Bg;function Dw(n){const e=new kd(n),t=_w(kd.prototype.request,e);return ke.extend(t,kd.prototype,e,{allOwnKeys:!0}),ke.extend(t,e,null,{allOwnKeys:!0}),t.create=function(s){return Dw(ha(n,s))},t}const un=Dw(Jb);un.Axios=kd;un.CanceledError=hc;un.CancelToken=BL;un.isCancel=Nw;un.VERSION=Mw;un.toFormData=Wu;un.AxiosError=kt;un.Cancel=un.CanceledError;un.all=function(e){return Promise.all(e)};un.spread=GL;un.isAxiosError=zL;un.mergeConfig=ha;un.AxiosHeaders=Ps;un.formToJSON=n=>ww(ke.isHTMLForm(n)?new FormData(n):n);un.getAdapter=Iw.getAdapter;un.HttpStatusCode=VL;un.default=un;const Le=un;/*!
* vue-router v4.2.5
* (c) 2023 Eduardo San Martin Morote
* @license MIT
*/const Wo=typeof window<"u";function HL(n){return n.__esModule||n[Symbol.toStringTag]==="Module"}const Bt=Object.assign;function kp(n,e){const t={};for(const i in e){const s=e[i];t[i]=Hi(s)?s.map(n):n(s)}return t}const Ml=()=>{},Hi=Array.isArray,qL=/\/$/,YL=n=>n.replace(qL,"");function Lp(n,e,t="/"){let i,s={},r="",o="";const a=e.indexOf("#");let l=e.indexOf("?");return a<l&&a>=0&&(l=-1),l>-1&&(i=e.slice(0,l),r=e.slice(l+1,a>-1?a:e.length),s=n(r)),a>-1&&(i=i||e.slice(0,a),o=e.slice(a,e.length)),i=jL(i??e,t),{fullPath:i+(r&&"?")+r+o,path:i,query:s,hash:o}}function $L(n,e){const t=e.query?n(e.query):"";return e.path+(t&&"?")+t+(e.hash||"")}function vy(n,e){return!e||!n.toLowerCase().startsWith(e.toLowerCase())?n:n.slice(e.length)||"/"}function WL(n,e,t){const i=e.matched.length-1,s=t.matched.length-1;return i>-1&&i===s&&fa(e.matched[i],t.matched[s])&&kw(e.params,t.params)&&n(e.query)===n(t.query)&&e.hash===t.hash}function fa(n,e){return(n.aliasOf||n)===(e.aliasOf||e)}function kw(n,e){if(Object.keys(n).length!==Object.keys(e).length)return!1;for(const t in n)if(!KL(n[t],e[t]))return!1;return!0}function KL(n,e){return Hi(n)?yy(n,e):Hi(e)?yy(e,n):n===e}function yy(n,e){return Hi(e)?n.length===e.length&&n.every((t,i)=>t===e[i]):n.length===1&&n[0]===e}function jL(n,e){if(n.startsWith("/"))return n;if(!n)return e;const t=e.split("/"),i=n.split("/"),s=i[i.length-1];(s===".."||s===".")&&i.push("");let r=t.length-1,o,a;for(o=0;o<i.length;o++)if(a=i[o],a!==".")if(a==="..")r>1&&r--;else break;return t.slice(0,r).join("/")+"/"+i.slice(o-(o===i.length?1:0)).join("/")}var Jl;(function(n){n.pop="pop",n.push="push"})(Jl||(Jl={}));var Dl;(function(n){n.back="back",n.forward="forward",n.unknown=""})(Dl||(Dl={}));function QL(n){if(!n)if(Wo){const e=document.querySelector("base");n=e&&e.getAttribute("href")||"/",n=n.replace(/^\w+:\/\/[^\/]+/,"")}else n="/";return n[0]!=="/"&&n[0]!=="#"&&(n="/"+n),YL(n)}const XL=/^[^#]+#/;function ZL(n,e){return n.replace(XL,"#")+e}function JL(n,e){const t=document.documentElement.getBoundingClientRect(),i=n.getBoundingClientRect();return{behavior:e.behavior,left:i.left-t.left-(e.left||0),top:i.top-t.top-(e.top||0)}}const ju=()=>({left:window.pageXOffset,top:window.pageYOffset});function eP(n){let e;if("el"in n){const t=n.el,i=typeof t=="string"&&t.startsWith("#"),s=typeof t=="string"?i?document.getElementById(t.slice(1)):document.querySelector(t):t;if(!s)return;e=JL(s,n)}else e=n;"scrollBehavior"in document.documentElement.style?window.scrollTo(e):window.scrollTo(e.left!=null?e.left:window.pageXOffset,e.top!=null?e.top:window.pageYOffset)}function Sy(n,e){return(history.state?history.state.position-e:-1)+n}const Gg=new Map;function tP(n,e){Gg.set(n,e)}function nP(n){const e=Gg.get(n);return Gg.delete(n),e}let iP=()=>location.protocol+"//"+location.host;function Lw(n,e){const{pathname:t,search:i,hash:s}=e,r=n.indexOf("#");if(r>-1){let a=s.includes(n.slice(r))?n.slice(r).length:1,l=s.slice(a);return l[0]!=="/"&&(l="/"+l),vy(l,"")}return vy(t,n)+i+s}function sP(n,e,t,i){let s=[],r=[],o=null;const a=({state:f})=>{const m=Lw(n,location),h=t.value,E=e.value;let b=0;if(f){if(t.value=m,e.value=f,o&&o===h){o=null;return}b=E?f.position-E.position:0}else i(m);s.forEach(g=>{g(t.value,h,{delta:b,type:Jl.pop,direction:b?b>0?Dl.forward:Dl.back:Dl.unknown})})};function l(){o=t.value}function d(f){s.push(f);const m=()=>{const h=s.indexOf(f);h>-1&&s.splice(h,1)};return r.push(m),m}function c(){const{history:f}=window;f.state&&f.replaceState(Bt({},f.state,{scroll:ju()}),"")}function _(){for(const f of r)f();r=[],window.removeEventListener("popstate",a),window.removeEventListener("beforeunload",c)}return window.addEventListener("popstate",a),window.addEventListener("beforeunload",c,{passive:!0}),{pauseListeners:l,listen:d,destroy:_}}function Ty(n,e,t,i=!1,s=!1){return{back:n,current:e,forward:t,replaced:i,position:window.history.length,scroll:s?ju():null}}function rP(n){const{history:e,location:t}=window,i={value:Lw(n,t)},s={value:e.state};s.value||r(i.value,{back:null,current:i.value,forward:null,position:e.length-1,replaced:!0,scroll:null},!0);function r(l,d,c){const _=n.indexOf("#"),f=_>-1?(t.host&&document.querySelector("base")?n:n.slice(_))+l:iP()+n+l;try{e[c?"replaceState":"pushState"](d,"",f),s.value=d}catch(m){console.error(m),t[c?"replace":"assign"](f)}}function o(l,d){const c=Bt({},e.state,Ty(s.value.back,l,s.value.forward,!0),d,{position:s.value.position});r(l,c,!0),i.value=l}function a(l,d){const c=Bt({},s.value,e.state,{forward:l,scroll:ju()});r(c.current,c,!0);const _=Bt({},Ty(i.value,l,null),{position:c.position+1},d);r(l,_,!1),i.value=l}return{location:i,state:s,push:a,replace:o}}function oP(n){n=QL(n);const e=rP(n),t=sP(n,e.state,e.location,e.replace);function i(r,o=!0){o||t.pauseListeners(),history.go(r)}const s=Bt({location:"",base:n,go:i,createHref:ZL.bind(null,n)},e,t);return Object.defineProperty(s,"location",{enumerable:!0,get:()=>e.location.value}),Object.defineProperty(s,"state",{enumerable:!0,get:()=>e.state.value}),s}function aP(n){return typeof n=="string"||n&&typeof n=="object"}function Pw(n){return typeof n=="string"||typeof n=="symbol"}const Js={path:"/",name:void 0,params:{},query:{},hash:"",fullPath:"/",matched:[],meta:{},redirectedFrom:void 0},Uw=Symbol("");var xy;(function(n){n[n.aborted=4]="aborted",n[n.cancelled=8]="cancelled",n[n.duplicated=16]="duplicated"})(xy||(xy={}));function ma(n,e){return Bt(new Error,{type:n,[Uw]:!0},e)}function Ss(n,e){return n instanceof Error&&Uw in n&&(e==null||!!(n.type&e))}const Cy="[^/]+?",lP={sensitive:!1,strict:!1,start:!0,end:!0},cP=/[.+*?^${}()[\]/\\]/g;function dP(n,e){const t=Bt({},lP,e),i=[];let s=t.start?"^":"";const r=[];for(const d of n){const c=d.length?[]:[90];t.strict&&!d.length&&(s+="/");for(let _=0;_<d.length;_++){const f=d[_];let m=40+(t.sensitive?.25:0);if(f.type===0)_||(s+="/"),s+=f.value.replace(cP,"\\$&"),m+=40;else if(f.type===1){const{value:h,repeatable:E,optional:b,regexp:g}=f;r.push({name:h,repeatable:E,optional:b});const v=g||Cy;if(v!==Cy){m+=10;try{new RegExp(`(${v})`)}catch(T){throw new Error(`Invalid custom RegExp for param "${h}" (${v}): `+T.message)}}let y=E?`((?:${v})(?:/(?:${v}))*)`:`(${v})`;_||(y=b&&d.length<2?`(?:/${y})`:"/"+y),b&&(y+="?"),s+=y,m+=20,b&&(m+=-8),E&&(m+=-20),v===".*"&&(m+=-50)}c.push(m)}i.push(c)}if(t.strict&&t.end){const d=i.length-1;i[d][i[d].length-1]+=.7000000000000001}t.strict||(s+="/?"),t.end?s+="$":t.strict&&(s+="(?:/|$)");const o=new RegExp(s,t.sensitive?"":"i");function a(d){const c=d.match(o),_={};if(!c)return null;for(let f=1;f<c.length;f++){const m=c[f]||"",h=r[f-1];_[h.name]=m&&h.repeatable?m.split("/"):m}return _}function l(d){let c="",_=!1;for(const f of n){(!_||!c.endsWith("/"))&&(c+="/"),_=!1;for(const m of f)if(m.type===0)c+=m.value;else if(m.type===1){const{value:h,repeatable:E,optional:b}=m,g=h in d?d[h]:"";if(Hi(g)&&!E)throw new Error(`Provided param "${h}" is an array but it is not repeatable (* or + modifiers)`);const v=Hi(g)?g.join("/"):g;if(!v)if(b)f.length<2&&(c.endsWith("/")?c=c.slice(0,-1):_=!0);else throw new Error(`Missing required param "${h}"`);c+=v}}return c||"/"}return{re:o,score:i,keys:r,parse:a,stringify:l}}function uP(n,e){let t=0;for(;t<n.length&&t<e.length;){const i=e[t]-n[t];if(i)return i;t++}return n.length<e.length?n.length===1&&n[0]===40+40?-1:1:n.length>e.length?e.length===1&&e[0]===40+40?1:-1:0}function pP(n,e){let t=0;const i=n.score,s=e.score;for(;t<i.length&&t<s.length;){const r=uP(i[t],s[t]);if(r)return r;t++}if(Math.abs(s.length-i.length)===1){if(Ry(i))return 1;if(Ry(s))return-1}return s.length-i.length}function Ry(n){const e=n[n.length-1];return n.length>0&&e[e.length-1]<0}const _P={type:0,value:""},hP=/[a-zA-Z0-9_]/;function fP(n){if(!n)return[[]];if(n==="/")return[[_P]];if(!n.startsWith("/"))throw new Error(`Invalid path "${n}"`);function e(m){throw new Error(`ERR (${t})/"${d}": ${m}`)}let t=0,i=t;const s=[];let r;function o(){r&&s.push(r),r=[]}let a=0,l,d="",c="";function _(){d&&(t===0?r.push({type:0,value:d}):t===1||t===2||t===3?(r.length>1&&(l==="*"||l==="+")&&e(`A repeatable param (${d}) must be alone in its segment. eg: '/:ids+.`),r.push({type:1,value:d,regexp:c,repeatable:l==="*"||l==="+",optional:l==="*"||l==="?"})):e("Invalid state to consume buffer"),d="")}function f(){d+=l}for(;a<n.length;){if(l=n[a++],l==="\\"&&t!==2){i=t,t=4;continue}switch(t){case 0:l==="/"?(d&&_(),o()):l===":"?(_(),t=1):f();break;case 4:f(),t=i;break;case 1:l==="("?t=2:hP.test(l)?f():(_(),t=0,l!=="*"&&l!=="?"&&l!=="+"&&a--);break;case 2:l===")"?c[c.length-1]=="\\"?c=c.slice(0,-1)+l:t=3:c+=l;break;case 3:_(),t=0,l!=="*"&&l!=="?"&&l!=="+"&&a--,c="";break;default:e("Unknown state");break}}return t===2&&e(`Unfinished custom RegExp for param "${d}"`),_(),o(),s}function mP(n,e,t){const i=dP(fP(n.path),t),s=Bt(i,{record:n,parent:e,children:[],alias:[]});return e&&!s.record.aliasOf==!e.record.aliasOf&&e.children.push(s),s}function gP(n,e){const t=[],i=new Map;e=Ny({strict:!1,end:!0,sensitive:!1},e);function s(c){return i.get(c)}function r(c,_,f){const m=!f,h=bP(c);h.aliasOf=f&&f.record;const E=Ny(e,c),b=[h];if("alias"in c){const y=typeof c.alias=="string"?[c.alias]:c.alias;for(const T of y)b.push(Bt({},h,{components:f?f.record.components:h.components,path:T,aliasOf:f?f.record:h}))}let g,v;for(const y of b){const{path:T}=y;if(_&&T[0]!=="/"){const C=_.record.path,x=C[C.length-1]==="/"?"":"/";y.path=_.record.path+(T&&x+T)}if(g=mP(y,_,E),f?f.alias.push(g):(v=v||g,v!==g&&v.alias.push(g),m&&c.name&&!wy(g)&&o(c.name)),h.children){const C=h.children;for(let x=0;x<C.length;x++)r(C[x],g,f&&f.children[x])}f=f||g,(g.record.components&&Object.keys(g.record.components).length||g.record.name||g.record.redirect)&&l(g)}return v?()=>{o(v)}:Ml}function o(c){if(Pw(c)){const _=i.get(c);_&&(i.delete(c),t.splice(t.indexOf(_),1),_.children.forEach(o),_.alias.forEach(o))}else{const _=t.indexOf(c);_>-1&&(t.splice(_,1),c.record.name&&i.delete(c.record.name),c.children.forEach(o),c.alias.forEach(o))}}function a(){return t}function l(c){let _=0;for(;_<t.length&&pP(c,t[_])>=0&&(c.record.path!==t[_].record.path||!Fw(c,t[_]));)_++;t.splice(_,0,c),c.record.name&&!wy(c)&&i.set(c.record.name,c)}function d(c,_){let f,m={},h,E;if("name"in c&&c.name){if(f=i.get(c.name),!f)throw ma(1,{location:c});E=f.record.name,m=Bt(Ay(_.params,f.keys.filter(v=>!v.optional).map(v=>v.name)),c.params&&Ay(c.params,f.keys.map(v=>v.name))),h=f.stringify(m)}else if("path"in c)h=c.path,f=t.find(v=>v.re.test(h)),f&&(m=f.parse(h),E=f.record.name);else{if(f=_.name?i.get(_.name):t.find(v=>v.re.test(_.path)),!f)throw ma(1,{location:c,currentLocation:_});E=f.record.name,m=Bt({},_.params,c.params),h=f.stringify(m)}const b=[];let g=f;for(;g;)b.unshift(g.record),g=g.parent;return{name:E,path:h,params:m,matched:b,meta:vP(b)}}return n.forEach(c=>r(c)),{addRoute:r,resolve:d,removeRoute:o,getRoutes:a,getRecordMatcher:s}}function Ay(n,e){const t={};for(const i of e)i in n&&(t[i]=n[i]);return t}function bP(n){return{path:n.path,redirect:n.redirect,name:n.name,meta:n.meta||{},aliasOf:void 0,beforeEnter:n.beforeEnter,props:EP(n),children:n.children||[],instances:{},leaveGuards:new Set,updateGuards:new Set,enterCallbacks:{},components:"components"in n?n.components||null:n.component&&{default:n.component}}}function EP(n){const e={},t=n.props||!1;if("component"in n)e.default=t;else for(const i in n.components)e[i]=typeof t=="object"?t[i]:t;return e}function wy(n){for(;n;){if(n.record.aliasOf)return!0;n=n.parent}return!1}function vP(n){return n.reduce((e,t)=>Bt(e,t.meta),{})}function Ny(n,e){const t={};for(const i in n)t[i]=i in e?e[i]:n[i];return t}function Fw(n,e){return e.children.some(t=>t===n||Fw(n,t))}const Bw=/#/g,yP=/&/g,SP=/\//g,TP=/=/g,xP=/\?/g,Gw=/\+/g,CP=/%5B/g,RP=/%5D/g,zw=/%5E/g,AP=/%60/g,Vw=/%7B/g,wP=/%7C/g,Hw=/%7D/g,NP=/%20/g;function nE(n){return encodeURI(""+n).replace(wP,"|").replace(CP,"[").replace(RP,"]")}function OP(n){return nE(n).replace(Vw,"{").replace(Hw,"}").replace(zw,"^")}function zg(n){return nE(n).replace(Gw,"%2B").replace(NP,"+").replace(Bw,"%23").replace(yP,"%26").replace(AP,"`").replace(Vw,"{").replace(Hw,"}").replace(zw,"^")}function IP(n){return zg(n).replace(TP,"%3D")}function MP(n){return nE(n).replace(Bw,"%23").replace(xP,"%3F")}function DP(n){return n==null?"":MP(n).replace(SP,"%2F")}function tu(n){try{return decodeURIComponent(""+n)}catch{}return""+n}function kP(n){const e={};if(n===""||n==="?")return e;const i=(n[0]==="?"?n.slice(1):n).split("&");for(let s=0;s<i.length;++s){const r=i[s].replace(Gw," "),o=r.indexOf("="),a=tu(o<0?r:r.slice(0,o)),l=o<0?null:tu(r.slice(o+1));if(a in e){let d=e[a];Hi(d)||(d=e[a]=[d]),d.push(l)}else e[a]=l}return e}function Oy(n){let e="";for(let t in n){const i=n[t];if(t=IP(t),i==null){i!==void 0&&(e+=(e.length?"&":"")+t);continue}(Hi(i)?i.map(r=>r&&zg(r)):[i&&zg(i)]).forEach(r=>{r!==void 0&&(e+=(e.length?"&":"")+t,r!=null&&(e+="="+r))})}return e}function LP(n){const e={};for(const t in n){const i=n[t];i!==void 0&&(e[t]=Hi(i)?i.map(s=>s==null?null:""+s):i==null?i:""+i)}return e}const PP=Symbol(""),Iy=Symbol(""),iE=Symbol(""),qw=Symbol(""),Vg=Symbol("");function ll(){let n=[];function e(i){return n.push(i),()=>{const s=n.indexOf(i);s>-1&&n.splice(s,1)}}function t(){n=[]}return{add:e,list:()=>n.slice(),reset:t}}function lr(n,e,t,i,s){const r=i&&(i.enterCallbacks[s]=i.enterCallbacks[s]||[]);return()=>new Promise((o,a)=>{const l=_=>{_===!1?a(ma(4,{from:t,to:e})):_ instanceof Error?a(_):aP(_)?a(ma(2,{from:e,to:_})):(r&&i.enterCallbacks[s]===r&&typeof _=="function"&&r.push(_),o())},d=n.call(i&&i.instances[s],e,t,l);let c=Promise.resolve(d);n.length<3&&(c=c.then(l)),c.catch(_=>a(_))})}function Pp(n,e,t,i){const s=[];for(const r of n)for(const o in r.components){let a=r.components[o];if(!(e!=="beforeRouteEnter"&&!r.instances[o]))if(UP(a)){const d=(a.__vccOpts||a)[e];d&&s.push(lr(d,t,i,r,o))}else{let l=a();s.push(()=>l.then(d=>{if(!d)return Promise.reject(new Error(`Couldn't resolve component "${o}" at "${r.path}"`));const c=HL(d)?d.default:d;r.components[o]=c;const f=(c.__vccOpts||c)[e];return f&&lr(f,t,i,r,o)()}))}}return s}function UP(n){return typeof n=="object"||"displayName"in n||"props"in n||"__vccOpts"in n}function My(n){const e=Gi(iE),t=Gi(qw),i=it(()=>e.resolve(Tt(n.to))),s=it(()=>{const{matched:l}=i.value,{length:d}=l,c=l[d-1],_=t.matched;if(!c||!_.length)return-1;const f=_.findIndex(fa.bind(null,c));if(f>-1)return f;const m=Dy(l[d-2]);return d>1&&Dy(c)===m&&_[_.length-1].path!==m?_.findIndex(fa.bind(null,l[d-2])):f}),r=it(()=>s.value>-1&&GP(t.params,i.value.params)),o=it(()=>s.value>-1&&s.value===t.matched.length-1&&kw(t.params,i.value.params));function a(l={}){return BP(l)?e[Tt(n.replace)?"replace":"push"](Tt(n.to)).catch(Ml):Promise.resolve()}return{route:i,href:it(()=>i.value.href),isActive:r,isExactActive:o,navigate:a}}const FP=pn({name:"RouterLink",compatConfig:{MODE:3},props:{to:{type:[String,Object],required:!0},replace:Boolean,activeClass:String,exactActiveClass:String,custom:Boolean,ariaCurrentValue:{type:String,default:"page"}},useLink:My,setup(n,{slots:e}){const t=ei(My(n)),{options:i}=Gi(iE),s=it(()=>({[ky(n.activeClass,i.linkActiveClass,"router-link-active")]:t.isActive,[ky(n.exactActiveClass,i.linkExactActiveClass,"router-link-exact-active")]:t.isExactActive}));return()=>{const r=e.default&&e.default(t);return n.custom?r:$b("a",{"aria-current":t.isExactActive?n.ariaCurrentValue:null,href:t.href,onClick:t.navigate,class:s.value},r)}}}),Qr=FP;function BP(n){if(!(n.metaKey||n.altKey||n.ctrlKey||n.shiftKey)&&!n.defaultPrevented&&!(n.button!==void 0&&n.button!==0)){if(n.currentTarget&&n.currentTarget.getAttribute){const e=n.currentTarget.getAttribute("target");if(/\b_blank\b/i.test(e))return}return n.preventDefault&&n.preventDefault(),!0}}function GP(n,e){for(const t in e){const i=e[t],s=n[t];if(typeof i=="string"){if(i!==s)return!1}else if(!Hi(s)||s.length!==i.length||i.some((r,o)=>r!==s[o]))return!1}return!0}function Dy(n){return n?n.aliasOf?n.aliasOf.path:n.path:""}const ky=(n,e,t)=>n??e??t,zP=pn({name:"RouterView",inheritAttrs:!1,props:{name:{type:String,default:"default"},route:Object},compatConfig:{MODE:3},setup(n,{attrs:e,slots:t}){const i=Gi(Vg),s=it(()=>n.route||i.value),r=Gi(Iy,0),o=it(()=>{let d=Tt(r);const{matched:c}=s.value;let _;for(;(_=c[d])&&!_.components;)d++;return d}),a=it(()=>s.value.matched[o.value]);sa(Iy,it(()=>o.value+1)),sa(PP,a),sa(Vg,s);const l=ft();return qn(()=>[l.value,a.value,n.name],([d,c,_],[f,m,h])=>{c&&(c.instances[_]=d,m&&m!==c&&d&&d===f&&(c.leaveGuards.size||(c.leaveGuards=m.leaveGuards),c.updateGuards.size||(c.updateGuards=m.updateGuards))),d&&c&&(!m||!fa(c,m)||!f)&&(c.enterCallbacks[_]||[]).forEach(E=>E(d))},{flush:"post"}),()=>{const d=s.value,c=n.name,_=a.value,f=_&&_.components[c];if(!f)return Ly(t.default,{Component:f,route:d});const m=_.props[c],h=m?m===!0?d.params:typeof m=="function"?m(d):m:null,b=$b(f,Bt({},h,e,{onVnodeUnmounted:g=>{g.component.isUnmounted&&(_.instances[c]=null)},ref:l}));return Ly(t.default,{Component:b,route:d})||b}}});function Ly(n,e){if(!n)return null;const t=n(e);return t.length===1?t[0]:t}const Yw=zP;function VP(n){const e=gP(n.routes,n),t=n.parseQuery||kP,i=n.stringifyQuery||Oy,s=n.history,r=ll(),o=ll(),a=ll(),l=l2(Js);let d=Js;Wo&&n.scrollBehavior&&"scrollRestoration"in history&&(history.scrollRestoration="manual");const c=kp.bind(null,J=>""+J),_=kp.bind(null,DP),f=kp.bind(null,tu);function m(J,me){let ee,Se;return Pw(J)?(ee=e.getRecordMatcher(J),Se=me):Se=J,e.addRoute(Se,ee)}function h(J){const me=e.getRecordMatcher(J);me&&e.removeRoute(me)}function E(){return e.getRoutes().map(J=>J.record)}function b(J){return!!e.getRecordMatcher(J)}function g(J,me){if(me=Bt({},me||l.value),typeof J=="string"){const $=Lp(t,J,me.path),ce=e.resolve({path:$.path},me),ne=s.createHref($.fullPath);return Bt($,ce,{params:f(ce.params),hash:tu($.hash),redirectedFrom:void 0,href:ne})}let ee;if("path"in J)ee=Bt({},J,{path:Lp(t,J.path,me.path).path});else{const $=Bt({},J.params);for(const ce in $)$[ce]==null&&delete $[ce];ee=Bt({},J,{params:_($)}),me.params=_(me.params)}const Se=e.resolve(ee,me),Oe=J.hash||"";Se.params=c(f(Se.params));const k=$L(i,Bt({},J,{hash:OP(Oe),path:Se.path})),B=s.createHref(k);return Bt({fullPath:k,hash:Oe,query:i===Oy?LP(J.query):J.query||{}},Se,{redirectedFrom:void 0,href:B})}function v(J){return typeof J=="string"?Lp(t,J,l.value.path):Bt({},J)}function y(J,me){if(d!==J)return ma(8,{from:me,to:J})}function T(J){return O(J)}function C(J){return T(Bt(v(J),{replace:!0}))}function x(J){const me=J.matched[J.matched.length-1];if(me&&me.redirect){const{redirect:ee}=me;let Se=typeof ee=="function"?ee(J):ee;return typeof Se=="string"&&(Se=Se.includes("?")||Se.includes("#")?Se=v(Se):{path:Se},Se.params={}),Bt({query:J.query,hash:J.hash,params:"path"in Se?{}:J.params},Se)}}function O(J,me){const ee=d=g(J),Se=l.value,Oe=J.state,k=J.force,B=J.replace===!0,$=x(ee);if($)return O(Bt(v($),{state:typeof $=="object"?Bt({},Oe,$.state):Oe,force:k,replace:B}),me||ee);const ce=ee;ce.redirectedFrom=me;let ne;return!k&&WL(i,Se,ee)&&(ne=ma(16,{to:ce,from:Se}),re(Se,Se,!0,!1)),(ne?Promise.resolve(ne):A(ce,Se)).catch(Ce=>Ss(Ce)?Ss(Ce,2)?Ce:Q(Ce):j(Ce,ce,Se)).then(Ce=>{if(Ce){if(Ss(Ce,2))return O(Bt({replace:B},v(Ce.to),{state:typeof Ce.to=="object"?Bt({},Oe,Ce.to.state):Oe,force:k}),me||ce)}else Ce=F(ce,Se,!0,B,Oe);return U(ce,Se,Ce),Ce})}function R(J,me){const ee=y(J,me);return ee?Promise.reject(ee):Promise.resolve()}function S(J){const me=fe.values().next().value;return me&&typeof me.runWithContext=="function"?me.runWithContext(J):J()}function A(J,me){let ee;const[Se,Oe,k]=HP(J,me);ee=Pp(Se.reverse(),"beforeRouteLeave",J,me);for(const $ of Se)$.leaveGuards.forEach(ce=>{ee.push(lr(ce,J,me))});const B=R.bind(null,J,me);return ee.push(B),Ae(ee).then(()=>{ee=[];for(const $ of r.list())ee.push(lr($,J,me));return ee.push(B),Ae(ee)}).then(()=>{ee=Pp(Oe,"beforeRouteUpdate",J,me);for(const $ of Oe)$.updateGuards.forEach(ce=>{ee.push(lr(ce,J,me))});return ee.push(B),Ae(ee)}).then(()=>{ee=[];for(const $ of k)if($.beforeEnter)if(Hi($.beforeEnter))for(const ce of $.beforeEnter)ee.push(lr(ce,J,me));else ee.push(lr($.beforeEnter,J,me));return ee.push(B),Ae(ee)}).then(()=>(J.matched.forEach($=>$.enterCallbacks={}),ee=Pp(k,"beforeRouteEnter",J,me),ee.push(B),Ae(ee))).then(()=>{ee=[];for(const $ of o.list())ee.push(lr($,J,me));return ee.push(B),Ae(ee)}).catch($=>Ss($,8)?$:Promise.reject($))}function U(J,me,ee){a.list().forEach(Se=>S(()=>Se(J,me,ee)))}function F(J,me,ee,Se,Oe){const k=y(J,me);if(k)return k;const B=me===Js,$=Wo?history.state:{};ee&&(Se||B?s.replace(J.fullPath,Bt({scroll:B&&$&&$.scroll},Oe)):s.push(J.fullPath,Oe)),l.value=J,re(J,me,ee,B),Q()}let K;function L(){K||(K=s.listen((J,me,ee)=>{if(!ve.listening)return;const Se=g(J),Oe=x(Se);if(Oe){O(Bt(Oe,{replace:!0}),Se).catch(Ml);return}d=Se;const k=l.value;Wo&&tP(Sy(k.fullPath,ee.delta),ju()),A(Se,k).catch(B=>Ss(B,12)?B:Ss(B,2)?(O(B.to,Se).then($=>{Ss($,20)&&!ee.delta&&ee.type===Jl.pop&&s.go(-1,!1)}).catch(Ml),Promise.reject()):(ee.delta&&s.go(-ee.delta,!1),j(B,Se,k))).then(B=>{B=B||F(Se,k,!1),B&&(ee.delta&&!Ss(B,8)?s.go(-ee.delta,!1):ee.type===Jl.pop&&Ss(B,20)&&s.go(-1,!1)),U(Se,k,B)}).catch(Ml)}))}let H=ll(),G=ll(),P;function j(J,me,ee){Q(J);const Se=G.list();return Se.length?Se.forEach(Oe=>Oe(J,me,ee)):console.error(J),Promise.reject(J)}function Y(){return P&&l.value!==Js?Promise.resolve():new Promise((J,me)=>{H.add([J,me])})}function Q(J){return P||(P=!J,L(),H.list().forEach(([me,ee])=>J?ee(J):me()),H.reset()),J}function re(J,me,ee,Se){const{scrollBehavior:Oe}=n;if(!Wo||!Oe)return Promise.resolve();const k=!ee&&nP(Sy(J.fullPath,0))||(Se||!ee)&&history.state&&history.state.scroll||null;return Ve().then(()=>Oe(J,me,k)).then(B=>B&&eP(B)).catch(B=>j(B,J,me))}const te=J=>s.go(J);let Z;const fe=new Set,ve={currentRoute:l,listening:!0,addRoute:m,removeRoute:h,hasRoute:b,getRoutes:E,resolve:g,options:n,push:T,replace:C,go:te,back:()=>te(-1),forward:()=>te(1),beforeEach:r.add,beforeResolve:o.add,afterEach:a.add,onError:G.add,isReady:Y,install(J){const me=this;J.component("RouterLink",Qr),J.component("RouterView",Yw),J.config.globalProperties.$router=me,Object.defineProperty(J.config.globalProperties,"$route",{enumerable:!0,get:()=>Tt(l)}),Wo&&!Z&&l.value===Js&&(Z=!0,T(s.location).catch(Oe=>{}));const ee={};for(const Oe in Js)Object.defineProperty(ee,Oe,{get:()=>l.value[Oe],enumerable:!0});J.provide(iE,me),J.provide(qw,fA(ee)),J.provide(Vg,l);const Se=J.unmount;fe.add(J),J.unmount=function(){fe.delete(J),fe.size<1&&(d=Js,K&&K(),K=null,l.value=Js,Z=!1,P=!1),Se()}}};function Ae(J){return J.reduce((me,ee)=>me.then(()=>S(ee)),Promise.resolve())}return ve}function HP(n,e){const t=[],i=[],s=[],r=Math.max(e.matched.length,n.matched.length);for(let o=0;o<r;o++){const a=e.matched[o];a&&(n.matched.find(d=>fa(d,a))?i.push(a):t.push(a));const l=n.matched[o];l&&(e.matched.find(d=>fa(d,l))||s.push(l))}return[t,i,s]}const qP="modulepreload",YP=function(n){return"/"+n},Py={},Up=function(e,t,i){if(!t||t.length===0)return e();const s=document.getElementsByTagName("link");return Promise.all(t.map(r=>{if(r=YP(r),r in Py)return;Py[r]=!0;const o=r.endsWith(".css"),a=o?'[rel="stylesheet"]':"";if(!!i)for(let c=s.length-1;c>=0;c--){const _=s[c];if(_.href===r&&(!o||_.rel==="stylesheet"))return}else if(document.querySelector(`link[href="${r}"]${a}`))return;const d=document.createElement("link");if(d.rel=o?"stylesheet":qP,o||(d.as="script",d.crossOrigin=""),d.href=r,document.head.appendChild(d),o)return new Promise((c,_)=>{d.addEventListener("load",c),d.addEventListener("error",()=>_(new Error(`Unable to preload CSS for ${r}`)))})})).then(()=>e()).catch(r=>{const o=new Event("vite:preloadError",{cancelable:!0});if(o.payload=r,window.dispatchEvent(o),!o.defaultPrevented)throw r})},ga="/assets/logo-023c77a1.png";var $w=typeof globalThis<"u"?globalThis:typeof window<"u"?window:typeof global<"u"?global:typeof self<"u"?self:{};function Ys(n){return n&&n.__esModule&&Object.prototype.hasOwnProperty.call(n,"default")?n.default:n}function $P(n){if(n.__esModule)return n;var e=n.default;if(typeof e=="function"){var t=function i(){return this instanceof i?Reflect.construct(e,arguments,this.constructor):e.apply(this,arguments)};t.prototype=e.prototype}else t={};return Object.defineProperty(t,"__esModule",{value:!0}),Object.keys(n).forEach(function(i){var s=Object.getOwnPropertyDescriptor(n,i);Object.defineProperty(t,i,s.get?s:{enumerable:!0,get:function(){return n[i]}})}),t}var Ww={exports:{}};(function(n,e){(function(i,s){n.exports=s()})(typeof self<"u"?self:$w,function(){return function(t){var i={};function s(r){if(i[r])return i[r].exports;var o=i[r]={i:r,l:!1,exports:{}};return t[r].call(o.exports,o,o.exports,s),o.l=!0,o.exports}return s.m=t,s.c=i,s.d=function(r,o,a){s.o(r,o)||Object.defineProperty(r,o,{configurable:!1,enumerable:!0,get:a})},s.r=function(r){Object.defineProperty(r,"__esModule",{value:!0})},s.n=function(r){var o=r&&r.__esModule?function(){return r.default}:function(){return r};return s.d(o,"a",o),o},s.o=function(r,o){return Object.prototype.hasOwnProperty.call(r,o)},s.p="",s(s.s=0)}({"./dist/icons.json":function(t){t.exports={activity:'<polyline points="22 12 18 12 15 21 9 3 6 12 2 12"></polyline>',airplay:'<path d="M5 17H4a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v10a2 2 0 0 1-2 2h-1"></path><polygon points="12 15 17 21 7 21 12 15"></polygon>',"alert-circle":'<circle cx="12" cy="12" r="10"></circle><line x1="12" y1="8" x2="12" y2="12"></line><line x1="12" y1="16" x2="12.01" y2="16"></line>',"alert-octagon":'<polygon points="7.86 2 16.14 2 22 7.86 22 16.14 16.14 22 7.86 22 2 16.14 2 7.86 7.86 2"></polygon><line x1="12" y1="8" x2="12" y2="12"></line><line x1="12" y1="16" x2="12.01" y2="16"></line>',"alert-triangle":'<path d="M10.29 3.86L1.82 18a2 2 0 0 0 1.71 3h16.94a2 2 0 0 0 1.71-3L13.71 3.86a2 2 0 0 0-3.42 0z"></path><line x1="12" y1="9" x2="12" y2="13"></line><line x1="12" y1="17" x2="12.01" y2="17"></line>',"align-center":'<line x1="18" y1="10" x2="6" y2="10"></line><line x1="21" y1="6" x2="3" y2="6"></line><line x1="21" y1="14" x2="3" y2="14"></line><line x1="18" y1="18" x2="6" y2="18"></line>',"align-justify":'<line x1="21" y1="10" x2="3" y2="10"></line><line x1="21" y1="6" x2="3" y2="6"></line><line x1="21" y1="14" x2="3" y2="14"></line><line x1="21" y1="18" x2="3" y2="18"></line>',"align-left":'<line x1="17" y1="10" x2="3" y2="10"></line><line x1="21" y1="6" x2="3" y2="6"></line><line x1="21" y1="14" x2="3" y2="14"></line><line x1="17" y1="18" x2="3" y2="18"></line>',"align-right":'<line x1="21" y1="10" x2="7" y2="10"></line><line x1="21" y1="6" x2="3" y2="6"></line><line x1="21" y1="14" x2="3" y2="14"></line><line x1="21" y1="18" x2="7" y2="18"></line>',anchor:'<circle cx="12" cy="5" r="3"></circle><line x1="12" y1="22" x2="12" y2="8"></line><path d="M5 12H2a10 10 0 0 0 20 0h-3"></path>',aperture:'<circle cx="12" cy="12" r="10"></circle><line x1="14.31" y1="8" x2="20.05" y2="17.94"></line><line x1="9.69" y1="8" x2="21.17" y2="8"></line><line x1="7.38" y1="12" x2="13.12" y2="2.06"></line><line x1="9.69" y1="16" x2="3.95" y2="6.06"></line><line x1="14.31" y1="16" x2="2.83" y2="16"></line><line x1="16.62" y1="12" x2="10.88" y2="21.94"></line>',archive:'<polyline points="21 8 21 21 3 21 3 8"></polyline><rect x="1" y="3" width="22" height="5"></rect><line x1="10" y1="12" x2="14" y2="12"></line>',"arrow-down-circle":'<circle cx="12" cy="12" r="10"></circle><polyline points="8 12 12 16 16 12"></polyline><line x1="12" y1="8" x2="12" y2="16"></line>',"arrow-down-left":'<line x1="17" y1="7" x2="7" y2="17"></line><polyline points="17 17 7 17 7 7"></polyline>',"arrow-down-right":'<line x1="7" y1="7" x2="17" y2="17"></line><polyline points="17 7 17 17 7 17"></polyline>',"arrow-down":'<line x1="12" y1="5" x2="12" y2="19"></line><polyline points="19 12 12 19 5 12"></polyline>',"arrow-left-circle":'<circle cx="12" cy="12" r="10"></circle><polyline points="12 8 8 12 12 16"></polyline><line x1="16" y1="12" x2="8" y2="12"></line>',"arrow-left":'<line x1="19" y1="12" x2="5" y2="12"></line><polyline points="12 19 5 12 12 5"></polyline>',"arrow-right-circle":'<circle cx="12" cy="12" r="10"></circle><polyline points="12 16 16 12 12 8"></polyline><line x1="8" y1="12" x2="16" y2="12"></line>',"arrow-right":'<line x1="5" y1="12" x2="19" y2="12"></line><polyline points="12 5 19 12 12 19"></polyline>',"arrow-up-circle":'<circle cx="12" cy="12" r="10"></circle><polyline points="16 12 12 8 8 12"></polyline><line x1="12" y1="16" x2="12" y2="8"></line>',"arrow-up-left":'<line x1="17" y1="17" x2="7" y2="7"></line><polyline points="7 17 7 7 17 7"></polyline>',"arrow-up-right":'<line x1="7" y1="17" x2="17" y2="7"></line><polyline points="7 7 17 7 17 17"></polyline>',"arrow-up":'<line x1="12" y1="19" x2="12" y2="5"></line><polyline points="5 12 12 5 19 12"></polyline>',"at-sign":'<circle cx="12" cy="12" r="4"></circle><path d="M16 8v5a3 3 0 0 0 6 0v-1a10 10 0 1 0-3.92 7.94"></path>',award:'<circle cx="12" cy="8" r="7"></circle><polyline points="8.21 13.89 7 23 12 20 17 23 15.79 13.88"></polyline>',"bar-chart-2":'<line x1="18" y1="20" x2="18" y2="10"></line><line x1="12" y1="20" x2="12" y2="4"></line><line x1="6" y1="20" x2="6" y2="14"></line>',"bar-chart":'<line x1="12" y1="20" x2="12" y2="10"></line><line x1="18" y1="20" x2="18" y2="4"></line><line x1="6" y1="20" x2="6" y2="16"></line>',"battery-charging":'<path d="M5 18H3a2 2 0 0 1-2-2V8a2 2 0 0 1 2-2h3.19M15 6h2a2 2 0 0 1 2 2v8a2 2 0 0 1-2 2h-3.19"></path><line x1="23" y1="13" x2="23" y2="11"></line><polyline points="11 6 7 12 13 12 9 18"></polyline>',battery:'<rect x="1" y="6" width="18" height="12" rx="2" ry="2"></rect><line x1="23" y1="13" x2="23" y2="11"></line>',"bell-off":'<path d="M13.73 21a2 2 0 0 1-3.46 0"></path><path d="M18.63 13A17.89 17.89 0 0 1 18 8"></path><path d="M6.26 6.26A5.86 5.86 0 0 0 6 8c0 7-3 9-3 9h14"></path><path d="M18 8a6 6 0 0 0-9.33-5"></path><line x1="1" y1="1" x2="23" y2="23"></line>',bell:'<path d="M18 8A6 6 0 0 0 6 8c0 7-3 9-3 9h18s-3-2-3-9"></path><path d="M13.73 21a2 2 0 0 1-3.46 0"></path>',bluetooth:'<polyline points="6.5 6.5 17.5 17.5 12 23 12 1 17.5 6.5 6.5 17.5"></polyline>',bold:'<path d="M6 4h8a4 4 0 0 1 4 4 4 4 0 0 1-4 4H6z"></path><path d="M6 12h9a4 4 0 0 1 4 4 4 4 0 0 1-4 4H6z"></path>',"book-open":'<path d="M2 3h6a4 4 0 0 1 4 4v14a3 3 0 0 0-3-3H2z"></path><path d="M22 3h-6a4 4 0 0 0-4 4v14a3 3 0 0 1 3-3h7z"></path>',book:'<path d="M4 19.5A2.5 2.5 0 0 1 6.5 17H20"></path><path d="M6.5 2H20v20H6.5A2.5 2.5 0 0 1 4 19.5v-15A2.5 2.5 0 0 1 6.5 2z"></path>',bookmark:'<path d="M19 21l-7-5-7 5V5a2 2 0 0 1 2-2h10a2 2 0 0 1 2 2z"></path>',box:'<path d="M21 16V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l7-4A2 2 0 0 0 21 16z"></path><polyline points="3.27 6.96 12 12.01 20.73 6.96"></polyline><line x1="12" y1="22.08" x2="12" y2="12"></line>',briefcase:'<rect x="2" y="7" width="20" height="14" rx="2" ry="2"></rect><path d="M16 21V5a2 2 0 0 0-2-2h-4a2 2 0 0 0-2 2v16"></path>',calendar:'<rect x="3" y="4" width="18" height="18" rx="2" ry="2"></rect><line x1="16" y1="2" x2="16" y2="6"></line><line x1="8" y1="2" x2="8" y2="6"></line><line x1="3" y1="10" x2="21" y2="10"></line>',"camera-off":'<line x1="1" y1="1" x2="23" y2="23"></line><path d="M21 21H3a2 2 0 0 1-2-2V8a2 2 0 0 1 2-2h3m3-3h6l2 3h4a2 2 0 0 1 2 2v9.34m-7.72-2.06a4 4 0 1 1-5.56-5.56"></path>',camera:'<path d="M23 19a2 2 0 0 1-2 2H3a2 2 0 0 1-2-2V8a2 2 0 0 1 2-2h4l2-3h6l2 3h4a2 2 0 0 1 2 2z"></path><circle cx="12" cy="13" r="4"></circle>',cast:'<path d="M2 16.1A5 5 0 0 1 5.9 20M2 12.05A9 9 0 0 1 9.95 20M2 8V6a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v12a2 2 0 0 1-2 2h-6"></path><line x1="2" y1="20" x2="2.01" y2="20"></line>',"check-circle":'<path d="M22 11.08V12a10 10 0 1 1-5.93-9.14"></path><polyline points="22 4 12 14.01 9 11.01"></polyline>',"check-square":'<polyline points="9 11 12 14 22 4"></polyline><path d="M21 12v7a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h11"></path>',check:'<polyline points="20 6 9 17 4 12"></polyline>',"chevron-down":'<polyline points="6 9 12 15 18 9"></polyline>',"chevron-left":'<polyline points="15 18 9 12 15 6"></polyline>',"chevron-right":'<polyline points="9 18 15 12 9 6"></polyline>',"chevron-up":'<polyline points="18 15 12 9 6 15"></polyline>',"chevrons-down":'<polyline points="7 13 12 18 17 13"></polyline><polyline points="7 6 12 11 17 6"></polyline>',"chevrons-left":'<polyline points="11 17 6 12 11 7"></polyline><polyline points="18 17 13 12 18 7"></polyline>',"chevrons-right":'<polyline points="13 17 18 12 13 7"></polyline><polyline points="6 17 11 12 6 7"></polyline>',"chevrons-up":'<polyline points="17 11 12 6 7 11"></polyline><polyline points="17 18 12 13 7 18"></polyline>',chrome:'<circle cx="12" cy="12" r="10"></circle><circle cx="12" cy="12" r="4"></circle><line x1="21.17" y1="8" x2="12" y2="8"></line><line x1="3.95" y1="6.06" x2="8.54" y2="14"></line><line x1="10.88" y1="21.94" x2="15.46" y2="14"></line>',circle:'<circle cx="12" cy="12" r="10"></circle>',clipboard:'<path d="M16 4h2a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2H6a2 2 0 0 1-2-2V6a2 2 0 0 1 2-2h2"></path><rect x="8" y="2" width="8" height="4" rx="1" ry="1"></rect>',clock:'<circle cx="12" cy="12" r="10"></circle><polyline points="12 6 12 12 16 14"></polyline>',"cloud-drizzle":'<line x1="8" y1="19" x2="8" y2="21"></line><line x1="8" y1="13" x2="8" y2="15"></line><line x1="16" y1="19" x2="16" y2="21"></line><line x1="16" y1="13" x2="16" y2="15"></line><line x1="12" y1="21" x2="12" y2="23"></line><line x1="12" y1="15" x2="12" y2="17"></line><path d="M20 16.58A5 5 0 0 0 18 7h-1.26A8 8 0 1 0 4 15.25"></path>',"cloud-lightning":'<path d="M19 16.9A5 5 0 0 0 18 7h-1.26a8 8 0 1 0-11.62 9"></path><polyline points="13 11 9 17 15 17 11 23"></polyline>',"cloud-off":'<path d="M22.61 16.95A5 5 0 0 0 18 10h-1.26a8 8 0 0 0-7.05-6M5 5a8 8 0 0 0 4 15h9a5 5 0 0 0 1.7-.3"></path><line x1="1" y1="1" x2="23" y2="23"></line>',"cloud-rain":'<line x1="16" y1="13" x2="16" y2="21"></line><line x1="8" y1="13" x2="8" y2="21"></line><line x1="12" y1="15" x2="12" y2="23"></line><path d="M20 16.58A5 5 0 0 0 18 7h-1.26A8 8 0 1 0 4 15.25"></path>',"cloud-snow":'<path d="M20 17.58A5 5 0 0 0 18 8h-1.26A8 8 0 1 0 4 16.25"></path><line x1="8" y1="16" x2="8.01" y2="16"></line><line x1="8" y1="20" x2="8.01" y2="20"></line><line x1="12" y1="18" x2="12.01" y2="18"></line><line x1="12" y1="22" x2="12.01" y2="22"></line><line x1="16" y1="16" x2="16.01" y2="16"></line><line x1="16" y1="20" x2="16.01" y2="20"></line>',cloud:'<path d="M18 10h-1.26A8 8 0 1 0 9 20h9a5 5 0 0 0 0-10z"></path>',code:'<polyline points="16 18 22 12 16 6"></polyline><polyline points="8 6 2 12 8 18"></polyline>',codepen:'<polygon points="12 2 22 8.5 22 15.5 12 22 2 15.5 2 8.5 12 2"></polygon><line x1="12" y1="22" x2="12" y2="15.5"></line><polyline points="22 8.5 12 15.5 2 8.5"></polyline><polyline points="2 15.5 12 8.5 22 15.5"></polyline><line x1="12" y1="2" x2="12" y2="8.5"></line>',codesandbox:'<path d="M21 16V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l7-4A2 2 0 0 0 21 16z"></path><polyline points="7.5 4.21 12 6.81 16.5 4.21"></polyline><polyline points="7.5 19.79 7.5 14.6 3 12"></polyline><polyline points="21 12 16.5 14.6 16.5 19.79"></polyline><polyline points="3.27 6.96 12 12.01 20.73 6.96"></polyline><line x1="12" y1="22.08" x2="12" y2="12"></line>',coffee:'<path d="M18 8h1a4 4 0 0 1 0 8h-1"></path><path d="M2 8h16v9a4 4 0 0 1-4 4H6a4 4 0 0 1-4-4V8z"></path><line x1="6" y1="1" x2="6" y2="4"></line><line x1="10" y1="1" x2="10" y2="4"></line><line x1="14" y1="1" x2="14" y2="4"></line>',columns:'<path d="M12 3h7a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2h-7m0-18H5a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h7m0-18v18"></path>',command:'<path d="M18 3a3 3 0 0 0-3 3v12a3 3 0 0 0 3 3 3 3 0 0 0 3-3 3 3 0 0 0-3-3H6a3 3 0 0 0-3 3 3 3 0 0 0 3 3 3 3 0 0 0 3-3V6a3 3 0 0 0-3-3 3 3 0 0 0-3 3 3 3 0 0 0 3 3h12a3 3 0 0 0 3-3 3 3 0 0 0-3-3z"></path>',compass:'<circle cx="12" cy="12" r="10"></circle><polygon points="16.24 7.76 14.12 14.12 7.76 16.24 9.88 9.88 16.24 7.76"></polygon>',copy:'<rect x="9" y="9" width="13" height="13" rx="2" ry="2"></rect><path d="M5 15H4a2 2 0 0 1-2-2V4a2 2 0 0 1 2-2h9a2 2 0 0 1 2 2v1"></path>',"corner-down-left":'<polyline points="9 10 4 15 9 20"></polyline><path d="M20 4v7a4 4 0 0 1-4 4H4"></path>',"corner-down-right":'<polyline points="15 10 20 15 15 20"></polyline><path d="M4 4v7a4 4 0 0 0 4 4h12"></path>',"corner-left-down":'<polyline points="14 15 9 20 4 15"></polyline><path d="M20 4h-7a4 4 0 0 0-4 4v12"></path>',"corner-left-up":'<polyline points="14 9 9 4 4 9"></polyline><path d="M20 20h-7a4 4 0 0 1-4-4V4"></path>',"corner-right-down":'<polyline points="10 15 15 20 20 15"></polyline><path d="M4 4h7a4 4 0 0 1 4 4v12"></path>',"corner-right-up":'<polyline points="10 9 15 4 20 9"></polyline><path d="M4 20h7a4 4 0 0 0 4-4V4"></path>',"corner-up-left":'<polyline points="9 14 4 9 9 4"></polyline><path d="M20 20v-7a4 4 0 0 0-4-4H4"></path>',"corner-up-right":'<polyline points="15 14 20 9 15 4"></polyline><path d="M4 20v-7a4 4 0 0 1 4-4h12"></path>',cpu:'<rect x="4" y="4" width="16" height="16" rx="2" ry="2"></rect><rect x="9" y="9" width="6" height="6"></rect><line x1="9" y1="1" x2="9" y2="4"></line><line x1="15" y1="1" x2="15" y2="4"></line><line x1="9" y1="20" x2="9" y2="23"></line><line x1="15" y1="20" x2="15" y2="23"></line><line x1="20" y1="9" x2="23" y2="9"></line><line x1="20" y1="14" x2="23" y2="14"></line><line x1="1" y1="9" x2="4" y2="9"></line><line x1="1" y1="14" x2="4" y2="14"></line>',"credit-card":'<rect x="1" y="4" width="22" height="16" rx="2" ry="2"></rect><line x1="1" y1="10" x2="23" y2="10"></line>',crop:'<path d="M6.13 1L6 16a2 2 0 0 0 2 2h15"></path><path d="M1 6.13L16 6a2 2 0 0 1 2 2v15"></path>',crosshair:'<circle cx="12" cy="12" r="10"></circle><line x1="22" y1="12" x2="18" y2="12"></line><line x1="6" y1="12" x2="2" y2="12"></line><line x1="12" y1="6" x2="12" y2="2"></line><line x1="12" y1="22" x2="12" y2="18"></line>',database:'<ellipse cx="12" cy="5" rx="9" ry="3"></ellipse><path d="M21 12c0 1.66-4 3-9 3s-9-1.34-9-3"></path><path d="M3 5v14c0 1.66 4 3 9 3s9-1.34 9-3V5"></path>',delete:'<path d="M21 4H8l-7 8 7 8h13a2 2 0 0 0 2-2V6a2 2 0 0 0-2-2z"></path><line x1="18" y1="9" x2="12" y2="15"></line><line x1="12" y1="9" x2="18" y2="15"></line>',disc:'<circle cx="12" cy="12" r="10"></circle><circle cx="12" cy="12" r="3"></circle>',"divide-circle":'<line x1="8" y1="12" x2="16" y2="12"></line><line x1="12" y1="16" x2="12" y2="16"></line><line x1="12" y1="8" x2="12" y2="8"></line><circle cx="12" cy="12" r="10"></circle>',"divide-square":'<rect x="3" y="3" width="18" height="18" rx="2" ry="2"></rect><line x1="8" y1="12" x2="16" y2="12"></line><line x1="12" y1="16" x2="12" y2="16"></line><line x1="12" y1="8" x2="12" y2="8"></line>',divide:'<circle cx="12" cy="6" r="2"></circle><line x1="5" y1="12" x2="19" y2="12"></line><circle cx="12" cy="18" r="2"></circle>',"dollar-sign":'<line x1="12" y1="1" x2="12" y2="23"></line><path d="M17 5H9.5a3.5 3.5 0 0 0 0 7h5a3.5 3.5 0 0 1 0 7H6"></path>',"download-cloud":'<polyline points="8 17 12 21 16 17"></polyline><line x1="12" y1="12" x2="12" y2="21"></line><path d="M20.88 18.09A5 5 0 0 0 18 9h-1.26A8 8 0 1 0 3 16.29"></path>',download:'<path d="M21 15v4a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2v-4"></path><polyline points="7 10 12 15 17 10"></polyline><line x1="12" y1="15" x2="12" y2="3"></line>',dribbble:'<circle cx="12" cy="12" r="10"></circle><path d="M8.56 2.75c4.37 6.03 6.02 9.42 8.03 17.72m2.54-15.38c-3.72 4.35-8.94 5.66-16.88 5.85m19.5 1.9c-3.5-.93-6.63-.82-8.94 0-2.58.92-5.01 2.86-7.44 6.32"></path>',droplet:'<path d="M12 2.69l5.66 5.66a8 8 0 1 1-11.31 0z"></path>',"edit-2":'<path d="M17 3a2.828 2.828 0 1 1 4 4L7.5 20.5 2 22l1.5-5.5L17 3z"></path>',"edit-3":'<path d="M12 20h9"></path><path d="M16.5 3.5a2.121 2.121 0 0 1 3 3L7 19l-4 1 1-4L16.5 3.5z"></path>',edit:'<path d="M11 4H4a2 2 0 0 0-2 2v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2v-7"></path><path d="M18.5 2.5a2.121 2.121 0 0 1 3 3L12 15l-4 1 1-4 9.5-9.5z"></path>',"external-link":'<path d="M18 13v6a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2V8a2 2 0 0 1 2-2h6"></path><polyline points="15 3 21 3 21 9"></polyline><line x1="10" y1="14" x2="21" y2="3"></line>',"eye-off":'<path d="M17.94 17.94A10.07 10.07 0 0 1 12 20c-7 0-11-8-11-8a18.45 18.45 0 0 1 5.06-5.94M9.9 4.24A9.12 9.12 0 0 1 12 4c7 0 11 8 11 8a18.5 18.5 0 0 1-2.16 3.19m-6.72-1.07a3 3 0 1 1-4.24-4.24"></path><line x1="1" y1="1" x2="23" y2="23"></line>',eye:'<path d="M1 12s4-8 11-8 11 8 11 8-4 8-11 8-11-8-11-8z"></path><circle cx="12" cy="12" r="3"></circle>',facebook:'<path d="M18 2h-3a5 5 0 0 0-5 5v3H7v4h3v8h4v-8h3l1-4h-4V7a1 1 0 0 1 1-1h3z"></path>',"fast-forward":'<polygon points="13 19 22 12 13 5 13 19"></polygon><polygon points="2 19 11 12 2 5 2 19"></polygon>',feather:'<path d="M20.24 12.24a6 6 0 0 0-8.49-8.49L5 10.5V19h8.5z"></path><line x1="16" y1="8" x2="2" y2="22"></line><line x1="17.5" y1="15" x2="9" y2="15"></line>',figma:'<path d="M5 5.5A3.5 3.5 0 0 1 8.5 2H12v7H8.5A3.5 3.5 0 0 1 5 5.5z"></path><path d="M12 2h3.5a3.5 3.5 0 1 1 0 7H12V2z"></path><path d="M12 12.5a3.5 3.5 0 1 1 7 0 3.5 3.5 0 1 1-7 0z"></path><path d="M5 19.5A3.5 3.5 0 0 1 8.5 16H12v3.5a3.5 3.5 0 1 1-7 0z"></path><path d="M5 12.5A3.5 3.5 0 0 1 8.5 9H12v7H8.5A3.5 3.5 0 0 1 5 12.5z"></path>',"file-minus":'<path d="M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8z"></path><polyline points="14 2 14 8 20 8"></polyline><line x1="9" y1="15" x2="15" y2="15"></line>',"file-plus":'<path d="M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8z"></path><polyline points="14 2 14 8 20 8"></polyline><line x1="12" y1="18" x2="12" y2="12"></line><line x1="9" y1="15" x2="15" y2="15"></line>',"file-text":'<path d="M14 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V8z"></path><polyline points="14 2 14 8 20 8"></polyline><line x1="16" y1="13" x2="8" y2="13"></line><line x1="16" y1="17" x2="8" y2="17"></line><polyline points="10 9 9 9 8 9"></polyline>',file:'<path d="M13 2H6a2 2 0 0 0-2 2v16a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2V9z"></path><polyline points="13 2 13 9 20 9"></polyline>',film:'<rect x="2" y="2" width="20" height="20" rx="2.18" ry="2.18"></rect><line x1="7" y1="2" x2="7" y2="22"></line><line x1="17" y1="2" x2="17" y2="22"></line><line x1="2" y1="12" x2="22" y2="12"></line><line x1="2" y1="7" x2="7" y2="7"></line><line x1="2" y1="17" x2="7" y2="17"></line><line x1="17" y1="17" x2="22" y2="17"></line><line x1="17" y1="7" x2="22" y2="7"></line>',filter:'<polygon points="22 3 2 3 10 12.46 10 19 14 21 14 12.46 22 3"></polygon>',flag:'<path d="M4 15s1-1 4-1 5 2 8 2 4-1 4-1V3s-1 1-4 1-5-2-8-2-4 1-4 1z"></path><line x1="4" y1="22" x2="4" y2="15"></line>',"folder-minus":'<path d="M22 19a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h5l2 3h9a2 2 0 0 1 2 2z"></path><line x1="9" y1="14" x2="15" y2="14"></line>',"folder-plus":'<path d="M22 19a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h5l2 3h9a2 2 0 0 1 2 2z"></path><line x1="12" y1="11" x2="12" y2="17"></line><line x1="9" y1="14" x2="15" y2="14"></line>',folder:'<path d="M22 19a2 2 0 0 1-2 2H4a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h5l2 3h9a2 2 0 0 1 2 2z"></path>',framer:'<path d="M5 16V9h14V2H5l14 14h-7m-7 0l7 7v-7m-7 0h7"></path>',frown:'<circle cx="12" cy="12" r="10"></circle><path d="M16 16s-1.5-2-4-2-4 2-4 2"></path><line x1="9" y1="9" x2="9.01" y2="9"></line><line x1="15" y1="9" x2="15.01" y2="9"></line>',gift:'<polyline points="20 12 20 22 4 22 4 12"></polyline><rect x="2" y="7" width="20" height="5"></rect><line x1="12" y1="22" x2="12" y2="7"></line><path d="M12 7H7.5a2.5 2.5 0 0 1 0-5C11 2 12 7 12 7z"></path><path d="M12 7h4.5a2.5 2.5 0 0 0 0-5C13 2 12 7 12 7z"></path>',"git-branch":'<line x1="6" y1="3" x2="6" y2="15"></line><circle cx="18" cy="6" r="3"></circle><circle cx="6" cy="18" r="3"></circle><path d="M18 9a9 9 0 0 1-9 9"></path>',"git-commit":'<circle cx="12" cy="12" r="4"></circle><line x1="1.05" y1="12" x2="7" y2="12"></line><line x1="17.01" y1="12" x2="22.96" y2="12"></line>',"git-merge":'<circle cx="18" cy="18" r="3"></circle><circle cx="6" cy="6" r="3"></circle><path d="M6 21V9a9 9 0 0 0 9 9"></path>',"git-pull-request":'<circle cx="18" cy="18" r="3"></circle><circle cx="6" cy="6" r="3"></circle><path d="M13 6h3a2 2 0 0 1 2 2v7"></path><line x1="6" y1="9" x2="6" y2="21"></line>',github:'<path d="M9 19c-5 1.5-5-2.5-7-3m14 6v-3.87a3.37 3.37 0 0 0-.94-2.61c3.14-.35 6.44-1.54 6.44-7A5.44 5.44 0 0 0 20 4.77 5.07 5.07 0 0 0 19.91 1S18.73.65 16 2.48a13.38 13.38 0 0 0-7 0C6.27.65 5.09 1 5.09 1A5.07 5.07 0 0 0 5 4.77a5.44 5.44 0 0 0-1.5 3.78c0 5.42 3.3 6.61 6.44 7A3.37 3.37 0 0 0 9 18.13V22"></path>',gitlab:'<path d="M22.65 14.39L12 22.13 1.35 14.39a.84.84 0 0 1-.3-.94l1.22-3.78 2.44-7.51A.42.42 0 0 1 4.82 2a.43.43 0 0 1 .58 0 .42.42 0 0 1 .11.18l2.44 7.49h8.1l2.44-7.51A.42.42 0 0 1 18.6 2a.43.43 0 0 1 .58 0 .42.42 0 0 1 .11.18l2.44 7.51L23 13.45a.84.84 0 0 1-.35.94z"></path>',globe:'<circle cx="12" cy="12" r="10"></circle><line x1="2" y1="12" x2="22" y2="12"></line><path d="M12 2a15.3 15.3 0 0 1 4 10 15.3 15.3 0 0 1-4 10 15.3 15.3 0 0 1-4-10 15.3 15.3 0 0 1 4-10z"></path>',grid:'<rect x="3" y="3" width="7" height="7"></rect><rect x="14" y="3" width="7" height="7"></rect><rect x="14" y="14" width="7" height="7"></rect><rect x="3" y="14" width="7" height="7"></rect>',"hard-drive":'<line x1="22" y1="12" x2="2" y2="12"></line><path d="M5.45 5.11L2 12v6a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-6l-3.45-6.89A2 2 0 0 0 16.76 4H7.24a2 2 0 0 0-1.79 1.11z"></path><line x1="6" y1="16" x2="6.01" y2="16"></line><line x1="10" y1="16" x2="10.01" y2="16"></line>',hash:'<line x1="4" y1="9" x2="20" y2="9"></line><line x1="4" y1="15" x2="20" y2="15"></line><line x1="10" y1="3" x2="8" y2="21"></line><line x1="16" y1="3" x2="14" y2="21"></line>',headphones:'<path d="M3 18v-6a9 9 0 0 1 18 0v6"></path><path d="M21 19a2 2 0 0 1-2 2h-1a2 2 0 0 1-2-2v-3a2 2 0 0 1 2-2h3zM3 19a2 2 0 0 0 2 2h1a2 2 0 0 0 2-2v-3a2 2 0 0 0-2-2H3z"></path>',heart:'<path d="M20.84 4.61a5.5 5.5 0 0 0-7.78 0L12 5.67l-1.06-1.06a5.5 5.5 0 0 0-7.78 7.78l1.06 1.06L12 21.23l7.78-7.78 1.06-1.06a5.5 5.5 0 0 0 0-7.78z"></path>',"help-circle":'<circle cx="12" cy="12" r="10"></circle><path d="M9.09 9a3 3 0 0 1 5.83 1c0 2-3 3-3 3"></path><line x1="12" y1="17" x2="12.01" y2="17"></line>',hexagon:'<path d="M21 16V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l7-4A2 2 0 0 0 21 16z"></path>',home:'<path d="M3 9l9-7 9 7v11a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2z"></path><polyline points="9 22 9 12 15 12 15 22"></polyline>',image:'<rect x="3" y="3" width="18" height="18" rx="2" ry="2"></rect><circle cx="8.5" cy="8.5" r="1.5"></circle><polyline points="21 15 16 10 5 21"></polyline>',inbox:'<polyline points="22 12 16 12 14 15 10 15 8 12 2 12"></polyline><path d="M5.45 5.11L2 12v6a2 2 0 0 0 2 2h16a2 2 0 0 0 2-2v-6l-3.45-6.89A2 2 0 0 0 16.76 4H7.24a2 2 0 0 0-1.79 1.11z"></path>',info:'<circle cx="12" cy="12" r="10"></circle><line x1="12" y1="16" x2="12" y2="12"></line><line x1="12" y1="8" x2="12.01" y2="8"></line>',instagram:'<rect x="2" y="2" width="20" height="20" rx="5" ry="5"></rect><path d="M16 11.37A4 4 0 1 1 12.63 8 4 4 0 0 1 16 11.37z"></path><line x1="17.5" y1="6.5" x2="17.51" y2="6.5"></line>',italic:'<line x1="19" y1="4" x2="10" y2="4"></line><line x1="14" y1="20" x2="5" y2="20"></line><line x1="15" y1="4" x2="9" y2="20"></line>',key:'<path d="M21 2l-2 2m-7.61 7.61a5.5 5.5 0 1 1-7.778 7.778 5.5 5.5 0 0 1 7.777-7.777zm0 0L15.5 7.5m0 0l3 3L22 7l-3-3m-3.5 3.5L19 4"></path>',layers:'<polygon points="12 2 2 7 12 12 22 7 12 2"></polygon><polyline points="2 17 12 22 22 17"></polyline><polyline points="2 12 12 17 22 12"></polyline>',layout:'<rect x="3" y="3" width="18" height="18" rx="2" ry="2"></rect><line x1="3" y1="9" x2="21" y2="9"></line><line x1="9" y1="21" x2="9" y2="9"></line>',"life-buoy":'<circle cx="12" cy="12" r="10"></circle><circle cx="12" cy="12" r="4"></circle><line x1="4.93" y1="4.93" x2="9.17" y2="9.17"></line><line x1="14.83" y1="14.83" x2="19.07" y2="19.07"></line><line x1="14.83" y1="9.17" x2="19.07" y2="4.93"></line><line x1="14.83" y1="9.17" x2="18.36" y2="5.64"></line><line x1="4.93" y1="19.07" x2="9.17" y2="14.83"></line>',"link-2":'<path d="M15 7h3a5 5 0 0 1 5 5 5 5 0 0 1-5 5h-3m-6 0H6a5 5 0 0 1-5-5 5 5 0 0 1 5-5h3"></path><line x1="8" y1="12" x2="16" y2="12"></line>',link:'<path d="M10 13a5 5 0 0 0 7.54.54l3-3a5 5 0 0 0-7.07-7.07l-1.72 1.71"></path><path d="M14 11a5 5 0 0 0-7.54-.54l-3 3a5 5 0 0 0 7.07 7.07l1.71-1.71"></path>',linkedin:'<path d="M16 8a6 6 0 0 1 6 6v7h-4v-7a2 2 0 0 0-2-2 2 2 0 0 0-2 2v7h-4v-7a6 6 0 0 1 6-6z"></path><rect x="2" y="9" width="4" height="12"></rect><circle cx="4" cy="4" r="2"></circle>',list:'<line x1="8" y1="6" x2="21" y2="6"></line><line x1="8" y1="12" x2="21" y2="12"></line><line x1="8" y1="18" x2="21" y2="18"></line><line x1="3" y1="6" x2="3.01" y2="6"></line><line x1="3" y1="12" x2="3.01" y2="12"></line><line x1="3" y1="18" x2="3.01" y2="18"></line>',loader:'<line x1="12" y1="2" x2="12" y2="6"></line><line x1="12" y1="18" x2="12" y2="22"></line><line x1="4.93" y1="4.93" x2="7.76" y2="7.76"></line><line x1="16.24" y1="16.24" x2="19.07" y2="19.07"></line><line x1="2" y1="12" x2="6" y2="12"></line><line x1="18" y1="12" x2="22" y2="12"></line><line x1="4.93" y1="19.07" x2="7.76" y2="16.24"></line><line x1="16.24" y1="7.76" x2="19.07" y2="4.93"></line>',lock:'<rect x="3" y="11" width="18" height="11" rx="2" ry="2"></rect><path d="M7 11V7a5 5 0 0 1 10 0v4"></path>',"log-in":'<path d="M15 3h4a2 2 0 0 1 2 2v14a2 2 0 0 1-2 2h-4"></path><polyline points="10 17 15 12 10 7"></polyline><line x1="15" y1="12" x2="3" y2="12"></line>',"log-out":'<path d="M9 21H5a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h4"></path><polyline points="16 17 21 12 16 7"></polyline><line x1="21" y1="12" x2="9" y2="12"></line>',mail:'<path d="M4 4h16c1.1 0 2 .9 2 2v12c0 1.1-.9 2-2 2H4c-1.1 0-2-.9-2-2V6c0-1.1.9-2 2-2z"></path><polyline points="22,6 12,13 2,6"></polyline>',"map-pin":'<path d="M21 10c0 7-9 13-9 13s-9-6-9-13a9 9 0 0 1 18 0z"></path><circle cx="12" cy="10" r="3"></circle>',map:'<polygon points="1 6 1 22 8 18 16 22 23 18 23 2 16 6 8 2 1 6"></polygon><line x1="8" y1="2" x2="8" y2="18"></line><line x1="16" y1="6" x2="16" y2="22"></line>',"maximize-2":'<polyline points="15 3 21 3 21 9"></polyline><polyline points="9 21 3 21 3 15"></polyline><line x1="21" y1="3" x2="14" y2="10"></line><line x1="3" y1="21" x2="10" y2="14"></line>',maximize:'<path d="M8 3H5a2 2 0 0 0-2 2v3m18 0V5a2 2 0 0 0-2-2h-3m0 18h3a2 2 0 0 0 2-2v-3M3 16v3a2 2 0 0 0 2 2h3"></path>',meh:'<circle cx="12" cy="12" r="10"></circle><line x1="8" y1="15" x2="16" y2="15"></line><line x1="9" y1="9" x2="9.01" y2="9"></line><line x1="15" y1="9" x2="15.01" y2="9"></line>',menu:'<line x1="3" y1="12" x2="21" y2="12"></line><line x1="3" y1="6" x2="21" y2="6"></line><line x1="3" y1="18" x2="21" y2="18"></line>',"message-circle":'<path d="M21 11.5a8.38 8.38 0 0 1-.9 3.8 8.5 8.5 0 0 1-7.6 4.7 8.38 8.38 0 0 1-3.8-.9L3 21l1.9-5.7a8.38 8.38 0 0 1-.9-3.8 8.5 8.5 0 0 1 4.7-7.6 8.38 8.38 0 0 1 3.8-.9h.5a8.48 8.48 0 0 1 8 8v.5z"></path>',"message-square":'<path d="M21 15a2 2 0 0 1-2 2H7l-4 4V5a2 2 0 0 1 2-2h14a2 2 0 0 1 2 2z"></path>',"mic-off":'<line x1="1" y1="1" x2="23" y2="23"></line><path d="M9 9v3a3 3 0 0 0 5.12 2.12M15 9.34V4a3 3 0 0 0-5.94-.6"></path><path d="M17 16.95A7 7 0 0 1 5 12v-2m14 0v2a7 7 0 0 1-.11 1.23"></path><line x1="12" y1="19" x2="12" y2="23"></line><line x1="8" y1="23" x2="16" y2="23"></line>',mic:'<path d="M12 1a3 3 0 0 0-3 3v8a3 3 0 0 0 6 0V4a3 3 0 0 0-3-3z"></path><path d="M19 10v2a7 7 0 0 1-14 0v-2"></path><line x1="12" y1="19" x2="12" y2="23"></line><line x1="8" y1="23" x2="16" y2="23"></line>',"minimize-2":'<polyline points="4 14 10 14 10 20"></polyline><polyline points="20 10 14 10 14 4"></polyline><line x1="14" y1="10" x2="21" y2="3"></line><line x1="3" y1="21" x2="10" y2="14"></line>',minimize:'<path d="M8 3v3a2 2 0 0 1-2 2H3m18 0h-3a2 2 0 0 1-2-2V3m0 18v-3a2 2 0 0 1 2-2h3M3 16h3a2 2 0 0 1 2 2v3"></path>',"minus-circle":'<circle cx="12" cy="12" r="10"></circle><line x1="8" y1="12" x2="16" y2="12"></line>',"minus-square":'<rect x="3" y="3" width="18" height="18" rx="2" ry="2"></rect><line x1="8" y1="12" x2="16" y2="12"></line>',minus:'<line x1="5" y1="12" x2="19" y2="12"></line>',monitor:'<rect x="2" y="3" width="20" height="14" rx="2" ry="2"></rect><line x1="8" y1="21" x2="16" y2="21"></line><line x1="12" y1="17" x2="12" y2="21"></line>',moon:'<path d="M21 12.79A9 9 0 1 1 11.21 3 7 7 0 0 0 21 12.79z"></path>',"more-horizontal":'<circle cx="12" cy="12" r="1"></circle><circle cx="19" cy="12" r="1"></circle><circle cx="5" cy="12" r="1"></circle>',"more-vertical":'<circle cx="12" cy="12" r="1"></circle><circle cx="12" cy="5" r="1"></circle><circle cx="12" cy="19" r="1"></circle>',"mouse-pointer":'<path d="M3 3l7.07 16.97 2.51-7.39 7.39-2.51L3 3z"></path><path d="M13 13l6 6"></path>',move:'<polyline points="5 9 2 12 5 15"></polyline><polyline points="9 5 12 2 15 5"></polyline><polyline points="15 19 12 22 9 19"></polyline><polyline points="19 9 22 12 19 15"></polyline><line x1="2" y1="12" x2="22" y2="12"></line><line x1="12" y1="2" x2="12" y2="22"></line>',music:'<path d="M9 18V5l12-2v13"></path><circle cx="6" cy="18" r="3"></circle><circle cx="18" cy="16" r="3"></circle>',"navigation-2":'<polygon points="12 2 19 21 12 17 5 21 12 2"></polygon>',navigation:'<polygon points="3 11 22 2 13 21 11 13 3 11"></polygon>',octagon:'<polygon points="7.86 2 16.14 2 22 7.86 22 16.14 16.14 22 7.86 22 2 16.14 2 7.86 7.86 2"></polygon>',package:'<line x1="16.5" y1="9.4" x2="7.5" y2="4.21"></line><path d="M21 16V8a2 2 0 0 0-1-1.73l-7-4a2 2 0 0 0-2 0l-7 4A2 2 0 0 0 3 8v8a2 2 0 0 0 1 1.73l7 4a2 2 0 0 0 2 0l7-4A2 2 0 0 0 21 16z"></path><polyline points="3.27 6.96 12 12.01 20.73 6.96"></polyline><line x1="12" y1="22.08" x2="12" y2="12"></line>',paperclip:'<path d="M21.44 11.05l-9.19 9.19a6 6 0 0 1-8.49-8.49l9.19-9.19a4 4 0 0 1 5.66 5.66l-9.2 9.19a2 2 0 0 1-2.83-2.83l8.49-8.48"></path>',"pause-circle":'<circle cx="12" cy="12" r="10"></circle><line x1="10" y1="15" x2="10" y2="9"></line><line x1="14" y1="15" x2="14" y2="9"></line>',pause:'<rect x="6" y="4" width="4" height="16"></rect><rect x="14" y="4" width="4" height="16"></rect>',"pen-tool":'<path d="M12 19l7-7 3 3-7 7-3-3z"></path><path d="M18 13l-1.5-7.5L2 2l3.5 14.5L13 18l5-5z"></path><path d="M2 2l7.586 7.586"></path><circle cx="11" cy="11" r="2"></circle>',percent:'<line x1="19" y1="5" x2="5" y2="19"></line><circle cx="6.5" cy="6.5" r="2.5"></circle><circle cx="17.5" cy="17.5" r="2.5"></circle>',"phone-call":'<path d="M15.05 5A5 5 0 0 1 19 8.95M15.05 1A9 9 0 0 1 23 8.94m-1 7.98v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z"></path>',"phone-forwarded":'<polyline points="19 1 23 5 19 9"></polyline><line x1="15" y1="5" x2="23" y2="5"></line><path d="M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z"></path>',"phone-incoming":'<polyline points="16 2 16 8 22 8"></polyline><line x1="23" y1="1" x2="16" y2="8"></line><path d="M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z"></path>',"phone-missed":'<line x1="23" y1="1" x2="17" y2="7"></line><line x1="17" y1="1" x2="23" y2="7"></line><path d="M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z"></path>',"phone-off":'<path d="M10.68 13.31a16 16 0 0 0 3.41 2.6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7 2 2 0 0 1 1.72 2v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.42 19.42 0 0 1-3.33-2.67m-2.67-3.34a19.79 19.79 0 0 1-3.07-8.63A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91"></path><line x1="23" y1="1" x2="1" y2="23"></line>',"phone-outgoing":'<polyline points="23 7 23 1 17 1"></polyline><line x1="16" y1="8" x2="23" y2="1"></line><path d="M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z"></path>',phone:'<path d="M22 16.92v3a2 2 0 0 1-2.18 2 19.79 19.79 0 0 1-8.63-3.07 19.5 19.5 0 0 1-6-6 19.79 19.79 0 0 1-3.07-8.67A2 2 0 0 1 4.11 2h3a2 2 0 0 1 2 1.72 12.84 12.84 0 0 0 .7 2.81 2 2 0 0 1-.45 2.11L8.09 9.91a16 16 0 0 0 6 6l1.27-1.27a2 2 0 0 1 2.11-.45 12.84 12.84 0 0 0 2.81.7A2 2 0 0 1 22 16.92z"></path>',"pie-chart":'<path d="M21.21 15.89A10 10 0 1 1 8 2.83"></path><path d="M22 12A10 10 0 0 0 12 2v10z"></path>',"play-circle":'<circle cx="12" cy="12" r="10"></circle><polygon points="10 8 16 12 10 16 10 8"></polygon>',play:'<polygon points="5 3 19 12 5 21 5 3"></polygon>',"plus-circle":'<circle cx="12" cy="12" r="10"></circle><line x1="12" y1="8" x2="12" y2="16"></line><line x1="8" y1="12" x2="16" y2="12"></line>',"plus-square":'<rect x="3" y="3" width="18" height="18" rx="2" ry="2"></rect><line x1="12" y1="8" x2="12" y2="16"></line><line x1="8" y1="12" x2="16" y2="12"></line>',plus:'<line x1="12" y1="5" x2="12" y2="19"></line><line x1="5" y1="12" x2="19" y2="12"></line>',pocket:'<path d="M4 3h16a2 2 0 0 1 2 2v6a10 10 0 0 1-10 10A10 10 0 0 1 2 11V5a2 2 0 0 1 2-2z"></path><polyline points="8 10 12 14 16 10"></polyline>',power:'<path d="M18.36 6.64a9 9 0 1 1-12.73 0"></path><line x1="12" y1="2" x2="12" y2="12"></line>',printer:'<polyline points="6 9 6 2 18 2 18 9"></polyline><path d="M6 18H4a2 2 0 0 1-2-2v-5a2 2 0 0 1 2-2h16a2 2 0 0 1 2 2v5a2 2 0 0 1-2 2h-2"></path><rect x="6" y="14" width="12" height="8"></rect>',radio:'<circle cx="12" cy="12" r="2"></circle><path d="M16.24 7.76a6 6 0 0 1 0 8.49m-8.48-.01a6 6 0 0 1 0-8.49m11.31-2.82a10 10 0 0 1 0 14.14m-14.14 0a10 10 0 0 1 0-14.14"></path>',"refresh-ccw":'<polyline points="1 4 1 10 7 10"></polyline><polyline points="23 20 23 14 17 14"></polyline><path d="M20.49 9A9 9 0 0 0 5.64 5.64L1 10m22 4l-4.64 4.36A9 9 0 0 1 3.51 15"></path>',"refresh-cw":'<polyline points="23 4 23 10 17 10"></polyline><polyline points="1 20 1 14 7 14"></polyline><path d="M3.51 9a9 9 0 0 1 14.85-3.36L23 10M1 14l4.64 4.36A9 9 0 0 0 20.49 15"></path>',repeat:'<polyline points="17 1 21 5 17 9"></polyline><path d="M3 11V9a4 4 0 0 1 4-4h14"></path><polyline points="7 23 3 19 7 15"></polyline><path d="M21 13v2a4 4 0 0 1-4 4H3"></path>',rewind:'<polygon points="11 19 2 12 11 5 11 19"></polygon><polygon points="22 19 13 12 22 5 22 19"></polygon>',"rotate-ccw":'<polyline points="1 4 1 10 7 10"></polyline><path d="M3.51 15a9 9 0 1 0 2.13-9.36L1 10"></path>',"rotate-cw":'<polyline points="23 4 23 10 17 10"></polyline><path d="M20.49 15a9 9 0 1 1-2.12-9.36L23 10"></path>',rss:'<path d="M4 11a9 9 0 0 1 9 9"></path><path d="M4 4a16 16 0 0 1 16 16"></path><circle cx="5" cy="19" r="1"></circle>',save:'<path d="M19 21H5a2 2 0 0 1-2-2V5a2 2 0 0 1 2-2h11l5 5v11a2 2 0 0 1-2 2z"></path><polyline points="17 21 17 13 7 13 7 21"></polyline><polyline points="7 3 7 8 15 8"></polyline>',scissors:'<circle cx="6" cy="6" r="3"></circle><circle cx="6" cy="18" r="3"></circle><line x1="20" y1="4" x2="8.12" y2="15.88"></line><line x1="14.47" y1="14.48" x2="20" y2="20"></line><line x1="8.12" y1="8.12" x2="12" y2="12"></line>',search:'<circle cx="11" cy="11" r="8"></circle><line x1="21" y1="21" x2="16.65" y2="16.65"></line>',send:'<line x1="22" y1="2" x2="11" y2="13"></line><polygon points="22 2 15 22 11 13 2 9 22 2"></polygon>',server:'<rect x="2" y="2" width="20" height="8" rx="2" ry="2"></rect><rect x="2" y="14" width="20" height="8" rx="2" ry="2"></rect><line x1="6" y1="6" x2="6.01" y2="6"></line><line x1="6" y1="18" x2="6.01" y2="18"></line>',settings:'<circle cx="12" cy="12" r="3"></circle><path d="M19.4 15a1.65 1.65 0 0 0 .33 1.82l.06.06a2 2 0 0 1 0 2.83 2 2 0 0 1-2.83 0l-.06-.06a1.65 1.65 0 0 0-1.82-.33 1.65 1.65 0 0 0-1 1.51V21a2 2 0 0 1-2 2 2 2 0 0 1-2-2v-.09A1.65 1.65 0 0 0 9 19.4a1.65 1.65 0 0 0-1.82.33l-.06.06a2 2 0 0 1-2.83 0 2 2 0 0 1 0-2.83l.06-.06a1.65 1.65 0 0 0 .33-1.82 1.65 1.65 0 0 0-1.51-1H3a2 2 0 0 1-2-2 2 2 0 0 1 2-2h.09A1.65 1.65 0 0 0 4.6 9a1.65 1.65 0 0 0-.33-1.82l-.06-.06a2 2 0 0 1 0-2.83 2 2 0 0 1 2.83 0l.06.06a1.65 1.65 0 0 0 1.82.33H9a1.65 1.65 0 0 0 1-1.51V3a2 2 0 0 1 2-2 2 2 0 0 1 2 2v.09a1.65 1.65 0 0 0 1 1.51 1.65 1.65 0 0 0 1.82-.33l.06-.06a2 2 0 0 1 2.83 0 2 2 0 0 1 0 2.83l-.06.06a1.65 1.65 0 0 0-.33 1.82V9a1.65 1.65 0 0 0 1.51 1H21a2 2 0 0 1 2 2 2 2 0 0 1-2 2h-.09a1.65 1.65 0 0 0-1.51 1z"></path>',"share-2":'<circle cx="18" cy="5" r="3"></circle><circle cx="6" cy="12" r="3"></circle><circle cx="18" cy="19" r="3"></circle><line x1="8.59" y1="13.51" x2="15.42" y2="17.49"></line><line x1="15.41" y1="6.51" x2="8.59" y2="10.49"></line>',share:'<path d="M4 12v8a2 2 0 0 0 2 2h12a2 2 0 0 0 2-2v-8"></path><polyline points="16 6 12 2 8 6"></polyline><line x1="12" y1="2" x2="12" y2="15"></line>',"shield-off":'<path d="M19.69 14a6.9 6.9 0 0 0 .31-2V5l-8-3-3.16 1.18"></path><path d="M4.73 4.73L4 5v7c0 6 8 10 8 10a20.29 20.29 0 0 0 5.62-4.38"></path><line x1="1" y1="1" x2="23" y2="23"></line>',shield:'<path d="M12 22s8-4 8-10V5l-8-3-8 3v7c0 6 8 10 8 10z"></path>',"shopping-bag":'<path d="M6 2L3 6v14a2 2 0 0 0 2 2h14a2 2 0 0 0 2-2V6l-3-4z"></path><line x1="3" y1="6" x2="21" y2="6"></line><path d="M16 10a4 4 0 0 1-8 0"></path>',"shopping-cart":'<circle cx="9" cy="21" r="1"></circle><circle cx="20" cy="21" r="1"></circle><path d="M1 1h4l2.68 13.39a2 2 0 0 0 2 1.61h9.72a2 2 0 0 0 2-1.61L23 6H6"></path>',shuffle:'<polyline points="16 3 21 3 21 8"></polyline><line x1="4" y1="20" x2="21" y2="3"></line><polyline points="21 16 21 21 16 21"></polyline><line x1="15" y1="15" x2="21" y2="21"></line><line x1="4" y1="4" x2="9" y2="9"></line>',sidebar:'<rect x="3" y="3" width="18" height="18" rx="2" ry="2"></rect><line x1="9" y1="3" x2="9" y2="21"></line>',"skip-back":'<polygon points="19 20 9 12 19 4 19 20"></polygon><line x1="5" y1="19" x2="5" y2="5"></line>',"skip-forward":'<polygon points="5 4 15 12 5 20 5 4"></polygon><line x1="19" y1="5" x2="19" y2="19"></line>',slack:'<path d="M14.5 10c-.83 0-1.5-.67-1.5-1.5v-5c0-.83.67-1.5 1.5-1.5s1.5.67 1.5 1.5v5c0 .83-.67 1.5-1.5 1.5z"></path><path d="M20.5 10H19V8.5c0-.83.67-1.5 1.5-1.5s1.5.67 1.5 1.5-.67 1.5-1.5 1.5z"></path><path d="M9.5 14c.83 0 1.5.67 1.5 1.5v5c0 .83-.67 1.5-1.5 1.5S8 21.33 8 20.5v-5c0-.83.67-1.5 1.5-1.5z"></path><path d="M3.5 14H5v1.5c0 .83-.67 1.5-1.5 1.5S2 16.33 2 15.5 2.67 14 3.5 14z"></path><path d="M14 14.5c0-.83.67-1.5 1.5-1.5h5c.83 0 1.5.67 1.5 1.5s-.67 1.5-1.5 1.5h-5c-.83 0-1.5-.67-1.5-1.5z"></path><path d="M15.5 19H14v1.5c0 .83.67 1.5 1.5 1.5s1.5-.67 1.5-1.5-.67-1.5-1.5-1.5z"></path><path d="M10 9.5C10 8.67 9.33 8 8.5 8h-5C2.67 8 2 8.67 2 9.5S2.67 11 3.5 11h5c.83 0 1.5-.67 1.5-1.5z"></path><path d="M8.5 5H10V3.5C10 2.67 9.33 2 8.5 2S7 2.67 7 3.5 7.67 5 8.5 5z"></path>',slash:'<circle cx="12" cy="12" r="10"></circle><line x1="4.93" y1="4.93" x2="19.07" y2="19.07"></line>',sliders:'<line x1="4" y1="21" x2="4" y2="14"></line><line x1="4" y1="10" x2="4" y2="3"></line><line x1="12" y1="21" x2="12" y2="12"></line><line x1="12" y1="8" x2="12" y2="3"></line><line x1="20" y1="21" x2="20" y2="16"></line><line x1="20" y1="12" x2="20" y2="3"></line><line x1="1" y1="14" x2="7" y2="14"></line><line x1="9" y1="8" x2="15" y2="8"></line><line x1="17" y1="16" x2="23" y2="16"></line>',smartphone:'<rect x="5" y="2" width="14" height="20" rx="2" ry="2"></rect><line x1="12" y1="18" x2="12.01" y2="18"></line>',smile:'<circle cx="12" cy="12" r="10"></circle><path d="M8 14s1.5 2 4 2 4-2 4-2"></path><line x1="9" y1="9" x2="9.01" y2="9"></line><line x1="15" y1="9" x2="15.01" y2="9"></line>',speaker:'<rect x="4" y="2" width="16" height="20" rx="2" ry="2"></rect><circle cx="12" cy="14" r="4"></circle><line x1="12" y1="6" x2="12.01" y2="6"></line>',square:'<rect x="3" y="3" width="18" height="18" rx="2" ry="2"></rect>',star:'<polygon points="12 2 15.09 8.26 22 9.27 17 14.14 18.18 21.02 12 17.77 5.82 21.02 7 14.14 2 9.27 8.91 8.26 12 2"></polygon>',"stop-circle":'<circle cx="12" cy="12" r="10"></circle><rect x="9" y="9" width="6" height="6"></rect>',sun:'<circle cx="12" cy="12" r="5"></circle><line x1="12" y1="1" x2="12" y2="3"></line><line x1="12" y1="21" x2="12" y2="23"></line><line x1="4.22" y1="4.22" x2="5.64" y2="5.64"></line><line x1="18.36" y1="18.36" x2="19.78" y2="19.78"></line><line x1="1" y1="12" x2="3" y2="12"></line><line x1="21" y1="12" x2="23" y2="12"></line><line x1="4.22" y1="19.78" x2="5.64" y2="18.36"></line><line x1="18.36" y1="5.64" x2="19.78" y2="4.22"></line>',sunrise:'<path d="M17 18a5 5 0 0 0-10 0"></path><line x1="12" y1="2" x2="12" y2="9"></line><line x1="4.22" y1="10.22" x2="5.64" y2="11.64"></line><line x1="1" y1="18" x2="3" y2="18"></line><line x1="21" y1="18" x2="23" y2="18"></line><line x1="18.36" y1="11.64" x2="19.78" y2="10.22"></line><line x1="23" y1="22" x2="1" y2="22"></line><polyline points="8 6 12 2 16 6"></polyline>',sunset:'<path d="M17 18a5 5 0 0 0-10 0"></path><line x1="12" y1="9" x2="12" y2="2"></line><line x1="4.22" y1="10.22" x2="5.64" y2="11.64"></line><line x1="1" y1="18" x2="3" y2="18"></line><line x1="21" y1="18" x2="23" y2="18"></line><line x1="18.36" y1="11.64" x2="19.78" y2="10.22"></line><line x1="23" y1="22" x2="1" y2="22"></line><polyline points="16 5 12 9 8 5"></polyline>',table:'<path d="M9 3H5a2 2 0 0 0-2 2v4m6-6h10a2 2 0 0 1 2 2v4M9 3v18m0 0h10a2 2 0 0 0 2-2V9M9 21H5a2 2 0 0 1-2-2V9m0 0h18"></path>',tablet:'<rect x="4" y="2" width="16" height="20" rx="2" ry="2"></rect><line x1="12" y1="18" x2="12.01" y2="18"></line>',tag:'<path d="M20.59 13.41l-7.17 7.17a2 2 0 0 1-2.83 0L2 12V2h10l8.59 8.59a2 2 0 0 1 0 2.82z"></path><line x1="7" y1="7" x2="7.01" y2="7"></line>',target:'<circle cx="12" cy="12" r="10"></circle><circle cx="12" cy="12" r="6"></circle><circle cx="12" cy="12" r="2"></circle>',terminal:'<polyline points="4 17 10 11 4 5"></polyline><line x1="12" y1="19" x2="20" y2="19"></line>',thermometer:'<path d="M14 14.76V3.5a2.5 2.5 0 0 0-5 0v11.26a4.5 4.5 0 1 0 5 0z"></path>',"thumbs-down":'<path d="M10 15v4a3 3 0 0 0 3 3l4-9V2H5.72a2 2 0 0 0-2 1.7l-1.38 9a2 2 0 0 0 2 2.3zm7-13h2.67A2.31 2.31 0 0 1 22 4v7a2.31 2.31 0 0 1-2.33 2H17"></path>',"thumbs-up":'<path d="M14 9V5a3 3 0 0 0-3-3l-4 9v11h11.28a2 2 0 0 0 2-1.7l1.38-9a2 2 0 0 0-2-2.3zM7 22H4a2 2 0 0 1-2-2v-7a2 2 0 0 1 2-2h3"></path>',"toggle-left":'<rect x="1" y="5" width="22" height="14" rx="7" ry="7"></rect><circle cx="8" cy="12" r="3"></circle>',"toggle-right":'<rect x="1" y="5" width="22" height="14" rx="7" ry="7"></rect><circle cx="16" cy="12" r="3"></circle>',tool:'<path d="M14.7 6.3a1 1 0 0 0 0 1.4l1.6 1.6a1 1 0 0 0 1.4 0l3.77-3.77a6 6 0 0 1-7.94 7.94l-6.91 6.91a2.12 2.12 0 0 1-3-3l6.91-6.91a6 6 0 0 1 7.94-7.94l-3.76 3.76z"></path>',"trash-2":'<polyline points="3 6 5 6 21 6"></polyline><path d="M19 6v14a2 2 0 0 1-2 2H7a2 2 0 0 1-2-2V6m3 0V4a2 2 0 0 1 2-2h4a2 2 0 0 1 2 2v2"></path><line x1="10" y1="11" x2="10" y2="17"></line><line x1="14" y1="11" x2="14" y2="17"></line>',trash:'<polyline points="3 6 5 6 21 6"></polyline><path d="M19 6v14a2 2 0 0 1-2 2H7a2 2 0 0 1-2-2V6m3 0V4a2 2 0 0 1 2-2h4a2 2 0 0 1 2 2v2"></path>',trello:'<rect x="3" y="3" width="18" height="18" rx="2" ry="2"></rect><rect x="7" y="7" width="3" height="9"></rect><rect x="14" y="7" width="3" height="5"></rect>',"trending-down":'<polyline points="23 18 13.5 8.5 8.5 13.5 1 6"></polyline><polyline points="17 18 23 18 23 12"></polyline>',"trending-up":'<polyline points="23 6 13.5 15.5 8.5 10.5 1 18"></polyline><polyline points="17 6 23 6 23 12"></polyline>',triangle:'<path d="M10.29 3.86L1.82 18a2 2 0 0 0 1.71 3h16.94a2 2 0 0 0 1.71-3L13.71 3.86a2 2 0 0 0-3.42 0z"></path>',truck:'<rect x="1" y="3" width="15" height="13"></rect><polygon points="16 8 20 8 23 11 23 16 16 16 16 8"></polygon><circle cx="5.5" cy="18.5" r="2.5"></circle><circle cx="18.5" cy="18.5" r="2.5"></circle>',tv:'<rect x="2" y="7" width="20" height="15" rx="2" ry="2"></rect><polyline points="17 2 12 7 7 2"></polyline>',twitch:'<path d="M21 2H3v16h5v4l4-4h5l4-4V2zM11 11V7M16 11V7"></path>',twitter:'<path d="M23 3a10.9 10.9 0 0 1-3.14 1.53 4.48 4.48 0 0 0-7.86 3v1A10.66 10.66 0 0 1 3 4s-4 9 5 13a11.64 11.64 0 0 1-7 2c9 5 20 0 20-11.5a4.5 4.5 0 0 0-.08-.83A7.72 7.72 0 0 0 23 3z"></path>',type:'<polyline points="4 7 4 4 20 4 20 7"></polyline><line x1="9" y1="20" x2="15" y2="20"></line><line x1="12" y1="4" x2="12" y2="20"></line>',umbrella:'<path d="M23 12a11.05 11.05 0 0 0-22 0zm-5 7a3 3 0 0 1-6 0v-7"></path>',underline:'<path d="M6 3v7a6 6 0 0 0 6 6 6 6 0 0 0 6-6V3"></path><line x1="4" y1="21" x2="20" y2="21"></line>',unlock:'<rect x="3" y="11" width="18" height="11" rx="2" ry="2"></rect><path d="M7 11V7a5 5 0 0 1 9.9-1"></path>',"upload-cloud":'<polyline points="16 16 12 12 8 16"></polyline><line x1="12" y1="12" x2="12" y2="21"></line><path d="M20.39 18.39A5 5 0 0 0 18 9h-1.26A8 8 0 1 0 3 16.3"></path><polyline points="16 16 12 12 8 16"></polyline>',upload:'<path d="M21 15v4a2 2 0 0 1-2 2H5a2 2 0 0 1-2-2v-4"></path><polyline points="17 8 12 3 7 8"></polyline><line x1="12" y1="3" x2="12" y2="15"></line>',"user-check":'<path d="M16 21v-2a4 4 0 0 0-4-4H5a4 4 0 0 0-4 4v2"></path><circle cx="8.5" cy="7" r="4"></circle><polyline points="17 11 19 13 23 9"></polyline>',"user-minus":'<path d="M16 21v-2a4 4 0 0 0-4-4H5a4 4 0 0 0-4 4v2"></path><circle cx="8.5" cy="7" r="4"></circle><line x1="23" y1="11" x2="17" y2="11"></line>',"user-plus":'<path d="M16 21v-2a4 4 0 0 0-4-4H5a4 4 0 0 0-4 4v2"></path><circle cx="8.5" cy="7" r="4"></circle><line x1="20" y1="8" x2="20" y2="14"></line><line x1="23" y1="11" x2="17" y2="11"></line>',"user-x":'<path d="M16 21v-2a4 4 0 0 0-4-4H5a4 4 0 0 0-4 4v2"></path><circle cx="8.5" cy="7" r="4"></circle><line x1="18" y1="8" x2="23" y2="13"></line><line x1="23" y1="8" x2="18" y2="13"></line>',user:'<path d="M20 21v-2a4 4 0 0 0-4-4H8a4 4 0 0 0-4 4v2"></path><circle cx="12" cy="7" r="4"></circle>',users:'<path d="M17 21v-2a4 4 0 0 0-4-4H5a4 4 0 0 0-4 4v2"></path><circle cx="9" cy="7" r="4"></circle><path d="M23 21v-2a4 4 0 0 0-3-3.87"></path><path d="M16 3.13a4 4 0 0 1 0 7.75"></path>',"video-off":'<path d="M16 16v1a2 2 0 0 1-2 2H3a2 2 0 0 1-2-2V7a2 2 0 0 1 2-2h2m5.66 0H14a2 2 0 0 1 2 2v3.34l1 1L23 7v10"></path><line x1="1" y1="1" x2="23" y2="23"></line>',video:'<polygon points="23 7 16 12 23 17 23 7"></polygon><rect x="1" y="5" width="15" height="14" rx="2" ry="2"></rect>',voicemail:'<circle cx="5.5" cy="11.5" r="4.5"></circle><circle cx="18.5" cy="11.5" r="4.5"></circle><line x1="5.5" y1="16" x2="18.5" y2="16"></line>',"volume-1":'<polygon points="11 5 6 9 2 9 2 15 6 15 11 19 11 5"></polygon><path d="M15.54 8.46a5 5 0 0 1 0 7.07"></path>',"volume-2":'<polygon points="11 5 6 9 2 9 2 15 6 15 11 19 11 5"></polygon><path d="M19.07 4.93a10 10 0 0 1 0 14.14M15.54 8.46a5 5 0 0 1 0 7.07"></path>',"volume-x":'<polygon points="11 5 6 9 2 9 2 15 6 15 11 19 11 5"></polygon><line x1="23" y1="9" x2="17" y2="15"></line><line x1="17" y1="9" x2="23" y2="15"></line>',volume:'<polygon points="11 5 6 9 2 9 2 15 6 15 11 19 11 5"></polygon>',watch:'<circle cx="12" cy="12" r="7"></circle><polyline points="12 9 12 12 13.5 13.5"></polyline><path d="M16.51 17.35l-.35 3.83a2 2 0 0 1-2 1.82H9.83a2 2 0 0 1-2-1.82l-.35-3.83m.01-10.7l.35-3.83A2 2 0 0 1 9.83 1h4.35a2 2 0 0 1 2 1.82l.35 3.83"></path>',"wifi-off":'<line x1="1" y1="1" x2="23" y2="23"></line><path d="M16.72 11.06A10.94 10.94 0 0 1 19 12.55"></path><path d="M5 12.55a10.94 10.94 0 0 1 5.17-2.39"></path><path d="M10.71 5.05A16 16 0 0 1 22.58 9"></path><path d="M1.42 9a15.91 15.91 0 0 1 4.7-2.88"></path><path d="M8.53 16.11a6 6 0 0 1 6.95 0"></path><line x1="12" y1="20" x2="12.01" y2="20"></line>',wifi:'<path d="M5 12.55a11 11 0 0 1 14.08 0"></path><path d="M1.42 9a16 16 0 0 1 21.16 0"></path><path d="M8.53 16.11a6 6 0 0 1 6.95 0"></path><line x1="12" y1="20" x2="12.01" y2="20"></line>',wind:'<path d="M9.59 4.59A2 2 0 1 1 11 8H2m10.59 11.41A2 2 0 1 0 14 16H2m15.73-8.27A2.5 2.5 0 1 1 19.5 12H2"></path>',"x-circle":'<circle cx="12" cy="12" r="10"></circle><line x1="15" y1="9" x2="9" y2="15"></line><line x1="9" y1="9" x2="15" y2="15"></line>',"x-octagon":'<polygon points="7.86 2 16.14 2 22 7.86 22 16.14 16.14 22 7.86 22 2 16.14 2 7.86 7.86 2"></polygon><line x1="15" y1="9" x2="9" y2="15"></line><line x1="9" y1="9" x2="15" y2="15"></line>',"x-square":'<rect x="3" y="3" width="18" height="18" rx="2" ry="2"></rect><line x1="9" y1="9" x2="15" y2="15"></line><line x1="15" y1="9" x2="9" y2="15"></line>',x:'<line x1="18" y1="6" x2="6" y2="18"></line><line x1="6" y1="6" x2="18" y2="18"></line>',youtube:'<path d="M22.54 6.42a2.78 2.78 0 0 0-1.94-2C18.88 4 12 4 12 4s-6.88 0-8.6.46a2.78 2.78 0 0 0-1.94 2A29 29 0 0 0 1 11.75a29 29 0 0 0 .46 5.33A2.78 2.78 0 0 0 3.4 19c1.72.46 8.6.46 8.6.46s6.88 0 8.6-.46a2.78 2.78 0 0 0 1.94-2 29 29 0 0 0 .46-5.25 29 29 0 0 0-.46-5.33z"></path><polygon points="9.75 15.02 15.5 11.75 9.75 8.48 9.75 15.02"></polygon>',"zap-off":'<polyline points="12.41 6.75 13 2 10.57 4.92"></polyline><polyline points="18.57 12.91 21 10 15.66 10"></polyline><polyline points="8 8 3 14 12 14 11 22 16 16"></polyline><line x1="1" y1="1" x2="23" y2="23"></line>',zap:'<polygon points="13 2 3 14 12 14 11 22 21 10 12 10 13 2"></polygon>',"zoom-in":'<circle cx="11" cy="11" r="8"></circle><line x1="21" y1="21" x2="16.65" y2="16.65"></line><line x1="11" y1="8" x2="11" y2="14"></line><line x1="8" y1="11" x2="14" y2="11"></line>',"zoom-out":'<circle cx="11" cy="11" r="8"></circle><line x1="21" y1="21" x2="16.65" y2="16.65"></line><line x1="8" y1="11" x2="14" y2="11"></line>'}},"./node_modules/classnames/dedupe.js":function(t,i,s){var r,o;/*!
Copyright (c) 2016 Jed Watson.
Licensed under the MIT License (MIT), see
http://jedwatson.github.io/classnames
*/(function(){var a=function(){function l(){}l.prototype=Object.create(null);function d(g,v){for(var y=v.length,T=0;T<y;++T)E(g,v[T])}var c={}.hasOwnProperty;function _(g,v){g[v]=!0}function f(g,v){for(var y in v)c.call(v,y)&&(g[y]=!!v[y])}var m=/\s+/;function h(g,v){for(var y=v.split(m),T=y.length,C=0;C<T;++C)g[y[C]]=!0}function E(g,v){if(v){var y=typeof v;y==="string"?h(g,v):Array.isArray(v)?d(g,v):y==="object"?f(g,v):y==="number"&&_(g,v)}}function b(){for(var g=arguments.length,v=Array(g),y=0;y<g;y++)v[y]=arguments[y];var T=new l;d(T,v);var C=[];for(var x in T)T[x]&&C.push(x);return C.join(" ")}return b}();typeof t<"u"&&t.exports?t.exports=a:(r=[],o=(function(){return a}).apply(i,r),o!==void 0&&(t.exports=o))})()},"./node_modules/core-js/es/array/from.js":function(t,i,s){s("./node_modules/core-js/modules/es.string.iterator.js"),s("./node_modules/core-js/modules/es.array.from.js");var r=s("./node_modules/core-js/internals/path.js");t.exports=r.Array.from},"./node_modules/core-js/internals/a-function.js":function(t,i){t.exports=function(s){if(typeof s!="function")throw TypeError(String(s)+" is not a function");return s}},"./node_modules/core-js/internals/an-object.js":function(t,i,s){var r=s("./node_modules/core-js/internals/is-object.js");t.exports=function(o){if(!r(o))throw TypeError(String(o)+" is not an object");return o}},"./node_modules/core-js/internals/array-from.js":function(t,i,s){var r=s("./node_modules/core-js/internals/bind-context.js"),o=s("./node_modules/core-js/internals/to-object.js"),a=s("./node_modules/core-js/internals/call-with-safe-iteration-closing.js"),l=s("./node_modules/core-js/internals/is-array-iterator-method.js"),d=s("./node_modules/core-js/internals/to-length.js"),c=s("./node_modules/core-js/internals/create-property.js"),_=s("./node_modules/core-js/internals/get-iterator-method.js");t.exports=function(m){var h=o(m),E=typeof this=="function"?this:Array,b=arguments.length,g=b>1?arguments[1]:void 0,v=g!==void 0,y=0,T=_(h),C,x,O,R;if(v&&(g=r(g,b>2?arguments[2]:void 0,2)),T!=null&&!(E==Array&&l(T)))for(R=T.call(h),x=new E;!(O=R.next()).done;y++)c(x,y,v?a(R,g,[O.value,y],!0):O.value);else for(C=d(h.length),x=new E(C);C>y;y++)c(x,y,v?g(h[y],y):h[y]);return x.length=y,x}},"./node_modules/core-js/internals/array-includes.js":function(t,i,s){var r=s("./node_modules/core-js/internals/to-indexed-object.js"),o=s("./node_modules/core-js/internals/to-length.js"),a=s("./node_modules/core-js/internals/to-absolute-index.js");t.exports=function(l){return function(d,c,_){var f=r(d),m=o(f.length),h=a(_,m),E;if(l&&c!=c){for(;m>h;)if(E=f[h++],E!=E)return!0}else for(;m>h;h++)if((l||h in f)&&f[h]===c)return l||h||0;return!l&&-1}}},"./node_modules/core-js/internals/bind-context.js":function(t,i,s){var r=s("./node_modules/core-js/internals/a-function.js");t.exports=function(o,a,l){if(r(o),a===void 0)return o;switch(l){case 0:return function(){return o.call(a)};case 1:return function(d){return o.call(a,d)};case 2:return function(d,c){return o.call(a,d,c)};case 3:return function(d,c,_){return o.call(a,d,c,_)}}return function(){return o.apply(a,arguments)}}},"./node_modules/core-js/internals/call-with-safe-iteration-closing.js":function(t,i,s){var r=s("./node_modules/core-js/internals/an-object.js");t.exports=function(o,a,l,d){try{return d?a(r(l)[0],l[1]):a(l)}catch(_){var c=o.return;throw c!==void 0&&r(c.call(o)),_}}},"./node_modules/core-js/internals/check-correctness-of-iteration.js":function(t,i,s){var r=s("./node_modules/core-js/internals/well-known-symbol.js"),o=r("iterator"),a=!1;try{var l=0,d={next:function(){return{done:!!l++}},return:function(){a=!0}};d[o]=function(){return this},Array.from(d,function(){throw 2})}catch{}t.exports=function(c,_){if(!_&&!a)return!1;var f=!1;try{var m={};m[o]=function(){return{next:function(){return{done:f=!0}}}},c(m)}catch{}return f}},"./node_modules/core-js/internals/classof-raw.js":function(t,i){var s={}.toString;t.exports=function(r){return s.call(r).slice(8,-1)}},"./node_modules/core-js/internals/classof.js":function(t,i,s){var r=s("./node_modules/core-js/internals/classof-raw.js"),o=s("./node_modules/core-js/internals/well-known-symbol.js"),a=o("toStringTag"),l=r(function(){return arguments}())=="Arguments",d=function(c,_){try{return c[_]}catch{}};t.exports=function(c){var _,f,m;return c===void 0?"Undefined":c===null?"Null":typeof(f=d(_=Object(c),a))=="string"?f:l?r(_):(m=r(_))=="Object"&&typeof _.callee=="function"?"Arguments":m}},"./node_modules/core-js/internals/copy-constructor-properties.js":function(t,i,s){var r=s("./node_modules/core-js/internals/has.js"),o=s("./node_modules/core-js/internals/own-keys.js"),a=s("./node_modules/core-js/internals/object-get-own-property-descriptor.js"),l=s("./node_modules/core-js/internals/object-define-property.js");t.exports=function(d,c){for(var _=o(c),f=l.f,m=a.f,h=0;h<_.length;h++){var E=_[h];r(d,E)||f(d,E,m(c,E))}}},"./node_modules/core-js/internals/correct-prototype-getter.js":function(t,i,s){var r=s("./node_modules/core-js/internals/fails.js");t.exports=!r(function(){function o(){}return o.prototype.constructor=null,Object.getPrototypeOf(new o)!==o.prototype})},"./node_modules/core-js/internals/create-iterator-constructor.js":function(t,i,s){var r=s("./node_modules/core-js/internals/iterators-core.js").IteratorPrototype,o=s("./node_modules/core-js/internals/object-create.js"),a=s("./node_modules/core-js/internals/create-property-descriptor.js"),l=s("./node_modules/core-js/internals/set-to-string-tag.js"),d=s("./node_modules/core-js/internals/iterators.js"),c=function(){return this};t.exports=function(_,f,m){var h=f+" Iterator";return _.prototype=o(r,{next:a(1,m)}),l(_,h,!1,!0),d[h]=c,_}},"./node_modules/core-js/internals/create-property-descriptor.js":function(t,i){t.exports=function(s,r){return{enumerable:!(s&1),configurable:!(s&2),writable:!(s&4),value:r}}},"./node_modules/core-js/internals/create-property.js":function(t,i,s){var r=s("./node_modules/core-js/internals/to-primitive.js"),o=s("./node_modules/core-js/internals/object-define-property.js"),a=s("./node_modules/core-js/internals/create-property-descriptor.js");t.exports=function(l,d,c){var _=r(d);_ in l?o.f(l,_,a(0,c)):l[_]=c}},"./node_modules/core-js/internals/define-iterator.js":function(t,i,s){var r=s("./node_modules/core-js/internals/export.js"),o=s("./node_modules/core-js/internals/create-iterator-constructor.js"),a=s("./node_modules/core-js/internals/object-get-prototype-of.js"),l=s("./node_modules/core-js/internals/object-set-prototype-of.js"),d=s("./node_modules/core-js/internals/set-to-string-tag.js"),c=s("./node_modules/core-js/internals/hide.js"),_=s("./node_modules/core-js/internals/redefine.js"),f=s("./node_modules/core-js/internals/well-known-symbol.js"),m=s("./node_modules/core-js/internals/is-pure.js"),h=s("./node_modules/core-js/internals/iterators.js"),E=s("./node_modules/core-js/internals/iterators-core.js"),b=E.IteratorPrototype,g=E.BUGGY_SAFARI_ITERATORS,v=f("iterator"),y="keys",T="values",C="entries",x=function(){return this};t.exports=function(O,R,S,A,U,F,K){o(S,R,A);var L=function(fe){if(fe===U&&Y)return Y;if(!g&&fe in P)return P[fe];switch(fe){case y:return function(){return new S(this,fe)};case T:return function(){return new S(this,fe)};case C:return function(){return new S(this,fe)}}return function(){return new S(this)}},H=R+" Iterator",G=!1,P=O.prototype,j=P[v]||P["@@iterator"]||U&&P[U],Y=!g&&j||L(U),Q=R=="Array"&&P.entries||j,re,te,Z;if(Q&&(re=a(Q.call(new O)),b!==Object.prototype&&re.next&&(!m&&a(re)!==b&&(l?l(re,b):typeof re[v]!="function"&&c(re,v,x)),d(re,H,!0,!0),m&&(h[H]=x))),U==T&&j&&j.name!==T&&(G=!0,Y=function(){return j.call(this)}),(!m||K)&&P[v]!==Y&&c(P,v,Y),h[R]=Y,U)if(te={values:L(T),keys:F?Y:L(y),entries:L(C)},K)for(Z in te)(g||G||!(Z in P))&&_(P,Z,te[Z]);else r({target:R,proto:!0,forced:g||G},te);return te}},"./node_modules/core-js/internals/descriptors.js":function(t,i,s){var r=s("./node_modules/core-js/internals/fails.js");t.exports=!r(function(){return Object.defineProperty({},"a",{get:function(){return 7}}).a!=7})},"./node_modules/core-js/internals/document-create-element.js":function(t,i,s){var r=s("./node_modules/core-js/internals/global.js"),o=s("./node_modules/core-js/internals/is-object.js"),a=r.document,l=o(a)&&o(a.createElement);t.exports=function(d){return l?a.createElement(d):{}}},"./node_modules/core-js/internals/enum-bug-keys.js":function(t,i){t.exports=["constructor","hasOwnProperty","isPrototypeOf","propertyIsEnumerable","toLocaleString","toString","valueOf"]},"./node_modules/core-js/internals/export.js":function(t,i,s){var r=s("./node_modules/core-js/internals/global.js"),o=s("./node_modules/core-js/internals/object-get-own-property-descriptor.js").f,a=s("./node_modules/core-js/internals/hide.js"),l=s("./node_modules/core-js/internals/redefine.js"),d=s("./node_modules/core-js/internals/set-global.js"),c=s("./node_modules/core-js/internals/copy-constructor-properties.js"),_=s("./node_modules/core-js/internals/is-forced.js");t.exports=function(f,m){var h=f.target,E=f.global,b=f.stat,g,v,y,T,C,x;if(E?v=r:b?v=r[h]||d(h,{}):v=(r[h]||{}).prototype,v)for(y in m){if(C=m[y],f.noTargetGet?(x=o(v,y),T=x&&x.value):T=v[y],g=_(E?y:h+(b?".":"#")+y,f.forced),!g&&T!==void 0){if(typeof C==typeof T)continue;c(C,T)}(f.sham||T&&T.sham)&&a(C,"sham",!0),l(v,y,C,f)}}},"./node_modules/core-js/internals/fails.js":function(t,i){t.exports=function(s){try{return!!s()}catch{return!0}}},"./node_modules/core-js/internals/function-to-string.js":function(t,i,s){var r=s("./node_modules/core-js/internals/shared.js");t.exports=r("native-function-to-string",Function.toString)},"./node_modules/core-js/internals/get-iterator-method.js":function(t,i,s){var r=s("./node_modules/core-js/internals/classof.js"),o=s("./node_modules/core-js/internals/iterators.js"),a=s("./node_modules/core-js/internals/well-known-symbol.js"),l=a("iterator");t.exports=function(d){if(d!=null)return d[l]||d["@@iterator"]||o[r(d)]}},"./node_modules/core-js/internals/global.js":function(t,i,s){(function(r){var o="object",a=function(l){return l&&l.Math==Math&&l};t.exports=a(typeof globalThis==o&&globalThis)||a(typeof window==o&&window)||a(typeof self==o&&self)||a(typeof r==o&&r)||Function("return this")()}).call(this,s("./node_modules/webpack/buildin/global.js"))},"./node_modules/core-js/internals/has.js":function(t,i){var s={}.hasOwnProperty;t.exports=function(r,o){return s.call(r,o)}},"./node_modules/core-js/internals/hidden-keys.js":function(t,i){t.exports={}},"./node_modules/core-js/internals/hide.js":function(t,i,s){var r=s("./node_modules/core-js/internals/descriptors.js"),o=s("./node_modules/core-js/internals/object-define-property.js"),a=s("./node_modules/core-js/internals/create-property-descriptor.js");t.exports=r?function(l,d,c){return o.f(l,d,a(1,c))}:function(l,d,c){return l[d]=c,l}},"./node_modules/core-js/internals/html.js":function(t,i,s){var r=s("./node_modules/core-js/internals/global.js"),o=r.document;t.exports=o&&o.documentElement},"./node_modules/core-js/internals/ie8-dom-define.js":function(t,i,s){var r=s("./node_modules/core-js/internals/descriptors.js"),o=s("./node_modules/core-js/internals/fails.js"),a=s("./node_modules/core-js/internals/document-create-element.js");t.exports=!r&&!o(function(){return Object.defineProperty(a("div"),"a",{get:function(){return 7}}).a!=7})},"./node_modules/core-js/internals/indexed-object.js":function(t,i,s){var r=s("./node_modules/core-js/internals/fails.js"),o=s("./node_modules/core-js/internals/classof-raw.js"),a="".split;t.exports=r(function(){return!Object("z").propertyIsEnumerable(0)})?function(l){return o(l)=="String"?a.call(l,""):Object(l)}:Object},"./node_modules/core-js/internals/internal-state.js":function(t,i,s){var r=s("./node_modules/core-js/internals/native-weak-map.js"),o=s("./node_modules/core-js/internals/global.js"),a=s("./node_modules/core-js/internals/is-object.js"),l=s("./node_modules/core-js/internals/hide.js"),d=s("./node_modules/core-js/internals/has.js"),c=s("./node_modules/core-js/internals/shared-key.js"),_=s("./node_modules/core-js/internals/hidden-keys.js"),f=o.WeakMap,m,h,E,b=function(O){return E(O)?h(O):m(O,{})},g=function(O){return function(R){var S;if(!a(R)||(S=h(R)).type!==O)throw TypeError("Incompatible receiver, "+O+" required");return S}};if(r){var v=new f,y=v.get,T=v.has,C=v.set;m=function(O,R){return C.call(v,O,R),R},h=function(O){return y.call(v,O)||{}},E=function(O){return T.call(v,O)}}else{var x=c("state");_[x]=!0,m=function(O,R){return l(O,x,R),R},h=function(O){return d(O,x)?O[x]:{}},E=function(O){return d(O,x)}}t.exports={set:m,get:h,has:E,enforce:b,getterFor:g}},"./node_modules/core-js/internals/is-array-iterator-method.js":function(t,i,s){var r=s("./node_modules/core-js/internals/well-known-symbol.js"),o=s("./node_modules/core-js/internals/iterators.js"),a=r("iterator"),l=Array.prototype;t.exports=function(d){return d!==void 0&&(o.Array===d||l[a]===d)}},"./node_modules/core-js/internals/is-forced.js":function(t,i,s){var r=s("./node_modules/core-js/internals/fails.js"),o=/#|\.prototype\./,a=function(f,m){var h=d[l(f)];return h==_?!0:h==c?!1:typeof m=="function"?r(m):!!m},l=a.normalize=function(f){return String(f).replace(o,".").toLowerCase()},d=a.data={},c=a.NATIVE="N",_=a.POLYFILL="P";t.exports=a},"./node_modules/core-js/internals/is-object.js":function(t,i){t.exports=function(s){return typeof s=="object"?s!==null:typeof s=="function"}},"./node_modules/core-js/internals/is-pure.js":function(t,i){t.exports=!1},"./node_modules/core-js/internals/iterators-core.js":function(t,i,s){var r=s("./node_modules/core-js/internals/object-get-prototype-of.js"),o=s("./node_modules/core-js/internals/hide.js"),a=s("./node_modules/core-js/internals/has.js"),l=s("./node_modules/core-js/internals/well-known-symbol.js"),d=s("./node_modules/core-js/internals/is-pure.js"),c=l("iterator"),_=!1,f=function(){return this},m,h,E;[].keys&&(E=[].keys(),"next"in E?(h=r(r(E)),h!==Object.prototype&&(m=h)):_=!0),m==null&&(m={}),!d&&!a(m,c)&&o(m,c,f),t.exports={IteratorPrototype:m,BUGGY_SAFARI_ITERATORS:_}},"./node_modules/core-js/internals/iterators.js":function(t,i){t.exports={}},"./node_modules/core-js/internals/native-symbol.js":function(t,i,s){var r=s("./node_modules/core-js/internals/fails.js");t.exports=!!Object.getOwnPropertySymbols&&!r(function(){return!String(Symbol())})},"./node_modules/core-js/internals/native-weak-map.js":function(t,i,s){var r=s("./node_modules/core-js/internals/global.js"),o=s("./node_modules/core-js/internals/function-to-string.js"),a=r.WeakMap;t.exports=typeof a=="function"&&/native code/.test(o.call(a))},"./node_modules/core-js/internals/object-create.js":function(t,i,s){var r=s("./node_modules/core-js/internals/an-object.js"),o=s("./node_modules/core-js/internals/object-define-properties.js"),a=s("./node_modules/core-js/internals/enum-bug-keys.js"),l=s("./node_modules/core-js/internals/hidden-keys.js"),d=s("./node_modules/core-js/internals/html.js"),c=s("./node_modules/core-js/internals/document-create-element.js"),_=s("./node_modules/core-js/internals/shared-key.js"),f=_("IE_PROTO"),m="prototype",h=function(){},E=function(){var b=c("iframe"),g=a.length,v="<",y="script",T=">",C="java"+y+":",x;for(b.style.display="none",d.appendChild(b),b.src=String(C),x=b.contentWindow.document,x.open(),x.write(v+y+T+"document.F=Object"+v+"/"+y+T),x.close(),E=x.F;g--;)delete E[m][a[g]];return E()};t.exports=Object.create||function(g,v){var y;return g!==null?(h[m]=r(g),y=new h,h[m]=null,y[f]=g):y=E(),v===void 0?y:o(y,v)},l[f]=!0},"./node_modules/core-js/internals/object-define-properties.js":function(t,i,s){var r=s("./node_modules/core-js/internals/descriptors.js"),o=s("./node_modules/core-js/internals/object-define-property.js"),a=s("./node_modules/core-js/internals/an-object.js"),l=s("./node_modules/core-js/internals/object-keys.js");t.exports=r?Object.defineProperties:function(c,_){a(c);for(var f=l(_),m=f.length,h=0,E;m>h;)o.f(c,E=f[h++],_[E]);return c}},"./node_modules/core-js/internals/object-define-property.js":function(t,i,s){var r=s("./node_modules/core-js/internals/descriptors.js"),o=s("./node_modules/core-js/internals/ie8-dom-define.js"),a=s("./node_modules/core-js/internals/an-object.js"),l=s("./node_modules/core-js/internals/to-primitive.js"),d=Object.defineProperty;i.f=r?d:function(_,f,m){if(a(_),f=l(f,!0),a(m),o)try{return d(_,f,m)}catch{}if("get"in m||"set"in m)throw TypeError("Accessors not supported");return"value"in m&&(_[f]=m.value),_}},"./node_modules/core-js/internals/object-get-own-property-descriptor.js":function(t,i,s){var r=s("./node_modules/core-js/internals/descriptors.js"),o=s("./node_modules/core-js/internals/object-property-is-enumerable.js"),a=s("./node_modules/core-js/internals/create-property-descriptor.js"),l=s("./node_modules/core-js/internals/to-indexed-object.js"),d=s("./node_modules/core-js/internals/to-primitive.js"),c=s("./node_modules/core-js/internals/has.js"),_=s("./node_modules/core-js/internals/ie8-dom-define.js"),f=Object.getOwnPropertyDescriptor;i.f=r?f:function(h,E){if(h=l(h),E=d(E,!0),_)try{return f(h,E)}catch{}if(c(h,E))return a(!o.f.call(h,E),h[E])}},"./node_modules/core-js/internals/object-get-own-property-names.js":function(t,i,s){var r=s("./node_modules/core-js/internals/object-keys-internal.js"),o=s("./node_modules/core-js/internals/enum-bug-keys.js"),a=o.concat("length","prototype");i.f=Object.getOwnPropertyNames||function(d){return r(d,a)}},"./node_modules/core-js/internals/object-get-own-property-symbols.js":function(t,i){i.f=Object.getOwnPropertySymbols},"./node_modules/core-js/internals/object-get-prototype-of.js":function(t,i,s){var r=s("./node_modules/core-js/internals/has.js"),o=s("./node_modules/core-js/internals/to-object.js"),a=s("./node_modules/core-js/internals/shared-key.js"),l=s("./node_modules/core-js/internals/correct-prototype-getter.js"),d=a("IE_PROTO"),c=Object.prototype;t.exports=l?Object.getPrototypeOf:function(_){return _=o(_),r(_,d)?_[d]:typeof _.constructor=="function"&&_ instanceof _.constructor?_.constructor.prototype:_ instanceof Object?c:null}},"./node_modules/core-js/internals/object-keys-internal.js":function(t,i,s){var r=s("./node_modules/core-js/internals/has.js"),o=s("./node_modules/core-js/internals/to-indexed-object.js"),a=s("./node_modules/core-js/internals/array-includes.js"),l=s("./node_modules/core-js/internals/hidden-keys.js"),d=a(!1);t.exports=function(c,_){var f=o(c),m=0,h=[],E;for(E in f)!r(l,E)&&r(f,E)&&h.push(E);for(;_.length>m;)r(f,E=_[m++])&&(~d(h,E)||h.push(E));return h}},"./node_modules/core-js/internals/object-keys.js":function(t,i,s){var r=s("./node_modules/core-js/internals/object-keys-internal.js"),o=s("./node_modules/core-js/internals/enum-bug-keys.js");t.exports=Object.keys||function(l){return r(l,o)}},"./node_modules/core-js/internals/object-property-is-enumerable.js":function(t,i,s){var r={}.propertyIsEnumerable,o=Object.getOwnPropertyDescriptor,a=o&&!r.call({1:2},1);i.f=a?function(d){var c=o(this,d);return!!c&&c.enumerable}:r},"./node_modules/core-js/internals/object-set-prototype-of.js":function(t,i,s){var r=s("./node_modules/core-js/internals/validate-set-prototype-of-arguments.js");t.exports=Object.setPrototypeOf||("__proto__"in{}?function(){var o=!1,a={},l;try{l=Object.getOwnPropertyDescriptor(Object.prototype,"__proto__").set,l.call(a,[]),o=a instanceof Array}catch{}return function(c,_){return r(c,_),o?l.call(c,_):c.__proto__=_,c}}():void 0)},"./node_modules/core-js/internals/own-keys.js":function(t,i,s){var r=s("./node_modules/core-js/internals/global.js"),o=s("./node_modules/core-js/internals/object-get-own-property-names.js"),a=s("./node_modules/core-js/internals/object-get-own-property-symbols.js"),l=s("./node_modules/core-js/internals/an-object.js"),d=r.Reflect;t.exports=d&&d.ownKeys||function(_){var f=o.f(l(_)),m=a.f;return m?f.concat(m(_)):f}},"./node_modules/core-js/internals/path.js":function(t,i,s){t.exports=s("./node_modules/core-js/internals/global.js")},"./node_modules/core-js/internals/redefine.js":function(t,i,s){var r=s("./node_modules/core-js/internals/global.js"),o=s("./node_modules/core-js/internals/shared.js"),a=s("./node_modules/core-js/internals/hide.js"),l=s("./node_modules/core-js/internals/has.js"),d=s("./node_modules/core-js/internals/set-global.js"),c=s("./node_modules/core-js/internals/function-to-string.js"),_=s("./node_modules/core-js/internals/internal-state.js"),f=_.get,m=_.enforce,h=String(c).split("toString");o("inspectSource",function(E){return c.call(E)}),(t.exports=function(E,b,g,v){var y=v?!!v.unsafe:!1,T=v?!!v.enumerable:!1,C=v?!!v.noTargetGet:!1;if(typeof g=="function"&&(typeof b=="string"&&!l(g,"name")&&a(g,"name",b),m(g).source=h.join(typeof b=="string"?b:"")),E===r){T?E[b]=g:d(b,g);return}else y?!C&&E[b]&&(T=!0):delete E[b];T?E[b]=g:a(E,b,g)})(Function.prototype,"toString",function(){return typeof this=="function"&&f(this).source||c.call(this)})},"./node_modules/core-js/internals/require-object-coercible.js":function(t,i){t.exports=function(s){if(s==null)throw TypeError("Can't call method on "+s);return s}},"./node_modules/core-js/internals/set-global.js":function(t,i,s){var r=s("./node_modules/core-js/internals/global.js"),o=s("./node_modules/core-js/internals/hide.js");t.exports=function(a,l){try{o(r,a,l)}catch{r[a]=l}return l}},"./node_modules/core-js/internals/set-to-string-tag.js":function(t,i,s){var r=s("./node_modules/core-js/internals/object-define-property.js").f,o=s("./node_modules/core-js/internals/has.js"),a=s("./node_modules/core-js/internals/well-known-symbol.js"),l=a("toStringTag");t.exports=function(d,c,_){d&&!o(d=_?d:d.prototype,l)&&r(d,l,{configurable:!0,value:c})}},"./node_modules/core-js/internals/shared-key.js":function(t,i,s){var r=s("./node_modules/core-js/internals/shared.js"),o=s("./node_modules/core-js/internals/uid.js"),a=r("keys");t.exports=function(l){return a[l]||(a[l]=o(l))}},"./node_modules/core-js/internals/shared.js":function(t,i,s){var r=s("./node_modules/core-js/internals/global.js"),o=s("./node_modules/core-js/internals/set-global.js"),a=s("./node_modules/core-js/internals/is-pure.js"),l="__core-js_shared__",d=r[l]||o(l,{});(t.exports=function(c,_){return d[c]||(d[c]=_!==void 0?_:{})})("versions",[]).push({version:"3.1.3",mode:a?"pure":"global",copyright:"© 2019 Denis Pushkarev (zloirock.ru)"})},"./node_modules/core-js/internals/string-at.js":function(t,i,s){var r=s("./node_modules/core-js/internals/to-integer.js"),o=s("./node_modules/core-js/internals/require-object-coercible.js");t.exports=function(a,l,d){var c=String(o(a)),_=r(l),f=c.length,m,h;return _<0||_>=f?d?"":void 0:(m=c.charCodeAt(_),m<55296||m>56319||_+1===f||(h=c.charCodeAt(_+1))<56320||h>57343?d?c.charAt(_):m:d?c.slice(_,_+2):(m-55296<<10)+(h-56320)+65536)}},"./node_modules/core-js/internals/to-absolute-index.js":function(t,i,s){var r=s("./node_modules/core-js/internals/to-integer.js"),o=Math.max,a=Math.min;t.exports=function(l,d){var c=r(l);return c<0?o(c+d,0):a(c,d)}},"./node_modules/core-js/internals/to-indexed-object.js":function(t,i,s){var r=s("./node_modules/core-js/internals/indexed-object.js"),o=s("./node_modules/core-js/internals/require-object-coercible.js");t.exports=function(a){return r(o(a))}},"./node_modules/core-js/internals/to-integer.js":function(t,i){var s=Math.ceil,r=Math.floor;t.exports=function(o){return isNaN(o=+o)?0:(o>0?r:s)(o)}},"./node_modules/core-js/internals/to-length.js":function(t,i,s){var r=s("./node_modules/core-js/internals/to-integer.js"),o=Math.min;t.exports=function(a){return a>0?o(r(a),9007199254740991):0}},"./node_modules/core-js/internals/to-object.js":function(t,i,s){var r=s("./node_modules/core-js/internals/require-object-coercible.js");t.exports=function(o){return Object(r(o))}},"./node_modules/core-js/internals/to-primitive.js":function(t,i,s){var r=s("./node_modules/core-js/internals/is-object.js");t.exports=function(o,a){if(!r(o))return o;var l,d;if(a&&typeof(l=o.toString)=="function"&&!r(d=l.call(o))||typeof(l=o.valueOf)=="function"&&!r(d=l.call(o))||!a&&typeof(l=o.toString)=="function"&&!r(d=l.call(o)))return d;throw TypeError("Can't convert object to primitive value")}},"./node_modules/core-js/internals/uid.js":function(t,i){var s=0,r=Math.random();t.exports=function(o){return"Symbol(".concat(o===void 0?"":o,")_",(++s+r).toString(36))}},"./node_modules/core-js/internals/validate-set-prototype-of-arguments.js":function(t,i,s){var r=s("./node_modules/core-js/internals/is-object.js"),o=s("./node_modules/core-js/internals/an-object.js");t.exports=function(a,l){if(o(a),!r(l)&&l!==null)throw TypeError("Can't set "+String(l)+" as a prototype")}},"./node_modules/core-js/internals/well-known-symbol.js":function(t,i,s){var r=s("./node_modules/core-js/internals/global.js"),o=s("./node_modules/core-js/internals/shared.js"),a=s("./node_modules/core-js/internals/uid.js"),l=s("./node_modules/core-js/internals/native-symbol.js"),d=r.Symbol,c=o("wks");t.exports=function(_){return c[_]||(c[_]=l&&d[_]||(l?d:a)("Symbol."+_))}},"./node_modules/core-js/modules/es.array.from.js":function(t,i,s){var r=s("./node_modules/core-js/internals/export.js"),o=s("./node_modules/core-js/internals/array-from.js"),a=s("./node_modules/core-js/internals/check-correctness-of-iteration.js"),l=!a(function(d){Array.from(d)});r({target:"Array",stat:!0,forced:l},{from:o})},"./node_modules/core-js/modules/es.string.iterator.js":function(t,i,s){var r=s("./node_modules/core-js/internals/string-at.js"),o=s("./node_modules/core-js/internals/internal-state.js"),a=s("./node_modules/core-js/internals/define-iterator.js"),l="String Iterator",d=o.set,c=o.getterFor(l);a(String,"String",function(_){d(this,{type:l,string:String(_),index:0})},function(){var f=c(this),m=f.string,h=f.index,E;return h>=m.length?{value:void 0,done:!0}:(E=r(m,h,!0),f.index+=E.length,{value:E,done:!1})})},"./node_modules/webpack/buildin/global.js":function(t,i){var s;s=function(){return this}();try{s=s||Function("return this")()||(0,eval)("this")}catch{typeof window=="object"&&(s=window)}t.exports=s},"./src/default-attrs.json":function(t){t.exports={xmlns:"http://www.w3.org/2000/svg",width:24,height:24,viewBox:"0 0 24 24",fill:"none",stroke:"currentColor","stroke-width":2,"stroke-linecap":"round","stroke-linejoin":"round"}},"./src/icon.js":function(t,i,s){Object.defineProperty(i,"__esModule",{value:!0});var r=Object.assign||function(E){for(var b=1;b<arguments.length;b++){var g=arguments[b];for(var v in g)Object.prototype.hasOwnProperty.call(g,v)&&(E[v]=g[v])}return E},o=function(){function E(b,g){for(var v=0;v<g.length;v++){var y=g[v];y.enumerable=y.enumerable||!1,y.configurable=!0,"value"in y&&(y.writable=!0),Object.defineProperty(b,y.key,y)}}return function(b,g,v){return g&&E(b.prototype,g),v&&E(b,v),b}}(),a=s("./node_modules/classnames/dedupe.js"),l=_(a),d=s("./src/default-attrs.json"),c=_(d);function _(E){return E&&E.__esModule?E:{default:E}}function f(E,b){if(!(E instanceof b))throw new TypeError("Cannot call a class as a function")}var m=function(){function E(b,g){var v=arguments.length>2&&arguments[2]!==void 0?arguments[2]:[];f(this,E),this.name=b,this.contents=g,this.tags=v,this.attrs=r({},c.default,{class:"feather feather-"+b})}return o(E,[{key:"toSvg",value:function(){var g=arguments.length>0&&arguments[0]!==void 0?arguments[0]:{},v=r({},this.attrs,g,{class:(0,l.default)(this.attrs.class,g.class)});return"<svg "+h(v)+">"+this.contents+"</svg>"}},{key:"toString",value:function(){return this.contents}}]),E}();function h(E){return Object.keys(E).map(function(b){return b+'="'+E[b]+'"'}).join(" ")}i.default=m},"./src/icons.js":function(t,i,s){Object.defineProperty(i,"__esModule",{value:!0});var r=s("./src/icon.js"),o=_(r),a=s("./dist/icons.json"),l=_(a),d=s("./src/tags.json"),c=_(d);function _(f){return f&&f.__esModule?f:{default:f}}i.default=Object.keys(l.default).map(function(f){return new o.default(f,l.default[f],c.default[f])}).reduce(function(f,m){return f[m.name]=m,f},{})},"./src/index.js":function(t,i,s){var r=s("./src/icons.js"),o=_(r),a=s("./src/to-svg.js"),l=_(a),d=s("./src/replace.js"),c=_(d);function _(f){return f&&f.__esModule?f:{default:f}}t.exports={icons:o.default,toSvg:l.default,replace:c.default}},"./src/replace.js":function(t,i,s){Object.defineProperty(i,"__esModule",{value:!0});var r=Object.assign||function(h){for(var E=1;E<arguments.length;E++){var b=arguments[E];for(var g in b)Object.prototype.hasOwnProperty.call(b,g)&&(h[g]=b[g])}return h},o=s("./node_modules/classnames/dedupe.js"),a=c(o),l=s("./src/icons.js"),d=c(l);function c(h){return h&&h.__esModule?h:{default:h}}function _(){var h=arguments.length>0&&arguments[0]!==void 0?arguments[0]:{};if(typeof document>"u")throw new Error("`feather.replace()` only works in a browser environment.");var E=document.querySelectorAll("[data-feather]");Array.from(E).forEach(function(b){return f(b,h)})}function f(h){var E=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{},b=m(h),g=b["data-feather"];delete b["data-feather"];var v=d.default[g].toSvg(r({},E,b,{class:(0,a.default)(E.class,b.class)})),y=new DOMParser().parseFromString(v,"image/svg+xml"),T=y.querySelector("svg");h.parentNode.replaceChild(T,h)}function m(h){return Array.from(h.attributes).reduce(function(E,b){return E[b.name]=b.value,E},{})}i.default=_},"./src/tags.json":function(t){t.exports={activity:["pulse","health","action","motion"],airplay:["stream","cast","mirroring"],"alert-circle":["warning","alert","danger"],"alert-octagon":["warning","alert","danger"],"alert-triangle":["warning","alert","danger"],"align-center":["text alignment","center"],"align-justify":["text alignment","justified"],"align-left":["text alignment","left"],"align-right":["text alignment","right"],anchor:[],archive:["index","box"],"at-sign":["mention","at","email","message"],award:["achievement","badge"],aperture:["camera","photo"],"bar-chart":["statistics","diagram","graph"],"bar-chart-2":["statistics","diagram","graph"],battery:["power","electricity"],"battery-charging":["power","electricity"],bell:["alarm","notification","sound"],"bell-off":["alarm","notification","silent"],bluetooth:["wireless"],"book-open":["read","library"],book:["read","dictionary","booklet","magazine","library"],bookmark:["read","clip","marker","tag"],box:["cube"],briefcase:["work","bag","baggage","folder"],calendar:["date"],camera:["photo"],cast:["chromecast","airplay"],"chevron-down":["expand"],"chevron-up":["collapse"],circle:["off","zero","record"],clipboard:["copy"],clock:["time","watch","alarm"],"cloud-drizzle":["weather","shower"],"cloud-lightning":["weather","bolt"],"cloud-rain":["weather"],"cloud-snow":["weather","blizzard"],cloud:["weather"],codepen:["logo"],codesandbox:["logo"],code:["source","programming"],coffee:["drink","cup","mug","tea","cafe","hot","beverage"],columns:["layout"],command:["keyboard","cmd","terminal","prompt"],compass:["navigation","safari","travel","direction"],copy:["clone","duplicate"],"corner-down-left":["arrow","return"],"corner-down-right":["arrow"],"corner-left-down":["arrow"],"corner-left-up":["arrow"],"corner-right-down":["arrow"],"corner-right-up":["arrow"],"corner-up-left":["arrow"],"corner-up-right":["arrow"],cpu:["processor","technology"],"credit-card":["purchase","payment","cc"],crop:["photo","image"],crosshair:["aim","target"],database:["storage","memory"],delete:["remove"],disc:["album","cd","dvd","music"],"dollar-sign":["currency","money","payment"],droplet:["water"],edit:["pencil","change"],"edit-2":["pencil","change"],"edit-3":["pencil","change"],eye:["view","watch"],"eye-off":["view","watch","hide","hidden"],"external-link":["outbound"],facebook:["logo","social"],"fast-forward":["music"],figma:["logo","design","tool"],"file-minus":["delete","remove","erase"],"file-plus":["add","create","new"],"file-text":["data","txt","pdf"],film:["movie","video"],filter:["funnel","hopper"],flag:["report"],"folder-minus":["directory"],"folder-plus":["directory"],folder:["directory"],framer:["logo","design","tool"],frown:["emoji","face","bad","sad","emotion"],gift:["present","box","birthday","party"],"git-branch":["code","version control"],"git-commit":["code","version control"],"git-merge":["code","version control"],"git-pull-request":["code","version control"],github:["logo","version control"],gitlab:["logo","version control"],globe:["world","browser","language","translate"],"hard-drive":["computer","server","memory","data"],hash:["hashtag","number","pound"],headphones:["music","audio","sound"],heart:["like","love","emotion"],"help-circle":["question mark"],hexagon:["shape","node.js","logo"],home:["house","living"],image:["picture"],inbox:["email"],instagram:["logo","camera"],key:["password","login","authentication","secure"],layers:["stack"],layout:["window","webpage"],"life-buoy":["help","life ring","support"],link:["chain","url"],"link-2":["chain","url"],linkedin:["logo","social media"],list:["options"],lock:["security","password","secure"],"log-in":["sign in","arrow","enter"],"log-out":["sign out","arrow","exit"],mail:["email","message"],"map-pin":["location","navigation","travel","marker"],map:["location","navigation","travel"],maximize:["fullscreen"],"maximize-2":["fullscreen","arrows","expand"],meh:["emoji","face","neutral","emotion"],menu:["bars","navigation","hamburger"],"message-circle":["comment","chat"],"message-square":["comment","chat"],"mic-off":["record","sound","mute"],mic:["record","sound","listen"],minimize:["exit fullscreen","close"],"minimize-2":["exit fullscreen","arrows","close"],minus:["subtract"],monitor:["tv","screen","display"],moon:["dark","night"],"more-horizontal":["ellipsis"],"more-vertical":["ellipsis"],"mouse-pointer":["arrow","cursor"],move:["arrows"],music:["note"],navigation:["location","travel"],"navigation-2":["location","travel"],octagon:["stop"],package:["box","container"],paperclip:["attachment"],pause:["music","stop"],"pause-circle":["music","audio","stop"],"pen-tool":["vector","drawing"],percent:["discount"],"phone-call":["ring"],"phone-forwarded":["call"],"phone-incoming":["call"],"phone-missed":["call"],"phone-off":["call","mute"],"phone-outgoing":["call"],phone:["call"],play:["music","start"],"pie-chart":["statistics","diagram"],"play-circle":["music","start"],plus:["add","new"],"plus-circle":["add","new"],"plus-square":["add","new"],pocket:["logo","save"],power:["on","off"],printer:["fax","office","device"],radio:["signal"],"refresh-cw":["synchronise","arrows"],"refresh-ccw":["arrows"],repeat:["loop","arrows"],rewind:["music"],"rotate-ccw":["arrow"],"rotate-cw":["arrow"],rss:["feed","subscribe"],save:["floppy disk"],scissors:["cut"],search:["find","magnifier","magnifying glass"],send:["message","mail","email","paper airplane","paper aeroplane"],settings:["cog","edit","gear","preferences"],"share-2":["network","connections"],shield:["security","secure"],"shield-off":["security","insecure"],"shopping-bag":["ecommerce","cart","purchase","store"],"shopping-cart":["ecommerce","cart","purchase","store"],shuffle:["music"],"skip-back":["music"],"skip-forward":["music"],slack:["logo"],slash:["ban","no"],sliders:["settings","controls"],smartphone:["cellphone","device"],smile:["emoji","face","happy","good","emotion"],speaker:["audio","music"],star:["bookmark","favorite","like"],"stop-circle":["media","music"],sun:["brightness","weather","light"],sunrise:["weather","time","morning","day"],sunset:["weather","time","evening","night"],tablet:["device"],tag:["label"],target:["logo","bullseye"],terminal:["code","command line","prompt"],thermometer:["temperature","celsius","fahrenheit","weather"],"thumbs-down":["dislike","bad","emotion"],"thumbs-up":["like","good","emotion"],"toggle-left":["on","off","switch"],"toggle-right":["on","off","switch"],tool:["settings","spanner"],trash:["garbage","delete","remove","bin"],"trash-2":["garbage","delete","remove","bin"],triangle:["delta"],truck:["delivery","van","shipping","transport","lorry"],tv:["television","stream"],twitch:["logo"],twitter:["logo","social"],type:["text"],umbrella:["rain","weather"],unlock:["security"],"user-check":["followed","subscribed"],"user-minus":["delete","remove","unfollow","unsubscribe"],"user-plus":["new","add","create","follow","subscribe"],"user-x":["delete","remove","unfollow","unsubscribe","unavailable"],user:["person","account"],users:["group"],"video-off":["camera","movie","film"],video:["camera","movie","film"],voicemail:["phone"],volume:["music","sound","mute"],"volume-1":["music","sound"],"volume-2":["music","sound"],"volume-x":["music","sound","mute"],watch:["clock","time"],"wifi-off":["disabled"],wifi:["connection","signal","wireless"],wind:["weather","air"],"x-circle":["cancel","close","delete","remove","times","clear"],"x-octagon":["delete","stop","alert","warning","times","clear"],"x-square":["cancel","close","delete","remove","times","clear"],x:["cancel","close","delete","remove","times","clear"],youtube:["logo","video","play"],"zap-off":["flash","camera","lightning"],zap:["flash","camera","lightning"],"zoom-in":["magnifying glass"],"zoom-out":["magnifying glass"]}},"./src/to-svg.js":function(t,i,s){Object.defineProperty(i,"__esModule",{value:!0});var r=s("./src/icons.js"),o=a(r);function a(d){return d&&d.__esModule?d:{default:d}}function l(d){var c=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{};if(console.warn("feather.toSvg() is deprecated. Please use feather.icons[name].toSvg() instead."),!d)throw new Error("The required `key` (icon name) parameter is missing.");if(!o.default[d])throw new Error("No icon matching '"+d+"'. See the complete list of icons at https://feathericons.com");return o.default[d].toSvg(c)}i.default=l},0:function(t,i,s){s("./node_modules/core-js/es/array/from.js"),t.exports=s("./src/index.js")}})})})(Ww);var WP=Ww.exports;const qe=Ys(WP);const bt=(n,e)=>{const t=n.__vccOpts||n;for(const[i,s]of e)t[i]=s;return t},KP={name:"Toast",props:{},data(){return{show:!1,log_type:1,message:"",toastArr:[]}},methods:{close(n){this.toastArr=this.toastArr.filter(e=>e.id!=n)},copyToClipBoard(n){navigator.clipboard.writeText(n),Ve(()=>{qe.replace()})},showToast(n,e=3,t=!0){const i=parseInt((new Date().getTime()*Math.random()).toString()).toString(),s={id:i,log_type:t,message:n,show:!0};this.toastArr.push(s),Ve(()=>{qe.replace()}),setTimeout(()=>{this.toastArr=this.toastArr.filter(r=>r.id!=i)},e*1e3)}},watch:{}},Ni=n=>(wr("data-v-46f379e5"),n=n(),Nr(),n),jP={class:"absolute bottom-16 right-2 z-20 flex flex-col gap-3 min-w-[300px]"},QP={class:"flex flex-row items-center w-full p-4 mb-4 text-gray-500 bg-white rounded-lg shadow dark:text-gray-400 dark:bg-gray-800",role:"alert"},XP={class:"flex flex-row flex-grow items-center h-auto"},ZP={key:0,class:"inline-flex items-center justify-center flex-shrink-0 w-8 h-8 text-red-500 bg-red-100 rounded-lg dark:bg-red-800 dark:text-red-200"},JP=Ni(()=>u("i",{"data-feather":"x"},null,-1)),eU=Ni(()=>u("span",{class:"sr-only"},"Cross icon",-1)),tU=[JP,eU],nU={key:1,class:"inline-flex items-center justify-center flex-shrink-0 w-8 h-8 text-green-500 bg-green-100 rounded-lg dark:bg-green-800 dark:text-green-200"},iU=Ni(()=>u("i",{"data-feather":"check"},null,-1)),sU=Ni(()=>u("span",{class:"sr-only"},"Check icon",-1)),rU=[iU,sU],oU={key:2,class:"inline-flex items-center justify-center flex-shrink-0 w-8 h-8 text-blue-500 bg-blue-100 rounded-lg dark:bg-blue-800 dark:text-blue-200"},aU=Ni(()=>u("i",{"data-feather":"info"},null,-1)),lU=Ni(()=>u("span",{class:"sr-only"},null,-1)),cU=[aU,lU],dU={key:3,class:"inline-flex items-center justify-center flex-shrink-0 w-8 h-8 text-orange-500 bg-orange-100 rounded-lg dark:bg-orange-800 dark:text-orange-200"},uU=Ni(()=>u("i",{"data-feather":"alert-triangle"},null,-1)),pU=Ni(()=>u("span",{class:"sr-only"},null,-1)),_U=[uU,pU],hU=["title"],fU={class:"flex"},mU=["onClick"],gU=Ni(()=>u("span",{class:"sr-only"},"Copy message",-1)),bU=Ni(()=>u("i",{"data-feather":"clipboard",class:"w-5 h-5"},null,-1)),EU=[gU,bU],vU=["onClick"],yU=Ni(()=>u("span",{class:"sr-only"},"Close",-1)),SU=Ni(()=>u("svg",{"aria-hidden":"true",class:"w-5 h-5",fill:"currentColor",viewBox:"0 0 20 20",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"fill-rule":"evenodd",d:"M4.293 4.293a1 1 0 011.414 0L10 8.586l4.293-4.293a1 1 0 111.414 1.414L11.414 10l4.293 4.293a1 1 0 01-1.414 1.414L10 11.414l-4.293 4.293a1 1 0 01-1.414-1.414L8.586 10 4.293 5.707a1 1 0 010-1.414z","clip-rule":"evenodd"})],-1)),TU=[yU,SU];function xU(n,e,t,i,s,r){return N(),M("div",jP,[Ie(Ls,{name:"toastItem",tag:"div"},{default:tt(()=>[(N(!0),M($e,null,dt(s.toastArr,o=>(N(),M("div",{key:o.id,class:"relative"},[u("div",QP,[u("div",XP,[o.log_type==0?(N(),M("div",ZP,tU)):q("",!0),o.log_type==1?(N(),M("div",nU,rU)):q("",!0),o.log_type==2?(N(),M("div",oU,cU)):q("",!0),o.log_type==3?(N(),M("div",dU,_U)):q("",!0),u("div",{class:"ml-3 text-sm font-normal whitespace-pre-wrap line-clamp-3 max-w-xs max-h-[400px] overflow-auto break-words",title:o.message},ge(o.message),9,hU)]),u("div",fU,[u("button",{type:"button",onClick:xe(a=>r.copyToClipBoard(o.message),["stop"]),title:"Copy message",class:"bg-white text-gray-400 hover:text-gray-900 rounded-lg focus:ring-2 focus:ring-gray-300 p-1.5 hover:bg-gray-100 inline-flex h-8 w-8 dark:text-gray-500 dark:hover:text-white dark:bg-gray-800 dark:hover:bg-gray-700"},EU,8,mU),u("button",{type:"button",onClick:a=>r.close(o.id),title:"Close",class:"bg-white text-gray-400 hover:text-gray-900 rounded-lg focus:ring-2 focus:ring-gray-300 p-1.5 hover:bg-gray-100 inline-flex h-8 w-8 dark:text-gray-500 dark:hover:text-white dark:bg-gray-800 dark:hover:bg-gray-700"},TU,8,vU)])])]))),128))]),_:1})])}const fc=bt(KP,[["render",xU],["__scopeId","data-v-46f379e5"]]);var Ut={};const CU="Á",RU="á",AU="Ă",wU="ă",NU="∾",OU="∿",IU="∾̳",MU="Â",DU="â",kU="´",LU="А",PU="а",UU="Æ",FU="æ",BU="",GU="𝔄",zU="𝔞",VU="À",HU="à",qU="ℵ",YU="ℵ",$U="Α",WU="α",KU="Ā",jU="ā",QU="⨿",XU="&",ZU="&",JU="⩕",eF="⩓",tF="∧",nF="⩜",iF="⩘",sF="⩚",rF="∠",oF="⦤",aF="∠",lF="⦨",cF="⦩",dF="⦪",uF="⦫",pF="⦬",_F="⦭",hF="⦮",fF="⦯",mF="∡",gF="∟",bF="⊾",EF="⦝",vF="∢",yF="Å",SF="⍼",TF="Ą",xF="ą",CF="𝔸",RF="𝕒",AF="⩯",wF="≈",NF="⩰",OF="≊",IF="≋",MF="'",DF="",kF="≈",LF="≊",PF="Å",UF="å",FF="𝒜",BF="𝒶",GF="≔",zF="*",VF="≈",HF="≍",qF="Ã",YF="ã",$F="Ä",WF="ä",KF="∳",jF="⨑",QF="≌",XF="϶",ZF="",JF="∽",eB="⋍",tB="",nB="⫧",iB="⊽",sB="⌅",rB="⌆",oB="⌅",aB="⎵",lB="⎶",cB="≌",dB="Б",uB="б",pB="„",_B="∵",hB="∵",fB="∵",mB="⦰",gB="϶",bB="",EB="",vB="Β",yB="β",SB="ℶ",TB="≬",xB="𝔅",CB="𝔟",RB="⋂",AB="◯",wB="",NB="⨀",OB="⨁",IB="⨂",MB="⨆",DB="★",kB="▽",LB="△",PB="⨄",UB="",FB="⋀",BB="⤍",GB="⧫",zB="▪",VB="▴",HB="▾",qB="◂",YB="▸",$B="␣",WB="▒",KB="░",jB="▓",QB="█",XB="=⃥",ZB="≡⃥",JB="⫭",e3="⌐",t3="𝔹",n3="𝕓",i3="⊥",s3="⊥",r3="⋈",o3="⧉",a3="┐",l3="╕",c3="╖",d3="╗",u3="┌",p3="╒",_3="╓",h3="╔",f3="─",m3="═",g3="┬",b3="╤",E3="╥",v3="╦",y3="┴",S3="╧",T3="╨",x3="╩",C3="⊟",R3="⊞",A3="⊠",w3="┘",N3="╛",O3="╜",I3="╝",M3="└",D3="╘",k3="╙",L3="╚",P3="│",U3="║",F3="┼",B3="╪",G3="╫",z3="╬",V3="┤",H3="╡",q3="╢",Y3="╣",$3="├",W3="╞",K3="╟",j3="╠",Q3="",X3="˘",Z3="˘",J3="¦",e4="𝒷",t4="",n4="⁏",i4="∽",s4="⋍",r4="⧅",o4="\\",a4="⟈",l4="•",c4="•",d4="≎",u4="⪮",p4="≏",_4="≎",h4="≏",f4="Ć",m4="ć",g4="⩄",b4="⩉",E4="⩋",v4="∩",y4="⋒",S4="⩇",T4="⩀",x4="",C4="∩︀",R4="",A4="ˇ",w4="",N4="⩍",O4="Č",I4="č",M4="Ç",D4="ç",k4="Ĉ",L4="ĉ",P4="∰",U4="⩌",F4="⩐",B4="Ċ",G4="ċ",z4="¸",V4="¸",H4="⦲",q4="¢",Y4="·",$4="·",W4="𝔠",K4="",j4="Ч",Q4="ч",X4="✓",Z4="✓",J4="Χ",e5="χ",t5="ˆ",n5="≗",i5="↺",s5="↻",r5="⊛",o5="⊚",a5="⊝",l5="⊙",c5="®",d5="Ⓢ",u5="⊖",p5="⊕",_5="⊗",h5="○",f5="⧃",m5="≗",g5="⨐",b5="⫯",E5="⧂",v5="∲",y5="”",S5="",T5="♣",x5="♣",C5=":",R5="∷",A5="⩴",w5="≔",N5="≔",O5=",",I5="@",M5="∁",D5="∘",k5="∁",L5="",P5="≅",U5="⩭",F5="≡",B5="∮",G5="∯",z5="∮",V5="𝕔",H5="",q5="∐",Y5="∐",$5="©",W5="©",K5="℗",j5="∳",Q5="↵",X5="✗",Z5="",J5="𝒞",eG="𝒸",tG="⫏",nG="⫑",iG="⫐",sG="⫒",rG="⋯",oG="⤸",aG="⤵",lG="⋞",cG="⋟",dG="↶",uG="⤽",pG="⩈",_G="⩆",hG="≍",fG="",mG="⋓",gG="⩊",bG="⊍",EG="⩅",vG="",yG="↷",SG="⤼",TG="⋞",xG="⋟",CG="⋎",RG="⋏",AG="¤",wG="↶",NG="↷",OG="⋎",IG="⋏",MG="∲",DG="∱",kG="⌭",LG="†",PG="‡",UG="ℸ",FG="↓",BG="↡",GG="⇓",zG="",VG="⫤",HG="⊣",qG="⤏",YG="˝",$G="Ď",WG="ď",KG="Д",jG="д",QG="‡",XG="⇊",ZG="",JG="",e9="⤑",t9="⩷",n9="°",i9="∇",s9="Δ",r9="δ",o9="⦱",a9="⥿",l9="𝔇",c9="𝔡",d9="⥥",u9="⇃",p9="⇂",_9="´",h9="˙",f9="˝",m9="`",g9="˜",b9="⋄",E9="⋄",v9="⋄",y9="♦",S9="♦",T9="¨",x9="",C9="ϝ",R9="⋲",A9="÷",w9="÷",N9="⋇",O9="⋇",I9="Ђ",M9="ђ",D9="⌞",k9="⌍",L9="$",P9="𝔻",U9="𝕕",F9="¨",B9="˙",G9="⃜",z9="≐",V9="≑",H9="≐",q9="∸",Y9="∔",$9="⊡",W9="⌆",K9="∯",j9="¨",Q9="⇓",X9="⇐",Z9="⇔",J9="⫤",e6="⟸",t6="⟺",n6="⟹",i6="⇒",s6="⊨",r6="⇑",o6="⇕",a6="∥",l6="⤓",c6="↓",d6="↓",u6="⇓",p6="⇵",_6="̑",h6="⇊",f6="⇃",m6="⇂",g6="⥐",b6="⥞",E6="⥖",v6="↽",y6="⥟",S6="⥗",T6="⇁",x6="↧",C6="",R6="⤐",A6="⌟",w6="⌌",N6="𝒟",O6="𝒹",I6="Ѕ",M6="ѕ",D6="⧶",k6="Đ",L6="đ",P6="⋱",U6="▿",F6="▾",B6="⇵",G6="⥯",z6="⦦",V6="Џ",H6="џ",q6="⟿",Y6="É",$6="é",W6="⩮",K6="Ě",j6="ě",Q6="Ê",X6="ê",Z6="≖",J6="≕",e8="Э",t8="э",n8="⩷",i8="Ė",s8="ė",r8="≑",o8="",a8="≒",l8="𝔈",c8="𝔢",d8="⪚",u8="È",p8="è",_8="⪖",h8="⪘",f8="⪙",m8="∈",g8="⏧",b8="",E8="⪕",v8="⪗",y8="Ē",S8="ē",T8="∅",x8="∅",C8="◻",R8="∅",A8="▫",w8="",N8="",O8="",I8="Ŋ",M8="ŋ",D8="",k8="Ę",L8="ę",P8="𝔼",U8="𝕖",F8="⋕",B8="⧣",G8="⩱",z8="ε",V8="Ε",H8="ε",q8="ϵ",Y8="≖",$8="≕",W8="≂",K8="⪖",j8="⪕",Q8="⩵",X8="=",Z8="≂",J8="≟",ez="⇌",tz="≡",nz="⩸",iz="⧥",sz="⥱",rz="≓",oz="",az="",lz="≐",cz="⩳",dz="≂",uz="Η",pz="η",_z="Ð",hz="ð",fz="Ë",mz="ë",gz="€",bz="!",Ez="∃",vz="∃",yz="",Sz="",Tz="",xz="≒",Cz="Ф",Rz="ф",Az="♀",wz="ffi",Nz="ff",Oz="ffl",Iz="𝔉",Mz="𝔣",Dz="fi",kz="◼",Lz="▪",Pz="fj",Uz="♭",Fz="fl",Bz="▱",Gz="ƒ",zz="𝔽",Vz="𝕗",Hz="∀",qz="∀",Yz="⋔",$z="⫙",Wz="",Kz="⨍",jz="½",Qz="⅓",Xz="¼",Zz="⅕",Jz="⅙",eV="⅛",tV="⅔",nV="⅖",iV="¾",sV="⅗",rV="⅜",oV="⅘",aV="⅚",lV="⅝",cV="⅞",dV="",uV="⌢",pV="𝒻",_V="",hV="ǵ",fV="Γ",mV="γ",gV="Ϝ",bV="ϝ",EV="⪆",vV="Ğ",yV="ğ",SV="Ģ",TV="Ĝ",xV="ĝ",CV="Г",RV="г",AV="Ġ",wV="ġ",NV="≥",OV="≧",IV="⪌",MV="⋛",DV="≥",kV="≧",LV="⩾",PV="⪩",UV="⩾",FV="⪀",BV="⪂",GV="⪄",zV="⋛︀",VV="⪔",HV="𝔊",qV="𝔤",YV="≫",$V="⋙",WV="⋙",KV="ℷ",jV="Ѓ",QV="ѓ",XV="⪥",ZV="≷",JV="⪒",eH="⪤",tH="⪊",nH="⪊",iH="⪈",sH="≩",rH="⪈",oH="≩",aH="⋧",lH="𝔾",cH="𝕘",dH="`",uH="≥",pH="⋛",_H="≧",hH="⪢",fH="≷",mH="⩾",gH="≳",bH="𝒢",EH="",vH="≳",yH="⪎",SH="⪐",TH="⪧",xH="⩺",CH=">",RH=">",AH="≫",wH="⋗",NH="⦕",OH="⩼",IH="⪆",MH="⥸",DH="⋗",kH="⋛",LH="⪌",PH="≷",UH="≳",FH="≩︀",BH="≩︀",GH="ˇ",zH="",VH="½",HH="",qH="Ъ",YH="ъ",$H="⥈",WH="↔",KH="⇔",jH="↭",QH="^",XH="ℏ",ZH="Ĥ",JH="ĥ",e7="♥",t7="♥",n7="…",i7="⊹",s7="𝔥",r7="",o7="",a7="⤥",l7="⤦",c7="⇿",d7="∻",u7="↩",p7="↪",_7="𝕙",h7="",f7="―",m7="─",g7="𝒽",b7="",E7="ℏ",v7="Ħ",y7="ħ",S7="≎",T7="≏",x7="",C7="",R7="Í",A7="í",w7="",N7="Î",O7="î",I7="И",M7="и",D7="İ",k7="Е",L7="е",P7="¡",U7="⇔",F7="𝔦",B7="",G7="Ì",z7="ì",V7="",H7="⨌",q7="∭",Y7="⧜",$7="℩",W7="IJ",K7="ij",j7="Ī",Q7="ī",X7="",Z7="",J7="",eq="",tq="ı",nq="",iq="⊷",sq="Ƶ",rq="⇒",oq="℅",aq="∞",lq="⧝",cq="ı",dq="⊺",uq="∫",pq="∬",_q="",hq="∫",fq="⊺",mq="⋂",gq="⨗",bq="⨼",Eq="",vq="",yq="Ё",Sq="ё",Tq="Į",xq="į",Cq="𝕀",Rq="𝕚",Aq="Ι",wq="ι",Nq="⨼",Oq="¿",Iq="𝒾",Mq="",Dq="∈",kq="⋵",Lq="⋹",Pq="⋴",Uq="⋳",Fq="∈",Bq="",Gq="Ĩ",zq="ĩ",Vq="І",Hq="і",qq="Ï",Yq="ï",$q="Ĵ",Wq="ĵ",Kq="Й",jq="й",Qq="𝔍",Xq="𝔧",Zq="ȷ",Jq="𝕁",eY="𝕛",tY="𝒥",nY="𝒿",iY="Ј",sY="ј",rY="Є",oY="є",aY="Κ",lY="κ",cY="ϰ",dY="Ķ",uY="ķ",pY="К",_Y="к",hY="𝔎",fY="𝔨",mY="ĸ",gY="Х",bY="х",EY="Ќ",vY="ќ",yY="𝕂",SY="𝕜",TY="𝒦",xY="𝓀",CY="⇚",RY="Ĺ",AY="ĺ",wY="⦴",NY="",OY="Λ",IY="λ",MY="⟨",DY="⟪",kY="⦑",LY="⟨",PY="⪅",UY="",FY="«",BY="⇤",GY="⤟",zY="←",VY="↞",HY="⇐",qY="⤝",YY="↩",$Y="↫",WY="⤹",KY="⥳",jY="↢",QY="⤙",XY="⤛",ZY="⪫",JY="⪭",e$="⪭︀",t$="⤌",n$="⤎",i$="",s$="{",r$="[",o$="⦋",a$="⦏",l$="⦍",c$="Ľ",d$="ľ",u$="Ļ",p$="ļ",_$="⌈",h$="{",f$="Л",m$="л",g$="⤶",b$="“",E$="„",v$="⥧",y$="⥋",S$="↲",T$="≤",x$="≦",C$="⟨",R$="⇤",A$="←",w$="←",N$="⇐",O$="⇆",I$="↢",M$="⌈",D$="⟦",k$="⥡",L$="⥙",P$="⇃",U$="⌊",F$="↽",B$="↼",G$="⇇",z$="↔",V$="↔",H$="⇔",q$="⇆",Y$="⇋",$$="↭",W$="⥎",K$="↤",j$="⊣",Q$="⥚",X$="⋋",Z$="⧏",J$="⊲",eW="⊴",tW="⥑",nW="⥠",iW="⥘",sW="↿",rW="⥒",oW="↼",aW="⪋",lW="⋚",cW="≤",dW="≦",uW="⩽",pW="⪨",_W="⩽",hW="⩿",fW="⪁",mW="⪃",gW="⋚︀",bW="⪓",EW="⪅",vW="⋖",yW="⋚",SW="⪋",TW="⋚",xW="≦",CW="≶",RW="≶",AW="⪡",wW="≲",NW="⩽",OW="≲",IW="⥼",MW="⌊",DW="𝔏",kW="𝔩",LW="≶",PW="⪑",UW="⥢",FW="↽",BW="↼",GW="⥪",zW="▄",VW="Љ",HW="љ",qW="⇇",YW="≪",$W="⋘",WW="⌞",KW="⇚",jW="⥫",QW="◺",XW="Ŀ",ZW="ŀ",JW="⎰",eK="⎰",tK="⪉",nK="⪉",iK="⪇",sK="≨",rK="⪇",oK="≨",aK="⋦",lK="⟬",cK="⇽",dK="⟦",uK="⟵",pK="⟵",_K="⟸",hK="⟷",fK="⟷",mK="⟺",gK="⟼",bK="⟶",EK="⟶",vK="⟹",yK="↫",SK="↬",TK="⦅",xK="𝕃",CK="𝕝",RK="⨭",AK="⨴",wK="",NK="_",OK="↙",IK="↘",MK="◊",DK="◊",kK="⧫",LK="(",PK="⦓",UK="⇆",FK="⌟",BK="⇋",GK="⥭",zK="",VK="⊿",HK="",qK="𝓁",YK="",$K="↰",WK="↰",KK="≲",jK="⪍",QK="⪏",XK="[",ZK="",JK="",ej="Ł",tj="ł",nj="⪦",ij="⩹",sj="<",rj="<",oj="≪",aj="⋖",lj="⋋",cj="⋉",dj="⥶",uj="⩻",pj="◃",_j="⊴",hj="◂",fj="⦖",mj="⥊",gj="⥦",bj="≨︀",Ej="≨︀",vj="¯",yj="♂",Sj="✠",Tj="✠",xj="↦",Cj="↦",Rj="↧",Aj="↤",wj="↥",Nj="▮",Oj="⨩",Ij="М",Mj="м",Dj="—",kj="∺",Lj="∡",Pj="",Uj="",Fj="𝔐",Bj="𝔪",Gj="℧",zj="µ",Vj="*",Hj="⫰",qj="",Yj="·",$j="⊟",Wj="",Kj="∸",jj="⨪",Qj="∓",Xj="⫛",Zj="…",Jj="∓",eQ="⊧",tQ="𝕄",nQ="𝕞",iQ="∓",sQ="𝓂",rQ="",oQ="∾",aQ="Μ",lQ="μ",cQ="⊸",dQ="⊸",uQ="∇",pQ="Ń",_Q="ń",hQ="∠⃒",fQ="≉",mQ="⩰̸",gQ="≋̸",bQ="ʼn",EQ="≉",vQ="♮",yQ="",SQ="♮",TQ=" ",xQ="≎̸",CQ="≏̸",RQ="⩃",AQ="Ň",wQ="ň",NQ="Ņ",OQ="ņ",IQ="≇",MQ="⩭̸",DQ="⩂",kQ="Н",LQ="н",PQ="",UQ="⤤",FQ="↗",BQ="⇗",GQ="↗",zQ="≠",VQ="≐̸",HQ="",qQ="",YQ="",$Q="",WQ="≢",KQ="⤨",jQ="≂̸",QQ="≫",XQ="≪",ZQ=`
`,JQ="∄",eX="∄",tX="𝔑",nX="𝔫",iX="≧̸",sX="≱",rX="≱",oX="≧̸",aX="⩾̸",lX="⩾̸",cX="⋙̸",dX="≵",uX="≫⃒",pX="≯",_X="≯",hX="≫̸",fX="↮",mX="⇎",gX="⫲",bX="∋",EX="⋼",vX="⋺",yX="∋",SX="Њ",TX="њ",xX="↚",CX="⇍",RX="‥",AX="≦̸",wX="≰",NX="↚",OX="⇍",IX="↮",MX="⇎",DX="≰",kX="≦̸",LX="⩽̸",PX="⩽̸",UX="≮",FX="⋘̸",BX="≴",GX="≪⃒",zX="≮",VX="⋪",HX="⋬",qX="≪̸",YX="∤",$X="",WX=" ",KX="𝕟",jX="",QX="⫬",XX="¬",ZX="≢",JX="≭",eZ="∦",tZ="∉",nZ="≠",iZ="≂̸",sZ="∄",rZ="≯",oZ="≱",aZ="≧̸",lZ="≫̸",cZ="≹",dZ="⩾̸",uZ="≵",pZ="≎̸",_Z="≏̸",hZ="∉",fZ="⋵̸",mZ="⋹̸",gZ="∉",bZ="⋷",EZ="⋶",vZ="⧏̸",yZ="⋪",SZ="⋬",TZ="≮",xZ="≰",CZ="≸",RZ="≪̸",AZ="⩽̸",wZ="≴",NZ="⪢̸",OZ="⪡̸",IZ="∌",MZ="∌",DZ="⋾",kZ="⋽",LZ="⊀",PZ="⪯̸",UZ="⋠",FZ="∌",BZ="⧐̸",GZ="⋫",zZ="⋭",VZ="⊏̸",HZ="⋢",qZ="⊐̸",YZ="⋣",$Z="⊂⃒",WZ="⊈",KZ="⊁",jZ="⪰̸",QZ="⋡",XZ="≿̸",ZZ="⊃⃒",JZ="⊉",eJ="≁",tJ="≄",nJ="≇",iJ="≉",sJ="∤",rJ="∦",oJ="∦",aJ="⫽⃥",lJ="∂̸",cJ="⨔",dJ="⊀",uJ="⋠",pJ="⊀",_J="⪯̸",hJ="⪯̸",fJ="⤳̸",mJ="↛",gJ="⇏",bJ="↝̸",EJ="↛",vJ="⇏",yJ="⋫",SJ="⋭",TJ="⊁",xJ="⋡",CJ="⪰̸",RJ="𝒩",AJ="𝓃",wJ="∤",NJ="∦",OJ="≁",IJ="≄",MJ="≄",DJ="∤",kJ="∦",LJ="⋢",PJ="⋣",UJ="⊄",FJ="⫅̸",BJ="⊈",GJ="⊂⃒",zJ="⊈",VJ="⫅̸",HJ="⊁",qJ="⪰̸",YJ="⊅",$J="⫆̸",WJ="⊉",KJ="⊃⃒",jJ="⊉",QJ="⫆̸",XJ="≹",ZJ="Ñ",JJ="ñ",eee="≸",tee="⋪",nee="⋬",iee="⋫",see="⋭",ree="Ν",oee="ν",aee="#",lee="№",cee="",dee="≍⃒",uee="⊬",pee="⊭",_ee="⊮",hee="⊯",fee="≥⃒",mee=">⃒",gee="⤄",bee="⧞",Eee="⤂",vee="≤⃒",yee="<⃒",See="⊴⃒",Tee="⤃",xee="⊵⃒",Cee="∼⃒",Ree="⤣",Aee="↖",wee="⇖",Nee="↖",Oee="⤧",Iee="Ó",Mee="ó",Dee="⊛",kee="Ô",Lee="ô",Pee="⊚",Uee="О",Fee="о",Bee="⊝",Gee="Ő",zee="ő",Vee="⨸",Hee="⊙",qee="⦼",Yee="Œ",$ee="œ",Wee="⦿",Kee="𝔒",jee="𝔬",Qee="˛",Xee="Ò",Zee="ò",Jee="⧁",ete="⦵",tte="Ω",nte="∮",ite="↺",ste="⦾",rte="⦻",ote="‾",ate="⧀",lte="Ō",cte="ō",dte="Ω",ute="ω",pte="Ο",_te="ο",hte="⦶",fte="⊖",mte="𝕆",gte="𝕠",bte="⦷",Ete="“",vte="",yte="⦹",Ste="⊕",Tte="↻",xte="⩔",Cte="",Rte="⩝",Ate="",wte="",Nte="ª",Ote="º",Ite="⊶",Mte="⩖",Dte="⩗",kte="⩛",Lte="Ⓢ",Pte="𝒪",Ute="",Fte="Ø",Bte="ø",Gte="⊘",zte="Õ",Vte="õ",Hte="⨶",qte="⨷",Yte="⊗",$te="Ö",Wte="ö",Kte="⌽",jte="‾",Qte="⏞",Xte="⎴",Zte="⏜",Jte="¶",ene="∥",tne="∥",nne="⫳",ine="⫽",sne="∂",rne="∂",one="П",ane="п",lne="%",cne=".",dne="‰",une="⊥",pne="‱",_ne="𝔓",hne="𝔭",fne="Φ",mne="φ",gne="ϕ",bne="",Ene="☎",vne="Π",yne="π",Sne="⋔",Tne="ϖ",xne="ℏ",Cne="",Rne="ℏ",Ane="⨣",wne="⊞",Nne="⨢",One="+",Ine="∔",Mne="⨥",Dne="⩲",kne="±",Lne="±",Pne="⨦",Une="⨧",Fne="±",Bne="",Gne="⨕",zne="𝕡",Vne="",Hne="£",qne="⪷",Yne="⪻",$ne="≺",Wne="≼",Kne="⪷",jne="≺",Qne="≼",Xne="≺",Zne="⪯",Jne="≼",eie="≾",tie="⪯",nie="⪹",iie="⪵",sie="⋨",rie="⪯",oie="⪳",aie="≾",lie="",cie="″",die="",uie="⪹",pie="⪵",_ie="⋨",hie="∏",fie="∏",mie="⌮",gie="⌒",bie="⌓",Eie="∝",vie="∝",yie="∷",Sie="∝",Tie="≾",xie="⊰",Cie="𝒫",Rie="𝓅",Aie="Ψ",wie="ψ",Nie="",Oie="𝔔",Iie="𝔮",Mie="⨌",Die="𝕢",kie="",Lie="⁗",Pie="𝒬",Uie="𝓆",Fie="",Bie="⨖",Gie="?",zie="≟",Vie='"',Hie='"',qie="⇛",Yie="∽̱",$ie="Ŕ",Wie="ŕ",Kie="√",jie="⦳",Qie="⟩",Xie="⟫",Zie="⦒",Jie="⦥",ese="⟩",tse="»",nse="⥵",ise="⇥",sse="⤠",rse="⤳",ose="→",ase="↠",lse="⇒",cse="⤞",dse="↪",use="↬",pse="⥅",_se="⥴",hse="⤖",fse="↣",mse="↝",gse="⤚",bse="⤜",Ese="",vse="",yse="⤍",Sse="⤏",Tse="⤐",xse="",Cse="}",Rse="]",Ase="⦌",wse="⦎",Nse="⦐",Ose="Ř",Ise="ř",Mse="Ŗ",Dse="ŗ",kse="⌉",Lse="}",Pse="Р",Use="р",Fse="⤷",Bse="⥩",Gse="”",zse="”",Vse="↳",Hse="",qse="",Yse="",$se="",Wse="",Kse="▭",jse="®",Qse="®",Xse="∋",Zse="⇋",Jse="⥯",ere="⥽",tre="⌋",nre="𝔯",ire="",sre="⥤",rre="⇁",ore="⇀",are="⥬",lre="Ρ",cre="ρ",dre="ϱ",ure="⟩",pre="⇥",_re="→",hre="→",fre="⇒",mre="⇄",gre="↣",bre="⌉",Ere="⟧",vre="⥝",yre="⥕",Sre="⇂",Tre="⌋",xre="⇁",Cre="⇀",Rre="⇄",Are="⇌",wre="⇉",Nre="↝",Ore="↦",Ire="⊢",Mre="⥛",Dre="⋌",kre="⧐",Lre="⊳",Pre="⊵",Ure="⥏",Fre="⥜",Bre="⥔",Gre="↾",zre="⥓",Vre="⇀",Hre="˚",qre="≓",Yre="⇄",$re="⇌",Wre="",Kre="⎱",jre="⎱",Qre="⫮",Xre="⟭",Zre="⇾",Jre="⟧",eoe="⦆",toe="𝕣",noe="",ioe="⨮",soe="⨵",roe="⥰",ooe=")",aoe="⦔",loe="⨒",coe="⇉",doe="⇛",uoe="",poe="𝓇",_oe="",hoe="↱",foe="↱",moe="]",goe="",boe="",Eoe="⋌",voe="⋊",yoe="▹",Soe="⊵",Toe="▸",xoe="⧎",Coe="⧴",Roe="⥨",Aoe="℞",woe="Ś",Noe="ś",Ooe="",Ioe="⪸",Moe="Š",Doe="š",koe="⪼",Loe="≻",Poe="≽",Uoe="⪰",Foe="⪴",Boe="Ş",Goe="ş",zoe="Ŝ",Voe="ŝ",Hoe="⪺",qoe="⪶",Yoe="⋩",$oe="⨓",Woe="≿",Koe="С",joe="с",Qoe="⊡",Xoe="⋅",Zoe="⩦",Joe="⤥",eae="↘",tae="⇘",nae="↘",iae="§",sae=";",rae="⤩",oae="",aae="",lae="✶",cae="𝔖",dae="𝔰",uae="⌢",pae="♯",_ae="Щ",hae="щ",fae="Ш",mae="ш",gae="↓",bae="←",Eae="",vae="∥",yae="→",Sae="↑",Tae="­",xae="Σ",Cae="σ",Rae="ς",Aae="ς",wae="",Nae="⩪",Oae="≃",Iae="≃",Mae="⪞",Dae="⪠",kae="⪝",Lae="⪟",Pae="≆",Uae="⨤",Fae="⥲",Bae="←",Gae="∘",zae="",Vae="⨳",Hae="⧤",qae="",Yae="⌣",$ae="⪪",Wae="⪬",Kae="⪬︀",jae="Ь",Qae="ь",Xae="⌿",Zae="⧄",Jae="/",ele="𝕊",tle="𝕤",nle="♠",ile="♠",sle="∥",rle="⊓",ole="⊓︀",ale="⊔",lle="⊔︀",cle="√",dle="⊏",ule="⊑",ple="⊏",_le="⊑",hle="⊐",fle="⊒",mle="⊐",gle="⊒",ble="□",Ele="□",vle="⊓",yle="⊏",Sle="⊑",Tle="⊐",xle="⊒",Cle="⊔",Rle="▪",Ale="□",wle="▪",Nle="→",Ole="𝒮",Ile="𝓈",Mle="",Dle="⌣",kle="⋆",Lle="⋆",Ple="☆",Ule="★",Fle="ϵ",Ble="ϕ",Gle="¯",zle="⊂",Vle="⋐",Hle="⪽",qle="⫅",Yle="⊆",$le="⫃",Wle="⫁",Kle="⫋",jle="⊊",Qle="⪿",Xle="⥹",Zle="⊂",Jle="⋐",ece="⊆",tce="⫅",nce="⊆",ice="⊊",sce="⫋",rce="⫇",oce="⫕",ace="⫓",lce="⪸",cce="≻",dce="≽",uce="≻",pce="⪰",_ce="≽",hce="≿",fce="⪰",mce="⪺",gce="⪶",bce="⋩",Ece="≿",vce="∋",yce="∑",Sce="∑",Tce="♪",xce="¹",Cce="²",Rce="³",Ace="⊃",wce="⋑",Nce="⪾",Oce="⫘",Ice="⫆",Mce="⊇",Dce="⫄",kce="⊃",Lce="⊇",Pce="⟉",Uce="⫗",Fce="⥻",Bce="⫂",Gce="⫌",zce="⊋",Vce="⫀",Hce="⊃",qce="⋑",Yce="⊇",$ce="⫆",Wce="⊋",Kce="⫌",jce="⫈",Qce="⫔",Xce="⫖",Zce="⤦",Jce="↙",ede="⇙",tde="↙",nde="⤪",ide="ß",sde=" ",rde="⌖",ode="Τ",ade="τ",lde="⎴",cde="Ť",dde="ť",ude="Ţ",pde="ţ",_de="Т",hde="т",fde="⃛",mde="⌕",gde="𝔗",bde="𝔱",Ede="∴",vde="∴",yde="∴",Sde="Θ",Tde="θ",xde="ϑ",Cde="ϑ",Rde="≈",Ade="",wde="",Nde="",Ode="",Ide="≈",Mde="",Dde="Þ",kde="þ",Lde="˜",Pde="",Ude="≃",Fde="≅",Bde="≈",Gde="⨱",zde="⊠",Vde="×",Hde="⨰",qde="∭",Yde="⤨",$de="⌶",Wde="⫱",Kde="",jde="𝕋",Qde="𝕥",Xde="⫚",Zde="⤩",Jde="‴",eue="™",tue="™",nue="▵",iue="▿",sue="◃",rue="⊴",oue="≜",aue="▹",lue="⊵",cue="◬",due="≜",uue="⨺",pue="⃛",_ue="⨹",hue="⧍",fue="⨻",mue="⏢",gue="𝒯",bue="𝓉",Eue="Ц",vue="ц",yue="Ћ",Sue="ћ",Tue="Ŧ",xue="ŧ",Cue="≬",Rue="↞",Aue="↠",wue="Ú",Nue="ú",Oue="↑",Iue="↟",Mue="⇑",Due="⥉",kue="Ў",Lue="ў",Pue="Ŭ",Uue="ŭ",Fue="Û",Bue="û",Gue="У",zue="у",Vue="⇅",Hue="Ű",que="ű",Yue="⥮",$ue="⥾",Wue="𝔘",Kue="𝔲",jue="Ù",Que="ù",Xue="⥣",Zue="↿",Jue="↾",epe="▀",tpe="⌜",npe="⌜",ipe="⌏",spe="◸",rpe="Ū",ope="ū",ape="¨",lpe="_",cpe="⏟",dpe="⎵",upe="⏝",ppe="",_pe="⊎",hpe="Ų",fpe="ų",mpe="𝕌",gpe="𝕦",bpe="⤒",Epe="↑",vpe="↑",ype="⇑",Spe="⇅",Tpe="↕",xpe="↕",Cpe="⇕",Rpe="⥮",Ape="↿",wpe="↾",Npe="⊎",Ope="↖",Ipe="↗",Mpe="υ",Dpe="ϒ",kpe="ϒ",Lpe="Υ",Ppe="υ",Upe="↥",Fpe="⊥",Bpe="⇈",Gpe="⌝",zpe="⌝",Vpe="⌎",Hpe="Ů",qpe="ů",Ype="◹",$pe="𝒰",Wpe="𝓊",Kpe="⋰",jpe="Ũ",Qpe="ũ",Xpe="▵",Zpe="▴",Jpe="⇈",e_e="Ü",t_e="ü",n_e="⦧",i_e="⦜",s_e="ϵ",r_e="ϰ",o_e="∅",a_e="ϕ",l_e="ϖ",c_e="∝",d_e="↕",u_e="⇕",p_e="ϱ",__e="ς",h_e="⊊︀",f_e="⫋︀",m_e="⊋︀",g_e="⫌︀",b_e="ϑ",E_e="⊲",v_e="⊳",y_e="⫨",S_e="⫫",T_e="⫩",x_e="В",C_e="в",R_e="⊢",A_e="⊨",w_e="⊩",N_e="⊫",O_e="⫦",I_e="⊻",M_e="",D_e="",k_e="≚",L_e="⋮",P_e="|",U_e="‖",F_e="|",B_e="‖",G_e="",z_e="|",V_e="❘",H_e="≀",q_e="",Y_e="𝔙",$_e="𝔳",W_e="⊲",K_e="⊂⃒",j_e="⊃⃒",Q_e="𝕍",X_e="𝕧",Z_e="∝",J_e="⊳",ehe="𝒱",the="𝓋",nhe="⫋︀",ihe="⊊︀",she="⫌︀",rhe="⊋︀",ohe="⊪",ahe="⦚",lhe="Ŵ",che="ŵ",dhe="⩟",uhe="∧",phe="⋀",_he="≙",hhe="℘",fhe="𝔚",mhe="𝔴",ghe="𝕎",bhe="𝕨",Ehe="℘",vhe="≀",yhe="≀",She="𝒲",The="𝓌",xhe="⋂",Che="◯",Rhe="",Ahe="▽",whe="𝔛",Nhe="𝔵",Ohe="⟷",Ihe="⟺",Mhe="Ξ",Dhe="ξ",khe="⟵",Lhe="⟸",Phe="⟼",Uhe="⋻",Fhe="⨀",Bhe="𝕏",Ghe="𝕩",zhe="⨁",Vhe="⨂",Hhe="⟶",qhe="⟹",Yhe="𝒳",$he="𝓍",Whe="⨆",Khe="⨄",jhe="△",Qhe="",Xhe="⋀",Zhe="Ý",Jhe="ý",efe="Я",tfe="я",nfe="Ŷ",ife="ŷ",sfe="Ы",rfe="ы",ofe="¥",afe="𝔜",lfe="𝔶",cfe="Ї",dfe="ї",ufe="𝕐",pfe="𝕪",_fe="𝒴",hfe="𝓎",ffe="Ю",mfe="ю",gfe="ÿ",bfe="Ÿ",Efe="Ź",vfe="ź",yfe="Ž",Sfe="ž",Tfe="З",xfe="з",Cfe="Ż",Rfe="ż",Afe="",wfe="",Nfe="Ζ",Ofe="ζ",Ife="𝔷",Mfe="",Dfe="Ж",kfe="ж",Lfe="⇝",Pfe="𝕫",Ufe="",Ffe="𝒵",Bfe="𝓏",Gfe="",zfe="",Vfe={Aacute:CU,aacute:RU,Abreve:AU,abreve:wU,ac:NU,acd:OU,acE:IU,Acirc:MU,acirc:DU,acute:kU,Acy:LU,acy:PU,AElig:UU,aelig:FU,af:BU,Afr:GU,afr:zU,Agrave:VU,agrave:HU,alefsym:qU,aleph:YU,Alpha:$U,alpha:WU,Amacr:KU,amacr:jU,amalg:QU,amp:XU,AMP:ZU,andand:JU,And:eF,and:tF,andd:nF,andslope:iF,andv:sF,ang:rF,ange:oF,angle:aF,angmsdaa:lF,angmsdab:cF,angmsdac:dF,angmsdad:uF,angmsdae:pF,angmsdaf:_F,angmsdag:hF,angmsdah:fF,angmsd:mF,angrt:gF,angrtvb:bF,angrtvbd:EF,angsph:vF,angst:yF,angzarr:SF,Aogon:TF,aogon:xF,Aopf:CF,aopf:RF,apacir:AF,ap:wF,apE:NF,ape:OF,apid:IF,apos:MF,ApplyFunction:DF,approx:kF,approxeq:LF,Aring:PF,aring:UF,Ascr:FF,ascr:BF,Assign:GF,ast:zF,asymp:VF,asympeq:HF,Atilde:qF,atilde:YF,Auml:$F,auml:WF,awconint:KF,awint:jF,backcong:QF,backepsilon:XF,backprime:ZF,backsim:JF,backsimeq:eB,Backslash:tB,Barv:nB,barvee:iB,barwed:sB,Barwed:rB,barwedge:oB,bbrk:aB,bbrktbrk:lB,bcong:cB,Bcy:dB,bcy:uB,bdquo:pB,becaus:_B,because:hB,Because:fB,bemptyv:mB,bepsi:gB,bernou:bB,Bernoullis:EB,Beta:vB,beta:yB,beth:SB,between:TB,Bfr:xB,bfr:CB,bigcap:RB,bigcirc:AB,bigcup:wB,bigodot:NB,bigoplus:OB,bigotimes:IB,bigsqcup:MB,bigstar:DB,bigtriangledown:kB,bigtriangleup:LB,biguplus:PB,bigvee:UB,bigwedge:FB,bkarow:BB,blacklozenge:GB,blacksquare:zB,blacktriangle:VB,blacktriangledown:HB,blacktriangleleft:qB,blacktriangleright:YB,blank:$B,blk12:WB,blk14:KB,blk34:jB,block:QB,bne:XB,bnequiv:ZB,bNot:JB,bnot:e3,Bopf:t3,bopf:n3,bot:i3,bottom:s3,bowtie:r3,boxbox:o3,boxdl:a3,boxdL:l3,boxDl:c3,boxDL:d3,boxdr:u3,boxdR:p3,boxDr:_3,boxDR:h3,boxh:f3,boxH:m3,boxhd:g3,boxHd:b3,boxhD:E3,boxHD:v3,boxhu:y3,boxHu:S3,boxhU:T3,boxHU:x3,boxminus:C3,boxplus:R3,boxtimes:A3,boxul:w3,boxuL:N3,boxUl:O3,boxUL:I3,boxur:M3,boxuR:D3,boxUr:k3,boxUR:L3,boxv:P3,boxV:U3,boxvh:F3,boxvH:B3,boxVh:G3,boxVH:z3,boxvl:V3,boxvL:H3,boxVl:q3,boxVL:Y3,boxvr:$3,boxvR:W3,boxVr:K3,boxVR:j3,bprime:Q3,breve:X3,Breve:Z3,brvbar:J3,bscr:e4,Bscr:t4,bsemi:n4,bsim:i4,bsime:s4,bsolb:r4,bsol:o4,bsolhsub:a4,bull:l4,bullet:c4,bump:d4,bumpE:u4,bumpe:p4,Bumpeq:_4,bumpeq:h4,Cacute:f4,cacute:m4,capand:g4,capbrcup:b4,capcap:E4,cap:v4,Cap:y4,capcup:S4,capdot:T4,CapitalDifferentialD:x4,caps:C4,caret:R4,caron:A4,Cayleys:w4,ccaps:N4,Ccaron:O4,ccaron:I4,Ccedil:M4,ccedil:D4,Ccirc:k4,ccirc:L4,Cconint:P4,ccups:U4,ccupssm:F4,Cdot:B4,cdot:G4,cedil:z4,Cedilla:V4,cemptyv:H4,cent:q4,centerdot:Y4,CenterDot:$4,cfr:W4,Cfr:K4,CHcy:j4,chcy:Q4,check:X4,checkmark:Z4,Chi:J4,chi:e5,circ:t5,circeq:n5,circlearrowleft:i5,circlearrowright:s5,circledast:r5,circledcirc:o5,circleddash:a5,CircleDot:l5,circledR:c5,circledS:d5,CircleMinus:u5,CirclePlus:p5,CircleTimes:_5,cir:h5,cirE:f5,cire:m5,cirfnint:g5,cirmid:b5,cirscir:E5,ClockwiseContourIntegral:v5,CloseCurlyDoubleQuote:y5,CloseCurlyQuote:S5,clubs:T5,clubsuit:x5,colon:C5,Colon:R5,Colone:A5,colone:w5,coloneq:N5,comma:O5,commat:I5,comp:M5,compfn:D5,complement:k5,complexes:L5,cong:P5,congdot:U5,Congruent:F5,conint:B5,Conint:G5,ContourIntegral:z5,copf:V5,Copf:H5,coprod:q5,Coproduct:Y5,copy:$5,COPY:W5,copysr:K5,CounterClockwiseContourIntegral:j5,crarr:Q5,cross:X5,Cross:Z5,Cscr:J5,cscr:eG,csub:tG,csube:nG,csup:iG,csupe:sG,ctdot:rG,cudarrl:oG,cudarrr:aG,cuepr:lG,cuesc:cG,cularr:dG,cularrp:uG,cupbrcap:pG,cupcap:_G,CupCap:hG,cup:fG,Cup:mG,cupcup:gG,cupdot:bG,cupor:EG,cups:vG,curarr:yG,curarrm:SG,curlyeqprec:TG,curlyeqsucc:xG,curlyvee:CG,curlywedge:RG,curren:AG,curvearrowleft:wG,curvearrowright:NG,cuvee:OG,cuwed:IG,cwconint:MG,cwint:DG,cylcty:kG,dagger:LG,Dagger:PG,daleth:UG,darr:FG,Darr:BG,dArr:GG,dash:zG,Dashv:VG,dashv:HG,dbkarow:qG,dblac:YG,Dcaron:$G,dcaron:WG,Dcy:KG,dcy:jG,ddagger:QG,ddarr:XG,DD:ZG,dd:JG,DDotrahd:e9,ddotseq:t9,deg:n9,Del:i9,Delta:s9,delta:r9,demptyv:o9,dfisht:a9,Dfr:l9,dfr:c9,dHar:d9,dharl:u9,dharr:p9,DiacriticalAcute:_9,DiacriticalDot:h9,DiacriticalDoubleAcute:f9,DiacriticalGrave:m9,DiacriticalTilde:g9,diam:b9,diamond:E9,Diamond:v9,diamondsuit:y9,diams:S9,die:T9,DifferentialD:x9,digamma:C9,disin:R9,div:A9,divide:w9,divideontimes:N9,divonx:O9,DJcy:I9,djcy:M9,dlcorn:D9,dlcrop:k9,dollar:L9,Dopf:P9,dopf:U9,Dot:F9,dot:B9,DotDot:G9,doteq:z9,doteqdot:V9,DotEqual:H9,dotminus:q9,dotplus:Y9,dotsquare:$9,doublebarwedge:W9,DoubleContourIntegral:K9,DoubleDot:j9,DoubleDownArrow:Q9,DoubleLeftArrow:X9,DoubleLeftRightArrow:Z9,DoubleLeftTee:J9,DoubleLongLeftArrow:e6,DoubleLongLeftRightArrow:t6,DoubleLongRightArrow:n6,DoubleRightArrow:i6,DoubleRightTee:s6,DoubleUpArrow:r6,DoubleUpDownArrow:o6,DoubleVerticalBar:a6,DownArrowBar:l6,downarrow:c6,DownArrow:d6,Downarrow:u6,DownArrowUpArrow:p6,DownBreve:_6,downdownarrows:h6,downharpoonleft:f6,downharpoonright:m6,DownLeftRightVector:g6,DownLeftTeeVector:b6,DownLeftVectorBar:E6,DownLeftVector:v6,DownRightTeeVector:y6,DownRightVectorBar:S6,DownRightVector:T6,DownTeeArrow:x6,DownTee:C6,drbkarow:R6,drcorn:A6,drcrop:w6,Dscr:N6,dscr:O6,DScy:I6,dscy:M6,dsol:D6,Dstrok:k6,dstrok:L6,dtdot:P6,dtri:U6,dtrif:F6,duarr:B6,duhar:G6,dwangle:z6,DZcy:V6,dzcy:H6,dzigrarr:q6,Eacute:Y6,eacute:$6,easter:W6,Ecaron:K6,ecaron:j6,Ecirc:Q6,ecirc:X6,ecir:Z6,ecolon:J6,Ecy:e8,ecy:t8,eDDot:n8,Edot:i8,edot:s8,eDot:r8,ee:o8,efDot:a8,Efr:l8,efr:c8,eg:d8,Egrave:u8,egrave:p8,egs:_8,egsdot:h8,el:f8,Element:m8,elinters:g8,ell:b8,els:E8,elsdot:v8,Emacr:y8,emacr:S8,empty:T8,emptyset:x8,EmptySmallSquare:C8,emptyv:R8,EmptyVerySmallSquare:A8,emsp13:w8,emsp14:N8,emsp:O8,ENG:I8,eng:M8,ensp:D8,Eogon:k8,eogon:L8,Eopf:P8,eopf:U8,epar:F8,eparsl:B8,eplus:G8,epsi:z8,Epsilon:V8,epsilon:H8,epsiv:q8,eqcirc:Y8,eqcolon:$8,eqsim:W8,eqslantgtr:K8,eqslantless:j8,Equal:Q8,equals:X8,EqualTilde:Z8,equest:J8,Equilibrium:ez,equiv:tz,equivDD:nz,eqvparsl:iz,erarr:sz,erDot:rz,escr:oz,Escr:az,esdot:lz,Esim:cz,esim:dz,Eta:uz,eta:pz,ETH:_z,eth:hz,Euml:fz,euml:mz,euro:gz,excl:bz,exist:Ez,Exists:vz,expectation:yz,exponentiale:Sz,ExponentialE:Tz,fallingdotseq:xz,Fcy:Cz,fcy:Rz,female:Az,ffilig:wz,fflig:Nz,ffllig:Oz,Ffr:Iz,ffr:Mz,filig:Dz,FilledSmallSquare:kz,FilledVerySmallSquare:Lz,fjlig:Pz,flat:Uz,fllig:Fz,fltns:Bz,fnof:Gz,Fopf:zz,fopf:Vz,forall:Hz,ForAll:qz,fork:Yz,forkv:$z,Fouriertrf:Wz,fpartint:Kz,frac12:jz,frac13:Qz,frac14:Xz,frac15:Zz,frac16:Jz,frac18:eV,frac23:tV,frac25:nV,frac34:iV,frac35:sV,frac38:rV,frac45:oV,frac56:aV,frac58:lV,frac78:cV,frasl:dV,frown:uV,fscr:pV,Fscr:_V,gacute:hV,Gamma:fV,gamma:mV,Gammad:gV,gammad:bV,gap:EV,Gbreve:vV,gbreve:yV,Gcedil:SV,Gcirc:TV,gcirc:xV,Gcy:CV,gcy:RV,Gdot:AV,gdot:wV,ge:NV,gE:OV,gEl:IV,gel:MV,geq:DV,geqq:kV,geqslant:LV,gescc:PV,ges:UV,gesdot:FV,gesdoto:BV,gesdotol:GV,gesl:zV,gesles:VV,Gfr:HV,gfr:qV,gg:YV,Gg:$V,ggg:WV,gimel:KV,GJcy:jV,gjcy:QV,gla:XV,gl:ZV,glE:JV,glj:eH,gnap:tH,gnapprox:nH,gne:iH,gnE:sH,gneq:rH,gneqq:oH,gnsim:aH,Gopf:lH,gopf:cH,grave:dH,GreaterEqual:uH,GreaterEqualLess:pH,GreaterFullEqual:_H,GreaterGreater:hH,GreaterLess:fH,GreaterSlantEqual:mH,GreaterTilde:gH,Gscr:bH,gscr:EH,gsim:vH,gsime:yH,gsiml:SH,gtcc:TH,gtcir:xH,gt:CH,GT:RH,Gt:AH,gtdot:wH,gtlPar:NH,gtquest:OH,gtrapprox:IH,gtrarr:MH,gtrdot:DH,gtreqless:kH,gtreqqless:LH,gtrless:PH,gtrsim:UH,gvertneqq:FH,gvnE:BH,Hacek:GH,hairsp:zH,half:VH,hamilt:HH,HARDcy:qH,hardcy:YH,harrcir:$H,harr:WH,hArr:KH,harrw:jH,Hat:QH,hbar:XH,Hcirc:ZH,hcirc:JH,hearts:e7,heartsuit:t7,hellip:n7,hercon:i7,hfr:s7,Hfr:r7,HilbertSpace:o7,hksearow:a7,hkswarow:l7,hoarr:c7,homtht:d7,hookleftarrow:u7,hookrightarrow:p7,hopf:_7,Hopf:h7,horbar:f7,HorizontalLine:m7,hscr:g7,Hscr:b7,hslash:E7,Hstrok:v7,hstrok:y7,HumpDownHump:S7,HumpEqual:T7,hybull:x7,hyphen:C7,Iacute:R7,iacute:A7,ic:w7,Icirc:N7,icirc:O7,Icy:I7,icy:M7,Idot:D7,IEcy:k7,iecy:L7,iexcl:P7,iff:U7,ifr:F7,Ifr:B7,Igrave:G7,igrave:z7,ii:V7,iiiint:H7,iiint:q7,iinfin:Y7,iiota:$7,IJlig:W7,ijlig:K7,Imacr:j7,imacr:Q7,image:X7,ImaginaryI:Z7,imagline:J7,imagpart:eq,imath:tq,Im:nq,imof:iq,imped:sq,Implies:rq,incare:oq,in:"∈",infin:aq,infintie:lq,inodot:cq,intcal:dq,int:uq,Int:pq,integers:_q,Integral:hq,intercal:fq,Intersection:mq,intlarhk:gq,intprod:bq,InvisibleComma:Eq,InvisibleTimes:vq,IOcy:yq,iocy:Sq,Iogon:Tq,iogon:xq,Iopf:Cq,iopf:Rq,Iota:Aq,iota:wq,iprod:Nq,iquest:Oq,iscr:Iq,Iscr:Mq,isin:Dq,isindot:kq,isinE:Lq,isins:Pq,isinsv:Uq,isinv:Fq,it:Bq,Itilde:Gq,itilde:zq,Iukcy:Vq,iukcy:Hq,Iuml:qq,iuml:Yq,Jcirc:$q,jcirc:Wq,Jcy:Kq,jcy:jq,Jfr:Qq,jfr:Xq,jmath:Zq,Jopf:Jq,jopf:eY,Jscr:tY,jscr:nY,Jsercy:iY,jsercy:sY,Jukcy:rY,jukcy:oY,Kappa:aY,kappa:lY,kappav:cY,Kcedil:dY,kcedil:uY,Kcy:pY,kcy:_Y,Kfr:hY,kfr:fY,kgreen:mY,KHcy:gY,khcy:bY,KJcy:EY,kjcy:vY,Kopf:yY,kopf:SY,Kscr:TY,kscr:xY,lAarr:CY,Lacute:RY,lacute:AY,laemptyv:wY,lagran:NY,Lambda:OY,lambda:IY,lang:MY,Lang:DY,langd:kY,langle:LY,lap:PY,Laplacetrf:UY,laquo:FY,larrb:BY,larrbfs:GY,larr:zY,Larr:VY,lArr:HY,larrfs:qY,larrhk:YY,larrlp:$Y,larrpl:WY,larrsim:KY,larrtl:jY,latail:QY,lAtail:XY,lat:ZY,late:JY,lates:e$,lbarr:t$,lBarr:n$,lbbrk:i$,lbrace:s$,lbrack:r$,lbrke:o$,lbrksld:a$,lbrkslu:l$,Lcaron:c$,lcaron:d$,Lcedil:u$,lcedil:p$,lceil:_$,lcub:h$,Lcy:f$,lcy:m$,ldca:g$,ldquo:b$,ldquor:E$,ldrdhar:v$,ldrushar:y$,ldsh:S$,le:T$,lE:x$,LeftAngleBracket:C$,LeftArrowBar:R$,leftarrow:A$,LeftArrow:w$,Leftarrow:N$,LeftArrowRightArrow:O$,leftarrowtail:I$,LeftCeiling:M$,LeftDoubleBracket:D$,LeftDownTeeVector:k$,LeftDownVectorBar:L$,LeftDownVector:P$,LeftFloor:U$,leftharpoondown:F$,leftharpoonup:B$,leftleftarrows:G$,leftrightarrow:z$,LeftRightArrow:V$,Leftrightarrow:H$,leftrightarrows:q$,leftrightharpoons:Y$,leftrightsquigarrow:$$,LeftRightVector:W$,LeftTeeArrow:K$,LeftTee:j$,LeftTeeVector:Q$,leftthreetimes:X$,LeftTriangleBar:Z$,LeftTriangle:J$,LeftTriangleEqual:eW,LeftUpDownVector:tW,LeftUpTeeVector:nW,LeftUpVectorBar:iW,LeftUpVector:sW,LeftVectorBar:rW,LeftVector:oW,lEg:aW,leg:lW,leq:cW,leqq:dW,leqslant:uW,lescc:pW,les:_W,lesdot:hW,lesdoto:fW,lesdotor:mW,lesg:gW,lesges:bW,lessapprox:EW,lessdot:vW,lesseqgtr:yW,lesseqqgtr:SW,LessEqualGreater:TW,LessFullEqual:xW,LessGreater:CW,lessgtr:RW,LessLess:AW,lesssim:wW,LessSlantEqual:NW,LessTilde:OW,lfisht:IW,lfloor:MW,Lfr:DW,lfr:kW,lg:LW,lgE:PW,lHar:UW,lhard:FW,lharu:BW,lharul:GW,lhblk:zW,LJcy:VW,ljcy:HW,llarr:qW,ll:YW,Ll:$W,llcorner:WW,Lleftarrow:KW,llhard:jW,lltri:QW,Lmidot:XW,lmidot:ZW,lmoustache:JW,lmoust:eK,lnap:tK,lnapprox:nK,lne:iK,lnE:sK,lneq:rK,lneqq:oK,lnsim:aK,loang:lK,loarr:cK,lobrk:dK,longleftarrow:uK,LongLeftArrow:pK,Longleftarrow:_K,longleftrightarrow:hK,LongLeftRightArrow:fK,Longleftrightarrow:mK,longmapsto:gK,longrightarrow:bK,LongRightArrow:EK,Longrightarrow:vK,looparrowleft:yK,looparrowright:SK,lopar:TK,Lopf:xK,lopf:CK,loplus:RK,lotimes:AK,lowast:wK,lowbar:NK,LowerLeftArrow:OK,LowerRightArrow:IK,loz:MK,lozenge:DK,lozf:kK,lpar:LK,lparlt:PK,lrarr:UK,lrcorner:FK,lrhar:BK,lrhard:GK,lrm:zK,lrtri:VK,lsaquo:HK,lscr:qK,Lscr:YK,lsh:$K,Lsh:WK,lsim:KK,lsime:jK,lsimg:QK,lsqb:XK,lsquo:ZK,lsquor:JK,Lstrok:ej,lstrok:tj,ltcc:nj,ltcir:ij,lt:sj,LT:rj,Lt:oj,ltdot:aj,lthree:lj,ltimes:cj,ltlarr:dj,ltquest:uj,ltri:pj,ltrie:_j,ltrif:hj,ltrPar:fj,lurdshar:mj,luruhar:gj,lvertneqq:bj,lvnE:Ej,macr:vj,male:yj,malt:Sj,maltese:Tj,Map:"⤅",map:xj,mapsto:Cj,mapstodown:Rj,mapstoleft:Aj,mapstoup:wj,marker:Nj,mcomma:Oj,Mcy:Ij,mcy:Mj,mdash:Dj,mDDot:kj,measuredangle:Lj,MediumSpace:Pj,Mellintrf:Uj,Mfr:Fj,mfr:Bj,mho:Gj,micro:zj,midast:Vj,midcir:Hj,mid:qj,middot:Yj,minusb:$j,minus:Wj,minusd:Kj,minusdu:jj,MinusPlus:Qj,mlcp:Xj,mldr:Zj,mnplus:Jj,models:eQ,Mopf:tQ,mopf:nQ,mp:iQ,mscr:sQ,Mscr:rQ,mstpos:oQ,Mu:aQ,mu:lQ,multimap:cQ,mumap:dQ,nabla:uQ,Nacute:pQ,nacute:_Q,nang:hQ,nap:fQ,napE:mQ,napid:gQ,napos:bQ,napprox:EQ,natural:vQ,naturals:yQ,natur:SQ,nbsp:TQ,nbump:xQ,nbumpe:CQ,ncap:RQ,Ncaron:AQ,ncaron:wQ,Ncedil:NQ,ncedil:OQ,ncong:IQ,ncongdot:MQ,ncup:DQ,Ncy:kQ,ncy:LQ,ndash:PQ,nearhk:UQ,nearr:FQ,neArr:BQ,nearrow:GQ,ne:zQ,nedot:VQ,NegativeMediumSpace:HQ,NegativeThickSpace:qQ,NegativeThinSpace:YQ,NegativeVeryThinSpace:$Q,nequiv:WQ,nesear:KQ,nesim:jQ,NestedGreaterGreater:QQ,NestedLessLess:XQ,NewLine:ZQ,nexist:JQ,nexists:eX,Nfr:tX,nfr:nX,ngE:iX,nge:sX,ngeq:rX,ngeqq:oX,ngeqslant:aX,nges:lX,nGg:cX,ngsim:dX,nGt:uX,ngt:pX,ngtr:_X,nGtv:hX,nharr:fX,nhArr:mX,nhpar:gX,ni:bX,nis:EX,nisd:vX,niv:yX,NJcy:SX,njcy:TX,nlarr:xX,nlArr:CX,nldr:RX,nlE:AX,nle:wX,nleftarrow:NX,nLeftarrow:OX,nleftrightarrow:IX,nLeftrightarrow:MX,nleq:DX,nleqq:kX,nleqslant:LX,nles:PX,nless:UX,nLl:FX,nlsim:BX,nLt:GX,nlt:zX,nltri:VX,nltrie:HX,nLtv:qX,nmid:YX,NoBreak:$X,NonBreakingSpace:WX,nopf:KX,Nopf:jX,Not:QX,not:XX,NotCongruent:ZX,NotCupCap:JX,NotDoubleVerticalBar:eZ,NotElement:tZ,NotEqual:nZ,NotEqualTilde:iZ,NotExists:sZ,NotGreater:rZ,NotGreaterEqual:oZ,NotGreaterFullEqual:aZ,NotGreaterGreater:lZ,NotGreaterLess:cZ,NotGreaterSlantEqual:dZ,NotGreaterTilde:uZ,NotHumpDownHump:pZ,NotHumpEqual:_Z,notin:hZ,notindot:fZ,notinE:mZ,notinva:gZ,notinvb:bZ,notinvc:EZ,NotLeftTriangleBar:vZ,NotLeftTriangle:yZ,NotLeftTriangleEqual:SZ,NotLess:TZ,NotLessEqual:xZ,NotLessGreater:CZ,NotLessLess:RZ,NotLessSlantEqual:AZ,NotLessTilde:wZ,NotNestedGreaterGreater:NZ,NotNestedLessLess:OZ,notni:IZ,notniva:MZ,notnivb:DZ,notnivc:kZ,NotPrecedes:LZ,NotPrecedesEqual:PZ,NotPrecedesSlantEqual:UZ,NotReverseElement:FZ,NotRightTriangleBar:BZ,NotRightTriangle:GZ,NotRightTriangleEqual:zZ,NotSquareSubset:VZ,NotSquareSubsetEqual:HZ,NotSquareSuperset:qZ,NotSquareSupersetEqual:YZ,NotSubset:$Z,NotSubsetEqual:WZ,NotSucceeds:KZ,NotSucceedsEqual:jZ,NotSucceedsSlantEqual:QZ,NotSucceedsTilde:XZ,NotSuperset:ZZ,NotSupersetEqual:JZ,NotTilde:eJ,NotTildeEqual:tJ,NotTildeFullEqual:nJ,NotTildeTilde:iJ,NotVerticalBar:sJ,nparallel:rJ,npar:oJ,nparsl:aJ,npart:lJ,npolint:cJ,npr:dJ,nprcue:uJ,nprec:pJ,npreceq:_J,npre:hJ,nrarrc:fJ,nrarr:mJ,nrArr:gJ,nrarrw:bJ,nrightarrow:EJ,nRightarrow:vJ,nrtri:yJ,nrtrie:SJ,nsc:TJ,nsccue:xJ,nsce:CJ,Nscr:RJ,nscr:AJ,nshortmid:wJ,nshortparallel:NJ,nsim:OJ,nsime:IJ,nsimeq:MJ,nsmid:DJ,nspar:kJ,nsqsube:LJ,nsqsupe:PJ,nsub:UJ,nsubE:FJ,nsube:BJ,nsubset:GJ,nsubseteq:zJ,nsubseteqq:VJ,nsucc:HJ,nsucceq:qJ,nsup:YJ,nsupE:$J,nsupe:WJ,nsupset:KJ,nsupseteq:jJ,nsupseteqq:QJ,ntgl:XJ,Ntilde:ZJ,ntilde:JJ,ntlg:eee,ntriangleleft:tee,ntrianglelefteq:nee,ntriangleright:iee,ntrianglerighteq:see,Nu:ree,nu:oee,num:aee,numero:lee,numsp:cee,nvap:dee,nvdash:uee,nvDash:pee,nVdash:_ee,nVDash:hee,nvge:fee,nvgt:mee,nvHarr:gee,nvinfin:bee,nvlArr:Eee,nvle:vee,nvlt:yee,nvltrie:See,nvrArr:Tee,nvrtrie:xee,nvsim:Cee,nwarhk:Ree,nwarr:Aee,nwArr:wee,nwarrow:Nee,nwnear:Oee,Oacute:Iee,oacute:Mee,oast:Dee,Ocirc:kee,ocirc:Lee,ocir:Pee,Ocy:Uee,ocy:Fee,odash:Bee,Odblac:Gee,odblac:zee,odiv:Vee,odot:Hee,odsold:qee,OElig:Yee,oelig:$ee,ofcir:Wee,Ofr:Kee,ofr:jee,ogon:Qee,Ograve:Xee,ograve:Zee,ogt:Jee,ohbar:ete,ohm:tte,oint:nte,olarr:ite,olcir:ste,olcross:rte,oline:ote,olt:ate,Omacr:lte,omacr:cte,Omega:dte,omega:ute,Omicron:pte,omicron:_te,omid:hte,ominus:fte,Oopf:mte,oopf:gte,opar:bte,OpenCurlyDoubleQuote:Ete,OpenCurlyQuote:vte,operp:yte,oplus:Ste,orarr:Tte,Or:xte,or:Cte,ord:Rte,order:Ate,orderof:wte,ordf:Nte,ordm:Ote,origof:Ite,oror:Mte,orslope:Dte,orv:kte,oS:Lte,Oscr:Pte,oscr:Ute,Oslash:Fte,oslash:Bte,osol:Gte,Otilde:zte,otilde:Vte,otimesas:Hte,Otimes:qte,otimes:Yte,Ouml:$te,ouml:Wte,ovbar:Kte,OverBar:jte,OverBrace:Qte,OverBracket:Xte,OverParenthesis:Zte,para:Jte,parallel:ene,par:tne,parsim:nne,parsl:ine,part:sne,PartialD:rne,Pcy:one,pcy:ane,percnt:lne,period:cne,permil:dne,perp:une,pertenk:pne,Pfr:_ne,pfr:hne,Phi:fne,phi:mne,phiv:gne,phmmat:bne,phone:Ene,Pi:vne,pi:yne,pitchfork:Sne,piv:Tne,planck:xne,planckh:Cne,plankv:Rne,plusacir:Ane,plusb:wne,pluscir:Nne,plus:One,plusdo:Ine,plusdu:Mne,pluse:Dne,PlusMinus:kne,plusmn:Lne,plussim:Pne,plustwo:Une,pm:Fne,Poincareplane:Bne,pointint:Gne,popf:zne,Popf:Vne,pound:Hne,prap:qne,Pr:Yne,pr:$ne,prcue:Wne,precapprox:Kne,prec:jne,preccurlyeq:Qne,Precedes:Xne,PrecedesEqual:Zne,PrecedesSlantEqual:Jne,PrecedesTilde:eie,preceq:tie,precnapprox:nie,precneqq:iie,precnsim:sie,pre:rie,prE:oie,precsim:aie,prime:lie,Prime:cie,primes:die,prnap:uie,prnE:pie,prnsim:_ie,prod:hie,Product:fie,profalar:mie,profline:gie,profsurf:bie,prop:Eie,Proportional:vie,Proportion:yie,propto:Sie,prsim:Tie,prurel:xie,Pscr:Cie,pscr:Rie,Psi:Aie,psi:wie,puncsp:Nie,Qfr:Oie,qfr:Iie,qint:Mie,qopf:Die,Qopf:kie,qprime:Lie,Qscr:Pie,qscr:Uie,quaternions:Fie,quatint:Bie,quest:Gie,questeq:zie,quot:Vie,QUOT:Hie,rAarr:qie,race:Yie,Racute:$ie,racute:Wie,radic:Kie,raemptyv:jie,rang:Qie,Rang:Xie,rangd:Zie,range:Jie,rangle:ese,raquo:tse,rarrap:nse,rarrb:ise,rarrbfs:sse,rarrc:rse,rarr:ose,Rarr:ase,rArr:lse,rarrfs:cse,rarrhk:dse,rarrlp:use,rarrpl:pse,rarrsim:_se,Rarrtl:hse,rarrtl:fse,rarrw:mse,ratail:gse,rAtail:bse,ratio:Ese,rationals:vse,rbarr:yse,rBarr:Sse,RBarr:Tse,rbbrk:xse,rbrace:Cse,rbrack:Rse,rbrke:Ase,rbrksld:wse,rbrkslu:Nse,Rcaron:Ose,rcaron:Ise,Rcedil:Mse,rcedil:Dse,rceil:kse,rcub:Lse,Rcy:Pse,rcy:Use,rdca:Fse,rdldhar:Bse,rdquo:Gse,rdquor:zse,rdsh:Vse,real:Hse,realine:qse,realpart:Yse,reals:$se,Re:Wse,rect:Kse,reg:jse,REG:Qse,ReverseElement:Xse,ReverseEquilibrium:Zse,ReverseUpEquilibrium:Jse,rfisht:ere,rfloor:tre,rfr:nre,Rfr:ire,rHar:sre,rhard:rre,rharu:ore,rharul:are,Rho:lre,rho:cre,rhov:dre,RightAngleBracket:ure,RightArrowBar:pre,rightarrow:_re,RightArrow:hre,Rightarrow:fre,RightArrowLeftArrow:mre,rightarrowtail:gre,RightCeiling:bre,RightDoubleBracket:Ere,RightDownTeeVector:vre,RightDownVectorBar:yre,RightDownVector:Sre,RightFloor:Tre,rightharpoondown:xre,rightharpoonup:Cre,rightleftarrows:Rre,rightleftharpoons:Are,rightrightarrows:wre,rightsquigarrow:Nre,RightTeeArrow:Ore,RightTee:Ire,RightTeeVector:Mre,rightthreetimes:Dre,RightTriangleBar:kre,RightTriangle:Lre,RightTriangleEqual:Pre,RightUpDownVector:Ure,RightUpTeeVector:Fre,RightUpVectorBar:Bre,RightUpVector:Gre,RightVectorBar:zre,RightVector:Vre,ring:Hre,risingdotseq:qre,rlarr:Yre,rlhar:$re,rlm:Wre,rmoustache:Kre,rmoust:jre,rnmid:Qre,roang:Xre,roarr:Zre,robrk:Jre,ropar:eoe,ropf:toe,Ropf:noe,roplus:ioe,rotimes:soe,RoundImplies:roe,rpar:ooe,rpargt:aoe,rppolint:loe,rrarr:coe,Rrightarrow:doe,rsaquo:uoe,rscr:poe,Rscr:_oe,rsh:hoe,Rsh:foe,rsqb:moe,rsquo:goe,rsquor:boe,rthree:Eoe,rtimes:voe,rtri:yoe,rtrie:Soe,rtrif:Toe,rtriltri:xoe,RuleDelayed:Coe,ruluhar:Roe,rx:Aoe,Sacute:woe,sacute:Noe,sbquo:Ooe,scap:Ioe,Scaron:Moe,scaron:Doe,Sc:koe,sc:Loe,sccue:Poe,sce:Uoe,scE:Foe,Scedil:Boe,scedil:Goe,Scirc:zoe,scirc:Voe,scnap:Hoe,scnE:qoe,scnsim:Yoe,scpolint:$oe,scsim:Woe,Scy:Koe,scy:joe,sdotb:Qoe,sdot:Xoe,sdote:Zoe,searhk:Joe,searr:eae,seArr:tae,searrow:nae,sect:iae,semi:sae,seswar:rae,setminus:oae,setmn:aae,sext:lae,Sfr:cae,sfr:dae,sfrown:uae,sharp:pae,SHCHcy:_ae,shchcy:hae,SHcy:fae,shcy:mae,ShortDownArrow:gae,ShortLeftArrow:bae,shortmid:Eae,shortparallel:vae,ShortRightArrow:yae,ShortUpArrow:Sae,shy:Tae,Sigma:xae,sigma:Cae,sigmaf:Rae,sigmav:Aae,sim:wae,simdot:Nae,sime:Oae,simeq:Iae,simg:Mae,simgE:Dae,siml:kae,simlE:Lae,simne:Pae,simplus:Uae,simrarr:Fae,slarr:Bae,SmallCircle:Gae,smallsetminus:zae,smashp:Vae,smeparsl:Hae,smid:qae,smile:Yae,smt:$ae,smte:Wae,smtes:Kae,SOFTcy:jae,softcy:Qae,solbar:Xae,solb:Zae,sol:Jae,Sopf:ele,sopf:tle,spades:nle,spadesuit:ile,spar:sle,sqcap:rle,sqcaps:ole,sqcup:ale,sqcups:lle,Sqrt:cle,sqsub:dle,sqsube:ule,sqsubset:ple,sqsubseteq:_le,sqsup:hle,sqsupe:fle,sqsupset:mle,sqsupseteq:gle,square:ble,Square:Ele,SquareIntersection:vle,SquareSubset:yle,SquareSubsetEqual:Sle,SquareSuperset:Tle,SquareSupersetEqual:xle,SquareUnion:Cle,squarf:Rle,squ:Ale,squf:wle,srarr:Nle,Sscr:Ole,sscr:Ile,ssetmn:Mle,ssmile:Dle,sstarf:kle,Star:Lle,star:Ple,starf:Ule,straightepsilon:Fle,straightphi:Ble,strns:Gle,sub:zle,Sub:Vle,subdot:Hle,subE:qle,sube:Yle,subedot:$le,submult:Wle,subnE:Kle,subne:jle,subplus:Qle,subrarr:Xle,subset:Zle,Subset:Jle,subseteq:ece,subseteqq:tce,SubsetEqual:nce,subsetneq:ice,subsetneqq:sce,subsim:rce,subsub:oce,subsup:ace,succapprox:lce,succ:cce,succcurlyeq:dce,Succeeds:uce,SucceedsEqual:pce,SucceedsSlantEqual:_ce,SucceedsTilde:hce,succeq:fce,succnapprox:mce,succneqq:gce,succnsim:bce,succsim:Ece,SuchThat:vce,sum:yce,Sum:Sce,sung:Tce,sup1:xce,sup2:Cce,sup3:Rce,sup:Ace,Sup:wce,supdot:Nce,supdsub:Oce,supE:Ice,supe:Mce,supedot:Dce,Superset:kce,SupersetEqual:Lce,suphsol:Pce,suphsub:Uce,suplarr:Fce,supmult:Bce,supnE:Gce,supne:zce,supplus:Vce,supset:Hce,Supset:qce,supseteq:Yce,supseteqq:$ce,supsetneq:Wce,supsetneqq:Kce,supsim:jce,supsub:Qce,supsup:Xce,swarhk:Zce,swarr:Jce,swArr:ede,swarrow:tde,swnwar:nde,szlig:ide,Tab:sde,target:rde,Tau:ode,tau:ade,tbrk:lde,Tcaron:cde,tcaron:dde,Tcedil:ude,tcedil:pde,Tcy:_de,tcy:hde,tdot:fde,telrec:mde,Tfr:gde,tfr:bde,there4:Ede,therefore:vde,Therefore:yde,Theta:Sde,theta:Tde,thetasym:xde,thetav:Cde,thickapprox:Rde,thicksim:Ade,ThickSpace:wde,ThinSpace:Nde,thinsp:Ode,thkap:Ide,thksim:Mde,THORN:Dde,thorn:kde,tilde:Lde,Tilde:Pde,TildeEqual:Ude,TildeFullEqual:Fde,TildeTilde:Bde,timesbar:Gde,timesb:zde,times:Vde,timesd:Hde,tint:qde,toea:Yde,topbot:$de,topcir:Wde,top:Kde,Topf:jde,topf:Qde,topfork:Xde,tosa:Zde,tprime:Jde,trade:eue,TRADE:tue,triangle:nue,triangledown:iue,triangleleft:sue,trianglelefteq:rue,triangleq:oue,triangleright:aue,trianglerighteq:lue,tridot:cue,trie:due,triminus:uue,TripleDot:pue,triplus:_ue,trisb:hue,tritime:fue,trpezium:mue,Tscr:gue,tscr:bue,TScy:Eue,tscy:vue,TSHcy:yue,tshcy:Sue,Tstrok:Tue,tstrok:xue,twixt:Cue,twoheadleftarrow:Rue,twoheadrightarrow:Aue,Uacute:wue,uacute:Nue,uarr:Oue,Uarr:Iue,uArr:Mue,Uarrocir:Due,Ubrcy:kue,ubrcy:Lue,Ubreve:Pue,ubreve:Uue,Ucirc:Fue,ucirc:Bue,Ucy:Gue,ucy:zue,udarr:Vue,Udblac:Hue,udblac:que,udhar:Yue,ufisht:$ue,Ufr:Wue,ufr:Kue,Ugrave:jue,ugrave:Que,uHar:Xue,uharl:Zue,uharr:Jue,uhblk:epe,ulcorn:tpe,ulcorner:npe,ulcrop:ipe,ultri:spe,Umacr:rpe,umacr:ope,uml:ape,UnderBar:lpe,UnderBrace:cpe,UnderBracket:dpe,UnderParenthesis:upe,Union:ppe,UnionPlus:_pe,Uogon:hpe,uogon:fpe,Uopf:mpe,uopf:gpe,UpArrowBar:bpe,uparrow:Epe,UpArrow:vpe,Uparrow:ype,UpArrowDownArrow:Spe,updownarrow:Tpe,UpDownArrow:xpe,Updownarrow:Cpe,UpEquilibrium:Rpe,upharpoonleft:Ape,upharpoonright:wpe,uplus:Npe,UpperLeftArrow:Ope,UpperRightArrow:Ipe,upsi:Mpe,Upsi:Dpe,upsih:kpe,Upsilon:Lpe,upsilon:Ppe,UpTeeArrow:Upe,UpTee:Fpe,upuparrows:Bpe,urcorn:Gpe,urcorner:zpe,urcrop:Vpe,Uring:Hpe,uring:qpe,urtri:Ype,Uscr:$pe,uscr:Wpe,utdot:Kpe,Utilde:jpe,utilde:Qpe,utri:Xpe,utrif:Zpe,uuarr:Jpe,Uuml:e_e,uuml:t_e,uwangle:n_e,vangrt:i_e,varepsilon:s_e,varkappa:r_e,varnothing:o_e,varphi:a_e,varpi:l_e,varpropto:c_e,varr:d_e,vArr:u_e,varrho:p_e,varsigma:__e,varsubsetneq:h_e,varsubsetneqq:f_e,varsupsetneq:m_e,varsupsetneqq:g_e,vartheta:b_e,vartriangleleft:E_e,vartriangleright:v_e,vBar:y_e,Vbar:S_e,vBarv:T_e,Vcy:x_e,vcy:C_e,vdash:R_e,vDash:A_e,Vdash:w_e,VDash:N_e,Vdashl:O_e,veebar:I_e,vee:M_e,Vee:D_e,veeeq:k_e,vellip:L_e,verbar:P_e,Verbar:U_e,vert:F_e,Vert:B_e,VerticalBar:G_e,VerticalLine:z_e,VerticalSeparator:V_e,VerticalTilde:H_e,VeryThinSpace:q_e,Vfr:Y_e,vfr:$_e,vltri:W_e,vnsub:K_e,vnsup:j_e,Vopf:Q_e,vopf:X_e,vprop:Z_e,vrtri:J_e,Vscr:ehe,vscr:the,vsubnE:nhe,vsubne:ihe,vsupnE:she,vsupne:rhe,Vvdash:ohe,vzigzag:ahe,Wcirc:lhe,wcirc:che,wedbar:dhe,wedge:uhe,Wedge:phe,wedgeq:_he,weierp:hhe,Wfr:fhe,wfr:mhe,Wopf:ghe,wopf:bhe,wp:Ehe,wr:vhe,wreath:yhe,Wscr:She,wscr:The,xcap:xhe,xcirc:Che,xcup:Rhe,xdtri:Ahe,Xfr:whe,xfr:Nhe,xharr:Ohe,xhArr:Ihe,Xi:Mhe,xi:Dhe,xlarr:khe,xlArr:Lhe,xmap:Phe,xnis:Uhe,xodot:Fhe,Xopf:Bhe,xopf:Ghe,xoplus:zhe,xotime:Vhe,xrarr:Hhe,xrArr:qhe,Xscr:Yhe,xscr:$he,xsqcup:Whe,xuplus:Khe,xutri:jhe,xvee:Qhe,xwedge:Xhe,Yacute:Zhe,yacute:Jhe,YAcy:efe,yacy:tfe,Ycirc:nfe,ycirc:ife,Ycy:sfe,ycy:rfe,yen:ofe,Yfr:afe,yfr:lfe,YIcy:cfe,yicy:dfe,Yopf:ufe,yopf:pfe,Yscr:_fe,yscr:hfe,YUcy:ffe,yucy:mfe,yuml:gfe,Yuml:bfe,Zacute:Efe,zacute:vfe,Zcaron:yfe,zcaron:Sfe,Zcy:Tfe,zcy:xfe,Zdot:Cfe,zdot:Rfe,zeetrf:Afe,ZeroWidthSpace:wfe,Zeta:Nfe,zeta:Ofe,zfr:Ife,Zfr:Mfe,ZHcy:Dfe,zhcy:kfe,zigrarr:Lfe,zopf:Pfe,Zopf:Ufe,Zscr:Ffe,zscr:Bfe,zwj:Gfe,zwnj:zfe};var Kw=Vfe,sE=/[!-#%-\*,-\/:;\?@\[-\]_\{\}\xA1\xA7\xAB\xB6\xB7\xBB\xBF\u037E\u0387\u055A-\u055F\u0589\u058A\u05BE\u05C0\u05C3\u05C6\u05F3\u05F4\u0609\u060A\u060C\u060D\u061B\u061E\u061F\u066A-\u066D\u06D4\u0700-\u070D\u07F7-\u07F9\u0830-\u083E\u085E\u0964\u0965\u0970\u09FD\u0A76\u0AF0\u0C84\u0DF4\u0E4F\u0E5A\u0E5B\u0F04-\u0F12\u0F14\u0F3A-\u0F3D\u0F85\u0FD0-\u0FD4\u0FD9\u0FDA\u104A-\u104F\u10FB\u1360-\u1368\u1400\u166D\u166E\u169B\u169C\u16EB-\u16ED\u1735\u1736\u17D4-\u17D6\u17D8-\u17DA\u1800-\u180A\u1944\u1945\u1A1E\u1A1F\u1AA0-\u1AA6\u1AA8-\u1AAD\u1B5A-\u1B60\u1BFC-\u1BFF\u1C3B-\u1C3F\u1C7E\u1C7F\u1CC0-\u1CC7\u1CD3\u2010-\u2027\u2030-\u2043\u2045-\u2051\u2053-\u205E\u207D\u207E\u208D\u208E\u2308-\u230B\u2329\u232A\u2768-\u2775\u27C5\u27C6\u27E6-\u27EF\u2983-\u2998\u29D8-\u29DB\u29FC\u29FD\u2CF9-\u2CFC\u2CFE\u2CFF\u2D70\u2E00-\u2E2E\u2E30-\u2E4E\u3001-\u3003\u3008-\u3011\u3014-\u301F\u3030\u303D\u30A0\u30FB\uA4FE\uA4FF\uA60D-\uA60F\uA673\uA67E\uA6F2-\uA6F7\uA874-\uA877\uA8CE\uA8CF\uA8F8-\uA8FA\uA8FC\uA92E\uA92F\uA95F\uA9C1-\uA9CD\uA9DE\uA9DF\uAA5C-\uAA5F\uAADE\uAADF\uAAF0\uAAF1\uABEB\uFD3E\uFD3F\uFE10-\uFE19\uFE30-\uFE52\uFE54-\uFE61\uFE63\uFE68\uFE6A\uFE6B\uFF01-\uFF03\uFF05-\uFF0A\uFF0C-\uFF0F\uFF1A\uFF1B\uFF1F\uFF20\uFF3B-\uFF3D\uFF3F\uFF5B\uFF5D\uFF5F-\uFF65]|\uD800[\uDD00-\uDD02\uDF9F\uDFD0]|\uD801\uDD6F|\uD802[\uDC57\uDD1F\uDD3F\uDE50-\uDE58\uDE7F\uDEF0-\uDEF6\uDF39-\uDF3F\uDF99-\uDF9C]|\uD803[\uDF55-\uDF59]|\uD804[\uDC47-\uDC4D\uDCBB\uDCBC\uDCBE-\uDCC1\uDD40-\uDD43\uDD74\uDD75\uDDC5-\uDDC8\uDDCD\uDDDB\uDDDD-\uDDDF\uDE38-\uDE3D\uDEA9]|\uD805[\uDC4B-\uDC4F\uDC5B\uDC5D\uDCC6\uDDC1-\uDDD7\uDE41-\uDE43\uDE60-\uDE6C\uDF3C-\uDF3E]|\uD806[\uDC3B\uDE3F-\uDE46\uDE9A-\uDE9C\uDE9E-\uDEA2]|\uD807[\uDC41-\uDC45\uDC70\uDC71\uDEF7\uDEF8]|\uD809[\uDC70-\uDC74]|\uD81A[\uDE6E\uDE6F\uDEF5\uDF37-\uDF3B\uDF44]|\uD81B[\uDE97-\uDE9A]|\uD82F\uDC9F|\uD836[\uDE87-\uDE8B]|\uD83A[\uDD5E\uDD5F]/,Ya={},Uy={};function Hfe(n){var e,t,i=Uy[n];if(i)return i;for(i=Uy[n]=[],e=0;e<128;e++)t=String.fromCharCode(e),/^[0-9a-z]$/i.test(t)?i.push(t):i.push("%"+("0"+e.toString(16).toUpperCase()).slice(-2));for(e=0;e<n.length;e++)i[n.charCodeAt(e)]=n[e];return i}function Qu(n,e,t){var i,s,r,o,a,l="";for(typeof e!="string"&&(t=e,e=Qu.defaultChars),typeof t>"u"&&(t=!0),a=Hfe(e),i=0,s=n.length;i<s;i++){if(r=n.charCodeAt(i),t&&r===37&&i+2<s&&/^[0-9a-f]{2}$/i.test(n.slice(i+1,i+3))){l+=n.slice(i,i+3),i+=2;continue}if(r<128){l+=a[r];continue}if(r>=55296&&r<=57343){if(r>=55296&&r<=56319&&i+1<s&&(o=n.charCodeAt(i+1),o>=56320&&o<=57343)){l+=encodeURIComponent(n[i]+n[i+1]),i++;continue}l+="%EF%BF%BD";continue}l+=encodeURIComponent(n[i])}return l}Qu.defaultChars=";/?:@&=+$,-_.!~*'()#";Qu.componentChars="-_.!~*'()";var qfe=Qu,Fy={};function Yfe(n){var e,t,i=Fy[n];if(i)return i;for(i=Fy[n]=[],e=0;e<128;e++)t=String.fromCharCode(e),i.push(t);for(e=0;e<n.length;e++)t=n.charCodeAt(e),i[t]="%"+("0"+t.toString(16).toUpperCase()).slice(-2);return i}function Xu(n,e){var t;return typeof e!="string"&&(e=Xu.defaultChars),t=Yfe(e),n.replace(/(%[a-f0-9]{2})+/gi,function(i){var s,r,o,a,l,d,c,_="";for(s=0,r=i.length;s<r;s+=3){if(o=parseInt(i.slice(s+1,s+3),16),o<128){_+=t[o];continue}if((o&224)===192&&s+3<r&&(a=parseInt(i.slice(s+4,s+6),16),(a&192)===128)){c=o<<6&1984|a&63,c<128?_+="<22><>":_+=String.fromCharCode(c),s+=3;continue}if((o&240)===224&&s+6<r&&(a=parseInt(i.slice(s+4,s+6),16),l=parseInt(i.slice(s+7,s+9),16),(a&192)===128&&(l&192)===128)){c=o<<12&61440|a<<6&4032|l&63,c<2048||c>=55296&&c<=57343?_+="<22><><EFBFBD>":_+=String.fromCharCode(c),s+=6;continue}if((o&248)===240&&s+9<r&&(a=parseInt(i.slice(s+4,s+6),16),l=parseInt(i.slice(s+7,s+9),16),d=parseInt(i.slice(s+10,s+12),16),(a&192)===128&&(l&192)===128&&(d&192)===128)){c=o<<18&1835008|a<<12&258048|l<<6&4032|d&63,c<65536||c>1114111?_+="<22><><EFBFBD><EFBFBD>":(c-=65536,_+=String.fromCharCode(55296+(c>>10),56320+(c&1023))),s+=9;continue}_+="<22>"}return _})}Xu.defaultChars=";/?:@&=+$,#";Xu.componentChars="";var $fe=Xu,Wfe=function(e){var t="";return t+=e.protocol||"",t+=e.slashes?"//":"",t+=e.auth?e.auth+"@":"",e.hostname&&e.hostname.indexOf(":")!==-1?t+="["+e.hostname+"]":t+=e.hostname||"",t+=e.port?":"+e.port:"",t+=e.pathname||"",t+=e.search||"",t+=e.hash||"",t};function nu(){this.protocol=null,this.slashes=null,this.auth=null,this.port=null,this.hostname=null,this.hash=null,this.search=null,this.pathname=null}var Kfe=/^([a-z0-9.+-]+:)/i,jfe=/:[0-9]*$/,Qfe=/^(\/\/?(?!\/)[^\?\s]*)(\?[^\s]*)?$/,Xfe=["<",">",'"',"`"," ","\r",`
`," "],Zfe=["{","}","|","\\","^","`"].concat(Xfe),Jfe=["'"].concat(Zfe),By=["%","/","?",";","#"].concat(Jfe),Gy=["/","?","#"],eme=255,zy=/^[+a-z0-9A-Z_-]{0,63}$/,tme=/^([+a-z0-9A-Z_-]{0,63})(.*)$/,Vy={javascript:!0,"javascript:":!0},Hy={http:!0,https:!0,ftp:!0,gopher:!0,file:!0,"http:":!0,"https:":!0,"ftp:":!0,"gopher:":!0,"file:":!0};function nme(n,e){if(n&&n instanceof nu)return n;var t=new nu;return t.parse(n,e),t}nu.prototype.parse=function(n,e){var t,i,s,r,o,a=n;if(a=a.trim(),!e&&n.split("#").length===1){var l=Qfe.exec(a);if(l)return this.pathname=l[1],l[2]&&(this.search=l[2]),this}var d=Kfe.exec(a);if(d&&(d=d[0],s=d.toLowerCase(),this.protocol=d,a=a.substr(d.length)),(e||d||a.match(/^\/\/[^@\/]+@[^@\/]+/))&&(o=a.substr(0,2)==="//",o&&!(d&&Vy[d])&&(a=a.substr(2),this.slashes=!0)),!Vy[d]&&(o||d&&!Hy[d])){var c=-1;for(t=0;t<Gy.length;t++)r=a.indexOf(Gy[t]),r!==-1&&(c===-1||r<c)&&(c=r);var _,f;for(c===-1?f=a.lastIndexOf("@"):f=a.lastIndexOf("@",c),f!==-1&&(_=a.slice(0,f),a=a.slice(f+1),this.auth=_),c=-1,t=0;t<By.length;t++)r=a.indexOf(By[t]),r!==-1&&(c===-1||r<c)&&(c=r);c===-1&&(c=a.length),a[c-1]===":"&&c--;var m=a.slice(0,c);a=a.slice(c),this.parseHost(m),this.hostname=this.hostname||"";var h=this.hostname[0]==="["&&this.hostname[this.hostname.length-1]==="]";if(!h){var E=this.hostname.split(/\./);for(t=0,i=E.length;t<i;t++){var b=E[t];if(b&&!b.match(zy)){for(var g="",v=0,y=b.length;v<y;v++)b.charCodeAt(v)>127?g+="x":g+=b[v];if(!g.match(zy)){var T=E.slice(0,t),C=E.slice(t+1),x=b.match(tme);x&&(T.push(x[1]),C.unshift(x[2])),C.length&&(a=C.join(".")+a),this.hostname=T.join(".");break}}}}this.hostname.length>eme&&(this.hostname=""),h&&(this.hostname=this.hostname.substr(1,this.hostname.length-2))}var O=a.indexOf("#");O!==-1&&(this.hash=a.substr(O),a=a.slice(0,O));var R=a.indexOf("?");return R!==-1&&(this.search=a.substr(R),a=a.slice(0,R)),a&&(this.pathname=a),Hy[s]&&this.hostname&&!this.pathname&&(this.pathname=""),this};nu.prototype.parseHost=function(n){var e=jfe.exec(n);e&&(e=e[0],e!==":"&&(this.port=e.substr(1)),n=n.substr(0,n.length-e.length)),n&&(this.hostname=n)};var ime=nme;Ya.encode=qfe;Ya.decode=$fe;Ya.format=Wfe;Ya.parse=ime;var Fr={},Fp,qy;function jw(){return qy||(qy=1,Fp=/[\0-\uD7FF\uE000-\uFFFF]|[\uD800-\uDBFF][\uDC00-\uDFFF]|[\uD800-\uDBFF](?![\uDC00-\uDFFF])|(?:[^\uD800-\uDBFF]|^)[\uDC00-\uDFFF]/),Fp}var Bp,Yy;function Qw(){return Yy||(Yy=1,Bp=/[\0-\x1F\x7F-\x9F]/),Bp}var Gp,$y;function sme(){return $y||($y=1,Gp=/[\xAD\u0600-\u0605\u061C\u06DD\u070F\u08E2\u180E\u200B-\u200F\u202A-\u202E\u2060-\u2064\u2066-\u206F\uFEFF\uFFF9-\uFFFB]|\uD804[\uDCBD\uDCCD]|\uD82F[\uDCA0-\uDCA3]|\uD834[\uDD73-\uDD7A]|\uDB40[\uDC01\uDC20-\uDC7F]/),Gp}var zp,Wy;function Xw(){return Wy||(Wy=1,zp=/[ \xA0\u1680\u2000-\u200A\u2028\u2029\u202F\u205F\u3000]/),zp}var Ky;function rme(){return Ky||(Ky=1,Fr.Any=jw(),Fr.Cc=Qw(),Fr.Cf=sme(),Fr.P=sE,Fr.Z=Xw()),Fr}(function(n){function e(L){return Object.prototype.toString.call(L)}function t(L){return e(L)==="[object String]"}var i=Object.prototype.hasOwnProperty;function s(L,H){return i.call(L,H)}function r(L){var H=Array.prototype.slice.call(arguments,1);return H.forEach(function(G){if(G){if(typeof G!="object")throw new TypeError(G+"must be object");Object.keys(G).forEach(function(P){L[P]=G[P]})}}),L}function o(L,H,G){return[].concat(L.slice(0,H),G,L.slice(H+1))}function a(L){return!(L>=55296&&L<=57343||L>=64976&&L<=65007||(L&65535)===65535||(L&65535)===65534||L>=0&&L<=8||L===11||L>=14&&L<=31||L>=127&&L<=159||L>1114111)}function l(L){if(L>65535){L-=65536;var H=55296+(L>>10),G=56320+(L&1023);return String.fromCharCode(H,G)}return String.fromCharCode(L)}var d=/\\([!"#$%&'()*+,\-.\/:;<=>?@[\\\]^_`{|}~])/g,c=/&([a-z#][a-z0-9]{1,31});/gi,_=new RegExp(d.source+"|"+c.source,"gi"),f=/^#((?:x[a-f0-9]{1,8}|[0-9]{1,8}))$/i,m=Kw;function h(L,H){var G;return s(m,H)?m[H]:H.charCodeAt(0)===35&&f.test(H)&&(G=H[1].toLowerCase()==="x"?parseInt(H.slice(2),16):parseInt(H.slice(1),10),a(G))?l(G):L}function E(L){return L.indexOf("\\")<0?L:L.replace(d,"$1")}function b(L){return L.indexOf("\\")<0&&L.indexOf("&")<0?L:L.replace(_,function(H,G,P){return G||h(H,P)})}var g=/[&<>"]/,v=/[&<>"]/g,y={"&":"&amp;","<":"&lt;",">":"&gt;",'"':"&quot;"};function T(L){return y[L]}function C(L){return g.test(L)?L.replace(v,T):L}var x=/[.?*+^$[\]\\(){}|-]/g;function O(L){return L.replace(x,"\\$&")}function R(L){switch(L){case 9:case 32:return!0}return!1}function S(L){if(L>=8192&&L<=8202)return!0;switch(L){case 9:case 10:case 11:case 12:case 13:case 32:case 160:case 5760:case 8239:case 8287:case 12288:return!0}return!1}var A=sE;function U(L){return A.test(L)}function F(L){switch(L){case 33:case 34:case 35:case 36:case 37:case 38:case 39:case 40:case 41:case 42:case 43:case 44:case 45:case 46:case 47:case 58:case 59:case 60:case 61:case 62:case 63:case 64:case 91:case 92:case 93:case 94:case 95:case 96:case 123:case 124:case 125:case 126:return!0;default:return!1}}function K(L){return L=L.trim().replace(/\s+/g," "),"ẞ".toLowerCase()==="Ṿ"&&(L=L.replace(/ẞ/g,"ß")),L.toLowerCase().toUpperCase()}n.lib={},n.lib.mdurl=Ya,n.lib.ucmicro=rme(),n.assign=r,n.isString=t,n.has=s,n.unescapeMd=E,n.unescapeAll=b,n.isValidEntityCode=a,n.fromCodePoint=l,n.escapeHtml=C,n.arrayReplaceAt=o,n.isSpace=R,n.isWhiteSpace=S,n.isMdAsciiPunct=F,n.isPunctChar=U,n.escapeRE=O,n.normalizeReference=K})(Ut);var Zu={},ome=function(e,t,i){var s,r,o,a,l=-1,d=e.posMax,c=e.pos;for(e.pos=t+1,s=1;e.pos<d;){if(o=e.src.charCodeAt(e.pos),o===93&&(s--,s===0)){r=!0;break}if(a=e.pos,e.md.inline.skipToken(e),o===91){if(a===e.pos-1)s++;else if(i)return e.pos=c,-1}}return r&&(l=e.pos),e.pos=c,l},jy=Ut.unescapeAll,ame=function(e,t,i){var s,r,o=t,a={ok:!1,pos:0,lines:0,str:""};if(e.charCodeAt(o)===60){for(o++;o<i;){if(s=e.charCodeAt(o),s===10||s===60)return a;if(s===62)return a.pos=o+1,a.str=jy(e.slice(t+1,o)),a.ok=!0,a;if(s===92&&o+1<i){o+=2;continue}o++}return a}for(r=0;o<i&&(s=e.charCodeAt(o),!(s===32||s<32||s===127));){if(s===92&&o+1<i){if(e.charCodeAt(o+1)===32)break;o+=2;continue}if(s===40&&(r++,r>32))return a;if(s===41){if(r===0)break;r--}o++}return t===o||r!==0||(a.str=jy(e.slice(t,o)),a.pos=o,a.ok=!0),a},lme=Ut.unescapeAll,cme=function(e,t,i){var s,r,o=0,a=t,l={ok:!1,pos:0,lines:0,str:""};if(a>=i||(r=e.charCodeAt(a),r!==34&&r!==39&&r!==40))return l;for(a++,r===40&&(r=41);a<i;){if(s=e.charCodeAt(a),s===r)return l.pos=a+1,l.lines=o,l.str=lme(e.slice(t+1,a)),l.ok=!0,l;if(s===40&&r===41)return l;s===10?o++:s===92&&a+1<i&&(a++,e.charCodeAt(a)===10&&o++),a++}return l};Zu.parseLinkLabel=ome;Zu.parseLinkDestination=ame;Zu.parseLinkTitle=cme;var dme=Ut.assign,ume=Ut.unescapeAll,ho=Ut.escapeHtml,us={};us.code_inline=function(n,e,t,i,s){var r=n[e];return"<code"+s.renderAttrs(r)+">"+ho(r.content)+"</code>"};us.code_block=function(n,e,t,i,s){var r=n[e];return"<pre"+s.renderAttrs(r)+"><code>"+ho(n[e].content)+`</code></pre>
`};us.fence=function(n,e,t,i,s){var r=n[e],o=r.info?ume(r.info).trim():"",a="",l="",d,c,_,f,m;return o&&(_=o.split(/(\s+)/g),a=_[0],l=_.slice(2).join("")),t.highlight?d=t.highlight(r.content,a,l)||ho(r.content):d=ho(r.content),d.indexOf("<pre")===0?d+`
`:o?(c=r.attrIndex("class"),f=r.attrs?r.attrs.slice():[],c<0?f.push(["class",t.langPrefix+a]):(f[c]=f[c].slice(),f[c][1]+=" "+t.langPrefix+a),m={attrs:f},"<pre><code"+s.renderAttrs(m)+">"+d+`</code></pre>
`):"<pre><code"+s.renderAttrs(r)+">"+d+`</code></pre>
`};us.image=function(n,e,t,i,s){var r=n[e];return r.attrs[r.attrIndex("alt")][1]=s.renderInlineAsText(r.children,t,i),s.renderToken(n,e,t)};us.hardbreak=function(n,e,t){return t.xhtmlOut?`<br />
`:`<br>
`};us.softbreak=function(n,e,t){return t.breaks?t.xhtmlOut?`<br />
`:`<br>
`:`
`};us.text=function(n,e){return ho(n[e].content)};us.html_block=function(n,e){return n[e].content};us.html_inline=function(n,e){return n[e].content};function $a(){this.rules=dme({},us)}$a.prototype.renderAttrs=function(e){var t,i,s;if(!e.attrs)return"";for(s="",t=0,i=e.attrs.length;t<i;t++)s+=" "+ho(e.attrs[t][0])+'="'+ho(e.attrs[t][1])+'"';return s};$a.prototype.renderToken=function(e,t,i){var s,r="",o=!1,a=e[t];return a.hidden?"":(a.block&&a.nesting!==-1&&t&&e[t-1].hidden&&(r+=`
`),r+=(a.nesting===-1?"</":"<")+a.tag,r+=this.renderAttrs(a),a.nesting===0&&i.xhtmlOut&&(r+=" /"),a.block&&(o=!0,a.nesting===1&&t+1<e.length&&(s=e[t+1],(s.type==="inline"||s.hidden||s.nesting===-1&&s.tag===a.tag)&&(o=!1))),r+=o?`>
`:">",r)};$a.prototype.renderInline=function(n,e,t){for(var i,s="",r=this.rules,o=0,a=n.length;o<a;o++)i=n[o].type,typeof r[i]<"u"?s+=r[i](n,o,e,t,this):s+=this.renderToken(n,o,e);return s};$a.prototype.renderInlineAsText=function(n,e,t){for(var i="",s=0,r=n.length;s<r;s++)n[s].type==="text"?i+=n[s].content:n[s].type==="image"?i+=this.renderInlineAsText(n[s].children,e,t):n[s].type==="softbreak"&&(i+=`
`);return i};$a.prototype.render=function(n,e,t){var i,s,r,o="",a=this.rules;for(i=0,s=n.length;i<s;i++)r=n[i].type,r==="inline"?o+=this.renderInline(n[i].children,e,t):typeof a[r]<"u"?o+=a[r](n,i,e,t,this):o+=this.renderToken(n,i,e,t);return o};var pme=$a;function $i(){this.__rules__=[],this.__cache__=null}$i.prototype.__find__=function(n){for(var e=0;e<this.__rules__.length;e++)if(this.__rules__[e].name===n)return e;return-1};$i.prototype.__compile__=function(){var n=this,e=[""];n.__rules__.forEach(function(t){t.enabled&&t.alt.forEach(function(i){e.indexOf(i)<0&&e.push(i)})}),n.__cache__={},e.forEach(function(t){n.__cache__[t]=[],n.__rules__.forEach(function(i){i.enabled&&(t&&i.alt.indexOf(t)<0||n.__cache__[t].push(i.fn))})})};$i.prototype.at=function(n,e,t){var i=this.__find__(n),s=t||{};if(i===-1)throw new Error("Parser rule not found: "+n);this.__rules__[i].fn=e,this.__rules__[i].alt=s.alt||[],this.__cache__=null};$i.prototype.before=function(n,e,t,i){var s=this.__find__(n),r=i||{};if(s===-1)throw new Error("Parser rule not found: "+n);this.__rules__.splice(s,0,{name:e,enabled:!0,fn:t,alt:r.alt||[]}),this.__cache__=null};$i.prototype.after=function(n,e,t,i){var s=this.__find__(n),r=i||{};if(s===-1)throw new Error("Parser rule not found: "+n);this.__rules__.splice(s+1,0,{name:e,enabled:!0,fn:t,alt:r.alt||[]}),this.__cache__=null};$i.prototype.push=function(n,e,t){var i=t||{};this.__rules__.push({name:n,enabled:!0,fn:e,alt:i.alt||[]}),this.__cache__=null};$i.prototype.enable=function(n,e){Array.isArray(n)||(n=[n]);var t=[];return n.forEach(function(i){var s=this.__find__(i);if(s<0){if(e)return;throw new Error("Rules manager: invalid rule name "+i)}this.__rules__[s].enabled=!0,t.push(i)},this),this.__cache__=null,t};$i.prototype.enableOnly=function(n,e){Array.isArray(n)||(n=[n]),this.__rules__.forEach(function(t){t.enabled=!1}),this.enable(n,e)};$i.prototype.disable=function(n,e){Array.isArray(n)||(n=[n]);var t=[];return n.forEach(function(i){var s=this.__find__(i);if(s<0){if(e)return;throw new Error("Rules manager: invalid rule name "+i)}this.__rules__[s].enabled=!1,t.push(i)},this),this.__cache__=null,t};$i.prototype.getRules=function(n){return this.__cache__===null&&this.__compile__(),this.__cache__[n]||[]};var rE=$i,_me=/\r\n?|\n/g,hme=/\0/g,fme=function(e){var t;t=e.src.replace(_me,`
`),t=t.replace(hme,"<22>"),e.src=t},mme=function(e){var t;e.inlineMode?(t=new e.Token("inline","",0),t.content=e.src,t.map=[0,1],t.children=[],e.tokens.push(t)):e.md.block.parse(e.src,e.md,e.env,e.tokens)},gme=function(e){var t=e.tokens,i,s,r;for(s=0,r=t.length;s<r;s++)i=t[s],i.type==="inline"&&e.md.inline.parse(i.content,e.md,e.env,i.children)},bme=Ut.arrayReplaceAt;function Eme(n){return/^<a[>\s]/i.test(n)}function vme(n){return/^<\/a\s*>/i.test(n)}var yme=function(e){var t,i,s,r,o,a,l,d,c,_,f,m,h,E,b,g,v=e.tokens,y;if(e.md.options.linkify){for(i=0,s=v.length;i<s;i++)if(!(v[i].type!=="inline"||!e.md.linkify.pretest(v[i].content)))for(r=v[i].children,h=0,t=r.length-1;t>=0;t--){if(a=r[t],a.type==="link_close"){for(t--;r[t].level!==a.level&&r[t].type!=="link_open";)t--;continue}if(a.type==="html_inline"&&(Eme(a.content)&&h>0&&h--,vme(a.content)&&h++),!(h>0)&&a.type==="text"&&e.md.linkify.test(a.content)){for(c=a.content,y=e.md.linkify.match(c),l=[],m=a.level,f=0,y.length>0&&y[0].index===0&&t>0&&r[t-1].type==="text_special"&&(y=y.slice(1)),d=0;d<y.length;d++)E=y[d].url,b=e.md.normalizeLink(E),e.md.validateLink(b)&&(g=y[d].text,y[d].schema?y[d].schema==="mailto:"&&!/^mailto:/i.test(g)?g=e.md.normalizeLinkText("mailto:"+g).replace(/^mailto:/,""):g=e.md.normalizeLinkText(g):g=e.md.normalizeLinkText("http://"+g).replace(/^http:\/\//,""),_=y[d].index,_>f&&(o=new e.Token("text","",0),o.content=c.slice(f,_),o.level=m,l.push(o)),o=new e.Token("link_open","a",1),o.attrs=[["href",b]],o.level=m++,o.markup="linkify",o.info="auto",l.push(o),o=new e.Token("text","",0),o.content=g,o.level=m,l.push(o),o=new e.Token("link_close","a",-1),o.level=--m,o.markup="linkify",o.info="auto",l.push(o),f=y[d].lastIndex);f<c.length&&(o=new e.Token("text","",0),o.content=c.slice(f),o.level=m,l.push(o)),v[i].children=r=bme(r,t,l)}}}},Zw=/\+-|\.\.|\?\?\?\?|!!!!|,,|--/,Sme=/\((c|tm|r)\)/i,Tme=/\((c|tm|r)\)/ig,xme={c:"©",r:"®",tm:"™"};function Cme(n,e){return xme[e.toLowerCase()]}function Rme(n){var e,t,i=0;for(e=n.length-1;e>=0;e--)t=n[e],t.type==="text"&&!i&&(t.content=t.content.replace(Tme,Cme)),t.type==="link_open"&&t.info==="auto"&&i--,t.type==="link_close"&&t.info==="auto"&&i++}function Ame(n){var e,t,i=0;for(e=n.length-1;e>=0;e--)t=n[e],t.type==="text"&&!i&&Zw.test(t.content)&&(t.content=t.content.replace(/\+-/g,"±").replace(/\.{2,}/g,"…").replace(/([?!])…/g,"$1..").replace(/([?!]){4,}/g,"$1$1$1").replace(/,{2,}/g,",").replace(/(^|[^-])---(?=[^-]|$)/mg,"$1—").replace(/(^|\s)--(?=\s|$)/mg,"$1").replace(/(^|[^-\s])--(?=[^-\s]|$)/mg,"$1")),t.type==="link_open"&&t.info==="auto"&&i--,t.type==="link_close"&&t.info==="auto"&&i++}var wme=function(e){var t;if(e.md.options.typographer)for(t=e.tokens.length-1;t>=0;t--)e.tokens[t].type==="inline"&&(Sme.test(e.tokens[t].content)&&Rme(e.tokens[t].children),Zw.test(e.tokens[t].content)&&Ame(e.tokens[t].children))},Qy=Ut.isWhiteSpace,Xy=Ut.isPunctChar,Zy=Ut.isMdAsciiPunct,Nme=/['"]/,Jy=/['"]/g,eS="";function Pc(n,e,t){return n.slice(0,e)+t+n.slice(e+1)}function Ome(n,e){var t,i,s,r,o,a,l,d,c,_,f,m,h,E,b,g,v,y,T,C,x;for(T=[],t=0;t<n.length;t++){for(i=n[t],l=n[t].level,v=T.length-1;v>=0&&!(T[v].level<=l);v--);if(T.length=v+1,i.type==="text"){s=i.content,o=0,a=s.length;e:for(;o<a&&(Jy.lastIndex=o,r=Jy.exec(s),!!r);){if(b=g=!0,o=r.index+1,y=r[0]==="'",c=32,r.index-1>=0)c=s.charCodeAt(r.index-1);else for(v=t-1;v>=0&&!(n[v].type==="softbreak"||n[v].type==="hardbreak");v--)if(n[v].content){c=n[v].content.charCodeAt(n[v].content.length-1);break}if(_=32,o<a)_=s.charCodeAt(o);else for(v=t+1;v<n.length&&!(n[v].type==="softbreak"||n[v].type==="hardbreak");v++)if(n[v].content){_=n[v].content.charCodeAt(0);break}if(f=Zy(c)||Xy(String.fromCharCode(c)),m=Zy(_)||Xy(String.fromCharCode(_)),h=Qy(c),E=Qy(_),E?b=!1:m&&(h||f||(b=!1)),h?g=!1:f&&(E||m||(g=!1)),_===34&&r[0]==='"'&&c>=48&&c<=57&&(g=b=!1),b&&g&&(b=f,g=m),!b&&!g){y&&(i.content=Pc(i.content,r.index,eS));continue}if(g){for(v=T.length-1;v>=0&&(d=T[v],!(T[v].level<l));v--)if(d.single===y&&T[v].level===l){d=T[v],y?(C=e.md.options.quotes[2],x=e.md.options.quotes[3]):(C=e.md.options.quotes[0],x=e.md.options.quotes[1]),i.content=Pc(i.content,r.index,x),n[d.token].content=Pc(n[d.token].content,d.pos,C),o+=x.length-1,d.token===t&&(o+=C.length-1),s=i.content,a=s.length,T.length=v;continue e}}b?T.push({token:t,pos:r.index,single:y,level:l}):g&&y&&(i.content=Pc(i.content,r.index,eS))}}}}var Ime=function(e){var t;if(e.md.options.typographer)for(t=e.tokens.length-1;t>=0;t--)e.tokens[t].type!=="inline"||!Nme.test(e.tokens[t].content)||Ome(e.tokens[t].children,e)},Mme=function(e){var t,i,s,r,o,a,l=e.tokens;for(t=0,i=l.length;t<i;t++)if(l[t].type==="inline"){for(s=l[t].children,o=s.length,r=0;r<o;r++)s[r].type==="text_special"&&(s[r].type="text");for(r=a=0;r<o;r++)s[r].type==="text"&&r+1<o&&s[r+1].type==="text"?s[r+1].content=s[r].content+s[r+1].content:(r!==a&&(s[a]=s[r]),a++);r!==a&&(s.length=a)}};function Wa(n,e,t){this.type=n,this.tag=e,this.attrs=null,this.map=null,this.nesting=t,this.level=0,this.children=null,this.content="",this.markup="",this.info="",this.meta=null,this.block=!1,this.hidden=!1}Wa.prototype.attrIndex=function(e){var t,i,s;if(!this.attrs)return-1;for(t=this.attrs,i=0,s=t.length;i<s;i++)if(t[i][0]===e)return i;return-1};Wa.prototype.attrPush=function(e){this.attrs?this.attrs.push(e):this.attrs=[e]};Wa.prototype.attrSet=function(e,t){var i=this.attrIndex(e),s=[e,t];i<0?this.attrPush(s):this.attrs[i]=s};Wa.prototype.attrGet=function(e){var t=this.attrIndex(e),i=null;return t>=0&&(i=this.attrs[t][1]),i};Wa.prototype.attrJoin=function(e,t){var i=this.attrIndex(e);i<0?this.attrPush([e,t]):this.attrs[i][1]=this.attrs[i][1]+" "+t};var oE=Wa,Dme=oE;function Jw(n,e,t){this.src=n,this.env=t,this.tokens=[],this.inlineMode=!1,this.md=e}Jw.prototype.Token=Dme;var kme=Jw,Lme=rE,Vp=[["normalize",fme],["block",mme],["inline",gme],["linkify",yme],["replacements",wme],["smartquotes",Ime],["text_join",Mme]];function aE(){this.ruler=new Lme;for(var n=0;n<Vp.length;n++)this.ruler.push(Vp[n][0],Vp[n][1])}aE.prototype.process=function(n){var e,t,i;for(i=this.ruler.getRules(""),e=0,t=i.length;e<t;e++)i[e](n)};aE.prototype.State=kme;var Pme=aE,Hp=Ut.isSpace;function qp(n,e){var t=n.bMarks[e]+n.tShift[e],i=n.eMarks[e];return n.src.slice(t,i)}function tS(n){var e=[],t=0,i=n.length,s,r=!1,o=0,a="";for(s=n.charCodeAt(t);t<i;)s===124&&(r?(a+=n.substring(o,t-1),o=t):(e.push(a+n.substring(o,t)),a="",o=t+1)),r=s===92,t++,s=n.charCodeAt(t);return e.push(a+n.substring(o)),e}var Ume=function(e,t,i,s){var r,o,a,l,d,c,_,f,m,h,E,b,g,v,y,T,C,x;if(t+2>i||(c=t+1,e.sCount[c]<e.blkIndent)||e.sCount[c]-e.blkIndent>=4||(a=e.bMarks[c]+e.tShift[c],a>=e.eMarks[c])||(C=e.src.charCodeAt(a++),C!==124&&C!==45&&C!==58)||a>=e.eMarks[c]||(x=e.src.charCodeAt(a++),x!==124&&x!==45&&x!==58&&!Hp(x))||C===45&&Hp(x))return!1;for(;a<e.eMarks[c];){if(r=e.src.charCodeAt(a),r!==124&&r!==45&&r!==58&&!Hp(r))return!1;a++}for(o=qp(e,t+1),_=o.split("|"),h=[],l=0;l<_.length;l++){if(E=_[l].trim(),!E){if(l===0||l===_.length-1)continue;return!1}if(!/^:?-+:?$/.test(E))return!1;E.charCodeAt(E.length-1)===58?h.push(E.charCodeAt(0)===58?"center":"right"):E.charCodeAt(0)===58?h.push("left"):h.push("")}if(o=qp(e,t).trim(),o.indexOf("|")===-1||e.sCount[t]-e.blkIndent>=4||(_=tS(o),_.length&&_[0]===""&&_.shift(),_.length&&_[_.length-1]===""&&_.pop(),f=_.length,f===0||f!==h.length))return!1;if(s)return!0;for(v=e.parentType,e.parentType="table",T=e.md.block.ruler.getRules("blockquote"),m=e.push("table_open","table",1),m.map=b=[t,0],m=e.push("thead_open","thead",1),m.map=[t,t+1],m=e.push("tr_open","tr",1),m.map=[t,t+1],l=0;l<_.length;l++)m=e.push("th_open","th",1),h[l]&&(m.attrs=[["style","text-align:"+h[l]]]),m=e.push("inline","",0),m.content=_[l].trim(),m.children=[],m=e.push("th_close","th",-1);for(m=e.push("tr_close","tr",-1),m=e.push("thead_close","thead",-1),c=t+2;c<i&&!(e.sCount[c]<e.blkIndent);c++){for(y=!1,l=0,d=T.length;l<d;l++)if(T[l](e,c,i,!0)){y=!0;break}if(y||(o=qp(e,c).trim(),!o)||e.sCount[c]-e.blkIndent>=4)break;for(_=tS(o),_.length&&_[0]===""&&_.shift(),_.length&&_[_.length-1]===""&&_.pop(),c===t+2&&(m=e.push("tbody_open","tbody",1),m.map=g=[t+2,0]),m=e.push("tr_open","tr",1),m.map=[c,c+1],l=0;l<f;l++)m=e.push("td_open","td",1),h[l]&&(m.attrs=[["style","text-align:"+h[l]]]),m=e.push("inline","",0),m.content=_[l]?_[l].trim():"",m.children=[],m=e.push("td_close","td",-1);m=e.push("tr_close","tr",-1)}return g&&(m=e.push("tbody_close","tbody",-1),g[1]=c),m=e.push("table_close","table",-1),b[1]=c,e.parentType=v,e.line=c,!0},Fme=function(e,t,i){var s,r,o;if(e.sCount[t]-e.blkIndent<4)return!1;for(r=s=t+1;s<i;){if(e.isEmpty(s)){s++;continue}if(e.sCount[s]-e.blkIndent>=4){s++,r=s;continue}break}return e.line=r,o=e.push("code_block","code",0),o.content=e.getLines(t,r,4+e.blkIndent,!1)+`
`,o.map=[t,e.line],!0},Bme=function(e,t,i,s){var r,o,a,l,d,c,_,f=!1,m=e.bMarks[t]+e.tShift[t],h=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4||m+3>h||(r=e.src.charCodeAt(m),r!==126&&r!==96)||(d=m,m=e.skipChars(m,r),o=m-d,o<3)||(_=e.src.slice(d,m),a=e.src.slice(m,h),r===96&&a.indexOf(String.fromCharCode(r))>=0))return!1;if(s)return!0;for(l=t;l++,!(l>=i||(m=d=e.bMarks[l]+e.tShift[l],h=e.eMarks[l],m<h&&e.sCount[l]<e.blkIndent));)if(e.src.charCodeAt(m)===r&&!(e.sCount[l]-e.blkIndent>=4)&&(m=e.skipChars(m,r),!(m-d<o)&&(m=e.skipSpaces(m),!(m<h)))){f=!0;break}return o=e.sCount[t],e.line=l+(f?1:0),c=e.push("fence","code",0),c.info=a,c.content=e.getLines(t+1,l,o,!0),c.markup=_,c.map=[t,e.line],!0},Gme=Ut.isSpace,zme=function(e,t,i,s){var r,o,a,l,d,c,_,f,m,h,E,b,g,v,y,T,C,x,O,R,S=e.lineMax,A=e.bMarks[t]+e.tShift[t],U=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4||e.src.charCodeAt(A)!==62)return!1;if(s)return!0;for(h=[],E=[],v=[],y=[],x=e.md.block.ruler.getRules("blockquote"),g=e.parentType,e.parentType="blockquote",f=t;f<i&&(R=e.sCount[f]<e.blkIndent,A=e.bMarks[f]+e.tShift[f],U=e.eMarks[f],!(A>=U));f++){if(e.src.charCodeAt(A++)===62&&!R){for(l=e.sCount[f]+1,e.src.charCodeAt(A)===32?(A++,l++,r=!1,T=!0):e.src.charCodeAt(A)===9?(T=!0,(e.bsCount[f]+l)%4===3?(A++,l++,r=!1):r=!0):T=!1,m=l,h.push(e.bMarks[f]),e.bMarks[f]=A;A<U&&(o=e.src.charCodeAt(A),Gme(o));){o===9?m+=4-(m+e.bsCount[f]+(r?1:0))%4:m++;A++}c=A>=U,E.push(e.bsCount[f]),e.bsCount[f]=e.sCount[f]+1+(T?1:0),v.push(e.sCount[f]),e.sCount[f]=m-l,y.push(e.tShift[f]),e.tShift[f]=A-e.bMarks[f];continue}if(c)break;for(C=!1,a=0,d=x.length;a<d;a++)if(x[a](e,f,i,!0)){C=!0;break}if(C){e.lineMax=f,e.blkIndent!==0&&(h.push(e.bMarks[f]),E.push(e.bsCount[f]),y.push(e.tShift[f]),v.push(e.sCount[f]),e.sCount[f]-=e.blkIndent);break}h.push(e.bMarks[f]),E.push(e.bsCount[f]),y.push(e.tShift[f]),v.push(e.sCount[f]),e.sCount[f]=-1}for(b=e.blkIndent,e.blkIndent=0,O=e.push("blockquote_open","blockquote",1),O.markup=">",O.map=_=[t,0],e.md.block.tokenize(e,t,f),O=e.push("blockquote_close","blockquote",-1),O.markup=">",e.lineMax=S,e.parentType=g,_[1]=e.line,a=0;a<y.length;a++)e.bMarks[a+t]=h[a],e.tShift[a+t]=y[a],e.sCount[a+t]=v[a],e.bsCount[a+t]=E[a];return e.blkIndent=b,!0},Vme=Ut.isSpace,Hme=function(e,t,i,s){var r,o,a,l,d=e.bMarks[t]+e.tShift[t],c=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4||(r=e.src.charCodeAt(d++),r!==42&&r!==45&&r!==95))return!1;for(o=1;d<c;){if(a=e.src.charCodeAt(d++),a!==r&&!Vme(a))return!1;a===r&&o++}return o<3?!1:(s||(e.line=t+1,l=e.push("hr","hr",0),l.map=[t,e.line],l.markup=Array(o+1).join(String.fromCharCode(r))),!0)},eN=Ut.isSpace;function nS(n,e){var t,i,s,r;return i=n.bMarks[e]+n.tShift[e],s=n.eMarks[e],t=n.src.charCodeAt(i++),t!==42&&t!==45&&t!==43||i<s&&(r=n.src.charCodeAt(i),!eN(r))?-1:i}function iS(n,e){var t,i=n.bMarks[e]+n.tShift[e],s=i,r=n.eMarks[e];if(s+1>=r||(t=n.src.charCodeAt(s++),t<48||t>57))return-1;for(;;){if(s>=r)return-1;if(t=n.src.charCodeAt(s++),t>=48&&t<=57){if(s-i>=10)return-1;continue}if(t===41||t===46)break;return-1}return s<r&&(t=n.src.charCodeAt(s),!eN(t))?-1:s}function qme(n,e){var t,i,s=n.level+2;for(t=e+2,i=n.tokens.length-2;t<i;t++)n.tokens[t].level===s&&n.tokens[t].type==="paragraph_open"&&(n.tokens[t+2].hidden=!0,n.tokens[t].hidden=!0,t+=2)}var Yme=function(e,t,i,s){var r,o,a,l,d,c,_,f,m,h,E,b,g,v,y,T,C,x,O,R,S,A,U,F,K,L,H,G=t,P=!1,j=!0;if(e.sCount[G]-e.blkIndent>=4||e.listIndent>=0&&e.sCount[G]-e.listIndent>=4&&e.sCount[G]<e.blkIndent)return!1;if(s&&e.parentType==="paragraph"&&e.sCount[G]>=e.blkIndent&&(P=!0),(A=iS(e,G))>=0){if(_=!0,F=e.bMarks[G]+e.tShift[G],g=Number(e.src.slice(F,A-1)),P&&g!==1)return!1}else if((A=nS(e,G))>=0)_=!1;else return!1;if(P&&e.skipSpaces(A)>=e.eMarks[G])return!1;if(s)return!0;for(b=e.src.charCodeAt(A-1),E=e.tokens.length,_?(H=e.push("ordered_list_open","ol",1),g!==1&&(H.attrs=[["start",g]])):H=e.push("bullet_list_open","ul",1),H.map=h=[G,0],H.markup=String.fromCharCode(b),U=!1,L=e.md.block.ruler.getRules("list"),C=e.parentType,e.parentType="list";G<i;){for(S=A,v=e.eMarks[G],c=y=e.sCount[G]+A-(e.bMarks[G]+e.tShift[G]);S<v;){if(r=e.src.charCodeAt(S),r===9)y+=4-(y+e.bsCount[G])%4;else if(r===32)y++;else break;S++}if(o=S,o>=v?d=1:d=y-c,d>4&&(d=1),l=c+d,H=e.push("list_item_open","li",1),H.markup=String.fromCharCode(b),H.map=f=[G,0],_&&(H.info=e.src.slice(F,A-1)),R=e.tight,O=e.tShift[G],x=e.sCount[G],T=e.listIndent,e.listIndent=e.blkIndent,e.blkIndent=l,e.tight=!0,e.tShift[G]=o-e.bMarks[G],e.sCount[G]=y,o>=v&&e.isEmpty(G+1)?e.line=Math.min(e.line+2,i):e.md.block.tokenize(e,G,i,!0),(!e.tight||U)&&(j=!1),U=e.line-G>1&&e.isEmpty(e.line-1),e.blkIndent=e.listIndent,e.listIndent=T,e.tShift[G]=O,e.sCount[G]=x,e.tight=R,H=e.push("list_item_close","li",-1),H.markup=String.fromCharCode(b),G=e.line,f[1]=G,G>=i||e.sCount[G]<e.blkIndent||e.sCount[G]-e.blkIndent>=4)break;for(K=!1,a=0,m=L.length;a<m;a++)if(L[a](e,G,i,!0)){K=!0;break}if(K)break;if(_){if(A=iS(e,G),A<0)break;F=e.bMarks[G]+e.tShift[G]}else if(A=nS(e,G),A<0)break;if(b!==e.src.charCodeAt(A-1))break}return _?H=e.push("ordered_list_close","ol",-1):H=e.push("bullet_list_close","ul",-1),H.markup=String.fromCharCode(b),h[1]=G,e.line=G,e.parentType=C,j&&qme(e,E),!0},$me=Ut.normalizeReference,Uc=Ut.isSpace,Wme=function(e,t,i,s){var r,o,a,l,d,c,_,f,m,h,E,b,g,v,y,T,C=0,x=e.bMarks[t]+e.tShift[t],O=e.eMarks[t],R=t+1;if(e.sCount[t]-e.blkIndent>=4||e.src.charCodeAt(x)!==91)return!1;for(;++x<O;)if(e.src.charCodeAt(x)===93&&e.src.charCodeAt(x-1)!==92){if(x+1===O||e.src.charCodeAt(x+1)!==58)return!1;break}for(l=e.lineMax,y=e.md.block.ruler.getRules("reference"),h=e.parentType,e.parentType="reference";R<l&&!e.isEmpty(R);R++)if(!(e.sCount[R]-e.blkIndent>3)&&!(e.sCount[R]<0)){for(v=!1,c=0,_=y.length;c<_;c++)if(y[c](e,R,l,!0)){v=!0;break}if(v)break}for(g=e.getLines(t,R,e.blkIndent,!1).trim(),O=g.length,x=1;x<O;x++){if(r=g.charCodeAt(x),r===91)return!1;if(r===93){m=x;break}else r===10?C++:r===92&&(x++,x<O&&g.charCodeAt(x)===10&&C++)}if(m<0||g.charCodeAt(m+1)!==58)return!1;for(x=m+2;x<O;x++)if(r=g.charCodeAt(x),r===10)C++;else if(!Uc(r))break;if(E=e.md.helpers.parseLinkDestination(g,x,O),!E.ok||(d=e.md.normalizeLink(E.str),!e.md.validateLink(d)))return!1;for(x=E.pos,C+=E.lines,o=x,a=C,b=x;x<O;x++)if(r=g.charCodeAt(x),r===10)C++;else if(!Uc(r))break;for(E=e.md.helpers.parseLinkTitle(g,x,O),x<O&&b!==x&&E.ok?(T=E.str,x=E.pos,C+=E.lines):(T="",x=o,C=a);x<O&&(r=g.charCodeAt(x),!!Uc(r));)x++;if(x<O&&g.charCodeAt(x)!==10&&T)for(T="",x=o,C=a;x<O&&(r=g.charCodeAt(x),!!Uc(r));)x++;return x<O&&g.charCodeAt(x)!==10||(f=$me(g.slice(1,m)),!f)?!1:(s||(typeof e.env.references>"u"&&(e.env.references={}),typeof e.env.references[f]>"u"&&(e.env.references[f]={title:T,href:d}),e.parentType=h,e.line=t+C+1),!0)},Kme=["address","article","aside","base","basefont","blockquote","body","caption","center","col","colgroup","dd","details","dialog","dir","div","dl","dt","fieldset","figcaption","figure","footer","form","frame","frameset","h1","h2","h3","h4","h5","h6","head","header","hr","html","iframe","legend","li","link","main","menu","menuitem","nav","noframes","ol","optgroup","option","p","param","section","source","summary","table","tbody","td","tfoot","th","thead","title","tr","track","ul"],Ju={},jme="[a-zA-Z_:][a-zA-Z0-9:._-]*",Qme="[^\"'=<>`\\x00-\\x20]+",Xme="'[^']*'",Zme='"[^"]*"',Jme="(?:"+Qme+"|"+Xme+"|"+Zme+")",ege="(?:\\s+"+jme+"(?:\\s*=\\s*"+Jme+")?)",tN="<[A-Za-z][A-Za-z0-9\\-]*"+ege+"*\\s*\\/?>",nN="<\\/[A-Za-z][A-Za-z0-9\\-]*\\s*>",tge="<!---->|<!--(?:-?[^>-])(?:-?[^-])*-->",nge="<[?][\\s\\S]*?[?]>",ige="<![A-Z]+\\s+[^>]*>",sge="<!\\[CDATA\\[[\\s\\S]*?\\]\\]>",rge=new RegExp("^(?:"+tN+"|"+nN+"|"+tge+"|"+nge+"|"+ige+"|"+sge+")"),oge=new RegExp("^(?:"+tN+"|"+nN+")");Ju.HTML_TAG_RE=rge;Ju.HTML_OPEN_CLOSE_TAG_RE=oge;var age=Kme,lge=Ju.HTML_OPEN_CLOSE_TAG_RE,Ao=[[/^<(script|pre|style|textarea)(?=(\s|>|$))/i,/<\/(script|pre|style|textarea)>/i,!0],[/^<!--/,/-->/,!0],[/^<\?/,/\?>/,!0],[/^<![A-Z]/,/>/,!0],[/^<!\[CDATA\[/,/\]\]>/,!0],[new RegExp("^</?("+age.join("|")+")(?=(\\s|/?>|$))","i"),/^$/,!0],[new RegExp(lge.source+"\\s*$"),/^$/,!1]],cge=function(e,t,i,s){var r,o,a,l,d=e.bMarks[t]+e.tShift[t],c=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4||!e.md.options.html||e.src.charCodeAt(d)!==60)return!1;for(l=e.src.slice(d,c),r=0;r<Ao.length&&!Ao[r][0].test(l);r++);if(r===Ao.length)return!1;if(s)return Ao[r][2];if(o=t+1,!Ao[r][1].test(l)){for(;o<i&&!(e.sCount[o]<e.blkIndent);o++)if(d=e.bMarks[o]+e.tShift[o],c=e.eMarks[o],l=e.src.slice(d,c),Ao[r][1].test(l)){l.length!==0&&o++;break}}return e.line=o,a=e.push("html_block","",0),a.map=[t,o],a.content=e.getLines(t,o,e.blkIndent,!0),!0},sS=Ut.isSpace,dge=function(e,t,i,s){var r,o,a,l,d=e.bMarks[t]+e.tShift[t],c=e.eMarks[t];if(e.sCount[t]-e.blkIndent>=4||(r=e.src.charCodeAt(d),r!==35||d>=c))return!1;for(o=1,r=e.src.charCodeAt(++d);r===35&&d<c&&o<=6;)o++,r=e.src.charCodeAt(++d);return o>6||d<c&&!sS(r)?!1:(s||(c=e.skipSpacesBack(c,d),a=e.skipCharsBack(c,35,d),a>d&&sS(e.src.charCodeAt(a-1))&&(c=a),e.line=t+1,l=e.push("heading_open","h"+String(o),1),l.markup="########".slice(0,o),l.map=[t,e.line],l=e.push("inline","",0),l.content=e.src.slice(d,c).trim(),l.map=[t,e.line],l.children=[],l=e.push("heading_close","h"+String(o),-1),l.markup="########".slice(0,o)),!0)},uge=function(e,t,i){var s,r,o,a,l,d,c,_,f,m=t+1,h,E=e.md.block.ruler.getRules("paragraph");if(e.sCount[t]-e.blkIndent>=4)return!1;for(h=e.parentType,e.parentType="paragraph";m<i&&!e.isEmpty(m);m++)if(!(e.sCount[m]-e.blkIndent>3)){if(e.sCount[m]>=e.blkIndent&&(d=e.bMarks[m]+e.tShift[m],c=e.eMarks[m],d<c&&(f=e.src.charCodeAt(d),(f===45||f===61)&&(d=e.skipChars(d,f),d=e.skipSpaces(d),d>=c)))){_=f===61?1:2;break}if(!(e.sCount[m]<0)){for(r=!1,o=0,a=E.length;o<a;o++)if(E[o](e,m,i,!0)){r=!0;break}if(r)break}}return _?(s=e.getLines(t,m,e.blkIndent,!1).trim(),e.line=m+1,l=e.push("heading_open","h"+String(_),1),l.markup=String.fromCharCode(f),l.map=[t,e.line],l=e.push("inline","",0),l.content=s,l.map=[t,e.line-1],l.children=[],l=e.push("heading_close","h"+String(_),-1),l.markup=String.fromCharCode(f),e.parentType=h,!0):!1},pge=function(e,t,i){var s,r,o,a,l,d,c=t+1,_=e.md.block.ruler.getRules("paragraph");for(d=e.parentType,e.parentType="paragraph";c<i&&!e.isEmpty(c);c++)if(!(e.sCount[c]-e.blkIndent>3)&&!(e.sCount[c]<0)){for(r=!1,o=0,a=_.length;o<a;o++)if(_[o](e,c,i,!0)){r=!0;break}if(r)break}return s=e.getLines(t,c,e.blkIndent,!1).trim(),e.line=c,l=e.push("paragraph_open","p",1),l.map=[t,e.line],l=e.push("inline","",0),l.content=s,l.map=[t,e.line],l.children=[],l=e.push("paragraph_close","p",-1),e.parentType=d,!0},iN=oE,ep=Ut.isSpace;function ps(n,e,t,i){var s,r,o,a,l,d,c,_;for(this.src=n,this.md=e,this.env=t,this.tokens=i,this.bMarks=[],this.eMarks=[],this.tShift=[],this.sCount=[],this.bsCount=[],this.blkIndent=0,this.line=0,this.lineMax=0,this.tight=!1,this.ddIndent=-1,this.listIndent=-1,this.parentType="root",this.level=0,this.result="",r=this.src,_=!1,o=a=d=c=0,l=r.length;a<l;a++){if(s=r.charCodeAt(a),!_)if(ep(s)){d++,s===9?c+=4-c%4:c++;continue}else _=!0;(s===10||a===l-1)&&(s!==10&&a++,this.bMarks.push(o),this.eMarks.push(a),this.tShift.push(d),this.sCount.push(c),this.bsCount.push(0),_=!1,d=0,c=0,o=a+1)}this.bMarks.push(r.length),this.eMarks.push(r.length),this.tShift.push(0),this.sCount.push(0),this.bsCount.push(0),this.lineMax=this.bMarks.length-1}ps.prototype.push=function(n,e,t){var i=new iN(n,e,t);return i.block=!0,t<0&&this.level--,i.level=this.level,t>0&&this.level++,this.tokens.push(i),i};ps.prototype.isEmpty=function(e){return this.bMarks[e]+this.tShift[e]>=this.eMarks[e]};ps.prototype.skipEmptyLines=function(e){for(var t=this.lineMax;e<t&&!(this.bMarks[e]+this.tShift[e]<this.eMarks[e]);e++);return e};ps.prototype.skipSpaces=function(e){for(var t,i=this.src.length;e<i&&(t=this.src.charCodeAt(e),!!ep(t));e++);return e};ps.prototype.skipSpacesBack=function(e,t){if(e<=t)return e;for(;e>t;)if(!ep(this.src.charCodeAt(--e)))return e+1;return e};ps.prototype.skipChars=function(e,t){for(var i=this.src.length;e<i&&this.src.charCodeAt(e)===t;e++);return e};ps.prototype.skipCharsBack=function(e,t,i){if(e<=i)return e;for(;e>i;)if(t!==this.src.charCodeAt(--e))return e+1;return e};ps.prototype.getLines=function(e,t,i,s){var r,o,a,l,d,c,_,f=e;if(e>=t)return"";for(c=new Array(t-e),r=0;f<t;f++,r++){for(o=0,_=l=this.bMarks[f],f+1<t||s?d=this.eMarks[f]+1:d=this.eMarks[f];l<d&&o<i;){if(a=this.src.charCodeAt(l),ep(a))a===9?o+=4-(o+this.bsCount[f])%4:o++;else if(l-_<this.tShift[f])o++;else break;l++}o>i?c[r]=new Array(o-i+1).join(" ")+this.src.slice(l,d):c[r]=this.src.slice(l,d)}return c.join("")};ps.prototype.Token=iN;var _ge=ps,hge=rE,Fc=[["table",Ume,["paragraph","reference"]],["code",Fme],["fence",Bme,["paragraph","reference","blockquote","list"]],["blockquote",zme,["paragraph","reference","blockquote","list"]],["hr",Hme,["paragraph","reference","blockquote","list"]],["list",Yme,["paragraph","reference","blockquote"]],["reference",Wme],["html_block",cge,["paragraph","reference","blockquote"]],["heading",dge,["paragraph","reference","blockquote"]],["lheading",uge],["paragraph",pge]];function tp(){this.ruler=new hge;for(var n=0;n<Fc.length;n++)this.ruler.push(Fc[n][0],Fc[n][1],{alt:(Fc[n][2]||[]).slice()})}tp.prototype.tokenize=function(n,e,t){for(var i,s,r,o=this.ruler.getRules(""),a=o.length,l=e,d=!1,c=n.md.options.maxNesting;l<t&&(n.line=l=n.skipEmptyLines(l),!(l>=t||n.sCount[l]<n.blkIndent));){if(n.level>=c){n.line=t;break}for(r=n.line,s=0;s<a;s++)if(i=o[s](n,l,t,!1),i){if(r>=n.line)throw new Error("block rule didn't increment state.line");break}if(!i)throw new Error("none of the block rules matched");n.tight=!d,n.isEmpty(n.line-1)&&(d=!0),l=n.line,l<t&&n.isEmpty(l)&&(d=!0,l++,n.line=l)}};tp.prototype.parse=function(n,e,t,i){var s;n&&(s=new this.State(n,e,t,i),this.tokenize(s,s.line,s.lineMax))};tp.prototype.State=_ge;var fge=tp;function mge(n){switch(n){case 10:case 33:case 35:case 36:case 37:case 38:case 42:case 43:case 45:case 58:case 60:case 61:case 62:case 64:case 91:case 92:case 93:case 94:case 95:case 96:case 123:case 125:case 126:return!0;default:return!1}}var gge=function(e,t){for(var i=e.pos;i<e.posMax&&!mge(e.src.charCodeAt(i));)i++;return i===e.pos?!1:(t||(e.pending+=e.src.slice(e.pos,i)),e.pos=i,!0)},bge=/(?:^|[^a-z0-9.+-])([a-z][a-z0-9.+-]*)$/i,Ege=function(e,t){var i,s,r,o,a,l,d,c;return!e.md.options.linkify||e.linkLevel>0||(i=e.pos,s=e.posMax,i+3>s)||e.src.charCodeAt(i)!==58||e.src.charCodeAt(i+1)!==47||e.src.charCodeAt(i+2)!==47||(r=e.pending.match(bge),!r)||(o=r[1],a=e.md.linkify.matchAtStart(e.src.slice(i-o.length)),!a)||(l=a.url,l.length<=o.length)||(l=l.replace(/\*+$/,""),d=e.md.normalizeLink(l),!e.md.validateLink(d))?!1:(t||(e.pending=e.pending.slice(0,-o.length),c=e.push("link_open","a",1),c.attrs=[["href",d]],c.markup="linkify",c.info="auto",c=e.push("text","",0),c.content=e.md.normalizeLinkText(l),c=e.push("link_close","a",-1),c.markup="linkify",c.info="auto"),e.pos+=l.length-o.length,!0)},vge=Ut.isSpace,yge=function(e,t){var i,s,r,o=e.pos;if(e.src.charCodeAt(o)!==10)return!1;if(i=e.pending.length-1,s=e.posMax,!t)if(i>=0&&e.pending.charCodeAt(i)===32)if(i>=1&&e.pending.charCodeAt(i-1)===32){for(r=i-1;r>=1&&e.pending.charCodeAt(r-1)===32;)r--;e.pending=e.pending.slice(0,r),e.push("hardbreak","br",0)}else e.pending=e.pending.slice(0,-1),e.push("softbreak","br",0);else e.push("softbreak","br",0);for(o++;o<s&&vge(e.src.charCodeAt(o));)o++;return e.pos=o,!0},Sge=Ut.isSpace,lE=[];for(var rS=0;rS<256;rS++)lE.push(0);"\\!\"#$%&'()*+,./:;<=>?@[]^_`{|}~-".split("").forEach(function(n){lE[n.charCodeAt(0)]=1});var Tge=function(e,t){var i,s,r,o,a,l=e.pos,d=e.posMax;if(e.src.charCodeAt(l)!==92||(l++,l>=d))return!1;if(i=e.src.charCodeAt(l),i===10){for(t||e.push("hardbreak","br",0),l++;l<d&&(i=e.src.charCodeAt(l),!!Sge(i));)l++;return e.pos=l,!0}return o=e.src[l],i>=55296&&i<=56319&&l+1<d&&(s=e.src.charCodeAt(l+1),s>=56320&&s<=57343&&(o+=e.src[l+1],l++)),r="\\"+o,t||(a=e.push("text_special","",0),i<256&&lE[i]!==0?a.content=o:a.content=r,a.markup=r,a.info="escape"),e.pos=l+1,!0},xge=function(e,t){var i,s,r,o,a,l,d,c,_=e.pos,f=e.src.charCodeAt(_);if(f!==96)return!1;for(i=_,_++,s=e.posMax;_<s&&e.src.charCodeAt(_)===96;)_++;if(r=e.src.slice(i,_),d=r.length,e.backticksScanned&&(e.backticks[d]||0)<=i)return t||(e.pending+=r),e.pos+=d,!0;for(l=_;(a=e.src.indexOf("`",l))!==-1;){for(l=a+1;l<s&&e.src.charCodeAt(l)===96;)l++;if(c=l-a,c===d)return t||(o=e.push("code_inline","code",0),o.markup=r,o.content=e.src.slice(_,a).replace(/\n/g," ").replace(/^ (.+) $/,"$1")),e.pos=l,!0;e.backticks[c]=a}return e.backticksScanned=!0,t||(e.pending+=r),e.pos+=d,!0},np={};np.tokenize=function(e,t){var i,s,r,o,a,l=e.pos,d=e.src.charCodeAt(l);if(t||d!==126||(s=e.scanDelims(e.pos,!0),o=s.length,a=String.fromCharCode(d),o<2))return!1;for(o%2&&(r=e.push("text","",0),r.content=a,o--),i=0;i<o;i+=2)r=e.push("text","",0),r.content=a+a,e.delimiters.push({marker:d,length:0,token:e.tokens.length-1,end:-1,open:s.can_open,close:s.can_close});return e.pos+=s.length,!0};function oS(n,e){var t,i,s,r,o,a=[],l=e.length;for(t=0;t<l;t++)s=e[t],s.marker===126&&s.end!==-1&&(r=e[s.end],o=n.tokens[s.token],o.type="s_open",o.tag="s",o.nesting=1,o.markup="~~",o.content="",o=n.tokens[r.token],o.type="s_close",o.tag="s",o.nesting=-1,o.markup="~~",o.content="",n.tokens[r.token-1].type==="text"&&n.tokens[r.token-1].content==="~"&&a.push(r.token-1));for(;a.length;){for(t=a.pop(),i=t+1;i<n.tokens.length&&n.tokens[i].type==="s_close";)i++;i--,t!==i&&(o=n.tokens[i],n.tokens[i]=n.tokens[t],n.tokens[t]=o)}}np.postProcess=function(e){var t,i=e.tokens_meta,s=e.tokens_meta.length;for(oS(e,e.delimiters),t=0;t<s;t++)i[t]&&i[t].delimiters&&oS(e,i[t].delimiters)};var ip={};ip.tokenize=function(e,t){var i,s,r,o=e.pos,a=e.src.charCodeAt(o);if(t||a!==95&&a!==42)return!1;for(s=e.scanDelims(e.pos,a===42),i=0;i<s.length;i++)r=e.push("text","",0),r.content=String.fromCharCode(a),e.delimiters.push({marker:a,length:s.length,token:e.tokens.length-1,end:-1,open:s.can_open,close:s.can_close});return e.pos+=s.length,!0};function aS(n,e){var t,i,s,r,o,a,l=e.length;for(t=l-1;t>=0;t--)i=e[t],!(i.marker!==95&&i.marker!==42)&&i.end!==-1&&(s=e[i.end],a=t>0&&e[t-1].end===i.end+1&&e[t-1].marker===i.marker&&e[t-1].token===i.token-1&&e[i.end+1].token===s.token+1,o=String.fromCharCode(i.marker),r=n.tokens[i.token],r.type=a?"strong_open":"em_open",r.tag=a?"strong":"em",r.nesting=1,r.markup=a?o+o:o,r.content="",r=n.tokens[s.token],r.type=a?"strong_close":"em_close",r.tag=a?"strong":"em",r.nesting=-1,r.markup=a?o+o:o,r.content="",a&&(n.tokens[e[t-1].token].content="",n.tokens[e[i.end+1].token].content="",t--))}ip.postProcess=function(e){var t,i=e.tokens_meta,s=e.tokens_meta.length;for(aS(e,e.delimiters),t=0;t<s;t++)i[t]&&i[t].delimiters&&aS(e,i[t].delimiters)};var Cge=Ut.normalizeReference,Yp=Ut.isSpace,Rge=function(e,t){var i,s,r,o,a,l,d,c,_,f="",m="",h=e.pos,E=e.posMax,b=e.pos,g=!0;if(e.src.charCodeAt(e.pos)!==91||(a=e.pos+1,o=e.md.helpers.parseLinkLabel(e,e.pos,!0),o<0))return!1;if(l=o+1,l<E&&e.src.charCodeAt(l)===40){for(g=!1,l++;l<E&&(s=e.src.charCodeAt(l),!(!Yp(s)&&s!==10));l++);if(l>=E)return!1;if(b=l,d=e.md.helpers.parseLinkDestination(e.src,l,e.posMax),d.ok){for(f=e.md.normalizeLink(d.str),e.md.validateLink(f)?l=d.pos:f="",b=l;l<E&&(s=e.src.charCodeAt(l),!(!Yp(s)&&s!==10));l++);if(d=e.md.helpers.parseLinkTitle(e.src,l,e.posMax),l<E&&b!==l&&d.ok)for(m=d.str,l=d.pos;l<E&&(s=e.src.charCodeAt(l),!(!Yp(s)&&s!==10));l++);}(l>=E||e.src.charCodeAt(l)!==41)&&(g=!0),l++}if(g){if(typeof e.env.references>"u")return!1;if(l<E&&e.src.charCodeAt(l)===91?(b=l+1,l=e.md.helpers.parseLinkLabel(e,l),l>=0?r=e.src.slice(b,l++):l=o+1):l=o+1,r||(r=e.src.slice(a,o)),c=e.env.references[Cge(r)],!c)return e.pos=h,!1;f=c.href,m=c.title}return t||(e.pos=a,e.posMax=o,_=e.push("link_open","a",1),_.attrs=i=[["href",f]],m&&i.push(["title",m]),e.linkLevel++,e.md.inline.tokenize(e),e.linkLevel--,_=e.push("link_close","a",-1)),e.pos=l,e.posMax=E,!0},Age=Ut.normalizeReference,$p=Ut.isSpace,wge=function(e,t){var i,s,r,o,a,l,d,c,_,f,m,h,E,b="",g=e.pos,v=e.posMax;if(e.src.charCodeAt(e.pos)!==33||e.src.charCodeAt(e.pos+1)!==91||(l=e.pos+2,a=e.md.helpers.parseLinkLabel(e,e.pos+1,!1),a<0))return!1;if(d=a+1,d<v&&e.src.charCodeAt(d)===40){for(d++;d<v&&(s=e.src.charCodeAt(d),!(!$p(s)&&s!==10));d++);if(d>=v)return!1;for(E=d,_=e.md.helpers.parseLinkDestination(e.src,d,e.posMax),_.ok&&(b=e.md.normalizeLink(_.str),e.md.validateLink(b)?d=_.pos:b=""),E=d;d<v&&(s=e.src.charCodeAt(d),!(!$p(s)&&s!==10));d++);if(_=e.md.helpers.parseLinkTitle(e.src,d,e.posMax),d<v&&E!==d&&_.ok)for(f=_.str,d=_.pos;d<v&&(s=e.src.charCodeAt(d),!(!$p(s)&&s!==10));d++);else f="";if(d>=v||e.src.charCodeAt(d)!==41)return e.pos=g,!1;d++}else{if(typeof e.env.references>"u")return!1;if(d<v&&e.src.charCodeAt(d)===91?(E=d+1,d=e.md.helpers.parseLinkLabel(e,d),d>=0?o=e.src.slice(E,d++):d=a+1):d=a+1,o||(o=e.src.slice(l,a)),c=e.env.references[Age(o)],!c)return e.pos=g,!1;b=c.href,f=c.title}return t||(r=e.src.slice(l,a),e.md.inline.parse(r,e.md,e.env,h=[]),m=e.push("image","img",0),m.attrs=i=[["src",b],["alt",""]],m.children=h,m.content=r,f&&i.push(["title",f])),e.pos=d,e.posMax=v,!0},Nge=/^([a-zA-Z0-9.!#$%&'*+\/=?^_`{|}~-]+@[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)*)$/,Oge=/^([a-zA-Z][a-zA-Z0-9+.\-]{1,31}):([^<>\x00-\x20]*)$/,Ige=function(e,t){var i,s,r,o,a,l,d=e.pos;if(e.src.charCodeAt(d)!==60)return!1;for(a=e.pos,l=e.posMax;;){if(++d>=l||(o=e.src.charCodeAt(d),o===60))return!1;if(o===62)break}return i=e.src.slice(a+1,d),Oge.test(i)?(s=e.md.normalizeLink(i),e.md.validateLink(s)?(t||(r=e.push("link_open","a",1),r.attrs=[["href",s]],r.markup="autolink",r.info="auto",r=e.push("text","",0),r.content=e.md.normalizeLinkText(i),r=e.push("link_close","a",-1),r.markup="autolink",r.info="auto"),e.pos+=i.length+2,!0):!1):Nge.test(i)?(s=e.md.normalizeLink("mailto:"+i),e.md.validateLink(s)?(t||(r=e.push("link_open","a",1),r.attrs=[["href",s]],r.markup="autolink",r.info="auto",r=e.push("text","",0),r.content=e.md.normalizeLinkText(i),r=e.push("link_close","a",-1),r.markup="autolink",r.info="auto"),e.pos+=i.length+2,!0):!1):!1},Mge=Ju.HTML_TAG_RE;function Dge(n){return/^<a[>\s]/i.test(n)}function kge(n){return/^<\/a\s*>/i.test(n)}function Lge(n){var e=n|32;return e>=97&&e<=122}var Pge=function(e,t){var i,s,r,o,a=e.pos;return!e.md.options.html||(r=e.posMax,e.src.charCodeAt(a)!==60||a+2>=r)||(i=e.src.charCodeAt(a+1),i!==33&&i!==63&&i!==47&&!Lge(i))||(s=e.src.slice(a).match(Mge),!s)?!1:(t||(o=e.push("html_inline","",0),o.content=s[0],Dge(o.content)&&e.linkLevel++,kge(o.content)&&e.linkLevel--),e.pos+=s[0].length,!0)},lS=Kw,Uge=Ut.has,Fge=Ut.isValidEntityCode,cS=Ut.fromCodePoint,Bge=/^&#((?:x[a-f0-9]{1,6}|[0-9]{1,7}));/i,Gge=/^&([a-z][a-z0-9]{1,31});/i,zge=function(e,t){var i,s,r,o,a=e.pos,l=e.posMax;if(e.src.charCodeAt(a)!==38||a+1>=l)return!1;if(i=e.src.charCodeAt(a+1),i===35){if(r=e.src.slice(a).match(Bge),r)return t||(s=r[1][0].toLowerCase()==="x"?parseInt(r[1].slice(1),16):parseInt(r[1],10),o=e.push("text_special","",0),o.content=Fge(s)?cS(s):cS(65533),o.markup=r[0],o.info="entity"),e.pos+=r[0].length,!0}else if(r=e.src.slice(a).match(Gge),r&&Uge(lS,r[1]))return t||(o=e.push("text_special","",0),o.content=lS[r[1]],o.markup=r[0],o.info="entity"),e.pos+=r[0].length,!0;return!1};function dS(n){var e,t,i,s,r,o,a,l,d={},c=n.length;if(c){var _=0,f=-2,m=[];for(e=0;e<c;e++)if(i=n[e],m.push(0),(n[_].marker!==i.marker||f!==i.token-1)&&(_=e),f=i.token,i.length=i.length||0,!!i.close){for(d.hasOwnProperty(i.marker)||(d[i.marker]=[-1,-1,-1,-1,-1,-1]),r=d[i.marker][(i.open?3:0)+i.length%3],t=_-m[_]-1,o=t;t>r;t-=m[t]+1)if(s=n[t],s.marker===i.marker&&s.open&&s.end<0&&(a=!1,(s.close||i.open)&&(s.length+i.length)%3===0&&(s.length%3!==0||i.length%3!==0)&&(a=!0),!a)){l=t>0&&!n[t-1].open?m[t-1]+1:0,m[e]=e-t+l,m[t]=l,i.open=!1,s.end=e,s.close=!1,o=-1,f=-2;break}o!==-1&&(d[i.marker][(i.open?3:0)+(i.length||0)%3]=o)}}}var Vge=function(e){var t,i=e.tokens_meta,s=e.tokens_meta.length;for(dS(e.delimiters),t=0;t<s;t++)i[t]&&i[t].delimiters&&dS(i[t].delimiters)},Hge=function(e){var t,i,s=0,r=e.tokens,o=e.tokens.length;for(t=i=0;t<o;t++)r[t].nesting<0&&s--,r[t].level=s,r[t].nesting>0&&s++,r[t].type==="text"&&t+1<o&&r[t+1].type==="text"?r[t+1].content=r[t].content+r[t+1].content:(t!==i&&(r[i]=r[t]),i++);t!==i&&(r.length=i)},cE=oE,uS=Ut.isWhiteSpace,pS=Ut.isPunctChar,_S=Ut.isMdAsciiPunct;function mc(n,e,t,i){this.src=n,this.env=t,this.md=e,this.tokens=i,this.tokens_meta=Array(i.length),this.pos=0,this.posMax=this.src.length,this.level=0,this.pending="",this.pendingLevel=0,this.cache={},this.delimiters=[],this._prev_delimiters=[],this.backticks={},this.backticksScanned=!1,this.linkLevel=0}mc.prototype.pushPending=function(){var n=new cE("text","",0);return n.content=this.pending,n.level=this.pendingLevel,this.tokens.push(n),this.pending="",n};mc.prototype.push=function(n,e,t){this.pending&&this.pushPending();var i=new cE(n,e,t),s=null;return t<0&&(this.level--,this.delimiters=this._prev_delimiters.pop()),i.level=this.level,t>0&&(this.level++,this._prev_delimiters.push(this.delimiters),this.delimiters=[],s={delimiters:this.delimiters}),this.pendingLevel=this.level,this.tokens.push(i),this.tokens_meta.push(s),i};mc.prototype.scanDelims=function(n,e){var t=n,i,s,r,o,a,l,d,c,_,f=!0,m=!0,h=this.posMax,E=this.src.charCodeAt(n);for(i=n>0?this.src.charCodeAt(n-1):32;t<h&&this.src.charCodeAt(t)===E;)t++;return r=t-n,s=t<h?this.src.charCodeAt(t):32,d=_S(i)||pS(String.fromCharCode(i)),_=_S(s)||pS(String.fromCharCode(s)),l=uS(i),c=uS(s),c?f=!1:_&&(l||d||(f=!1)),l?m=!1:d&&(c||_||(m=!1)),e?(o=f,a=m):(o=f&&(!m||d),a=m&&(!f||_)),{can_open:o,can_close:a,length:r}};mc.prototype.Token=cE;var qge=mc,hS=rE,Wp=[["text",gge],["linkify",Ege],["newline",yge],["escape",Tge],["backticks",xge],["strikethrough",np.tokenize],["emphasis",ip.tokenize],["link",Rge],["image",wge],["autolink",Ige],["html_inline",Pge],["entity",zge]],Kp=[["balance_pairs",Vge],["strikethrough",np.postProcess],["emphasis",ip.postProcess],["fragments_join",Hge]];function gc(){var n;for(this.ruler=new hS,n=0;n<Wp.length;n++)this.ruler.push(Wp[n][0],Wp[n][1]);for(this.ruler2=new hS,n=0;n<Kp.length;n++)this.ruler2.push(Kp[n][0],Kp[n][1])}gc.prototype.skipToken=function(n){var e,t,i=n.pos,s=this.ruler.getRules(""),r=s.length,o=n.md.options.maxNesting,a=n.cache;if(typeof a[i]<"u"){n.pos=a[i];return}if(n.level<o){for(t=0;t<r;t++)if(n.level++,e=s[t](n,!0),n.level--,e){if(i>=n.pos)throw new Error("inline rule didn't increment state.pos");break}}else n.pos=n.posMax;e||n.pos++,a[i]=n.pos};gc.prototype.tokenize=function(n){for(var e,t,i,s=this.ruler.getRules(""),r=s.length,o=n.posMax,a=n.md.options.maxNesting;n.pos<o;){if(i=n.pos,n.level<a){for(t=0;t<r;t++)if(e=s[t](n,!1),e){if(i>=n.pos)throw new Error("inline rule didn't increment state.pos");break}}if(e){if(n.pos>=o)break;continue}n.pending+=n.src[n.pos++]}n.pending&&n.pushPending()};gc.prototype.parse=function(n,e,t,i){var s,r,o,a=new this.State(n,e,t,i);for(this.tokenize(a),r=this.ruler2.getRules(""),o=r.length,s=0;s<o;s++)r[s](a)};gc.prototype.State=qge;var Yge=gc,jp,fS;function $ge(){return fS||(fS=1,jp=function(n){var e={};n=n||{},e.src_Any=jw().source,e.src_Cc=Qw().source,e.src_Z=Xw().source,e.src_P=sE.source,e.src_ZPCc=[e.src_Z,e.src_P,e.src_Cc].join("|"),e.src_ZCc=[e.src_Z,e.src_Cc].join("|");var t="[><]";return e.src_pseudo_letter="(?:(?!"+t+"|"+e.src_ZPCc+")"+e.src_Any+")",e.src_ip4="(?:(25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)\\.){3}(25[0-5]|2[0-4][0-9]|[01]?[0-9][0-9]?)",e.src_auth="(?:(?:(?!"+e.src_ZCc+"|[@/\\[\\]()]).)+@)?",e.src_port="(?::(?:6(?:[0-4]\\d{3}|5(?:[0-4]\\d{2}|5(?:[0-2]\\d|3[0-5])))|[1-5]?\\d{1,4}))?",e.src_host_terminator="(?=$|"+t+"|"+e.src_ZPCc+")(?!"+(n["---"]?"-(?!--)|":"-|")+"_|:\\d|\\.-|\\.(?!$|"+e.src_ZPCc+"))",e.src_path="(?:[/?#](?:(?!"+e.src_ZCc+"|"+t+`|[()[\\]{}.,"'?!\\-;]).|\\[(?:(?!`+e.src_ZCc+"|\\]).)*\\]|\\((?:(?!"+e.src_ZCc+"|[)]).)*\\)|\\{(?:(?!"+e.src_ZCc+'|[}]).)*\\}|\\"(?:(?!'+e.src_ZCc+`|["]).)+\\"|\\'(?:(?!`+e.src_ZCc+"|[']).)+\\'|\\'(?="+e.src_pseudo_letter+"|[-])|\\.{2,}[a-zA-Z0-9%/&]|\\.(?!"+e.src_ZCc+"|[.]|$)|"+(n["---"]?"\\-(?!--(?:[^-]|$))(?:-*)|":"\\-+|")+",(?!"+e.src_ZCc+"|$)|;(?!"+e.src_ZCc+"|$)|\\!+(?!"+e.src_ZCc+"|[!]|$)|\\?(?!"+e.src_ZCc+"|[?]|$))+|\\/)?",e.src_email_name='[\\-;:&=\\+\\$,\\.a-zA-Z0-9_][\\-;:&=\\+\\$,\\"\\.a-zA-Z0-9_]*',e.src_xn="xn--[a-z0-9\\-]{1,59}",e.src_domain_root="(?:"+e.src_xn+"|"+e.src_pseudo_letter+"{1,63})",e.src_domain="(?:"+e.src_xn+"|(?:"+e.src_pseudo_letter+")|(?:"+e.src_pseudo_letter+"(?:-|"+e.src_pseudo_letter+"){0,61}"+e.src_pseudo_letter+"))",e.src_host="(?:(?:(?:(?:"+e.src_domain+")\\.)*"+e.src_domain+"))",e.tpl_host_fuzzy="(?:"+e.src_ip4+"|(?:(?:(?:"+e.src_domain+")\\.)+(?:%TLDS%)))",e.tpl_host_no_ip_fuzzy="(?:(?:(?:"+e.src_domain+")\\.)+(?:%TLDS%))",e.src_host_strict=e.src_host+e.src_host_terminator,e.tpl_host_fuzzy_strict=e.tpl_host_fuzzy+e.src_host_terminator,e.src_host_port_strict=e.src_host+e.src_port+e.src_host_terminator,e.tpl_host_port_fuzzy_strict=e.tpl_host_fuzzy+e.src_port+e.src_host_terminator,e.tpl_host_port_no_ip_fuzzy_strict=e.tpl_host_no_ip_fuzzy+e.src_port+e.src_host_terminator,e.tpl_host_fuzzy_test="localhost|www\\.|\\.\\d{1,3}\\.|(?:\\.(?:%TLDS%)(?:"+e.src_ZPCc+"|>|$))",e.tpl_email_fuzzy="(^|"+t+'|"|\\(|'+e.src_ZCc+")("+e.src_email_name+"@"+e.tpl_host_fuzzy_strict+")",e.tpl_link_fuzzy="(^|(?![.:/\\-_@])(?:[$+<=>^`|]|"+e.src_ZPCc+"))((?![$+<=>^`|])"+e.tpl_host_port_fuzzy_strict+e.src_path+")",e.tpl_link_no_ip_fuzzy="(^|(?![.:/\\-_@])(?:[$+<=>^`|]|"+e.src_ZPCc+"))((?![$+<=>^`|])"+e.tpl_host_port_no_ip_fuzzy_strict+e.src_path+")",e}),jp}function Hg(n){var e=Array.prototype.slice.call(arguments,1);return e.forEach(function(t){t&&Object.keys(t).forEach(function(i){n[i]=t[i]})}),n}function sp(n){return Object.prototype.toString.call(n)}function Wge(n){return sp(n)==="[object String]"}function Kge(n){return sp(n)==="[object Object]"}function jge(n){return sp(n)==="[object RegExp]"}function mS(n){return sp(n)==="[object Function]"}function Qge(n){return n.replace(/[.?*+^$[\]\\(){}|-]/g,"\\$&")}var sN={fuzzyLink:!0,fuzzyEmail:!0,fuzzyIP:!1};function Xge(n){return Object.keys(n||{}).reduce(function(e,t){return e||sN.hasOwnProperty(t)},!1)}var Zge={"http:":{validate:function(n,e,t){var i=n.slice(e);return t.re.http||(t.re.http=new RegExp("^\\/\\/"+t.re.src_auth+t.re.src_host_port_strict+t.re.src_path,"i")),t.re.http.test(i)?i.match(t.re.http)[0].length:0}},"https:":"http:","ftp:":"http:","//":{validate:function(n,e,t){var i=n.slice(e);return t.re.no_http||(t.re.no_http=new RegExp("^"+t.re.src_auth+"(?:localhost|(?:(?:"+t.re.src_domain+")\\.)+"+t.re.src_domain_root+")"+t.re.src_port+t.re.src_host_terminator+t.re.src_path,"i")),t.re.no_http.test(i)?e>=3&&n[e-3]===":"||e>=3&&n[e-3]==="/"?0:i.match(t.re.no_http)[0].length:0}},"mailto:":{validate:function(n,e,t){var i=n.slice(e);return t.re.mailto||(t.re.mailto=new RegExp("^"+t.re.src_email_name+"@"+t.re.src_host_strict,"i")),t.re.mailto.test(i)?i.match(t.re.mailto)[0].length:0}}},Jge="a[cdefgilmnoqrstuwxz]|b[abdefghijmnorstvwyz]|c[acdfghiklmnoruvwxyz]|d[ejkmoz]|e[cegrstu]|f[ijkmor]|g[abdefghilmnpqrstuwy]|h[kmnrtu]|i[delmnoqrst]|j[emop]|k[eghimnprwyz]|l[abcikrstuvy]|m[acdeghklmnopqrstuvwxyz]|n[acefgilopruz]|om|p[aefghklmnrstwy]|qa|r[eosuw]|s[abcdeghijklmnortuvxyz]|t[cdfghjklmnortvwz]|u[agksyz]|v[aceginu]|w[fs]|y[et]|z[amw]",ebe="biz|com|edu|gov|net|org|pro|web|xxx|aero|asia|coop|info|museum|name|shop|рф".split("|");function tbe(n){n.__index__=-1,n.__text_cache__=""}function nbe(n){return function(e,t){var i=e.slice(t);return n.test(i)?i.match(n)[0].length:0}}function gS(){return function(n,e){e.normalize(n)}}function iu(n){var e=n.re=$ge()(n.__opts__),t=n.__tlds__.slice();n.onCompile(),n.__tlds_replaced__||t.push(Jge),t.push(e.src_xn),e.src_tlds=t.join("|");function i(a){return a.replace("%TLDS%",e.src_tlds)}e.email_fuzzy=RegExp(i(e.tpl_email_fuzzy),"i"),e.link_fuzzy=RegExp(i(e.tpl_link_fuzzy),"i"),e.link_no_ip_fuzzy=RegExp(i(e.tpl_link_no_ip_fuzzy),"i"),e.host_fuzzy_test=RegExp(i(e.tpl_host_fuzzy_test),"i");var s=[];n.__compiled__={};function r(a,l){throw new Error('(LinkifyIt) Invalid schema "'+a+'": '+l)}Object.keys(n.__schemas__).forEach(function(a){var l=n.__schemas__[a];if(l!==null){var d={validate:null,link:null};if(n.__compiled__[a]=d,Kge(l)){jge(l.validate)?d.validate=nbe(l.validate):mS(l.validate)?d.validate=l.validate:r(a,l),mS(l.normalize)?d.normalize=l.normalize:l.normalize?r(a,l):d.normalize=gS();return}if(Wge(l)){s.push(a);return}r(a,l)}}),s.forEach(function(a){n.__compiled__[n.__schemas__[a]]&&(n.__compiled__[a].validate=n.__compiled__[n.__schemas__[a]].validate,n.__compiled__[a].normalize=n.__compiled__[n.__schemas__[a]].normalize)}),n.__compiled__[""]={validate:null,normalize:gS()};var o=Object.keys(n.__compiled__).filter(function(a){return a.length>0&&n.__compiled__[a]}).map(Qge).join("|");n.re.schema_test=RegExp("(^|(?!_)(?:[><]|"+e.src_ZPCc+"))("+o+")","i"),n.re.schema_search=RegExp("(^|(?!_)(?:[><]|"+e.src_ZPCc+"))("+o+")","ig"),n.re.schema_at_start=RegExp("^"+n.re.schema_search.source,"i"),n.re.pretest=RegExp("("+n.re.schema_test.source+")|("+n.re.host_fuzzy_test.source+")|@","i"),tbe(n)}function ibe(n,e){var t=n.__index__,i=n.__last_index__,s=n.__text_cache__.slice(t,i);this.schema=n.__schema__.toLowerCase(),this.index=t+e,this.lastIndex=i+e,this.raw=s,this.text=s,this.url=s}function qg(n,e){var t=new ibe(n,e);return n.__compiled__[t.schema].normalize(t,n),t}function oi(n,e){if(!(this instanceof oi))return new oi(n,e);e||Xge(n)&&(e=n,n={}),this.__opts__=Hg({},sN,e),this.__index__=-1,this.__last_index__=-1,this.__schema__="",this.__text_cache__="",this.__schemas__=Hg({},Zge,n),this.__compiled__={},this.__tlds__=ebe,this.__tlds_replaced__=!1,this.re={},iu(this)}oi.prototype.add=function(e,t){return this.__schemas__[e]=t,iu(this),this};oi.prototype.set=function(e){return this.__opts__=Hg(this.__opts__,e),this};oi.prototype.test=function(e){if(this.__text_cache__=e,this.__index__=-1,!e.length)return!1;var t,i,s,r,o,a,l,d,c;if(this.re.schema_test.test(e)){for(l=this.re.schema_search,l.lastIndex=0;(t=l.exec(e))!==null;)if(r=this.testSchemaAt(e,t[2],l.lastIndex),r){this.__schema__=t[2],this.__index__=t.index+t[1].length,this.__last_index__=t.index+t[0].length+r;break}}return this.__opts__.fuzzyLink&&this.__compiled__["http:"]&&(d=e.search(this.re.host_fuzzy_test),d>=0&&(this.__index__<0||d<this.__index__)&&(i=e.match(this.__opts__.fuzzyIP?this.re.link_fuzzy:this.re.link_no_ip_fuzzy))!==null&&(o=i.index+i[1].length,(this.__index__<0||o<this.__index__)&&(this.__schema__="",this.__index__=o,this.__last_index__=i.index+i[0].length))),this.__opts__.fuzzyEmail&&this.__compiled__["mailto:"]&&(c=e.indexOf("@"),c>=0&&(s=e.match(this.re.email_fuzzy))!==null&&(o=s.index+s[1].length,a=s.index+s[0].length,(this.__index__<0||o<this.__index__||o===this.__index__&&a>this.__last_index__)&&(this.__schema__="mailto:",this.__index__=o,this.__last_index__=a))),this.__index__>=0};oi.prototype.pretest=function(e){return this.re.pretest.test(e)};oi.prototype.testSchemaAt=function(e,t,i){return this.__compiled__[t.toLowerCase()]?this.__compiled__[t.toLowerCase()].validate(e,i,this):0};oi.prototype.match=function(e){var t=0,i=[];this.__index__>=0&&this.__text_cache__===e&&(i.push(qg(this,t)),t=this.__last_index__);for(var s=t?e.slice(t):e;this.test(s);)i.push(qg(this,t)),s=s.slice(this.__last_index__),t+=this.__last_index__;return i.length?i:null};oi.prototype.matchAtStart=function(e){if(this.__text_cache__=e,this.__index__=-1,!e.length)return null;var t=this.re.schema_at_start.exec(e);if(!t)return null;var i=this.testSchemaAt(e,t[2],t[0].length);return i?(this.__schema__=t[2],this.__index__=t.index+t[1].length,this.__last_index__=t.index+t[0].length+i,qg(this,0)):null};oi.prototype.tlds=function(e,t){return e=Array.isArray(e)?e:[e],t?(this.__tlds__=this.__tlds__.concat(e).sort().filter(function(i,s,r){return i!==r[s-1]}).reverse(),iu(this),this):(this.__tlds__=e.slice(),this.__tlds_replaced__=!0,iu(this),this)};oi.prototype.normalize=function(e){e.schema||(e.url="http://"+e.url),e.schema==="mailto:"&&!/^mailto:/i.test(e.url)&&(e.url="mailto:"+e.url)};oi.prototype.onCompile=function(){};var sbe=oi;const ra=2147483647,ns=36,dE=1,ec=26,rbe=38,obe=700,rN=72,oN=128,aN="-",abe=/^xn--/,lbe=/[^\0-\x7F]/,cbe=/[\x2E\u3002\uFF0E\uFF61]/g,dbe={overflow:"Overflow: input needs wider integers to process","not-basic":"Illegal input >= 0x80 (not a basic code point)","invalid-input":"Invalid input"},Qp=ns-dE,is=Math.floor,Xp=String.fromCharCode;function cr(n){throw new RangeError(dbe[n])}function ube(n,e){const t=[];let i=n.length;for(;i--;)t[i]=e(n[i]);return t}function lN(n,e){const t=n.split("@");let i="";t.length>1&&(i=t[0]+"@",n=t[1]),n=n.replace(cbe,".");const s=n.split("."),r=ube(s,e).join(".");return i+r}function uE(n){const e=[];let t=0;const i=n.length;for(;t<i;){const s=n.charCodeAt(t++);if(s>=55296&&s<=56319&&t<i){const r=n.charCodeAt(t++);(r&64512)==56320?e.push(((s&1023)<<10)+(r&1023)+65536):(e.push(s),t--)}else e.push(s)}return e}const cN=n=>String.fromCodePoint(...n),pbe=function(n){return n>=48&&n<58?26+(n-48):n>=65&&n<91?n-65:n>=97&&n<123?n-97:ns},bS=function(n,e){return n+22+75*(n<26)-((e!=0)<<5)},dN=function(n,e,t){let i=0;for(n=t?is(n/obe):n>>1,n+=is(n/e);n>Qp*ec>>1;i+=ns)n=is(n/Qp);return is(i+(Qp+1)*n/(n+rbe))},pE=function(n){const e=[],t=n.length;let i=0,s=oN,r=rN,o=n.lastIndexOf(aN);o<0&&(o=0);for(let a=0;a<o;++a)n.charCodeAt(a)>=128&&cr("not-basic"),e.push(n.charCodeAt(a));for(let a=o>0?o+1:0;a<t;){const l=i;for(let c=1,_=ns;;_+=ns){a>=t&&cr("invalid-input");const f=pbe(n.charCodeAt(a++));f>=ns&&cr("invalid-input"),f>is((ra-i)/c)&&cr("overflow"),i+=f*c;const m=_<=r?dE:_>=r+ec?ec:_-r;if(f<m)break;const h=ns-m;c>is(ra/h)&&cr("overflow"),c*=h}const d=e.length+1;r=dN(i-l,d,l==0),is(i/d)>ra-s&&cr("overflow"),s+=is(i/d),i%=d,e.splice(i++,0,s)}return String.fromCodePoint(...e)},_E=function(n){const e=[];n=uE(n);const t=n.length;let i=oN,s=0,r=rN;for(const l of n)l<128&&e.push(Xp(l));const o=e.length;let a=o;for(o&&e.push(aN);a<t;){let l=ra;for(const c of n)c>=i&&c<l&&(l=c);const d=a+1;l-i>is((ra-s)/d)&&cr("overflow"),s+=(l-i)*d,i=l;for(const c of n)if(c<i&&++s>ra&&cr("overflow"),c===i){let _=s;for(let f=ns;;f+=ns){const m=f<=r?dE:f>=r+ec?ec:f-r;if(_<m)break;const h=_-m,E=ns-m;e.push(Xp(bS(m+h%E,0))),_=is(h/E)}e.push(Xp(bS(_,0))),r=dN(s,d,a===o),s=0,++a}++s,++i}return e.join("")},uN=function(n){return lN(n,function(e){return abe.test(e)?pE(e.slice(4).toLowerCase()):e})},pN=function(n){return lN(n,function(e){return lbe.test(e)?"xn--"+_E(e):e})},_be={version:"2.1.0",ucs2:{decode:uE,encode:cN},decode:pE,encode:_E,toASCII:pN,toUnicode:uN},hbe=Object.freeze(Object.defineProperty({__proto__:null,decode:pE,default:_be,encode:_E,toASCII:pN,toUnicode:uN,ucs2decode:uE,ucs2encode:cN},Symbol.toStringTag,{value:"Module"})),fbe=$P(hbe);var mbe={options:{html:!1,xhtmlOut:!1,breaks:!1,langPrefix:"language-",linkify:!1,typographer:!1,quotes:"“”‘’",highlight:null,maxNesting:100},components:{core:{},block:{},inline:{}}},gbe={options:{html:!1,xhtmlOut:!1,breaks:!1,langPrefix:"language-",linkify:!1,typographer:!1,quotes:"“”‘’",highlight:null,maxNesting:20},components:{core:{rules:["normalize","block","inline","text_join"]},block:{rules:["paragraph"]},inline:{rules:["text"],rules2:["balance_pairs","fragments_join"]}}},bbe={options:{html:!0,xhtmlOut:!0,breaks:!1,langPrefix:"language-",linkify:!1,typographer:!1,quotes:"“”‘’",highlight:null,maxNesting:20},components:{core:{rules:["normalize","block","inline","text_join"]},block:{rules:["blockquote","code","fence","heading","hr","html_block","lheading","list","reference","paragraph"]},inline:{rules:["autolink","backticks","emphasis","entity","escape","html_inline","image","link","newline","text"],rules2:["balance_pairs","emphasis","fragments_join"]}}},kl=Ut,Ebe=Zu,vbe=pme,ybe=Pme,Sbe=fge,Tbe=Yge,xbe=sbe,no=Ya,_N=fbe,Cbe={default:mbe,zero:gbe,commonmark:bbe},Rbe=/^(vbscript|javascript|file|data):/,Abe=/^data:image\/(gif|png|jpeg|webp);/;function wbe(n){var e=n.trim().toLowerCase();return Rbe.test(e)?!!Abe.test(e):!0}var hN=["http:","https:","mailto:"];function Nbe(n){var e=no.parse(n,!0);if(e.hostname&&(!e.protocol||hN.indexOf(e.protocol)>=0))try{e.hostname=_N.toASCII(e.hostname)}catch{}return no.encode(no.format(e))}function Obe(n){var e=no.parse(n,!0);if(e.hostname&&(!e.protocol||hN.indexOf(e.protocol)>=0))try{e.hostname=_N.toUnicode(e.hostname)}catch{}return no.decode(no.format(e),no.decode.defaultChars+"%")}function Ci(n,e){if(!(this instanceof Ci))return new Ci(n,e);e||kl.isString(n)||(e=n||{},n="default"),this.inline=new Tbe,this.block=new Sbe,this.core=new ybe,this.renderer=new vbe,this.linkify=new xbe,this.validateLink=wbe,this.normalizeLink=Nbe,this.normalizeLinkText=Obe,this.utils=kl,this.helpers=kl.assign({},Ebe),this.options={},this.configure(n),e&&this.set(e)}Ci.prototype.set=function(n){return kl.assign(this.options,n),this};Ci.prototype.configure=function(n){var e=this,t;if(kl.isString(n)&&(t=n,n=Cbe[t],!n))throw new Error('Wrong `markdown-it` preset "'+t+'", check name');if(!n)throw new Error("Wrong `markdown-it` preset, can't be empty");return n.options&&e.set(n.options),n.components&&Object.keys(n.components).forEach(function(i){n.components[i].rules&&e[i].ruler.enableOnly(n.components[i].rules),n.components[i].rules2&&e[i].ruler2.enableOnly(n.components[i].rules2)}),this};Ci.prototype.enable=function(n,e){var t=[];Array.isArray(n)||(n=[n]),["core","block","inline"].forEach(function(s){t=t.concat(this[s].ruler.enable(n,!0))},this),t=t.concat(this.inline.ruler2.enable(n,!0));var i=n.filter(function(s){return t.indexOf(s)<0});if(i.length&&!e)throw new Error("MarkdownIt. Failed to enable unknown rule(s): "+i);return this};Ci.prototype.disable=function(n,e){var t=[];Array.isArray(n)||(n=[n]),["core","block","inline"].forEach(function(s){t=t.concat(this[s].ruler.disable(n,!0))},this),t=t.concat(this.inline.ruler2.disable(n,!0));var i=n.filter(function(s){return t.indexOf(s)<0});if(i.length&&!e)throw new Error("MarkdownIt. Failed to disable unknown rule(s): "+i);return this};Ci.prototype.use=function(n){var e=[this].concat(Array.prototype.slice.call(arguments,1));return n.apply(n,e),this};Ci.prototype.parse=function(n,e){if(typeof n!="string")throw new Error("Input data should be a String");var t=new this.core.State(n,this,e);return this.core.process(t),t.tokens};Ci.prototype.render=function(n,e){return e=e||{},this.renderer.render(this.parse(n,e),this.options,e)};Ci.prototype.parseInline=function(n,e){var t=new this.core.State(n,this,e);return t.inlineMode=!0,this.core.process(t),t.tokens};Ci.prototype.renderInline=function(n,e){return e=e||{},this.renderer.render(this.parseInline(n,e),this.options,e)};var Ibe=Ci,Mbe=Ibe;const Dbe=Ys(Mbe),kbe="😀",Lbe="😃",Pbe="😄",Ube="😁",Fbe="😆",Bbe="😆",Gbe="😅",zbe="🤣",Vbe="😂",Hbe="🙂",qbe="🙃",Ybe="😉",$be="😊",Wbe="😇",Kbe="🥰",jbe="😍",Qbe="🤩",Xbe="😘",Zbe="😗",Jbe="☺️",eEe="😚",tEe="😙",nEe="🥲",iEe="😋",sEe="😛",rEe="😜",oEe="🤪",aEe="😝",lEe="🤑",cEe="🤗",dEe="🤭",uEe="🤫",pEe="🤔",_Ee="🤐",hEe="🤨",fEe="😐",mEe="😑",gEe="😶",bEe="😏",EEe="😒",vEe="🙄",yEe="😬",SEe="🤥",TEe="😌",xEe="😔",CEe="😪",REe="🤤",AEe="😴",wEe="😷",NEe="🤒",OEe="🤕",IEe="🤢",MEe="🤮",DEe="🤧",kEe="🥵",LEe="🥶",PEe="🥴",UEe="😵",FEe="🤯",BEe="🤠",GEe="🥳",zEe="🥸",VEe="😎",HEe="🤓",qEe="🧐",YEe="😕",$Ee="😟",WEe="🙁",KEe="☹️",jEe="😮",QEe="😯",XEe="😲",ZEe="😳",JEe="🥺",eve="😦",tve="😧",nve="😨",ive="😰",sve="😥",rve="😢",ove="😭",ave="😱",lve="😖",cve="😣",dve="😞",uve="😓",pve="😩",_ve="😫",hve="🥱",fve="😤",mve="😡",gve="😡",bve="😠",Eve="🤬",vve="😈",yve="👿",Sve="💀",Tve="☠️",xve="💩",Cve="💩",Rve="💩",Ave="🤡",wve="👹",Nve="👺",Ove="👻",Ive="👽",Mve="👾",Dve="🤖",kve="😺",Lve="😸",Pve="😹",Uve="😻",Fve="😼",Bve="😽",Gve="🙀",zve="😿",Vve="😾",Hve="🙈",qve="🙉",Yve="🙊",$ve="💋",Wve="💌",Kve="💘",jve="💝",Qve="💖",Xve="💗",Zve="💓",Jve="💞",eye="💕",tye="💟",nye="❣️",iye="💔",sye="❤️",rye="🧡",oye="💛",aye="💚",lye="💙",cye="💜",dye="🤎",uye="🖤",pye="🤍",_ye="💢",hye="💥",fye="💥",mye="💫",gye="💦",bye="💨",Eye="🕳️",vye="💣",yye="💬",Sye="👁️‍🗨️",Tye="🗨️",xye="🗯️",Cye="💭",Rye="💤",Aye="👋",wye="🤚",Nye="🖐️",Oye="✋",Iye="✋",Mye="🖖",Dye="👌",kye="🤌",Lye="🤏",Pye="✌️",Uye="🤞",Fye="🤟",Bye="🤘",Gye="🤙",zye="👈",Vye="👉",Hye="👆",qye="🖕",Yye="🖕",$ye="👇",Wye="☝️",Kye="👍",jye="👎",Qye="✊",Xye="✊",Zye="👊",Jye="👊",eSe="👊",tSe="🤛",nSe="🤜",iSe="👏",sSe="🙌",rSe="👐",oSe="🤲",aSe="🤝",lSe="🙏",cSe="✍️",dSe="💅",uSe="🤳",pSe="💪",_Se="🦾",hSe="🦿",fSe="🦵",mSe="🦶",gSe="👂",bSe="🦻",ESe="👃",vSe="🧠",ySe="🫀",SSe="🫁",TSe="🦷",xSe="🦴",CSe="👀",RSe="👁️",ASe="👅",wSe="👄",NSe="👶",OSe="🧒",ISe="👦",MSe="👧",DSe="🧑",kSe="👱",LSe="👨",PSe="🧔",USe="👨‍🦰",FSe="👨‍🦱",BSe="👨‍🦳",GSe="👨‍🦲",zSe="👩",VSe="👩‍🦰",HSe="🧑‍🦰",qSe="👩‍🦱",YSe="🧑‍🦱",$Se="👩‍🦳",WSe="🧑‍🦳",KSe="👩‍🦲",jSe="🧑‍🦲",QSe="👱‍♀️",XSe="👱‍♀️",ZSe="👱‍♂️",JSe="🧓",e0e="👴",t0e="👵",n0e="🙍",i0e="🙍‍♂️",s0e="🙍‍♀️",r0e="🙎",o0e="🙎‍♂️",a0e="🙎‍♀️",l0e="🙅",c0e="🙅‍♂️",d0e="🙅‍♂️",u0e="🙅‍♀️",p0e="🙅‍♀️",_0e="🙆",h0e="🙆‍♂️",f0e="🙆‍♀️",m0e="💁",g0e="💁",b0e="💁‍♂️",E0e="💁‍♂️",v0e="💁‍♀️",y0e="💁‍♀️",S0e="🙋",T0e="🙋‍♂️",x0e="🙋‍♀️",C0e="🧏",R0e="🧏‍♂️",A0e="🧏‍♀️",w0e="🙇",N0e="🙇‍♂️",O0e="🙇‍♀️",I0e="🤦",M0e="🤦‍♂️",D0e="🤦‍♀️",k0e="🤷",L0e="🤷‍♂️",P0e="🤷‍♀️",U0e="🧑‍⚕️",F0e="👨‍⚕️",B0e="👩‍⚕️",G0e="🧑‍🎓",z0e="👨‍🎓",V0e="👩‍🎓",H0e="🧑‍🏫",q0e="👨‍🏫",Y0e="👩‍🏫",$0e="🧑‍⚖️",W0e="👨‍⚖️",K0e="👩‍⚖️",j0e="🧑‍🌾",Q0e="👨‍🌾",X0e="👩‍🌾",Z0e="🧑‍🍳",J0e="👨‍🍳",eTe="👩‍🍳",tTe="🧑‍🔧",nTe="👨‍🔧",iTe="👩‍🔧",sTe="🧑‍🏭",rTe="👨‍🏭",oTe="👩‍🏭",aTe="🧑‍💼",lTe="👨‍💼",cTe="👩‍💼",dTe="🧑‍🔬",uTe="👨‍🔬",pTe="👩‍🔬",_Te="🧑‍💻",hTe="👨‍💻",fTe="👩‍💻",mTe="🧑‍🎤",gTe="👨‍🎤",bTe="👩‍🎤",ETe="🧑‍🎨",vTe="👨‍🎨",yTe="👩‍🎨",STe="🧑‍✈️",TTe="👨‍✈️",xTe="👩‍✈️",CTe="🧑‍🚀",RTe="👨‍🚀",ATe="👩‍🚀",wTe="🧑‍🚒",NTe="👨‍🚒",OTe="👩‍🚒",ITe="👮",MTe="👮",DTe="👮‍♂️",kTe="👮‍♀️",LTe="🕵️",PTe="🕵️‍♂️",UTe="🕵️‍♀️",FTe="💂",BTe="💂‍♂️",GTe="💂‍♀️",zTe="🥷",VTe="👷",HTe="👷‍♂️",qTe="👷‍♀️",YTe="🤴",$Te="👸",WTe="👳",KTe="👳‍♂️",jTe="👳‍♀️",QTe="👲",XTe="🧕",ZTe="🤵",JTe="🤵‍♂️",exe="🤵‍♀️",txe="👰",nxe="👰‍♂️",ixe="👰‍♀️",sxe="👰‍♀️",rxe="🤰",oxe="🤱",axe="👩‍🍼",lxe="👨‍🍼",cxe="🧑‍🍼",dxe="👼",uxe="🎅",pxe="🤶",_xe="🧑‍🎄",hxe="🦸",fxe="🦸‍♂️",mxe="🦸‍♀️",gxe="🦹",bxe="🦹‍♂️",Exe="🦹‍♀️",vxe="🧙",yxe="🧙‍♂️",Sxe="🧙‍♀️",Txe="🧚",xxe="🧚‍♂️",Cxe="🧚‍♀️",Rxe="🧛",Axe="🧛‍♂️",wxe="🧛‍♀️",Nxe="🧜",Oxe="🧜‍♂️",Ixe="🧜‍♀️",Mxe="🧝",Dxe="🧝‍♂️",kxe="🧝‍♀️",Lxe="🧞",Pxe="🧞‍♂️",Uxe="🧞‍♀️",Fxe="🧟",Bxe="🧟‍♂️",Gxe="🧟‍♀️",zxe="💆",Vxe="💆‍♂️",Hxe="💆‍♀️",qxe="💇",Yxe="💇‍♂️",$xe="💇‍♀️",Wxe="🚶",Kxe="🚶‍♂️",jxe="🚶‍♀️",Qxe="🧍",Xxe="🧍‍♂️",Zxe="🧍‍♀️",Jxe="🧎",eCe="🧎‍♂️",tCe="🧎‍♀️",nCe="🧑‍🦯",iCe="👨‍🦯",sCe="👩‍🦯",rCe="🧑‍🦼",oCe="👨‍🦼",aCe="👩‍🦼",lCe="🧑‍🦽",cCe="👨‍🦽",dCe="👩‍🦽",uCe="🏃",pCe="🏃",_Ce="🏃‍♂️",hCe="🏃‍♀️",fCe="💃",mCe="💃",gCe="🕺",bCe="🕴️",ECe="👯",vCe="👯‍♂️",yCe="👯‍♀️",SCe="🧖",TCe="🧖‍♂️",xCe="🧖‍♀️",CCe="🧗",RCe="🧗‍♂️",ACe="🧗‍♀️",wCe="🤺",NCe="🏇",OCe="⛷️",ICe="🏂",MCe="🏌️",DCe="🏌️‍♂️",kCe="🏌️‍♀️",LCe="🏄",PCe="🏄‍♂️",UCe="🏄‍♀️",FCe="🚣",BCe="🚣‍♂️",GCe="🚣‍♀️",zCe="🏊",VCe="🏊‍♂️",HCe="🏊‍♀️",qCe="⛹️",YCe="⛹️‍♂️",$Ce="⛹️‍♂️",WCe="⛹️‍♀️",KCe="⛹️‍♀️",jCe="🏋️",QCe="🏋️‍♂️",XCe="🏋️‍♀️",ZCe="🚴",JCe="🚴‍♂️",e1e="🚴‍♀️",t1e="🚵",n1e="🚵‍♂️",i1e="🚵‍♀️",s1e="🤸",r1e="🤸‍♂️",o1e="🤸‍♀️",a1e="🤼",l1e="🤼‍♂️",c1e="🤼‍♀️",d1e="🤽",u1e="🤽‍♂️",p1e="🤽‍♀️",_1e="🤾",h1e="🤾‍♂️",f1e="🤾‍♀️",m1e="🤹",g1e="🤹‍♂️",b1e="🤹‍♀️",E1e="🧘",v1e="🧘‍♂️",y1e="🧘‍♀️",S1e="🛀",T1e="🛌",x1e="🧑‍🤝‍🧑",C1e="👭",R1e="👫",A1e="👬",w1e="💏",N1e="👩‍❤️‍💋‍👨",O1e="👨‍❤️‍💋‍👨",I1e="👩‍❤️‍💋‍👩",M1e="💑",D1e="👩‍❤️‍👨",k1e="👨‍❤️‍👨",L1e="👩‍❤️‍👩",P1e="👪",U1e="👨‍👩‍👦",F1e="👨‍👩‍👧",B1e="👨‍👩‍👧‍👦",G1e="👨‍👩‍👦‍👦",z1e="👨‍👩‍👧‍👧",V1e="👨‍👨‍👦",H1e="👨‍👨‍👧",q1e="👨‍👨‍👧‍👦",Y1e="👨‍👨‍👦‍👦",$1e="👨‍👨‍👧‍👧",W1e="👩‍👩‍👦",K1e="👩‍👩‍👧",j1e="👩‍👩‍👧‍👦",Q1e="👩‍👩‍👦‍👦",X1e="👩‍👩‍👧‍👧",Z1e="👨‍👦",J1e="👨‍👦‍👦",eRe="👨‍👧",tRe="👨‍👧‍👦",nRe="👨‍👧‍👧",iRe="👩‍👦",sRe="👩‍👦‍👦",rRe="👩‍👧",oRe="👩‍👧‍👦",aRe="👩‍👧‍👧",lRe="🗣️",cRe="👤",dRe="👥",uRe="🫂",pRe="👣",_Re="🐵",hRe="🐒",fRe="🦍",mRe="🦧",gRe="🐶",bRe="🐕",ERe="🦮",vRe="🐕‍🦺",yRe="🐩",SRe="🐺",TRe="🦊",xRe="🦝",CRe="🐱",RRe="🐈",ARe="🐈‍⬛",wRe="🦁",NRe="🐯",ORe="🐅",IRe="🐆",MRe="🐴",DRe="🐎",kRe="🦄",LRe="🦓",PRe="🦌",URe="🦬",FRe="🐮",BRe="🐂",GRe="🐃",zRe="🐄",VRe="🐷",HRe="🐖",qRe="🐗",YRe="🐽",$Re="🐏",WRe="🐑",KRe="🐐",jRe="🐪",QRe="🐫",XRe="🦙",ZRe="🦒",JRe="🐘",eAe="🦣",tAe="🦏",nAe="🦛",iAe="🐭",sAe="🐁",rAe="🐀",oAe="🐹",aAe="🐰",lAe="🐇",cAe="🐿️",dAe="🦫",uAe="🦔",pAe="🦇",_Ae="🐻",hAe="🐻‍❄️",fAe="🐨",mAe="🐼",gAe="🦥",bAe="🦦",EAe="🦨",vAe="🦘",yAe="🦡",SAe="🐾",TAe="🐾",xAe="🦃",CAe="🐔",RAe="🐓",AAe="🐣",wAe="🐤",NAe="🐥",OAe="🐦",IAe="🐧",MAe="🕊️",DAe="🦅",kAe="🦆",LAe="🦢",PAe="🦉",UAe="🦤",FAe="🪶",BAe="🦩",GAe="🦚",zAe="🦜",VAe="🐸",HAe="🐊",qAe="🐢",YAe="🦎",$Ae="🐍",WAe="🐲",KAe="🐉",jAe="🦕",QAe="🐳",XAe="🐋",ZAe="🐬",JAe="🐬",ewe="🦭",twe="🐟",nwe="🐠",iwe="🐡",swe="🦈",rwe="🐙",owe="🐚",awe="🐌",lwe="🦋",cwe="🐛",dwe="🐜",uwe="🐝",pwe="🐝",_we="🪲",hwe="🐞",fwe="🦗",mwe="🪳",gwe="🕷️",bwe="🕸️",Ewe="🦂",vwe="🦟",ywe="🪰",Swe="🪱",Twe="🦠",xwe="💐",Cwe="🌸",Rwe="💮",Awe="🏵️",wwe="🌹",Nwe="🥀",Owe="🌺",Iwe="🌻",Mwe="🌼",Dwe="🌷",kwe="🌱",Lwe="🪴",Pwe="🌲",Uwe="🌳",Fwe="🌴",Bwe="🌵",Gwe="🌾",zwe="🌿",Vwe="☘️",Hwe="🍀",qwe="🍁",Ywe="🍂",$we="🍃",Wwe="🍇",Kwe="🍈",jwe="🍉",Qwe="🍊",Xwe="🍊",Zwe="🍊",Jwe="🍋",eNe="🍌",tNe="🍍",nNe="🥭",iNe="🍎",sNe="🍏",rNe="🍐",oNe="🍑",aNe="🍒",lNe="🍓",cNe="🫐",dNe="🥝",uNe="🍅",pNe="🫒",_Ne="🥥",hNe="🥑",fNe="🍆",mNe="🥔",gNe="🥕",bNe="🌽",ENe="🌶️",vNe="🫑",yNe="🥒",SNe="🥬",TNe="🥦",xNe="🧄",CNe="🧅",RNe="🍄",ANe="🥜",wNe="🌰",NNe="🍞",ONe="🥐",INe="🥖",MNe="🫓",DNe="🥨",kNe="🥯",LNe="🥞",PNe="🧇",UNe="🧀",FNe="🍖",BNe="🍗",GNe="🥩",zNe="🥓",VNe="🍔",HNe="🍟",qNe="🍕",YNe="🌭",$Ne="🥪",WNe="🌮",KNe="🌯",jNe="🫔",QNe="🥙",XNe="🧆",ZNe="🥚",JNe="🍳",eOe="🥘",tOe="🍲",nOe="🫕",iOe="🥣",sOe="🥗",rOe="🍿",oOe="🧈",aOe="🧂",lOe="🥫",cOe="🍱",dOe="🍘",uOe="🍙",pOe="🍚",_Oe="🍛",hOe="🍜",fOe="🍝",mOe="🍠",gOe="🍢",bOe="🍣",EOe="🍤",vOe="🍥",yOe="🥮",SOe="🍡",TOe="🥟",xOe="🥠",COe="🥡",ROe="🦀",AOe="🦞",wOe="🦐",NOe="🦑",OOe="🦪",IOe="🍦",MOe="🍧",DOe="🍨",kOe="🍩",LOe="🍪",POe="🎂",UOe="🍰",FOe="🧁",BOe="🥧",GOe="🍫",zOe="🍬",VOe="🍭",HOe="🍮",qOe="🍯",YOe="🍼",$Oe="🥛",WOe="☕",KOe="🫖",jOe="🍵",QOe="🍶",XOe="🍾",ZOe="🍷",JOe="🍸",eIe="🍹",tIe="🍺",nIe="🍻",iIe="🥂",sIe="🥃",rIe="🥤",oIe="🧋",aIe="🧃",lIe="🧉",cIe="🧊",dIe="🥢",uIe="🍽️",pIe="🍴",_Ie="🥄",hIe="🔪",fIe="🔪",mIe="🏺",gIe="🌍",bIe="🌎",EIe="🌏",vIe="🌐",yIe="🗺️",SIe="🗾",TIe="🧭",xIe="🏔️",CIe="⛰️",RIe="🌋",AIe="🗻",wIe="🏕️",NIe="🏖️",OIe="🏜️",IIe="🏝️",MIe="🏞️",DIe="🏟️",kIe="🏛️",LIe="🏗️",PIe="🧱",UIe="🪨",FIe="🪵",BIe="🛖",GIe="🏘️",zIe="🏚️",VIe="🏠",HIe="🏡",qIe="🏢",YIe="🏣",$Ie="🏤",WIe="🏥",KIe="🏦",jIe="🏨",QIe="🏩",XIe="🏪",ZIe="🏫",JIe="🏬",eMe="🏭",tMe="🏯",nMe="🏰",iMe="💒",sMe="🗼",rMe="🗽",oMe="⛪",aMe="🕌",lMe="🛕",cMe="🕍",dMe="⛩️",uMe="🕋",pMe="⛲",_Me="⛺",hMe="🌁",fMe="🌃",mMe="🏙️",gMe="🌄",bMe="🌅",EMe="🌆",vMe="🌇",yMe="🌉",SMe="♨️",TMe="🎠",xMe="🎡",CMe="🎢",RMe="💈",AMe="🎪",wMe="🚂",NMe="🚃",OMe="🚄",IMe="🚅",MMe="🚆",DMe="🚇",kMe="🚈",LMe="🚉",PMe="🚊",UMe="🚝",FMe="🚞",BMe="🚋",GMe="🚌",zMe="🚍",VMe="🚎",HMe="🚐",qMe="🚑",YMe="🚒",$Me="🚓",WMe="🚔",KMe="🚕",jMe="🚖",QMe="🚗",XMe="🚗",ZMe="🚘",JMe="🚙",e2e="🛻",t2e="🚚",n2e="🚛",i2e="🚜",s2e="🏎️",r2e="🏍️",o2e="🛵",a2e="🦽",l2e="🦼",c2e="🛺",d2e="🚲",u2e="🛴",p2e="🛹",_2e="🛼",h2e="🚏",f2e="🛣️",m2e="🛤️",g2e="🛢️",b2e="⛽",E2e="🚨",v2e="🚥",y2e="🚦",S2e="🛑",T2e="🚧",x2e="⚓",C2e="⛵",R2e="⛵",A2e="🛶",w2e="🚤",N2e="🛳️",O2e="⛴️",I2e="🛥️",M2e="🚢",D2e="✈️",k2e="🛩️",L2e="🛫",P2e="🛬",U2e="🪂",F2e="💺",B2e="🚁",G2e="🚟",z2e="🚠",V2e="🚡",H2e="🛰️",q2e="🚀",Y2e="🛸",$2e="🛎️",W2e="🧳",K2e="⌛",j2e="⏳",Q2e="⌚",X2e="⏰",Z2e="⏱️",J2e="⏲️",eDe="🕰️",tDe="🕛",nDe="🕧",iDe="🕐",sDe="🕜",rDe="🕑",oDe="🕝",aDe="🕒",lDe="🕞",cDe="🕓",dDe="🕟",uDe="🕔",pDe="🕠",_De="🕕",hDe="🕡",fDe="🕖",mDe="🕢",gDe="🕗",bDe="🕣",EDe="🕘",vDe="🕤",yDe="🕙",SDe="🕥",TDe="🕚",xDe="🕦",CDe="🌑",RDe="🌒",ADe="🌓",wDe="🌔",NDe="🌔",ODe="🌕",IDe="🌖",MDe="🌗",DDe="🌘",kDe="🌙",LDe="🌚",PDe="🌛",UDe="🌜",FDe="🌡️",BDe="☀️",GDe="🌝",zDe="🌞",VDe="🪐",HDe="⭐",qDe="🌟",YDe="🌠",$De="🌌",WDe="☁️",KDe="⛅",jDe="⛈️",QDe="🌤️",XDe="🌥️",ZDe="🌦️",JDe="🌧️",eke="🌨️",tke="🌩️",nke="🌪️",ike="🌫️",ske="🌬️",rke="🌀",oke="🌈",ake="🌂",lke="☂️",cke="☔",dke="⛱️",uke="⚡",pke="❄️",_ke="☃️",hke="⛄",fke="☄️",mke="🔥",gke="💧",bke="🌊",Eke="🎃",vke="🎄",yke="🎆",Ske="🎇",Tke="🧨",xke="✨",Cke="🎈",Rke="🎉",Ake="🎊",wke="🎋",Nke="🎍",Oke="🎎",Ike="🎏",Mke="🎐",Dke="🎑",kke="🧧",Lke="🎀",Pke="🎁",Uke="🎗️",Fke="🎟️",Bke="🎫",Gke="🎖️",zke="🏆",Vke="🏅",Hke="⚽",qke="⚾",Yke="🥎",$ke="🏀",Wke="🏐",Kke="🏈",jke="🏉",Qke="🎾",Xke="🥏",Zke="🎳",Jke="🏏",eLe="🏑",tLe="🏒",nLe="🥍",iLe="🏓",sLe="🏸",rLe="🥊",oLe="🥋",aLe="🥅",lLe="⛳",cLe="⛸️",dLe="🎣",uLe="🤿",pLe="🎽",_Le="🎿",hLe="🛷",fLe="🥌",mLe="🎯",gLe="🪀",bLe="🪁",ELe="🔮",vLe="🪄",yLe="🧿",SLe="🎮",TLe="🕹️",xLe="🎰",CLe="🎲",RLe="🧩",ALe="🧸",wLe="🪅",NLe="🪆",OLe="♠️",ILe="♥️",MLe="♦️",DLe="♣️",kLe="♟️",LLe="🃏",PLe="🀄",ULe="🎴",FLe="🎭",BLe="🖼️",GLe="🎨",zLe="🧵",VLe="🪡",HLe="🧶",qLe="🪢",YLe="👓",$Le="🕶️",WLe="🥽",KLe="🥼",jLe="🦺",QLe="👔",XLe="👕",ZLe="👕",JLe="👖",ePe="🧣",tPe="🧤",nPe="🧥",iPe="🧦",sPe="👗",rPe="👘",oPe="🥻",aPe="🩱",lPe="🩲",cPe="🩳",dPe="👙",uPe="👚",pPe="👛",_Pe="👜",hPe="👝",fPe="🛍️",mPe="🎒",gPe="🩴",bPe="👞",EPe="👞",vPe="👟",yPe="🥾",SPe="🥿",TPe="👠",xPe="👡",CPe="🩰",RPe="👢",APe="👑",wPe="👒",NPe="🎩",OPe="🎓",IPe="🧢",MPe="🪖",DPe="⛑️",kPe="📿",LPe="💄",PPe="💍",UPe="💎",FPe="🔇",BPe="🔈",GPe="🔉",zPe="🔊",VPe="📢",HPe="📣",qPe="📯",YPe="🔔",$Pe="🔕",WPe="🎼",KPe="🎵",jPe="🎶",QPe="🎙️",XPe="🎚️",ZPe="🎛️",JPe="🎤",eUe="🎧",tUe="📻",nUe="🎷",iUe="🪗",sUe="🎸",rUe="🎹",oUe="🎺",aUe="🎻",lUe="🪕",cUe="🥁",dUe="🪘",uUe="📱",pUe="📲",_Ue="☎️",hUe="☎️",fUe="📞",mUe="📟",gUe="📠",bUe="🔋",EUe="🔌",vUe="💻",yUe="🖥️",SUe="🖨️",TUe="⌨️",xUe="🖱️",CUe="🖲️",RUe="💽",AUe="💾",wUe="💿",NUe="📀",OUe="🧮",IUe="🎥",MUe="🎞️",DUe="📽️",kUe="🎬",LUe="📺",PUe="📷",UUe="📸",FUe="📹",BUe="📼",GUe="🔍",zUe="🔎",VUe="🕯️",HUe="💡",qUe="🔦",YUe="🏮",$Ue="🏮",WUe="🪔",KUe="📔",jUe="📕",QUe="📖",XUe="📖",ZUe="📗",JUe="📘",eFe="📙",tFe="📚",nFe="📓",iFe="📒",sFe="📃",rFe="📜",oFe="📄",aFe="📰",lFe="🗞️",cFe="📑",dFe="🔖",uFe="🏷️",pFe="💰",_Fe="🪙",hFe="💴",fFe="💵",mFe="💶",gFe="💷",bFe="💸",EFe="💳",vFe="🧾",yFe="💹",SFe="✉️",TFe="📧",xFe="📨",CFe="📩",RFe="📤",AFe="📥",wFe="📫",NFe="📪",OFe="📬",IFe="📭",MFe="📮",DFe="🗳️",kFe="✏️",LFe="✒️",PFe="🖋️",UFe="🖊️",FFe="🖌️",BFe="🖍️",GFe="📝",zFe="📝",VFe="💼",HFe="📁",qFe="📂",YFe="🗂️",$Fe="📅",WFe="📆",KFe="🗒️",jFe="🗓️",QFe="📇",XFe="📈",ZFe="📉",JFe="📊",eBe="📋",tBe="📌",nBe="📍",iBe="📎",sBe="🖇️",rBe="📏",oBe="📐",aBe="✂️",lBe="🗃️",cBe="🗄️",dBe="🗑️",uBe="🔒",pBe="🔓",_Be="🔏",hBe="🔐",fBe="🔑",mBe="🗝️",gBe="🔨",bBe="🪓",EBe="⛏️",vBe="⚒️",yBe="🛠️",SBe="🗡️",TBe="⚔️",xBe="🔫",CBe="🪃",RBe="🏹",ABe="🛡️",wBe="🪚",NBe="🔧",OBe="🪛",IBe="🔩",MBe="⚙️",DBe="🗜️",kBe="⚖️",LBe="🦯",PBe="🔗",UBe="⛓️",FBe="🪝",BBe="🧰",GBe="🧲",zBe="🪜",VBe="⚗️",HBe="🧪",qBe="🧫",YBe="🧬",$Be="🔬",WBe="🔭",KBe="📡",jBe="💉",QBe="🩸",XBe="💊",ZBe="🩹",JBe="🩺",e3e="🚪",t3e="🛗",n3e="🪞",i3e="🪟",s3e="🛏️",r3e="🛋️",o3e="🪑",a3e="🚽",l3e="🪠",c3e="🚿",d3e="🛁",u3e="🪤",p3e="🪒",_3e="🧴",h3e="🧷",f3e="🧹",m3e="🧺",g3e="🧻",b3e="🪣",E3e="🧼",v3e="🪥",y3e="🧽",S3e="🧯",T3e="🛒",x3e="🚬",C3e="⚰️",R3e="🪦",A3e="⚱️",w3e="🗿",N3e="🪧",O3e="🏧",I3e="🚮",M3e="🚰",D3e="♿",k3e="🚹",L3e="🚺",P3e="🚻",U3e="🚼",F3e="🚾",B3e="🛂",G3e="🛃",z3e="🛄",V3e="🛅",H3e="⚠️",q3e="🚸",Y3e="⛔",$3e="🚫",W3e="🚳",K3e="🚭",j3e="🚯",Q3e="🚷",X3e="📵",Z3e="🔞",J3e="☢️",e4e="☣️",t4e="⬆️",n4e="↗️",i4e="➡️",s4e="↘️",r4e="⬇️",o4e="↙️",a4e="⬅️",l4e="↖️",c4e="↕️",d4e="↔️",u4e="↩️",p4e="↪️",_4e="⤴️",h4e="⤵️",f4e="🔃",m4e="🔄",g4e="🔙",b4e="🔚",E4e="🔛",v4e="🔜",y4e="🔝",S4e="🛐",T4e="⚛️",x4e="🕉️",C4e="✡️",R4e="☸️",A4e="☯️",w4e="✝️",N4e="☦️",O4e="☪️",I4e="☮️",M4e="🕎",D4e="🔯",k4e="♈",L4e="♉",P4e="♊",U4e="♋",F4e="♌",B4e="♍",G4e="♎",z4e="♏",V4e="♐",H4e="♑",q4e="♒",Y4e="♓",$4e="⛎",W4e="🔀",K4e="🔁",j4e="🔂",Q4e="▶️",X4e="⏩",Z4e="⏭️",J4e="⏯️",e5e="◀️",t5e="⏪",n5e="⏮️",i5e="🔼",s5e="⏫",r5e="🔽",o5e="⏬",a5e="⏸️",l5e="⏹️",c5e="⏺️",d5e="⏏️",u5e="🎦",p5e="🔅",_5e="🔆",h5e="📶",f5e="📳",m5e="📴",g5e="♀️",b5e="♂️",E5e="⚧️",v5e="✖️",y5e="",S5e="",T5e="➗",x5e="♾️",C5e="‼️",R5e="⁉️",A5e="❓",w5e="❔",N5e="❕",O5e="❗",I5e="❗",M5e="〰️",D5e="💱",k5e="💲",L5e="⚕️",P5e="♻️",U5e="⚜️",F5e="🔱",B5e="📛",G5e="🔰",z5e="⭕",V5e="✅",H5e="☑️",q5e="✔️",Y5e="❌",$5e="❎",W5e="➰",K5e="➿",j5e="〽️",Q5e="✳️",X5e="✴️",Z5e="❇️",J5e="©️",eGe="®️",tGe="™️",nGe="#️⃣",iGe="*️⃣",sGe="0⃣",rGe="1⃣",oGe="2⃣",aGe="3⃣",lGe="4⃣",cGe="5⃣",dGe="6⃣",uGe="7⃣",pGe="8⃣",_Ge="9⃣",hGe="🔟",fGe="🔠",mGe="🔡",gGe="🔣",bGe="🔤",EGe="🅰️",vGe="🆎",yGe="🅱️",SGe="🆑",TGe="🆒",xGe="🆓",CGe="",RGe="🆔",AGe="Ⓜ️",wGe="🆖",NGe="🅾️",OGe="🆗",IGe="🅿️",MGe="🆘",DGe="🆙",kGe="🆚",LGe="🈁",PGe="🈂️",UGe="🉐",FGe="🉑",BGe="㊗️",GGe="㊙️",zGe="🈵",VGe="🔴",HGe="🟠",qGe="🟡",YGe="🟢",$Ge="🔵",WGe="🟣",KGe="🟤",jGe="⚫",QGe="⚪",XGe="🟥",ZGe="🟧",JGe="🟨",e9e="🟩",t9e="🟦",n9e="🟪",i9e="🟫",s9e="⬛",r9e="⬜",o9e="◼️",a9e="◻️",l9e="◾",c9e="◽",d9e="▪️",u9e="▫️",p9e="🔶",_9e="🔷",h9e="🔸",f9e="🔹",m9e="🔺",g9e="🔻",b9e="💠",E9e="🔘",v9e="🔳",y9e="🔲",S9e="🏁",T9e="🚩",x9e="🎌",C9e="🏴",R9e="🏳️",A9e="🏳️‍🌈",w9e="🏳️‍⚧️",N9e="🏴‍☠️",O9e="🇦🇨",I9e="🇦🇩",M9e="🇦🇪",D9e="🇦🇫",k9e="🇦🇬",L9e="🇦🇮",P9e="🇦🇱",U9e="🇦🇲",F9e="🇦🇴",B9e="🇦🇶",G9e="🇦🇷",z9e="🇦🇸",V9e="🇦🇹",H9e="🇦🇺",q9e="🇦🇼",Y9e="🇦🇽",$9e="🇦🇿",W9e="🇧🇦",K9e="🇧🇧",j9e="🇧🇩",Q9e="🇧🇪",X9e="🇧🇫",Z9e="🇧🇬",J9e="🇧🇭",e6e="🇧🇮",t6e="🇧🇯",n6e="🇧🇱",i6e="🇧🇲",s6e="🇧🇳",r6e="🇧🇴",o6e="🇧🇶",a6e="🇧🇷",l6e="🇧🇸",c6e="🇧🇹",d6e="🇧🇻",u6e="🇧🇼",p6e="🇧🇾",_6e="🇧🇿",h6e="🇨🇦",f6e="🇨🇨",m6e="🇨🇩",g6e="🇨🇫",b6e="🇨🇬",E6e="🇨🇭",v6e="🇨🇮",y6e="🇨🇰",S6e="🇨🇱",T6e="🇨🇲",x6e="🇨🇳",C6e="🇨🇴",R6e="🇨🇵",A6e="🇨🇷",w6e="🇨🇺",N6e="🇨🇻",O6e="🇨🇼",I6e="🇨🇽",M6e="🇨🇾",D6e="🇨🇿",k6e="🇩🇪",L6e="🇩🇬",P6e="🇩🇯",U6e="🇩🇰",F6e="🇩🇲",B6e="🇩🇴",G6e="🇩🇿",z6e="🇪🇦",V6e="🇪🇨",H6e="🇪🇪",q6e="🇪🇬",Y6e="🇪🇭",$6e="🇪🇷",W6e="🇪🇸",K6e="🇪🇹",j6e="🇪🇺",Q6e="🇪🇺",X6e="🇫🇮",Z6e="🇫🇯",J6e="🇫🇰",e8e="🇫🇲",t8e="🇫🇴",n8e="🇫🇷",i8e="🇬🇦",s8e="🇬🇧",r8e="🇬🇧",o8e="🇬🇩",a8e="🇬🇪",l8e="🇬🇫",c8e="🇬🇬",d8e="🇬🇭",u8e="🇬🇮",p8e="🇬🇱",_8e="🇬🇲",h8e="🇬🇳",f8e="🇬🇵",m8e="🇬🇶",g8e="🇬🇷",b8e="🇬🇸",E8e="🇬🇹",v8e="🇬🇺",y8e="🇬🇼",S8e="🇬🇾",T8e="🇭🇰",x8e="🇭🇲",C8e="🇭🇳",R8e="🇭🇷",A8e="🇭🇹",w8e="🇭🇺",N8e="🇮🇨",O8e="🇮🇩",I8e="🇮🇪",M8e="🇮🇱",D8e="🇮🇲",k8e="🇮🇳",L8e="🇮🇴",P8e="🇮🇶",U8e="🇮🇷",F8e="🇮🇸",B8e="🇮🇹",G8e="🇯🇪",z8e="🇯🇲",V8e="🇯🇴",H8e="🇯🇵",q8e="🇰🇪",Y8e="🇰🇬",$8e="🇰🇭",W8e="🇰🇮",K8e="🇰🇲",j8e="🇰🇳",Q8e="🇰🇵",X8e="🇰🇷",Z8e="🇰🇼",J8e="🇰🇾",eze="🇰🇿",tze="🇱🇦",nze="🇱🇧",ize="🇱🇨",sze="🇱🇮",rze="🇱🇰",oze="🇱🇷",aze="🇱🇸",lze="🇱🇹",cze="🇱🇺",dze="🇱🇻",uze="🇱🇾",pze="🇲🇦",_ze="🇲🇨",hze="🇲🇩",fze="🇲🇪",mze="🇲🇫",gze="🇲🇬",bze="🇲🇭",Eze="🇲🇰",vze="🇲🇱",yze="🇲🇲",Sze="🇲🇳",Tze="🇲🇴",xze="🇲🇵",Cze="🇲🇶",Rze="🇲🇷",Aze="🇲🇸",wze="🇲🇹",Nze="🇲🇺",Oze="🇲🇻",Ize="🇲🇼",Mze="🇲🇽",Dze="🇲🇾",kze="🇲🇿",Lze="🇳🇦",Pze="🇳🇨",Uze="🇳🇪",Fze="🇳🇫",Bze="🇳🇬",Gze="🇳🇮",zze="🇳🇱",Vze="🇳🇴",Hze="🇳🇵",qze="🇳🇷",Yze="🇳🇺",$ze="🇳🇿",Wze="🇴🇲",Kze="🇵🇦",jze="🇵🇪",Qze="🇵🇫",Xze="🇵🇬",Zze="🇵🇭",Jze="🇵🇰",eVe="🇵🇱",tVe="🇵🇲",nVe="🇵🇳",iVe="🇵🇷",sVe="🇵🇸",rVe="🇵🇹",oVe="🇵🇼",aVe="🇵🇾",lVe="🇶🇦",cVe="🇷🇪",dVe="🇷🇴",uVe="🇷🇸",pVe="🇷🇺",_Ve="🇷🇼",hVe="🇸🇦",fVe="🇸🇧",mVe="🇸🇨",gVe="🇸🇩",bVe="🇸🇪",EVe="🇸🇬",vVe="🇸🇭",yVe="🇸🇮",SVe="🇸🇯",TVe="🇸🇰",xVe="🇸🇱",CVe="🇸🇲",RVe="🇸🇳",AVe="🇸🇴",wVe="🇸🇷",NVe="🇸🇸",OVe="🇸🇹",IVe="🇸🇻",MVe="🇸🇽",DVe="🇸🇾",kVe="🇸🇿",LVe="🇹🇦",PVe="🇹🇨",UVe="🇹🇩",FVe="🇹🇫",BVe="🇹🇬",GVe="🇹🇭",zVe="🇹🇯",VVe="🇹🇰",HVe="🇹🇱",qVe="🇹🇲",YVe="🇹🇳",$Ve="🇹🇴",WVe="🇹🇷",KVe="🇹🇹",jVe="🇹🇻",QVe="🇹🇼",XVe="🇹🇿",ZVe="🇺🇦",JVe="🇺🇬",eHe="🇺🇲",tHe="🇺🇳",nHe="🇺🇸",iHe="🇺🇾",sHe="🇺🇿",rHe="🇻🇦",oHe="🇻🇨",aHe="🇻🇪",lHe="🇻🇬",cHe="🇻🇮",dHe="🇻🇳",uHe="🇻🇺",pHe="🇼🇫",_He="🇼🇸",hHe="🇽🇰",fHe="🇾🇪",mHe="🇾🇹",gHe="🇿🇦",bHe="🇿🇲",EHe="🇿🇼",vHe="🏴󠁧󠁢󠁥󠁮󠁧󠁿",yHe="🏴󠁧󠁢󠁳󠁣󠁴󠁿",SHe="🏴󠁧󠁢󠁷󠁬󠁳󠁿",THe={100:"💯",1234:"🔢",grinning:kbe,smiley:Lbe,smile:Pbe,grin:Ube,laughing:Fbe,satisfied:Bbe,sweat_smile:Gbe,rofl:zbe,joy:Vbe,slightly_smiling_face:Hbe,upside_down_face:qbe,wink:Ybe,blush:$be,innocent:Wbe,smiling_face_with_three_hearts:Kbe,heart_eyes:jbe,star_struck:Qbe,kissing_heart:Xbe,kissing:Zbe,relaxed:Jbe,kissing_closed_eyes:eEe,kissing_smiling_eyes:tEe,smiling_face_with_tear:nEe,yum:iEe,stuck_out_tongue:sEe,stuck_out_tongue_winking_eye:rEe,zany_face:oEe,stuck_out_tongue_closed_eyes:aEe,money_mouth_face:lEe,hugs:cEe,hand_over_mouth:dEe,shushing_face:uEe,thinking:pEe,zipper_mouth_face:_Ee,raised_eyebrow:hEe,neutral_face:fEe,expressionless:mEe,no_mouth:gEe,smirk:bEe,unamused:EEe,roll_eyes:vEe,grimacing:yEe,lying_face:SEe,relieved:TEe,pensive:xEe,sleepy:CEe,drooling_face:REe,sleeping:AEe,mask:wEe,face_with_thermometer:NEe,face_with_head_bandage:OEe,nauseated_face:IEe,vomiting_face:MEe,sneezing_face:DEe,hot_face:kEe,cold_face:LEe,woozy_face:PEe,dizzy_face:UEe,exploding_head:FEe,cowboy_hat_face:BEe,partying_face:GEe,disguised_face:zEe,sunglasses:VEe,nerd_face:HEe,monocle_face:qEe,confused:YEe,worried:$Ee,slightly_frowning_face:WEe,frowning_face:KEe,open_mouth:jEe,hushed:QEe,astonished:XEe,flushed:ZEe,pleading_face:JEe,frowning:eve,anguished:tve,fearful:nve,cold_sweat:ive,disappointed_relieved:sve,cry:rve,sob:ove,scream:ave,confounded:lve,persevere:cve,disappointed:dve,sweat:uve,weary:pve,tired_face:_ve,yawning_face:hve,triumph:fve,rage:mve,pout:gve,angry:bve,cursing_face:Eve,smiling_imp:vve,imp:yve,skull:Sve,skull_and_crossbones:Tve,hankey:xve,poop:Cve,shit:Rve,clown_face:Ave,japanese_ogre:wve,japanese_goblin:Nve,ghost:Ove,alien:Ive,space_invader:Mve,robot:Dve,smiley_cat:kve,smile_cat:Lve,joy_cat:Pve,heart_eyes_cat:Uve,smirk_cat:Fve,kissing_cat:Bve,scream_cat:Gve,crying_cat_face:zve,pouting_cat:Vve,see_no_evil:Hve,hear_no_evil:qve,speak_no_evil:Yve,kiss:$ve,love_letter:Wve,cupid:Kve,gift_heart:jve,sparkling_heart:Qve,heartpulse:Xve,heartbeat:Zve,revolving_hearts:Jve,two_hearts:eye,heart_decoration:tye,heavy_heart_exclamation:nye,broken_heart:iye,heart:sye,orange_heart:rye,yellow_heart:oye,green_heart:aye,blue_heart:lye,purple_heart:cye,brown_heart:dye,black_heart:uye,white_heart:pye,anger:_ye,boom:hye,collision:fye,dizzy:mye,sweat_drops:gye,dash:bye,hole:Eye,bomb:vye,speech_balloon:yye,eye_speech_bubble:Sye,left_speech_bubble:Tye,right_anger_bubble:xye,thought_balloon:Cye,zzz:Rye,wave:Aye,raised_back_of_hand:wye,raised_hand_with_fingers_splayed:Nye,hand:Oye,raised_hand:Iye,vulcan_salute:Mye,ok_hand:Dye,pinched_fingers:kye,pinching_hand:Lye,v:Pye,crossed_fingers:Uye,love_you_gesture:Fye,metal:Bye,call_me_hand:Gye,point_left:zye,point_right:Vye,point_up_2:Hye,middle_finger:qye,fu:Yye,point_down:$ye,point_up:Wye,"+1":"👍",thumbsup:Kye,"-1":"👎",thumbsdown:jye,fist_raised:Qye,fist:Xye,fist_oncoming:Zye,facepunch:Jye,punch:eSe,fist_left:tSe,fist_right:nSe,clap:iSe,raised_hands:sSe,open_hands:rSe,palms_up_together:oSe,handshake:aSe,pray:lSe,writing_hand:cSe,nail_care:dSe,selfie:uSe,muscle:pSe,mechanical_arm:_Se,mechanical_leg:hSe,leg:fSe,foot:mSe,ear:gSe,ear_with_hearing_aid:bSe,nose:ESe,brain:vSe,anatomical_heart:ySe,lungs:SSe,tooth:TSe,bone:xSe,eyes:CSe,eye:RSe,tongue:ASe,lips:wSe,baby:NSe,child:OSe,boy:ISe,girl:MSe,adult:DSe,blond_haired_person:kSe,man:LSe,bearded_person:PSe,red_haired_man:USe,curly_haired_man:FSe,white_haired_man:BSe,bald_man:GSe,woman:zSe,red_haired_woman:VSe,person_red_hair:HSe,curly_haired_woman:qSe,person_curly_hair:YSe,white_haired_woman:$Se,person_white_hair:WSe,bald_woman:KSe,person_bald:jSe,blond_haired_woman:QSe,blonde_woman:XSe,blond_haired_man:ZSe,older_adult:JSe,older_man:e0e,older_woman:t0e,frowning_person:n0e,frowning_man:i0e,frowning_woman:s0e,pouting_face:r0e,pouting_man:o0e,pouting_woman:a0e,no_good:l0e,no_good_man:c0e,ng_man:d0e,no_good_woman:u0e,ng_woman:p0e,ok_person:_0e,ok_man:h0e,ok_woman:f0e,tipping_hand_person:m0e,information_desk_person:g0e,tipping_hand_man:b0e,sassy_man:E0e,tipping_hand_woman:v0e,sassy_woman:y0e,raising_hand:S0e,raising_hand_man:T0e,raising_hand_woman:x0e,deaf_person:C0e,deaf_man:R0e,deaf_woman:A0e,bow:w0e,bowing_man:N0e,bowing_woman:O0e,facepalm:I0e,man_facepalming:M0e,woman_facepalming:D0e,shrug:k0e,man_shrugging:L0e,woman_shrugging:P0e,health_worker:U0e,man_health_worker:F0e,woman_health_worker:B0e,student:G0e,man_student:z0e,woman_student:V0e,teacher:H0e,man_teacher:q0e,woman_teacher:Y0e,judge:$0e,man_judge:W0e,woman_judge:K0e,farmer:j0e,man_farmer:Q0e,woman_farmer:X0e,cook:Z0e,man_cook:J0e,woman_cook:eTe,mechanic:tTe,man_mechanic:nTe,woman_mechanic:iTe,factory_worker:sTe,man_factory_worker:rTe,woman_factory_worker:oTe,office_worker:aTe,man_office_worker:lTe,woman_office_worker:cTe,scientist:dTe,man_scientist:uTe,woman_scientist:pTe,technologist:_Te,man_technologist:hTe,woman_technologist:fTe,singer:mTe,man_singer:gTe,woman_singer:bTe,artist:ETe,man_artist:vTe,woman_artist:yTe,pilot:STe,man_pilot:TTe,woman_pilot:xTe,astronaut:CTe,man_astronaut:RTe,woman_astronaut:ATe,firefighter:wTe,man_firefighter:NTe,woman_firefighter:OTe,police_officer:ITe,cop:MTe,policeman:DTe,policewoman:kTe,detective:LTe,male_detective:PTe,female_detective:UTe,guard:FTe,guardsman:BTe,guardswoman:GTe,ninja:zTe,construction_worker:VTe,construction_worker_man:HTe,construction_worker_woman:qTe,prince:YTe,princess:$Te,person_with_turban:WTe,man_with_turban:KTe,woman_with_turban:jTe,man_with_gua_pi_mao:QTe,woman_with_headscarf:XTe,person_in_tuxedo:ZTe,man_in_tuxedo:JTe,woman_in_tuxedo:exe,person_with_veil:txe,man_with_veil:nxe,woman_with_veil:ixe,bride_with_veil:sxe,pregnant_woman:rxe,breast_feeding:oxe,woman_feeding_baby:axe,man_feeding_baby:lxe,person_feeding_baby:cxe,angel:dxe,santa:uxe,mrs_claus:pxe,mx_claus:_xe,superhero:hxe,superhero_man:fxe,superhero_woman:mxe,supervillain:gxe,supervillain_man:bxe,supervillain_woman:Exe,mage:vxe,mage_man:yxe,mage_woman:Sxe,fairy:Txe,fairy_man:xxe,fairy_woman:Cxe,vampire:Rxe,vampire_man:Axe,vampire_woman:wxe,merperson:Nxe,merman:Oxe,mermaid:Ixe,elf:Mxe,elf_man:Dxe,elf_woman:kxe,genie:Lxe,genie_man:Pxe,genie_woman:Uxe,zombie:Fxe,zombie_man:Bxe,zombie_woman:Gxe,massage:zxe,massage_man:Vxe,massage_woman:Hxe,haircut:qxe,haircut_man:Yxe,haircut_woman:$xe,walking:Wxe,walking_man:Kxe,walking_woman:jxe,standing_person:Qxe,standing_man:Xxe,standing_woman:Zxe,kneeling_person:Jxe,kneeling_man:eCe,kneeling_woman:tCe,person_with_probing_cane:nCe,man_with_probing_cane:iCe,woman_with_probing_cane:sCe,person_in_motorized_wheelchair:rCe,man_in_motorized_wheelchair:oCe,woman_in_motorized_wheelchair:aCe,person_in_manual_wheelchair:lCe,man_in_manual_wheelchair:cCe,woman_in_manual_wheelchair:dCe,runner:uCe,running:pCe,running_man:_Ce,running_woman:hCe,woman_dancing:fCe,dancer:mCe,man_dancing:gCe,business_suit_levitating:bCe,dancers:ECe,dancing_men:vCe,dancing_women:yCe,sauna_person:SCe,sauna_man:TCe,sauna_woman:xCe,climbing:CCe,climbing_man:RCe,climbing_woman:ACe,person_fencing:wCe,horse_racing:NCe,skier:OCe,snowboarder:ICe,golfing:MCe,golfing_man:DCe,golfing_woman:kCe,surfer:LCe,surfing_man:PCe,surfing_woman:UCe,rowboat:FCe,rowing_man:BCe,rowing_woman:GCe,swimmer:zCe,swimming_man:VCe,swimming_woman:HCe,bouncing_ball_person:qCe,bouncing_ball_man:YCe,basketball_man:$Ce,bouncing_ball_woman:WCe,basketball_woman:KCe,weight_lifting:jCe,weight_lifting_man:QCe,weight_lifting_woman:XCe,bicyclist:ZCe,biking_man:JCe,biking_woman:e1e,mountain_bicyclist:t1e,mountain_biking_man:n1e,mountain_biking_woman:i1e,cartwheeling:s1e,man_cartwheeling:r1e,woman_cartwheeling:o1e,wrestling:a1e,men_wrestling:l1e,women_wrestling:c1e,water_polo:d1e,man_playing_water_polo:u1e,woman_playing_water_polo:p1e,handball_person:_1e,man_playing_handball:h1e,woman_playing_handball:f1e,juggling_person:m1e,man_juggling:g1e,woman_juggling:b1e,lotus_position:E1e,lotus_position_man:v1e,lotus_position_woman:y1e,bath:S1e,sleeping_bed:T1e,people_holding_hands:x1e,two_women_holding_hands:C1e,couple:R1e,two_men_holding_hands:A1e,couplekiss:w1e,couplekiss_man_woman:N1e,couplekiss_man_man:O1e,couplekiss_woman_woman:I1e,couple_with_heart:M1e,couple_with_heart_woman_man:D1e,couple_with_heart_man_man:k1e,couple_with_heart_woman_woman:L1e,family:P1e,family_man_woman_boy:U1e,family_man_woman_girl:F1e,family_man_woman_girl_boy:B1e,family_man_woman_boy_boy:G1e,family_man_woman_girl_girl:z1e,family_man_man_boy:V1e,family_man_man_girl:H1e,family_man_man_girl_boy:q1e,family_man_man_boy_boy:Y1e,family_man_man_girl_girl:$1e,family_woman_woman_boy:W1e,family_woman_woman_girl:K1e,family_woman_woman_girl_boy:j1e,family_woman_woman_boy_boy:Q1e,family_woman_woman_girl_girl:X1e,family_man_boy:Z1e,family_man_boy_boy:J1e,family_man_girl:eRe,family_man_girl_boy:tRe,family_man_girl_girl:nRe,family_woman_boy:iRe,family_woman_boy_boy:sRe,family_woman_girl:rRe,family_woman_girl_boy:oRe,family_woman_girl_girl:aRe,speaking_head:lRe,bust_in_silhouette:cRe,busts_in_silhouette:dRe,people_hugging:uRe,footprints:pRe,monkey_face:_Re,monkey:hRe,gorilla:fRe,orangutan:mRe,dog:gRe,dog2:bRe,guide_dog:ERe,service_dog:vRe,poodle:yRe,wolf:SRe,fox_face:TRe,raccoon:xRe,cat:CRe,cat2:RRe,black_cat:ARe,lion:wRe,tiger:NRe,tiger2:ORe,leopard:IRe,horse:MRe,racehorse:DRe,unicorn:kRe,zebra:LRe,deer:PRe,bison:URe,cow:FRe,ox:BRe,water_buffalo:GRe,cow2:zRe,pig:VRe,pig2:HRe,boar:qRe,pig_nose:YRe,ram:$Re,sheep:WRe,goat:KRe,dromedary_camel:jRe,camel:QRe,llama:XRe,giraffe:ZRe,elephant:JRe,mammoth:eAe,rhinoceros:tAe,hippopotamus:nAe,mouse:iAe,mouse2:sAe,rat:rAe,hamster:oAe,rabbit:aAe,rabbit2:lAe,chipmunk:cAe,beaver:dAe,hedgehog:uAe,bat:pAe,bear:_Ae,polar_bear:hAe,koala:fAe,panda_face:mAe,sloth:gAe,otter:bAe,skunk:EAe,kangaroo:vAe,badger:yAe,feet:SAe,paw_prints:TAe,turkey:xAe,chicken:CAe,rooster:RAe,hatching_chick:AAe,baby_chick:wAe,hatched_chick:NAe,bird:OAe,penguin:IAe,dove:MAe,eagle:DAe,duck:kAe,swan:LAe,owl:PAe,dodo:UAe,feather:FAe,flamingo:BAe,peacock:GAe,parrot:zAe,frog:VAe,crocodile:HAe,turtle:qAe,lizard:YAe,snake:$Ae,dragon_face:WAe,dragon:KAe,sauropod:jAe,"t-rex":"🦖",whale:QAe,whale2:XAe,dolphin:ZAe,flipper:JAe,seal:ewe,fish:twe,tropical_fish:nwe,blowfish:iwe,shark:swe,octopus:rwe,shell:owe,snail:awe,butterfly:lwe,bug:cwe,ant:dwe,bee:uwe,honeybee:pwe,beetle:_we,lady_beetle:hwe,cricket:fwe,cockroach:mwe,spider:gwe,spider_web:bwe,scorpion:Ewe,mosquito:vwe,fly:ywe,worm:Swe,microbe:Twe,bouquet:xwe,cherry_blossom:Cwe,white_flower:Rwe,rosette:Awe,rose:wwe,wilted_flower:Nwe,hibiscus:Owe,sunflower:Iwe,blossom:Mwe,tulip:Dwe,seedling:kwe,potted_plant:Lwe,evergreen_tree:Pwe,deciduous_tree:Uwe,palm_tree:Fwe,cactus:Bwe,ear_of_rice:Gwe,herb:zwe,shamrock:Vwe,four_leaf_clover:Hwe,maple_leaf:qwe,fallen_leaf:Ywe,leaves:$we,grapes:Wwe,melon:Kwe,watermelon:jwe,tangerine:Qwe,orange:Xwe,mandarin:Zwe,lemon:Jwe,banana:eNe,pineapple:tNe,mango:nNe,apple:iNe,green_apple:sNe,pear:rNe,peach:oNe,cherries:aNe,strawberry:lNe,blueberries:cNe,kiwi_fruit:dNe,tomato:uNe,olive:pNe,coconut:_Ne,avocado:hNe,eggplant:fNe,potato:mNe,carrot:gNe,corn:bNe,hot_pepper:ENe,bell_pepper:vNe,cucumber:yNe,leafy_green:SNe,broccoli:TNe,garlic:xNe,onion:CNe,mushroom:RNe,peanuts:ANe,chestnut:wNe,bread:NNe,croissant:ONe,baguette_bread:INe,flatbread:MNe,pretzel:DNe,bagel:kNe,pancakes:LNe,waffle:PNe,cheese:UNe,meat_on_bone:FNe,poultry_leg:BNe,cut_of_meat:GNe,bacon:zNe,hamburger:VNe,fries:HNe,pizza:qNe,hotdog:YNe,sandwich:$Ne,taco:WNe,burrito:KNe,tamale:jNe,stuffed_flatbread:QNe,falafel:XNe,egg:ZNe,fried_egg:JNe,shallow_pan_of_food:eOe,stew:tOe,fondue:nOe,bowl_with_spoon:iOe,green_salad:sOe,popcorn:rOe,butter:oOe,salt:aOe,canned_food:lOe,bento:cOe,rice_cracker:dOe,rice_ball:uOe,rice:pOe,curry:_Oe,ramen:hOe,spaghetti:fOe,sweet_potato:mOe,oden:gOe,sushi:bOe,fried_shrimp:EOe,fish_cake:vOe,moon_cake:yOe,dango:SOe,dumpling:TOe,fortune_cookie:xOe,takeout_box:COe,crab:ROe,lobster:AOe,shrimp:wOe,squid:NOe,oyster:OOe,icecream:IOe,shaved_ice:MOe,ice_cream:DOe,doughnut:kOe,cookie:LOe,birthday:POe,cake:UOe,cupcake:FOe,pie:BOe,chocolate_bar:GOe,candy:zOe,lollipop:VOe,custard:HOe,honey_pot:qOe,baby_bottle:YOe,milk_glass:$Oe,coffee:WOe,teapot:KOe,tea:jOe,sake:QOe,champagne:XOe,wine_glass:ZOe,cocktail:JOe,tropical_drink:eIe,beer:tIe,beers:nIe,clinking_glasses:iIe,tumbler_glass:sIe,cup_with_straw:rIe,bubble_tea:oIe,beverage_box:aIe,mate:lIe,ice_cube:cIe,chopsticks:dIe,plate_with_cutlery:uIe,fork_and_knife:pIe,spoon:_Ie,hocho:hIe,knife:fIe,amphora:mIe,earth_africa:gIe,earth_americas:bIe,earth_asia:EIe,globe_with_meridians:vIe,world_map:yIe,japan:SIe,compass:TIe,mountain_snow:xIe,mountain:CIe,volcano:RIe,mount_fuji:AIe,camping:wIe,beach_umbrella:NIe,desert:OIe,desert_island:IIe,national_park:MIe,stadium:DIe,classical_building:kIe,building_construction:LIe,bricks:PIe,rock:UIe,wood:FIe,hut:BIe,houses:GIe,derelict_house:zIe,house:VIe,house_with_garden:HIe,office:qIe,post_office:YIe,european_post_office:$Ie,hospital:WIe,bank:KIe,hotel:jIe,love_hotel:QIe,convenience_store:XIe,school:ZIe,department_store:JIe,factory:eMe,japanese_castle:tMe,european_castle:nMe,wedding:iMe,tokyo_tower:sMe,statue_of_liberty:rMe,church:oMe,mosque:aMe,hindu_temple:lMe,synagogue:cMe,shinto_shrine:dMe,kaaba:uMe,fountain:pMe,tent:_Me,foggy:hMe,night_with_stars:fMe,cityscape:mMe,sunrise_over_mountains:gMe,sunrise:bMe,city_sunset:EMe,city_sunrise:vMe,bridge_at_night:yMe,hotsprings:SMe,carousel_horse:TMe,ferris_wheel:xMe,roller_coaster:CMe,barber:RMe,circus_tent:AMe,steam_locomotive:wMe,railway_car:NMe,bullettrain_side:OMe,bullettrain_front:IMe,train2:MMe,metro:DMe,light_rail:kMe,station:LMe,tram:PMe,monorail:UMe,mountain_railway:FMe,train:BMe,bus:GMe,oncoming_bus:zMe,trolleybus:VMe,minibus:HMe,ambulance:qMe,fire_engine:YMe,police_car:$Me,oncoming_police_car:WMe,taxi:KMe,oncoming_taxi:jMe,car:QMe,red_car:XMe,oncoming_automobile:ZMe,blue_car:JMe,pickup_truck:e2e,truck:t2e,articulated_lorry:n2e,tractor:i2e,racing_car:s2e,motorcycle:r2e,motor_scooter:o2e,manual_wheelchair:a2e,motorized_wheelchair:l2e,auto_rickshaw:c2e,bike:d2e,kick_scooter:u2e,skateboard:p2e,roller_skate:_2e,busstop:h2e,motorway:f2e,railway_track:m2e,oil_drum:g2e,fuelpump:b2e,rotating_light:E2e,traffic_light:v2e,vertical_traffic_light:y2e,stop_sign:S2e,construction:T2e,anchor:x2e,boat:C2e,sailboat:R2e,canoe:A2e,speedboat:w2e,passenger_ship:N2e,ferry:O2e,motor_boat:I2e,ship:M2e,airplane:D2e,small_airplane:k2e,flight_departure:L2e,flight_arrival:P2e,parachute:U2e,seat:F2e,helicopter:B2e,suspension_railway:G2e,mountain_cableway:z2e,aerial_tramway:V2e,artificial_satellite:H2e,rocket:q2e,flying_saucer:Y2e,bellhop_bell:$2e,luggage:W2e,hourglass:K2e,hourglass_flowing_sand:j2e,watch:Q2e,alarm_clock:X2e,stopwatch:Z2e,timer_clock:J2e,mantelpiece_clock:eDe,clock12:tDe,clock1230:nDe,clock1:iDe,clock130:sDe,clock2:rDe,clock230:oDe,clock3:aDe,clock330:lDe,clock4:cDe,clock430:dDe,clock5:uDe,clock530:pDe,clock6:_De,clock630:hDe,clock7:fDe,clock730:mDe,clock8:gDe,clock830:bDe,clock9:EDe,clock930:vDe,clock10:yDe,clock1030:SDe,clock11:TDe,clock1130:xDe,new_moon:CDe,waxing_crescent_moon:RDe,first_quarter_moon:ADe,moon:wDe,waxing_gibbous_moon:NDe,full_moon:ODe,waning_gibbous_moon:IDe,last_quarter_moon:MDe,waning_crescent_moon:DDe,crescent_moon:kDe,new_moon_with_face:LDe,first_quarter_moon_with_face:PDe,last_quarter_moon_with_face:UDe,thermometer:FDe,sunny:BDe,full_moon_with_face:GDe,sun_with_face:zDe,ringed_planet:VDe,star:HDe,star2:qDe,stars:YDe,milky_way:$De,cloud:WDe,partly_sunny:KDe,cloud_with_lightning_and_rain:jDe,sun_behind_small_cloud:QDe,sun_behind_large_cloud:XDe,sun_behind_rain_cloud:ZDe,cloud_with_rain:JDe,cloud_with_snow:eke,cloud_with_lightning:tke,tornado:nke,fog:ike,wind_face:ske,cyclone:rke,rainbow:oke,closed_umbrella:ake,open_umbrella:lke,umbrella:cke,parasol_on_ground:dke,zap:uke,snowflake:pke,snowman_with_snow:_ke,snowman:hke,comet:fke,fire:mke,droplet:gke,ocean:bke,jack_o_lantern:Eke,christmas_tree:vke,fireworks:yke,sparkler:Ske,firecracker:Tke,sparkles:xke,balloon:Cke,tada:Rke,confetti_ball:Ake,tanabata_tree:wke,bamboo:Nke,dolls:Oke,flags:Ike,wind_chime:Mke,rice_scene:Dke,red_envelope:kke,ribbon:Lke,gift:Pke,reminder_ribbon:Uke,tickets:Fke,ticket:Bke,medal_military:Gke,trophy:zke,medal_sports:Vke,"1st_place_medal":"🥇","2nd_place_medal":"🥈","3rd_place_medal":"🥉",soccer:Hke,baseball:qke,softball:Yke,basketball:$ke,volleyball:Wke,football:Kke,rugby_football:jke,tennis:Qke,flying_disc:Xke,bowling:Zke,cricket_game:Jke,field_hockey:eLe,ice_hockey:tLe,lacrosse:nLe,ping_pong:iLe,badminton:sLe,boxing_glove:rLe,martial_arts_uniform:oLe,goal_net:aLe,golf:lLe,ice_skate:cLe,fishing_pole_and_fish:dLe,diving_mask:uLe,running_shirt_with_sash:pLe,ski:_Le,sled:hLe,curling_stone:fLe,dart:mLe,yo_yo:gLe,kite:bLe,"8ball":"🎱",crystal_ball:ELe,magic_wand:vLe,nazar_amulet:yLe,video_game:SLe,joystick:TLe,slot_machine:xLe,game_die:CLe,jigsaw:RLe,teddy_bear:ALe,pinata:wLe,nesting_dolls:NLe,spades:OLe,hearts:ILe,diamonds:MLe,clubs:DLe,chess_pawn:kLe,black_joker:LLe,mahjong:PLe,flower_playing_cards:ULe,performing_arts:FLe,framed_picture:BLe,art:GLe,thread:zLe,sewing_needle:VLe,yarn:HLe,knot:qLe,eyeglasses:YLe,dark_sunglasses:$Le,goggles:WLe,lab_coat:KLe,safety_vest:jLe,necktie:QLe,shirt:XLe,tshirt:ZLe,jeans:JLe,scarf:ePe,gloves:tPe,coat:nPe,socks:iPe,dress:sPe,kimono:rPe,sari:oPe,one_piece_swimsuit:aPe,swim_brief:lPe,shorts:cPe,bikini:dPe,womans_clothes:uPe,purse:pPe,handbag:_Pe,pouch:hPe,shopping:fPe,school_satchel:mPe,thong_sandal:gPe,mans_shoe:bPe,shoe:EPe,athletic_shoe:vPe,hiking_boot:yPe,flat_shoe:SPe,high_heel:TPe,sandal:xPe,ballet_shoes:CPe,boot:RPe,crown:APe,womans_hat:wPe,tophat:NPe,mortar_board:OPe,billed_cap:IPe,military_helmet:MPe,rescue_worker_helmet:DPe,prayer_beads:kPe,lipstick:LPe,ring:PPe,gem:UPe,mute:FPe,speaker:BPe,sound:GPe,loud_sound:zPe,loudspeaker:VPe,mega:HPe,postal_horn:qPe,bell:YPe,no_bell:$Pe,musical_score:WPe,musical_note:KPe,notes:jPe,studio_microphone:QPe,level_slider:XPe,control_knobs:ZPe,microphone:JPe,headphones:eUe,radio:tUe,saxophone:nUe,accordion:iUe,guitar:sUe,musical_keyboard:rUe,trumpet:oUe,violin:aUe,banjo:lUe,drum:cUe,long_drum:dUe,iphone:uUe,calling:pUe,phone:_Ue,telephone:hUe,telephone_receiver:fUe,pager:mUe,fax:gUe,battery:bUe,electric_plug:EUe,computer:vUe,desktop_computer:yUe,printer:SUe,keyboard:TUe,computer_mouse:xUe,trackball:CUe,minidisc:RUe,floppy_disk:AUe,cd:wUe,dvd:NUe,abacus:OUe,movie_camera:IUe,film_strip:MUe,film_projector:DUe,clapper:kUe,tv:LUe,camera:PUe,camera_flash:UUe,video_camera:FUe,vhs:BUe,mag:GUe,mag_right:zUe,candle:VUe,bulb:HUe,flashlight:qUe,izakaya_lantern:YUe,lantern:$Ue,diya_lamp:WUe,notebook_with_decorative_cover:KUe,closed_book:jUe,book:QUe,open_book:XUe,green_book:ZUe,blue_book:JUe,orange_book:eFe,books:tFe,notebook:nFe,ledger:iFe,page_with_curl:sFe,scroll:rFe,page_facing_up:oFe,newspaper:aFe,newspaper_roll:lFe,bookmark_tabs:cFe,bookmark:dFe,label:uFe,moneybag:pFe,coin:_Fe,yen:hFe,dollar:fFe,euro:mFe,pound:gFe,money_with_wings:bFe,credit_card:EFe,receipt:vFe,chart:yFe,envelope:SFe,email:TFe,"e-mail":"📧",incoming_envelope:xFe,envelope_with_arrow:CFe,outbox_tray:RFe,inbox_tray:AFe,package:"📦",mailbox:wFe,mailbox_closed:NFe,mailbox_with_mail:OFe,mailbox_with_no_mail:IFe,postbox:MFe,ballot_box:DFe,pencil2:kFe,black_nib:LFe,fountain_pen:PFe,pen:UFe,paintbrush:FFe,crayon:BFe,memo:GFe,pencil:zFe,briefcase:VFe,file_folder:HFe,open_file_folder:qFe,card_index_dividers:YFe,date:$Fe,calendar:WFe,spiral_notepad:KFe,spiral_calendar:jFe,card_index:QFe,chart_with_upwards_trend:XFe,chart_with_downwards_trend:ZFe,bar_chart:JFe,clipboard:eBe,pushpin:tBe,round_pushpin:nBe,paperclip:iBe,paperclips:sBe,straight_ruler:rBe,triangular_ruler:oBe,scissors:aBe,card_file_box:lBe,file_cabinet:cBe,wastebasket:dBe,lock:uBe,unlock:pBe,lock_with_ink_pen:_Be,closed_lock_with_key:hBe,key:fBe,old_key:mBe,hammer:gBe,axe:bBe,pick:EBe,hammer_and_pick:vBe,hammer_and_wrench:yBe,dagger:SBe,crossed_swords:TBe,gun:xBe,boomerang:CBe,bow_and_arrow:RBe,shield:ABe,carpentry_saw:wBe,wrench:NBe,screwdriver:OBe,nut_and_bolt:IBe,gear:MBe,clamp:DBe,balance_scale:kBe,probing_cane:LBe,link:PBe,chains:UBe,hook:FBe,toolbox:BBe,magnet:GBe,ladder:zBe,alembic:VBe,test_tube:HBe,petri_dish:qBe,dna:YBe,microscope:$Be,telescope:WBe,satellite:KBe,syringe:jBe,drop_of_blood:QBe,pill:XBe,adhesive_bandage:ZBe,stethoscope:JBe,door:e3e,elevator:t3e,mirror:n3e,window:i3e,bed:s3e,couch_and_lamp:r3e,chair:o3e,toilet:a3e,plunger:l3e,shower:c3e,bathtub:d3e,mouse_trap:u3e,razor:p3e,lotion_bottle:_3e,safety_pin:h3e,broom:f3e,basket:m3e,roll_of_paper:g3e,bucket:b3e,soap:E3e,toothbrush:v3e,sponge:y3e,fire_extinguisher:S3e,shopping_cart:T3e,smoking:x3e,coffin:C3e,headstone:R3e,funeral_urn:A3e,moyai:w3e,placard:N3e,atm:O3e,put_litter_in_its_place:I3e,potable_water:M3e,wheelchair:D3e,mens:k3e,womens:L3e,restroom:P3e,baby_symbol:U3e,wc:F3e,passport_control:B3e,customs:G3e,baggage_claim:z3e,left_luggage:V3e,warning:H3e,children_crossing:q3e,no_entry:Y3e,no_entry_sign:$3e,no_bicycles:W3e,no_smoking:K3e,do_not_litter:j3e,"non-potable_water":"🚱",no_pedestrians:Q3e,no_mobile_phones:X3e,underage:Z3e,radioactive:J3e,biohazard:e4e,arrow_up:t4e,arrow_upper_right:n4e,arrow_right:i4e,arrow_lower_right:s4e,arrow_down:r4e,arrow_lower_left:o4e,arrow_left:a4e,arrow_upper_left:l4e,arrow_up_down:c4e,left_right_arrow:d4e,leftwards_arrow_with_hook:u4e,arrow_right_hook:p4e,arrow_heading_up:_4e,arrow_heading_down:h4e,arrows_clockwise:f4e,arrows_counterclockwise:m4e,back:g4e,end:b4e,on:E4e,soon:v4e,top:y4e,place_of_worship:S4e,atom_symbol:T4e,om:x4e,star_of_david:C4e,wheel_of_dharma:R4e,yin_yang:A4e,latin_cross:w4e,orthodox_cross:N4e,star_and_crescent:O4e,peace_symbol:I4e,menorah:M4e,six_pointed_star:D4e,aries:k4e,taurus:L4e,gemini:P4e,cancer:U4e,leo:F4e,virgo:B4e,libra:G4e,scorpius:z4e,sagittarius:V4e,capricorn:H4e,aquarius:q4e,pisces:Y4e,ophiuchus:$4e,twisted_rightwards_arrows:W4e,repeat:K4e,repeat_one:j4e,arrow_forward:Q4e,fast_forward:X4e,next_track_button:Z4e,play_or_pause_button:J4e,arrow_backward:e5e,rewind:t5e,previous_track_button:n5e,arrow_up_small:i5e,arrow_double_up:s5e,arrow_down_small:r5e,arrow_double_down:o5e,pause_button:a5e,stop_button:l5e,record_button:c5e,eject_button:d5e,cinema:u5e,low_brightness:p5e,high_brightness:_5e,signal_strength:h5e,vibration_mode:f5e,mobile_phone_off:m5e,female_sign:g5e,male_sign:b5e,transgender_symbol:E5e,heavy_multiplication_x:v5e,heavy_plus_sign:y5e,heavy_minus_sign:S5e,heavy_division_sign:T5e,infinity:x5e,bangbang:C5e,interrobang:R5e,question:A5e,grey_question:w5e,grey_exclamation:N5e,exclamation:O5e,heavy_exclamation_mark:I5e,wavy_dash:M5e,currency_exchange:D5e,heavy_dollar_sign:k5e,medical_symbol:L5e,recycle:P5e,fleur_de_lis:U5e,trident:F5e,name_badge:B5e,beginner:G5e,o:z5e,white_check_mark:V5e,ballot_box_with_check:H5e,heavy_check_mark:q5e,x:Y5e,negative_squared_cross_mark:$5e,curly_loop:W5e,loop:K5e,part_alternation_mark:j5e,eight_spoked_asterisk:Q5e,eight_pointed_black_star:X5e,sparkle:Z5e,copyright:J5e,registered:eGe,tm:tGe,hash:nGe,asterisk:iGe,zero:sGe,one:rGe,two:oGe,three:aGe,four:lGe,five:cGe,six:dGe,seven:uGe,eight:pGe,nine:_Ge,keycap_ten:hGe,capital_abcd:fGe,abcd:mGe,symbols:gGe,abc:bGe,a:EGe,ab:vGe,b:yGe,cl:SGe,cool:TGe,free:xGe,information_source:CGe,id:RGe,m:AGe,new:"🆕",ng:wGe,o2:NGe,ok:OGe,parking:IGe,sos:MGe,up:DGe,vs:kGe,koko:LGe,sa:PGe,ideograph_advantage:UGe,accept:FGe,congratulations:BGe,secret:GGe,u6e80:zGe,red_circle:VGe,orange_circle:HGe,yellow_circle:qGe,green_circle:YGe,large_blue_circle:$Ge,purple_circle:WGe,brown_circle:KGe,black_circle:jGe,white_circle:QGe,red_square:XGe,orange_square:ZGe,yellow_square:JGe,green_square:e9e,blue_square:t9e,purple_square:n9e,brown_square:i9e,black_large_square:s9e,white_large_square:r9e,black_medium_square:o9e,white_medium_square:a9e,black_medium_small_square:l9e,white_medium_small_square:c9e,black_small_square:d9e,white_small_square:u9e,large_orange_diamond:p9e,large_blue_diamond:_9e,small_orange_diamond:h9e,small_blue_diamond:f9e,small_red_triangle:m9e,small_red_triangle_down:g9e,diamond_shape_with_a_dot_inside:b9e,radio_button:E9e,white_square_button:v9e,black_square_button:y9e,checkered_flag:S9e,triangular_flag_on_post:T9e,crossed_flags:x9e,black_flag:C9e,white_flag:R9e,rainbow_flag:A9e,transgender_flag:w9e,pirate_flag:N9e,ascension_island:O9e,andorra:I9e,united_arab_emirates:M9e,afghanistan:D9e,antigua_barbuda:k9e,anguilla:L9e,albania:P9e,armenia:U9e,angola:F9e,antarctica:B9e,argentina:G9e,american_samoa:z9e,austria:V9e,australia:H9e,aruba:q9e,aland_islands:Y9e,azerbaijan:$9e,bosnia_herzegovina:W9e,barbados:K9e,bangladesh:j9e,belgium:Q9e,burkina_faso:X9e,bulgaria:Z9e,bahrain:J9e,burundi:e6e,benin:t6e,st_barthelemy:n6e,bermuda:i6e,brunei:s6e,bolivia:r6e,caribbean_netherlands:o6e,brazil:a6e,bahamas:l6e,bhutan:c6e,bouvet_island:d6e,botswana:u6e,belarus:p6e,belize:_6e,canada:h6e,cocos_islands:f6e,congo_kinshasa:m6e,central_african_republic:g6e,congo_brazzaville:b6e,switzerland:E6e,cote_divoire:v6e,cook_islands:y6e,chile:S6e,cameroon:T6e,cn:x6e,colombia:C6e,clipperton_island:R6e,costa_rica:A6e,cuba:w6e,cape_verde:N6e,curacao:O6e,christmas_island:I6e,cyprus:M6e,czech_republic:D6e,de:k6e,diego_garcia:L6e,djibouti:P6e,denmark:U6e,dominica:F6e,dominican_republic:B6e,algeria:G6e,ceuta_melilla:z6e,ecuador:V6e,estonia:H6e,egypt:q6e,western_sahara:Y6e,eritrea:$6e,es:W6e,ethiopia:K6e,eu:j6e,european_union:Q6e,finland:X6e,fiji:Z6e,falkland_islands:J6e,micronesia:e8e,faroe_islands:t8e,fr:n8e,gabon:i8e,gb:s8e,uk:r8e,grenada:o8e,georgia:a8e,french_guiana:l8e,guernsey:c8e,ghana:d8e,gibraltar:u8e,greenland:p8e,gambia:_8e,guinea:h8e,guadeloupe:f8e,equatorial_guinea:m8e,greece:g8e,south_georgia_south_sandwich_islands:b8e,guatemala:E8e,guam:v8e,guinea_bissau:y8e,guyana:S8e,hong_kong:T8e,heard_mcdonald_islands:x8e,honduras:C8e,croatia:R8e,haiti:A8e,hungary:w8e,canary_islands:N8e,indonesia:O8e,ireland:I8e,israel:M8e,isle_of_man:D8e,india:k8e,british_indian_ocean_territory:L8e,iraq:P8e,iran:U8e,iceland:F8e,it:B8e,jersey:G8e,jamaica:z8e,jordan:V8e,jp:H8e,kenya:q8e,kyrgyzstan:Y8e,cambodia:$8e,kiribati:W8e,comoros:K8e,st_kitts_nevis:j8e,north_korea:Q8e,kr:X8e,kuwait:Z8e,cayman_islands:J8e,kazakhstan:eze,laos:tze,lebanon:nze,st_lucia:ize,liechtenstein:sze,sri_lanka:rze,liberia:oze,lesotho:aze,lithuania:lze,luxembourg:cze,latvia:dze,libya:uze,morocco:pze,monaco:_ze,moldova:hze,montenegro:fze,st_martin:mze,madagascar:gze,marshall_islands:bze,macedonia:Eze,mali:vze,myanmar:yze,mongolia:Sze,macau:Tze,northern_mariana_islands:xze,martinique:Cze,mauritania:Rze,montserrat:Aze,malta:wze,mauritius:Nze,maldives:Oze,malawi:Ize,mexico:Mze,malaysia:Dze,mozambique:kze,namibia:Lze,new_caledonia:Pze,niger:Uze,norfolk_island:Fze,nigeria:Bze,nicaragua:Gze,netherlands:zze,norway:Vze,nepal:Hze,nauru:qze,niue:Yze,new_zealand:$ze,oman:Wze,panama:Kze,peru:jze,french_polynesia:Qze,papua_new_guinea:Xze,philippines:Zze,pakistan:Jze,poland:eVe,st_pierre_miquelon:tVe,pitcairn_islands:nVe,puerto_rico:iVe,palestinian_territories:sVe,portugal:rVe,palau:oVe,paraguay:aVe,qatar:lVe,reunion:cVe,romania:dVe,serbia:uVe,ru:pVe,rwanda:_Ve,saudi_arabia:hVe,solomon_islands:fVe,seychelles:mVe,sudan:gVe,sweden:bVe,singapore:EVe,st_helena:vVe,slovenia:yVe,svalbard_jan_mayen:SVe,slovakia:TVe,sierra_leone:xVe,san_marino:CVe,senegal:RVe,somalia:AVe,suriname:wVe,south_sudan:NVe,sao_tome_principe:OVe,el_salvador:IVe,sint_maarten:MVe,syria:DVe,swaziland:kVe,tristan_da_cunha:LVe,turks_caicos_islands:PVe,chad:UVe,french_southern_territories:FVe,togo:BVe,thailand:GVe,tajikistan:zVe,tokelau:VVe,timor_leste:HVe,turkmenistan:qVe,tunisia:YVe,tonga:$Ve,tr:WVe,trinidad_tobago:KVe,tuvalu:jVe,taiwan:QVe,tanzania:XVe,ukraine:ZVe,uganda:JVe,us_outlying_islands:eHe,united_nations:tHe,us:nHe,uruguay:iHe,uzbekistan:sHe,vatican_city:rHe,st_vincent_grenadines:oHe,venezuela:aHe,british_virgin_islands:lHe,us_virgin_islands:cHe,vietnam:dHe,vanuatu:uHe,wallis_futuna:pHe,samoa:_He,kosovo:hHe,yemen:fHe,mayotte:mHe,south_africa:gHe,zambia:bHe,zimbabwe:EHe,england:vHe,scotland:yHe,wales:SHe};var xHe={angry:[">:(",">:-("],blush:[':")',':-")'],broken_heart:["</3","<\\3"],confused:[":/",":-/"],cry:[":'(",":'-(",":,(",":,-("],frowning:[":(",":-("],heart:["<3"],imp:["]:(","]:-("],innocent:["o:)","O:)","o:-)","O:-)","0:)","0:-)"],joy:[":')",":'-)",":,)",":,-)",":'D",":'-D",":,D",":,-D"],kissing:[":*",":-*"],laughing:["x-)","X-)"],neutral_face:[":|",":-|"],open_mouth:[":o",":-o",":O",":-O"],rage:[":@",":-@"],smile:[":D",":-D"],smiley:[":)",":-)"],smiling_imp:["]:)","]:-)"],sob:[":,'(",":,'-(",";(",";-("],stuck_out_tongue:[":P",":-P"],sunglasses:["8-)","B-)"],sweat:[",:(",",:-("],sweat_smile:[",:)",",:-)"],unamused:[":s",":-S",":z",":-Z",":$",":-$"],wink:[";)",";-)"]},CHe=function(e,t){return e[t].content},RHe=function(e,t,i,s,r){var o=e.utils.arrayReplaceAt,a=e.utils.lib.ucmicro,l=new RegExp([a.Z.source,a.P.source,a.Cc.source].join("|"));function d(c,_,f){var m,h=0,E=[];return c.replace(r,function(b,g,v){var y;if(i.hasOwnProperty(b)){if(y=i[b],g>0&&!l.test(v[g-1])||g+b.length<v.length&&!l.test(v[g+b.length]))return}else y=b.slice(1,-1);g>h&&(m=new f("text","",0),m.content=c.slice(h,g),E.push(m)),m=new f("emoji","",0),m.markup=y,m.content=t[y],E.push(m),h=g+b.length}),h<c.length&&(m=new f("text","",0),m.content=c.slice(h),E.push(m)),E}return function(_){var f,m,h,E,b,g=_.tokens,v=0;for(m=0,h=g.length;m<h;m++)if(g[m].type==="inline")for(E=g[m].children,f=E.length-1;f>=0;f--)b=E[f],(b.type==="link_open"||b.type==="link_close")&&b.info==="auto"&&(v-=b.nesting),b.type==="text"&&v===0&&s.test(b.content)&&(g[m].children=E=o(E,f,d(b.content,b.level,_.Token)))}};function AHe(n){return n.replace(/[.?*+^$[\]\\(){}|-]/g,"\\$&")}var wHe=function(e){var t=e.defs,i;e.enabled.length&&(t=Object.keys(t).reduce(function(l,d){return e.enabled.indexOf(d)>=0&&(l[d]=t[d]),l},{})),i=Object.keys(e.shortcuts).reduce(function(l,d){return t[d]?Array.isArray(e.shortcuts[d])?(e.shortcuts[d].forEach(function(c){l[c]=d}),l):(l[e.shortcuts[d]]=d,l):l},{});var s=Object.keys(t),r;s.length===0?r="^$":r=s.map(function(l){return":"+l+":"}).concat(Object.keys(i)).sort().reverse().map(function(l){return AHe(l)}).join("|");var o=RegExp(r),a=RegExp(r,"g");return{defs:t,shortcuts:i,scanRE:o,replaceRE:a}},NHe=CHe,OHe=RHe,IHe=wHe,MHe=function(e,t){var i={defs:{},shortcuts:{},enabled:[]},s=IHe(e.utils.assign({},i,t||{}));e.renderer.rules.emoji=NHe,e.core.ruler.after("linkify","emoji",OHe(e,s.defs,s.shortcuts,s.scanRE,s.replaceRE))},DHe=THe,kHe=xHe,LHe=MHe,PHe=function(e,t){var i={defs:DHe,shortcuts:kHe,enabled:[]},s=e.utils.assign({},i,t||{});LHe(e,s)};const UHe=Ys(PHe);var ES=!1,ba={false:"push",true:"unshift",after:"push",before:"unshift"},su={isPermalinkSymbol:!0};function Yg(n,e,t,i){var s;if(!ES){var r="Using deprecated markdown-it-anchor permalink option, see https://github.com/valeriangalliat/markdown-it-anchor#permalinks";typeof process=="object"&&process&&process.emitWarning?process.emitWarning(r):console.warn(r),ES=!0}var o=[Object.assign(new t.Token("link_open","a",1),{attrs:[].concat(e.permalinkClass?[["class",e.permalinkClass]]:[],[["href",e.permalinkHref(n,t)]],Object.entries(e.permalinkAttrs(n,t)))}),Object.assign(new t.Token("html_block","",0),{content:e.permalinkSymbol,meta:su}),new t.Token("link_close","a",-1)];e.permalinkSpace&&t.tokens[i+1].children[ba[e.permalinkBefore]](Object.assign(new t.Token("text","",0),{content:" "})),(s=t.tokens[i+1].children)[ba[e.permalinkBefore]].apply(s,o)}function fN(n){return"#"+n}function mN(n){return{}}var FHe={class:"header-anchor",symbol:"#",renderHref:fN,renderAttrs:mN};function bc(n){function e(t){return t=Object.assign({},e.defaults,t),function(i,s,r,o){return n(i,t,s,r,o)}}return e.defaults=Object.assign({},FHe),e.renderPermalinkImpl=n,e}var rp=bc(function(n,e,t,i,s){var r,o=[Object.assign(new i.Token("link_open","a",1),{attrs:[].concat(e.class?[["class",e.class]]:[],[["href",e.renderHref(n,i)]],e.ariaHidden?[["aria-hidden","true"]]:[],Object.entries(e.renderAttrs(n,i)))}),Object.assign(new i.Token("html_inline","",0),{content:e.symbol,meta:su}),new i.Token("link_close","a",-1)];if(e.space){var a=typeof e.space=="string"?e.space:" ";i.tokens[s+1].children[ba[e.placement]](Object.assign(new i.Token(typeof e.space=="string"?"html_inline":"text","",0),{content:a}))}(r=i.tokens[s+1].children)[ba[e.placement]].apply(r,o)});Object.assign(rp.defaults,{space:!0,placement:"after",ariaHidden:!1});var qr=bc(rp.renderPermalinkImpl);qr.defaults=Object.assign({},rp.defaults,{ariaHidden:!0});var gN=bc(function(n,e,t,i,s){var r=[Object.assign(new i.Token("link_open","a",1),{attrs:[].concat(e.class?[["class",e.class]]:[],[["href",e.renderHref(n,i)]],Object.entries(e.renderAttrs(n,i)))})].concat(e.safariReaderFix?[new i.Token("span_open","span",1)]:[],i.tokens[s+1].children,e.safariReaderFix?[new i.Token("span_close","span",-1)]:[],[new i.Token("link_close","a",-1)]);i.tokens[s+1]=Object.assign(new i.Token("inline","",0),{children:r})});Object.assign(gN.defaults,{safariReaderFix:!1});var vS=bc(function(n,e,t,i,s){var r;if(!["visually-hidden","aria-label","aria-describedby","aria-labelledby"].includes(e.style))throw new Error("`permalink.linkAfterHeader` called with unknown style option `"+e.style+"`");if(!["aria-describedby","aria-labelledby"].includes(e.style)&&!e.assistiveText)throw new Error("`permalink.linkAfterHeader` called without the `assistiveText` option in `"+e.style+"` style");if(e.style==="visually-hidden"&&!e.visuallyHiddenClass)throw new Error("`permalink.linkAfterHeader` called without the `visuallyHiddenClass` option in `visually-hidden` style");var o=i.tokens[s+1].children.filter(function(_){return _.type==="text"||_.type==="code_inline"}).reduce(function(_,f){return _+f.content},""),a=[],l=[];if(e.class&&l.push(["class",e.class]),l.push(["href",e.renderHref(n,i)]),l.push.apply(l,Object.entries(e.renderAttrs(n,i))),e.style==="visually-hidden"){if(a.push(Object.assign(new i.Token("span_open","span",1),{attrs:[["class",e.visuallyHiddenClass]]}),Object.assign(new i.Token("text","",0),{content:e.assistiveText(o)}),new i.Token("span_close","span",-1)),e.space){var d=typeof e.space=="string"?e.space:" ";a[ba[e.placement]](Object.assign(new i.Token(typeof e.space=="string"?"html_inline":"text","",0),{content:d}))}a[ba[e.placement]](Object.assign(new i.Token("span_open","span",1),{attrs:[["aria-hidden","true"]]}),Object.assign(new i.Token("html_inline","",0),{content:e.symbol,meta:su}),new i.Token("span_close","span",-1))}else a.push(Object.assign(new i.Token("html_inline","",0),{content:e.symbol,meta:su}));e.style==="aria-label"?l.push(["aria-label",e.assistiveText(o)]):["aria-describedby","aria-labelledby"].includes(e.style)&&l.push([e.style,n]);var c=[Object.assign(new i.Token("link_open","a",1),{attrs:l})].concat(a,[new i.Token("link_close","a",-1)]);(r=i.tokens).splice.apply(r,[s+3,0].concat(c)),e.wrapper&&(i.tokens.splice(s,0,Object.assign(new i.Token("html_block","",0),{content:e.wrapper[0]+`
`})),i.tokens.splice(s+3+c.length+1,0,Object.assign(new i.Token("html_block","",0),{content:e.wrapper[1]+`
`})))});function yS(n,e,t,i){var s=n,r=i;if(t&&Object.prototype.hasOwnProperty.call(e,s))throw new Error("User defined `id` attribute `"+n+"` is not unique. Please fix it in your Markdown to continue.");for(;Object.prototype.hasOwnProperty.call(e,s);)s=n+"-"+r,r+=1;return e[s]=!0,s}function jo(n,e){e=Object.assign({},jo.defaults,e),n.core.ruler.push("anchor",function(t){for(var i,s={},r=t.tokens,o=Array.isArray(e.level)?(i=e.level,function(_){return i.includes(_)}):function(_){return function(f){return f>=_}}(e.level),a=0;a<r.length;a++){var l=r[a];if(l.type==="heading_open"&&o(Number(l.tag.substr(1)))){var d=e.getTokensText(r[a+1].children),c=l.attrGet("id");c=c==null?yS(e.slugify(d),s,!1,e.uniqueSlugStartIndex):yS(c,s,!0,e.uniqueSlugStartIndex),l.attrSet("id",c),e.tabIndex!==!1&&l.attrSet("tabindex",""+e.tabIndex),typeof e.permalink=="function"?e.permalink(c,e,t,a):(e.permalink||e.renderPermalink&&e.renderPermalink!==Yg)&&e.renderPermalink(c,e,t,a),a=r.indexOf(l),e.callback&&e.callback(l,{slug:c,title:d})}}})}Object.assign(vS.defaults,{style:"visually-hidden",space:!0,placement:"after",wrapper:null}),jo.permalink={__proto__:null,legacy:Yg,renderHref:fN,renderAttrs:mN,makePermalink:bc,linkInsideHeader:rp,ariaHidden:qr,headerLink:gN,linkAfterHeader:vS},jo.defaults={level:1,slugify:function(n){return encodeURIComponent(String(n).trim().toLowerCase().replace(/\s+/g,"-"))},uniqueSlugStartIndex:1,tabIndex:"-1",getTokensText:function(n){return n.filter(function(e){return["text","code_inline"].includes(e.type)}).map(function(e){return e.content}).join("")},permalink:!1,renderPermalink:Yg,permalinkClass:qr.defaults.class,permalinkSpace:qr.defaults.space,permalinkSymbol:"¶",permalinkBefore:qr.defaults.placement==="before",permalinkHref:qr.defaults.renderHref,permalinkAttrs:qr.defaults.renderAttrs},jo.default=jo;Object.assign||Object.defineProperty(Object,"assign",{enumerable:!1,configurable:!0,writable:!0,value:function(n){if(typeof n>"u"||n===null)throw new TypeError("Cannot convert first argument to object");for(var e=Object(n),t=1;t<arguments.length;t++){var i=arguments[t];if(!(typeof i>"u"||i===null))for(var s=Object.keys(Object(i)),r=0,o=s.length;r<o;r++){var a=s[r],l=Object.getOwnPropertyDescriptor(i,a);typeof l<"u"&&l.enumerable&&(e[a]=i[a])}}return e}});var wo={},cl={},dl={},Un={},SS;function bN(){if(SS)return Un;SS=1,Object.defineProperty(Un,"__esModule",{value:!0}),Un.accents=Un.fonts=Un.groupings=Un.operators=Un.identifiers=Un.numbers=void 0;var n={};Un.numbers=n;var e="[0-9²³¹¼-¾٠-٩۰-۹߀-߉०-९০-৯৴-৹੦-੯૦-૯୦-୯୲-୷௦-௲౦-౯౸-౾೦-೯൦-൵๐-๙໐-໙༠-༳၀-၉႐-႙፩-፼ᛮ-ᛰ០-៩៰-៹᠐-᠙᥆-᥏᧐-᧚᪀-᪉᪐-᪙᭐-᭙᮰-᮹᱀-᱉᱐-᱙⁰⁴-⁹₀-₉⅐-ↂↅ-↋①-⒛⓪-⓿❶-➓⳽〇〡-〩〸-〺㆒-㆕㈠-㈩㉈-㉏㉑-㉟㊀-㊉㊱-㊿零一二三四五六七八九十百千万億兆京垓𥝱秭穣溝澗正載割分厘毛糸忽微繊沙塵埃꘠-꘩ꛦ-ꛯ꠰-꠵꣐-꣙꤀-꤉꧐-꧙꩐-꩙꯰-꯹0-]",t=new RegExp(e);Object.defineProperties(n,{digitRange:{value:e},digitRE:{value:t},isdigit:{value:function(_){return _.match(t)}}});var i=["sin","cos","tan","csc","sec","cot","sinh","cosh","tanh","log","ln","det","dim","lim","mod","gcd","lcm","min","max"],s={Gamma:"Γ",Delta:"Δ",Theta:"Θ",Lambda:"Λ",Xi:"Ξ",Pi:"Π",Sigma:"Σ",Phi:"Φ",Psi:"Ψ",Omega:"Ω",alpha:"α",beta:"β",gamma:"γ",delta:"δ",epsilon:"ɛ",zeta:"ζ",eta:"η",theta:"θ",iota:"ι",kappa:"κ",lambda:"λ",mu:"μ",nu:"ν",xi:"ξ",pi:"π",rho:"ρ",sigma:"σ",tau:"τ",upsilon:"υ",phi:"φ",chi:"χ",psi:"ψ",omega:"ω",oo:"∞","O/":"∅",CC:"",NN:"",QQ:"",RR:"",ZZ:""};Un.identifiers=s,i.forEach(function(c){s[c]=c}),Object.defineProperty(s,"contains",{value:function(_){return typeof s[_]<"u"}}),Object.defineProperty(s,"funs",{value:i}),Object.defineProperty(s,"isfun",{value:function(_){return i.indexOf(_)>=0}});var r={"*":"·","**":"","***":"⋆","//":"/","|":"|",":":":","'":"","''":"″","'''":"‴","''''":"⁗",xx:"×","-:":"÷","|><":"⋉","><|":"⋊","|><|":"⋈","@":"∘","o+":"⊕",ox:"⊗","o.":"⊙","!":"!",sum:"∑",prod:"∏","^^":"∧","^^^":"⋀",vv:"",vvv:"",nn:"∩",nnn:"⋂",uu:"",uuu:"",int:"∫",oint:"∮",dint:"∬","+-":"±",del:"∂",grad:"∇",aleph:"ℵ","/_":"∠",diamond:"⋄",square:"□","|__":"⌊","__|":"⌋","|~":"⌈","~|":"⌉","=":"=","!=":"≠","<":"&lt;",">":"&gt;","<=":"≤",">=":"≥","-<":"≺","-<=":"⪯",">-":"≻",">-=":"⪰",in:"∈","!in":"∉",sub:"⊂",sup:"⊃",sube:"⊆",supe:"⊇","-=":"≡","==":"≡","~=":"≅","~~":"≈",prop:"∝","<-":"←","->":"→","=>":"⇒","<=>":"⇔","|->":"↦",">->":"↣","->>":"↠",">->>":"⤖",uarr:"↑",darr:"↓",larr:"←",rarr:"→",harr:"↔",lArr:"⇐",rArr:"⇒",hArr:"⇔",iff:"⇔",",":",",":.":"∴","...":"…",cdots:"⋯",ddots:"⋱",vdots:"⋮",if:"if",otherwise:"otherwise",and:"and",or:"or",not:"¬",AA:"∀",EE:"∃","_|_":"⊥",TT:"","|--":"⊢","|==":"⊨"};Un.operators=r,Object.defineProperty(r,"contains",{value:function(_){return typeof r[_]<"u"}}),Object.defineProperty(r,"get",{value:function(_){return r[_]||_}}),Object.defineProperty(r,"regexp",{value:new RegExp("("+Object.keys(r).sort(function(c,_){return _.length-c.length}).map(o).join("|")+"|[+-<=>|~¬±×÷ϐϑϒϕϰϱϴϵ϶؆؇؈‖′″‴⁀⁄⁒⁡-⁤⁺-⁾₊-₎★☆♠♡♢♣♭♮♯﬩。-ィ+<=>\^|~¬←↑→↓∀-⋿⨀-⫿⟀-⟥⦀-⦂⦙-⧿⌁-⏿■-◿⬀-⯿←-⇿⟰-⟿⤀-⥿⃐-⃯])")});function o(c){return c.replace(/[\-\[\]\/\{\}\(\)\*\+\?\.\\\^\$\|]/g,"\\$&")}var a={open:{"(:":"⟨","{:":""},close:{":)":"⟩",":}":""},complex:{abs:{open:"|",close:"|"},floor:{open:"⌊",close:"⌋"},ceil:{open:"⌈",close:"⌉"},norm:{open:"∥",close:"∥"}}};Un.groupings=a,Object.defineProperty(a.open,"regexp",{value:/([[⟦⟨⟪⟬⟮⦃⦅⦇⦉⦋⦍⦏⦑⦓⦕⦗]|[({]:?)/}),Object.defineProperty(a.close,"regexp",{value:/([\]⟧⟩⟫⟭⟯⦄⦆⦈⦊⦌⦎⦐⦒⦔⦖⦘]|:?[)}])/}),Object.defineProperty(a.open,"get",{value:function(_){var f=a.open[_];return typeof f=="string"?f:_}}),Object.defineProperty(a.close,"get",{value:function(_){var f=a.close[_];return typeof f=="string"?f:_}}),Object.defineProperty(a.complex,"contains",{value:function(_){return Object.keys(a.complex).indexOf(_)>=0}}),Object.defineProperty(a.complex,"get",{value:function(_){return a.complex[_]}}),Object.freeze(a.open),Object.freeze(a.close),Object.freeze(a.complex);var l={rm:"normal",bf:"bold",it:"italic",bb:"double-struck",cc:"script",tt:"monospace",fr:"fraktur",sf:"sans-serif"};Un.fonts=l,Object.defineProperty(l,"get",{value:function(_){return l[_]}}),Object.defineProperty(l,"regexp",{value:new RegExp("("+Object.keys(l).join("|")+")")});var d={hat:{type:"over",accent:"^"},bar:{type:"over",accent:"‾"},ul:{type:"under",accent:"_"},vec:{type:"over",accent:"→"},dot:{type:"over",accent:"⋅"},ddot:{type:"over",accent:"⋅⋅"},tilde:{type:"over",accent:"˜"},cancel:{type:"enclose",attrs:{notation:"updiagonalstrike"}}};return Un.accents=d,Object.defineProperty(d,"contains",{value:function(_){return Object.keys(d).indexOf(_)>=0}}),Object.defineProperty(d,"get",{value:function(_){return d[_]}}),Object.defineProperty(d,"regexp",{value:new RegExp("("+Object.keys(d).join("|")+")")}),Un}var TS;function BHe(){if(TS)return dl;TS=1,Object.defineProperty(dl,"__esModule",{value:!0}),dl.default=void 0;var n=bN();function e(C){var x=new RegExp("^"+n.operators.regexp.source),O=x.exec(C),R=O[0];return[n.operators.get(R),C.slice(R.length)]}function t(C){var x=new RegExp("^"+n.groupings.open.regexp.source);return C.match(x)}function i(C,x){var O=new RegExp("^[0-9A-Za-z+\\-!]{2,}(\\s|".concat(x.colSep,"|").concat(x.rowSep,")"));return C.match(O)}function s(C,x,O){if(!t(C))return!1;var R=a(C)[4];if(!(R.trim().startsWith(x)||R.match(/^\s*\n/)&&t(R.trim())))return!1;for(;R&&R.trim();)if(R=(a(R)||[])[4],R&&(R.startsWith(O)||R.match(/^\s*\n/)))return!1;return!0}var r=new RegExp("("+n.identifiers.funs.concat(Object.keys(n.accents)).concat(["sqrt"]).sort(function(C,x){return C.length-x.length}).join("|")+")$");function o(C){return C.match(r)}function a(C){for(var x=new RegExp("^"+n.groupings.open.regexp.source),O=new RegExp("^"+n.groupings.close.regexp.source),R,S,A,U,F=0,K=0;K<C.length;){var L=C.slice(K),H=L.match(x),G=L.match(O);if(H)typeof R!="number"&&(R=K,A=H[0]),F+=1,K+=H[0].length;else if(G){if(F-=1,F===0){U=G[0],S=K+(U.length-1);break}K+=G[0].length}else K+=1}return A?[R===0?"":C.slice(0,R),n.groupings.open.get(A),C.slice(R+A.length,U?S-(U.length-1):C.length),U?n.groupings.close.get(U):"",S?C.slice(S+1):""]:null}function l(C){if(!C.startsWith("|"))return!1;var x=d(C);return x&&x[0]===""}function d(C){function x(G,P,j){return[G===0?"":C.slice(0,G),j?"‖":"|",C.slice(G+(j?2:1),P),j?"‖":"|",C.slice(P+(j?2:1))]}var O=C.indexOf("|"),R=O+1,S=C.slice(O+1),A=S.startsWith("|"),U=A?/\|\|/:/\|/;if(A&&(S=S.slice(1),R+=1),S.indexOf("|")===-1||S.match(/^\.?[_\^]/))return null;for(;S.length>0;){var F=a(S),K=F?F[0]:S,L=F?F[4]:"",H=U.exec(K);if(H)return x(O,R+H.index,A);R+=F.slice(0,-1).map(c("length")).reduce(_),F[1]===""?R+=2:F[1]==="〈"&&(R+=1),F[3]===""?R+=2:F[3]==="〉"&&(R+=1),S=L}return null}function c(C){return function(x){return x[C]}}function _(C,x){return C+x}function f(C){var x=new RegExp("^("+n.fonts.regexp.source+" ?)?"+C);return function(O){return x.exec(O)}}var m=f("(`)\\w+`"),h=f('(")');function E(C){return m(C)||h(C)}function b(C){var x=m(C)||h(C),O=x&&x[2],R=x&&x[3],S=R==='"'?"mtext":R==="`"?"mi":"",A=C.indexOf(R),U=A+1+C.slice(A+1).indexOf(R),F=A>0?n.fonts.get(O):"";return{tagname:S,text:C.slice(A+1,U),font:F,rest:C.slice(U+1)}}var g=["<mi>lim</mi>","<mo>∑</mo>","<mo>∏</mo>"];function v(C){return g.indexOf(C)>=0}var y={endsInFunc:o,isgroupStart:t,isgroupable:i,isvertGroupStart:l,splitNextGroup:a,splitNextVert:d,splitNextOperator:e,ismatrixInterior:s,isfontCommand:E,splitfont:b,shouldGoUnder:v},T=y;return dl.default=T,dl}var xS;function GHe(){if(xS)return cl;xS=1,Object.defineProperty(cl,"__esModule",{value:!0}),cl.default=void 0;var n=t(BHe()),e=bN();function t(Q){return Q&&Q.__esModule?Q:{default:Q}}function i(Q,re){return o(Q)||r(Q,re)||s()}function s(){throw new TypeError("Invalid attempt to destructure non-iterable instance")}function r(Q,re){var te=[],Z=!0,fe=!1,ve=void 0;try{for(var Ae=Q[Symbol.iterator](),J;!(Z=(J=Ae.next()).done)&&(te.push(J.value),!(re&&te.length===re));Z=!0);}catch(me){fe=!0,ve=me}finally{try{!Z&&Ae.return!=null&&Ae.return()}finally{if(fe)throw ve}}return te}function o(Q){if(Array.isArray(Q))return Q}function a(Q){return function re(te,Z){if(typeof te=="object")return function(ve){return re(ve,te)};if(typeof Z!="object")return"<".concat(Q,">").concat(te,"</").concat(Q,">");var fe=Object.keys(Z).map(function(ve){return"".concat(ve,'="').concat(Z[ve],'"')}).join(" ");return"<".concat(Q," ").concat(fe,">").concat(te,"</").concat(Q,">")}}var l=a("mi"),d=a("mn"),c=a("mo"),_=a("mfrac"),f=a("msup"),m=a("msub"),h=a("msubsup"),E=a("munder"),b=a("mover"),g=a("munderover"),v=a("menclose"),y=a("mrow"),T=a("msqrt"),C=a("mroot"),x=a("mfenced"),O=a("mtable"),R=a("mtr"),S=a("mtd");function A(Q){var re=Q.decimalMark==="."?"\\.":Q.decimalMark,te=new RegExp("^".concat(e.numbers.digitRange,"+(").concat(re).concat(e.numbers.digitRange,"+)?")),Z=Ae(Q.colSep),fe=Ae(Q.rowSep),ve=Ae(`
`);function Ae(V){return function(_e){for(var ie=[],ae=0,D=0,I=0;I<_e.length;I+=1){var z=_e.slice(I),he=_e[I];z.startsWith(V)&&!_e.slice(0,I).match(/\\(\\{2})*$/)?ae===0&&(ie.push(_e.slice(D,I)),D=I+V.length):he.match(e.groupings.open.regexp)?ae+=1:he.match(e.groupings.close.regexp)&&(ae-=1)}return ie.push(_e.slice(D)),ie}}var J=function V(_e,ie,ae,D){if(!_e)return ie;if(_e.match(/^\s/)){if(_e.match(/^\s+(\/[^\/]|^[^\^]|_[^_|])/))return V(_e.trim(),ie);var I=_e.match(/^ +/),z=I?I[0].length:0;if(z>1){var he='<mspace width="'.concat(z-1,'ex" />');return V(_e.trim(),ie+he)}return V(_e.trim(),ie)}var X=ee(_e,D),se=i(X,2),Re=se[0],Te=se[1];if((Te&&Te.trimLeft().startsWith("/")||Te.trimLeft().startsWith("./"))&&!Te.trimLeft().match(/^\.?\/\//)){var Me=$(Re,Te),ze=i(Me,2);Re=ze[0],Te=ze[1]}return V(Te,ie+Re)};function me(V){if(V.trim().length===0)return"";var _e=J(V,"",!1,!0);return _e===K(_e)?_e:y(_e)}function ee(V,_e,ie){if(!V)return["",""];var ae,D,I=V[0],z=V.slice(1),he=I+(z.match(/^[A-Za-z]+/)||"");if(V.startsWith("sqrt")){var X=ee(V.slice(4).trim(),_e);ae=T(X[0]?F(X[0]):y("")),D=X[1]}else if(V.startsWith("root")){var se=ee(V.slice(4).trimLeft(),_e),Re=se[0]?F(se[0]):y(""),Te=ee(se[1].trimLeft(),_e),Me=Te[0]?F(Te[0]):y("");ae=C(Me+Re),D=Te[1]}else if(I==="\\"&&V.length>1)if(V[1].match(/[(\[]/)){var ze=L(z);ae=c(V.slice(2,ze)),D=V.slice(ze+1)}else ae=c(V[1]),D=V.slice(2);else if(e.accents.contains(he)){var st=e.accents.get(he),De=V.slice(he.length).trimLeft(),lt=De.match(/^\s*\(?([ij])\)?/),Qe=ee(De);switch(st.type){case"over":lt?(ae=b(l(lt[1]==="i"?"ı":"ȷ")+c(st.accent,{accent:!0})),D=De.slice(lt[0].length)):(ae=b(F(Qe[0])+c(st.accent,{accent:!0})),D=Qe[1]);break;case"under":ae=E(F(Qe[0])+c(st.accent)),D=Qe[1];break;case"enclose":ae=v(F(Qe[0]),st.attrs),D=Qe[1];break;default:throw new Error("Invalid config for accent "+he)}}else if(n.default.isfontCommand(V)){var He=n.default.splitfont(V);ae=a(He.tagname)(He.text,He.font&&{mathvariant:He.font}),D=He.rest}else if(e.groupings.complex.contains(he)){var Je=e.groupings.complex.get(he),Fe=V.slice(he.length).trimLeft(),ut=ee(Fe);ae=x(F(ut[0]),Je),D=ut[1]}else if(n.default.isgroupStart(V)||n.default.isvertGroupStart(V)){var ue=n.default.isgroupStart(V)?n.default.splitNextGroup(V):n.default.splitNextVert(V),We=i(ue,5),Ue=We[1],Ne=We[2],Be=We[3],ct=We[4];D=e.groupings.open.get(ct);var Et=function(){var On=ve(Ne);return On.length>1?On:fe(Ne)}();if(n.default.ismatrixInterior(Ne.trim(),Q.colSep,Q.rowSep)){Ne.trim().endsWith(Q.colSep)&&(Ne=Ne.trimRight().slice(0,-1));var jt=Ue==="{"&&Be==="",ln=ne(Ne,jt&&{columnalign:"center left"});ae=x(ln,{open:Ue,close:Be})}else if(Et.length>1)if(Et.length===2&&Ue==="("&&Be===")"){var xt=_(Et.map(me).join(""),{linethickness:0});ae=x(xt,{open:Ue,close:Be})}else{var $t=Et.map(Z);P($t).length===1&&P($t)[0].match(/^\s*$/)&&($t=$t.slice(0,-1));var yn=$t.map(function(On){return R(On.map(j(S,me)).join(""))}).join("");ae=x(O(yn),{open:Ue,close:Be})}else{var ms=Z(Ne),Dr=ms.map(me).join(""),ci={open:Ue,close:Be};Q.colSep!==","&&(ci.separators=Q.colSep),ae=x(Dr,ci)}}else if(!_e&&n.default.isgroupable(V,Q)){var Sn=ce(V);ae=me(Sn[0]),D=Sn[1]}else if(e.numbers.isdigit(I)){var di=V.match(te)[0];ae=d(di),D=z.slice(di.length-1)}else if(V.match(/^#`[^`]+`/)){var Ki=V.match(/^#`([^`]+)`/)[1];ae=d(Ki),D=V.slice(Ki.length+3)}else if(V.match(new RegExp("^"+e.operators.regexp.source))&&!e.identifiers.contains(he)){var gs=n.default.splitNextOperator(V),bs=i(gs,2),Es=bs[0],et=bs[1],w=V.startsWith("'"),W=G(["∂","∇"],Es),oe=G(["|"],Es),ye=V.startsWith("| "),Ee={};w&&(Ee.lspace=0,Ee.rspace=0),W&&(Ee.rspace=0),oe&&(Ee.stretchy=!0),ye&&(Ee.lspace="veryverythickmathspace",Ee.rspace="veryverythickmathspace"),ae=c(Es,!H(Ee)&&Ee),D=et}else if(e.identifiers.contains(he)){var Ge=e.identifiers[he],Xe=Ge.match(/[\u0391-\u03A9\u2100-\u214F\u2200-\u22FF]/);ae=Xe?l(Ge,{mathvariant:"normal"}):l(Ge),D=z.slice(he.length-1)}else I==="O"&&z[0]==="/"?(ae=l(e.identifiers["O/"],{mathvariant:"normal"}),D=z.slice(1)):(ae=l(I),D=z);if(D&&D.trimLeft().match(/\.?[\^_]/)){if((!ie||!ie.match(/m(sup|over)/))&&D.trim().startsWith("_")&&(D.trim().length<=1||!D.trim()[1].match(/[|_]/))){var nt=Se(ae,D),at=i(nt,2);ae=at[0],D=at[1]}else if(ie!=="mover"&&D.trim().startsWith("._")&&(D.trim().length<=2||!D.trim()[2].match(/[|_]/))){var rt=k(ae,D),pt=i(rt,2);ae=pt[0],D=pt[1]}else if((!ie||!ie.match(/m(sub|under)/))&&D.trim().startsWith("^")&&(D.trim().length<=1||D.trim()[1]!=="^")){var _t=Oe(ae,D),Kt=i(_t,2);ae=Kt[0],D=Kt[1]}else if(ie!=="munder"&&D.trim().startsWith(".^")&&(D.trim().length<=2||D.trim()[2]!=="^")){var Tn=B(ae,D),nn=i(Tn,2);ae=nn[0],D=nn[1]}}return[ae,D]}function Se(V,_e){var ie=ee(_e.trim().slice(1).trim(),!0,"msub"),ae=ie[0]?F(ie[0]):y(""),D,I=ie[1];if(I&&I.trim().startsWith("^")&&(I.trim().length<=1||!I.trim()[1]!=="^")){var z=ee(I.trim().slice(1).trim(),!0),he=z[0]?F(z[0]):y(""),X=n.default.shouldGoUnder(V)?g:h;D=X(V+ae+he),I=z[1]}else{var se=n.default.shouldGoUnder(V)?E:m;D=se(V+ae)}return[D,I]}function Oe(V,_e){var ie=ee(_e.trim().slice(1).trim(),!0,"msup"),ae=ie[0]?F(ie[0]):y(""),D,I=ie[1];if(I.trim().startsWith("_")&&(I.trim().length<=1||!I.trim()[1].match(/[|_]/))){var z=ee(I.trim().slice(1).trim(),!0),he=z[0]?F(z[0]):y(""),X=n.default.shouldGoUnder(V)?g:h;D=X(V+he+ae),I=z[1]}else{var se=n.default.shouldGoUnder(V)?b:f;D=se(V+ae)}return[D,I]}function k(V,_e){var ie=ee(_e.trim().slice(2).trim(),!0,"munder"),ae=ie[0]?F(ie[0]):y(""),D,I=ie[1],z=I.match(/^(\.?\^)[^\^]/);if(z){var he=ee(I.trim().slice(z[1].length).trim(),!0),X=he[0]?F(he[0]):y("");D=g(V+ae+X),I=he[1]}else D=E(V+ae);return[D,I]}function B(V,_e){var ie=ee(_e.trim().slice(2).trim(),!0,"mover"),ae=ie[0]?F(ie[0]):y(""),D,I=ie[1],z=I.match(/^(\.?_)[^_|]/);if(z){var he=ee(I.trim().slice(z[1].length).trim(),!0),X=he[0]?F(he[0]):y("");D=g(V+X+ae),I=he[1]}else D=b(V+ae);return[D,I]}function $(V,_e){var ie=_e.trim().startsWith("./"),ae=_e.trim().slice(ie?2:1),D,I,z;if(ae.startsWith(" ")){var he=ae.trim().split(" ");D=me(he[0]),z=ae.trimLeft().slice(he[0].length+1)}else{var X=ee(ae),se=i(X,2);D=se[0],z=se[1]}return D=D||y(""),I=_(F(V)+F(D),ie&&{bevelled:!0}),z&&z.trim().startsWith("/")||z.trim().startsWith("./")?$(I,z):[I,z]}function ce(V){var _e=new RegExp("(\\s|".concat(Q.colSep,"|").concat(Q.rowSep,"|$)")),ie=V.match(_e),ae=V.slice(0,ie.index),D=ie[0],I=V.slice(ie.index+1),z=ae,he=D+I;if(!n.default.isgroupStart(I.trim())&&n.default.endsInFunc(ae)){var X=ce(I);z+=D+X[0],he=X[1]}else if(ae.match(/root$/)){var se=ce(I),Re=ce(se[1].trimLeft());z+=D+se[0]+" "+Re[0],he=D+Re[1]}return[z,he]}function ne(V,_e){var ie=function(){var ae=Z(V);return ae.length>1?ae:ve(V)}().map(function(ae){return ae.trim().slice(1,-1)});return O(ie.map(Ce).join(""),_e)}function Ce(V,_e){if(_e=typeof _e=="string"?_e:"",!V||V.length===0)return R(_e);var ie=we(V.trim(),""),ae=i(ie,2),D=ae[0],I=ae[1];return Ce(I.trim(),_e+D)}function we(V,_e){if(!V||V.length===0)return[S(_e),""];if(V[0]===Q.colSep)return[S(_e),V.slice(1).trim()];var ie=ee(V),ae=i(ie,2),D=ae[0],I=ae[1];return we(I.trim(),_e+D)}return J}function U(Q){var re=K(Q),te=Q.slice(0,Q.lastIndexOf(re));return[te,re]}function F(Q){var re=Q.replace(/^<mfenced[^>]*>/,"").replace(/<\/mfenced>$/,"");return U(re)[1]===re?re:y(re)}function K(Q){var re=Q.match(/<\/(m[a-z]+)>$/);if(!re){var te=Q.match(/<mspace\s*([a-z]+="[a-z]")*\s*\?>/);if(te){var Z=te.match[0].length;return Q.slice(Z)}else return""}var fe=re[1],ve=Q.length-(fe.length+3),Ae=0;for(ve;ve>=0;ve-=1){if(Q.slice(ve).startsWith("<".concat(fe))){if(Ae===0)break;Ae-=1}Q.slice(ve-2).startsWith("</".concat(fe))&&(Ae+=1)}return Q.slice(ve)}function L(Q){for(var re=Q[0],te=re==="("?")":re==="["?"]":Q[0],Z=0,fe=0,ve=0;ve<Q.length;ve+=1){var Ae=Q[ve];if(fe+=1,Ae===te){if(Z-=1,Z===0)break}else Ae===re&&(Z+=1)}return fe}function H(Q){return Object.keys(Q).length===0}function G(Q,re){return Q.indexOf(re)>=0}function P(Q){return Q.slice(-1)[0]}function j(Q,re){return function(te){return Q(re(te))}}A.getlastel=K;var Y=A;return cl.default=Y,cl}var CS;function zHe(){if(CS)return wo;CS=1,Object.defineProperty(wo,"__esModule",{value:!0}),wo.ascii2mathml=t,wo.default=void 0;var n=e(GHe());function e(s){return s&&s.__esModule?s:{default:s}}function t(s,r){if(typeof s=="object")return function(_,f){var m=Object.assign({},s,f);return t(_,m)};if(r=typeof r=="object"?r:{},r.annotate=r.annotate||!1,r.bare=r.bare||!1,r.display=r.display||"inline",r.standalone=r.standalone||!1,r.dir=r.dir||"ltr",r.decimalMark=r.decimalMark||".",r.colSep=r.colSep||",",r.rowSep=r.rowSep||";",r.decimalMark===","&&r.colSep===","&&(r.colSep=";"),r.colSep===";"&&r.rowSep===";"&&(r.rowSep=";;"),r.bare){if(r.standalone)throw new Error("Can't output a valid HTML without a root <math> element");if(r.display&&r.display.toLowerCase()!=="inline")throw new Error("Can't display block without root element.");if(r.dir&&r.dir.toLowerCase()!=="ltr")throw new Error("Can't have right-to-left direction without root element.")}var o=(0,n.default)(r),a,l=r.bare?function(_){return _}:function(_){return"<math".concat(r.display!=="inline"?' display="'.concat(r.display,'"'):"").concat(r.dir!=="ltr"?' dir="'.concat(r.dir,'"'):"",">").concat(_,"</math>")};if(r.annotate){var d=o(s.trim(),""),c=d===n.default.getlastel(d)?d:"<mrow>".concat(d,"</mrow>");a=l("<semantics>"+c+'<annotation encoding="application/AsciiMath">'+s+"</annotation></semantics>")}else a=l(o(s.trim(),""));return r.standalone&&(a="<!DOCTYPE html><html><head><title>"+s+"</title></head><body>"+a+"</body></html>"),a}var i=t;return wo.default=i,wo}var Zp=null;function RS(n,e,t){var i=e,s,r,o,a,l,d,c,_=!0,f=!0,m=n.posMax,h=n.md.utils.isWhiteSpace;return s=e>0?n.src.charCodeAt(e-1):32,i>=m&&(a=!1),i+=t,o=i-e,r=i<m?n.src.charCodeAt(i):32,d=h(s),c=h(r),c&&(_=!1),d&&(f=!1),a=_,l=f,{can_open:a,can_close:l,delims:o}}function VHe(n,e){return function(i,s){var r,o,a,l,d,c=i.posMax,_=i.pos,f=i.src.slice(_,_+n.length);if(f!==n||s)return!1;if(a=RS(i,_,f.length),r=a.delims,!a.can_open)return i.pos+=r,i.pending+=i.src.slice(_,i.pos),!0;for(i.pos=_+n.length;i.pos<c;){if(d=i.src.slice(i.pos,i.pos+e.length),d===e&&(a=RS(i,i.pos,e.length),a.can_close)){o=!0;break}i.md.inline.skipToken(i)}return o?(i.posMax=i.pos,i.pos=_+e.length,l=i.push("math_inline","math",0),l.content=i.src.slice(i.pos,i.posMax),l.markup=n,i.pos=i.posMax+e.length,i.posMax=c,!0):(i.pos=_,!1)}}function HHe(n,e){return function(i,s,r,o){var a,l,d,c,_,f,m,h,E=!1,b=i.bMarks[s]+i.tShift[s],g=i.eMarks[s];if(b+n.length>g||(a=i.src.slice(b,b+n.length),a!==n))return!1;if(b+=n.length,f=i.src.slice(b,g),o)return!0;for(f.trim().slice(-e.length)===e&&(f=f.trim().slice(0,-e.length),E=!0),c=s;!(E||(c++,c>=r)||(b=i.bMarks[c]+i.tShift[c],g=i.eMarks[c],b<g&&i.tShift[c]<i.blkIndent));)i.src.slice(b,g).trim().slice(-e.length)===e&&(i.tShift[c]-i.blkIndent>=4||(h=i.src.slice(0,g).lastIndexOf(e),m=i.src.slice(b,h),b+=m.length+e.length,b=i.skipSpaces(b),!(b<g)&&(E=!0)));return l=i.tShift[s],i.line=c+(E?1:0),_=i.push("math_block","math",0),_.block=!0,_.content=(f&&f.trim()?f+`
`:"")+i.getLines(s+1,c,l,!0)+(m&&m.trim()?m:""),_.info=d,_.map=[s,i.line],_.markup=n,!0}}function AS(n){if(Zp===null)try{Zp=zHe().default}catch{return n&&n.display==="block"?function(i,s){return'<div class="math block">'+i[s].content+"</div>"}:function(i,s){return'<span class="math inline">'+i[s].content+"</span>"}}var e=Zp(Object.assign({},n));return n&&n.display==="block"?function(t,i){return e(t[i].content)+`
`}:function(t,i){return e(t[i].content)}}var qHe=function(e,t){t=typeof t=="object"?t:{};var i=t.inlineOpen||"$$",s=t.inlineClose||"$$",r=t.blockOpen||"$$$",o=t.blockClose||"$$$",a=t.inlineRenderer?function(_,f){return t.inlineRenderer(_[f].content,_[f])}:AS(t.renderingOptions),l=t.blockRenderer?function(_,f){return t.blockRenderer(_[f].content,_[f])+`
`}:AS(Object.assign({display:"block"},t.renderingOptions)),d=VHe(i,s),c=HHe(r,o);e.inline.ruler.before("escape","math_inline",d),e.block.ruler.after("blockquote","math_block",c,{alt:["paragraph","reference","blockquote","list"]}),e.renderer.rules.math_inline=a,e.renderer.rules.math_block=l};const YHe=Ys(qHe);function $s(){this.__highest_alphabet__=0,this.__match_alphabets__={},this.__initial_state__=0,this.__accept_states__={},this.__transitions__={},this.__actions__={}}$s.prototype.set_highest_alphabet=function(n){this.__highest_alphabet__=n};$s.prototype.set_match_alphabets=function(n){this.__match_alphabets__=n};$s.prototype.set_initial_state=function(n){this.__initial_state__=n};$s.prototype.set_accept_states=function(n){for(var e=0;e<n.length;e++)this.__accept_states__[n[e]]=!0};$s.prototype.set_transitions=function(n){this.__transitions__=n};$s.prototype.set_actions=function(n){this.__actions__=n};$s.prototype.update_transition=function(n,e){this.__transitions__[n]=Object.assign(this.__transitions__[n]||Object(),e)};$s.prototype.execute=function(n,e){var t,i,s;for(t=this.__initial_state__,i=n;t&&i<e;i++){for(s=this.__highest_alphabet__;s>0&&!(t&s&&this.__match_alphabets__[s].call(this,i,t,s));s>>=4);if(this.__actions__(i,t,s),s===0)break;t=this.__transitions__[t][s]||0}return!!this.__accept_states__[t]};var $He=$s,WHe=$He,KHe=function(e,t){var i={multiline:!1,rowspan:!1,headerless:!1,multibody:!0,autolabel:!0};t=e.utils.assign({},i,t||{});function s(c,_){var f=c.bMarks[_]+c.sCount[_],m=c.bMarks[_]+c.blkIndent,h=c.skipSpacesBack(c.eMarks[_],m),E=[],b,g,v=!1,y=!1,T=0;for(b=f;b<h;b++)switch(c.src.charCodeAt(b)){case 92:v=!0;break;case 96:g=c.skipChars(b,96)-1,g>b?(y||(T===0?T=g-b:T===g-b&&(T=0)),b=g):(y||!v&&!T)&&(y=!y),v=!1;break;case 124:!y&&!v&&E.push(b),v=!1;break;default:v=!1;break}return E.length===0||(E[0]>m&&E.unshift(m-1),E[E.length-1]<h-1&&E.push(h)),E}function r(c,_,f){var m={text:null,label:null},h=c.bMarks[f]+c.sCount[f],E=c.eMarks[f],b=/^\[(.+?)\](\[([^\[\]]+)\])?\s*$/,g=c.src.slice(h,E).match(b);return g?_?!0:(m.text=g[1],!t.autolabel&&!g[2]||(m.label=g[2]||g[1],m.label=m.label.toLowerCase().replace(/\W+/g,"")),m):!1}function o(c,_,f){var m={bounds:null,multiline:null},h=s(c,f),E,b,g;return h.length<2?!1:_?!0:(m.bounds=h,t.multiline&&(E=c.bMarks[f]+c.sCount[f],b=c.eMarks[f]-1,m.multiline=c.src.charCodeAt(b)===92,m.multiline&&(g=c.eMarks[f],c.eMarks[f]=c.skipSpacesBack(b,E),m.bounds=s(c,f),c.eMarks[f]=g)),m)}function a(c,_,f){var m={aligns:[],wraps:[]},h=s(c,f),E=/^:?(-+|=+):?\+?$/,b,g,v;if(c.sCount[f]-c.blkIndent>=4||h.length===0)return!1;for(b=0;b<h.length-1;b++){if(g=c.src.slice(h[b]+1,h[b+1]).trim(),!E.test(g))return!1;switch(m.wraps.push(g.charCodeAt(g.length-1)===43),v=(g.charCodeAt(0)===58)<<4|g.charCodeAt(g.length-1-m.wraps[b])===58,v){case 0:m.aligns.push("");break;case 1:m.aligns.push("right");break;case 16:m.aligns.push("left");break;case 17:m.aligns.push("center");break}}return _?!0:m}function l(c,_,f){return c.isEmpty(f)}function d(c,_,f,m){var h=new WHe,E=16,b=-1,g,v,y,T,C,x,O=[],R,S,A,U,F,K,L,H,G,P;if(_+2>f||(v=new c.Token("table_open","table",1),v.meta={sep:null,cap:null,tr:[]},h.set_highest_alphabet(65536),h.set_initial_state(65792),h.set_accept_states([65552,65553,0]),h.set_match_alphabets({65536:r.bind(this,c,!0),4096:a.bind(this,c,!0),256:o.bind(this,c,!0),16:o.bind(this,c,!0),1:l.bind(this,c,!0)}),h.set_transitions({65792:{65536:256,256:4352},256:{256:4352},4352:{4096:65552,256:4352},65552:{65536:0,16:65553},65553:{65536:0,16:65553,1:65552}}),t.headerless&&(h.set_initial_state(69888),h.update_transition(69888,{65536:4352,4096:65552,256:4352}),y=new c.Token("tr_placeholder","tr",0),y.meta=Object()),t.multibody||h.update_transition(65552,{65536:0,16:65552}),h.set_actions(function(Q,re,te){switch(te){case 65536:if(v.meta.cap)break;v.meta.cap=r(c,!1,Q),v.meta.cap.map=[Q,Q+1],v.meta.cap.first=Q===_;break;case 4096:v.meta.sep=a(c,!1,Q),v.meta.sep.map=[Q,Q+1],y.meta.grp|=1,E=16;break;case 256:case 16:y=new c.Token("tr_open","tr",1),y.map=[Q,Q+1],y.meta=o(c,!1,Q),y.meta.type=te,y.meta.grp=E,E=0,v.meta.tr.push(y),t.multiline&&(y.meta.multiline&&b<0?b=v.meta.tr.length-1:!y.meta.multiline&&b>=0&&(g=v.meta.tr[b],g.meta.mbounds=v.meta.tr.slice(b).map(function(Z){return Z.meta.bounds}),g.map[1]=y.map[1],v.meta.tr=v.meta.tr.slice(0,b+1),b=-1));break;case 1:y.meta.grp|=1,E=16;break}}),h.execute(_,f)===!1)||!v.meta.tr.length)return!1;if(m)return!0;if(v.meta.tr[v.meta.tr.length-1].meta.grp|=1,v.map=R=[_,0],v.block=!0,v.level=c.level++,c.tokens.push(v),v.meta.cap){g=c.push("caption_open","caption",1),g.map=v.meta.cap.map;var j=[],Y=v.meta.cap.first?"top":"bottom";v.meta.cap.label!==null&&j.push(["id",v.meta.cap.label]),Y!=="top"&&j.push(["style","caption-side: "+Y]),g.attrs=j,g=c.push("inline","",0),g.content=v.meta.cap.text,g.map=v.meta.cap.map,g.children=[],g=c.push("caption_close","caption",-1)}for(K=0;K<v.meta.tr.length;K++){for(C=new c.Token("td_th_placeholder","",0),y=v.meta.tr[K],y.meta.grp&16&&(A=y.meta.type===256?"thead":"tbody",g=c.push(A+"_open",A,1),g.map=S=[y.map[0],0],O=[]),y.block=!0,y.level=c.level++,c.tokens.push(y),L=0;L<y.meta.bounds.length-1;L++){if(F=[y.meta.bounds[L]+1,y.meta.bounds[L+1]],U=c.src.slice.apply(c.src,F),U===""){T=C.attrGet("colspan"),C.attrSet("colspan",T===null?2:T+1);continue}if(t.rowspan&&O[L]&&U.trim()==="^^"){x=O[L].attrGet("rowspan"),O[L].attrSet("rowspan",x===null?2:x+1),C=new c.Token("td_th_placeholder","",0);continue}if(A=y.meta.type===256?"th":"td",g=c.push(A+"_open",A,1),g.map=y.map,g.attrs=[],v.meta.sep.aligns[L]&&g.attrs.push(["style","text-align:"+v.meta.sep.aligns[L]]),v.meta.sep.wraps[L]&&g.attrs.push(["class","extend"]),C=O[L]=g,t.multiline&&y.meta.multiline&&y.meta.mbounds){for(U=new Array(y.map[0]).fill("").concat([U.trimRight()]),H=1;H<y.meta.mbounds.length;H++)L>y.meta.mbounds[H].length-2||(F=[y.meta.mbounds[H][L]+1,y.meta.mbounds[H][L+1]],U.push(c.src.slice.apply(c.src,F).trimRight()));for(P=new c.md.block.State(U.join(`
`),c.md,c.env,[]),P.level=y.level+1,c.md.block.tokenize(P,y.map[0],P.lineMax),G=0;G<P.tokens.length;G++)c.tokens.push(P.tokens[G])}else g=c.push("inline","",0),g.content=U.trim(),g.map=y.map,g.level=y.level+1,g.children=[];g=c.push(A+"_close",A,-1)}c.push("tr_close","tr",-1),y.meta.grp&1&&(A=y.meta.type===256?"thead":"tbody",g=c.push(A+"_close",A,-1),S[1]=y.map[1])}return R[1]=Math.max(S[1],v.meta.sep.map[1],v.meta.cap?v.meta.cap.map[1]:-1),g=c.push("table_close","table",-1),c.line=R[1],!0}e.block.ruler.at("table",d,{alt:["paragraph","reference"]})};const jHe=Ys(KHe);var QHe=function(e,t){t=t||{};function i(s){for(var r=1,o=1,a=s.tokens.length;o<a-1;++o){var l=s.tokens[o];if(l.type==="inline"&&!(!l.children||l.children.length!==1&&l.children.length!==3)&&!(l.children.length===1&&l.children[0].type!=="image")&&!(l.children.length===3&&(l.children[0].type!=="link_open"||l.children[1].type!=="image"||l.children[2].type!=="link_close"))&&!(o!==0&&s.tokens[o-1].type!=="paragraph_open")&&!(o!==a-1&&s.tokens[o+1].type!=="paragraph_close")){var d=s.tokens[o-1];d.type="figure_open",d.tag="figure",s.tokens[o+1].type="figure_close",s.tokens[o+1].tag="figure",t.dataType==!0&&s.tokens[o-1].attrPush(["data-type","image"]);var c;if(t.link==!0&&l.children.length===1&&(c=l.children[0],l.children.unshift(new s.Token("link_open","a",1)),l.children[0].attrPush(["href",c.attrGet("src")]),l.children.push(new s.Token("link_close","a",-1))),c=l.children.length===1?l.children[0]:l.children[1],t.figcaption==!0&&c.children&&c.children.length&&(l.children.push(new s.Token("figcaption_open","figcaption",1)),l.children.splice(l.children.length,0,...c.children),l.children.push(new s.Token("figcaption_close","figcaption",-1)),c.children.length=0),t.copyAttrs&&c.attrs){const _=t.copyAttrs===!0?"":t.copyAttrs;d.attrs=c.attrs.filter(([f,m])=>f.match(_))}t.tabindex==!0&&(s.tokens[o-1].attrPush(["tabindex",r]),r++),t.lazyLoading==!0&&c.attrPush(["loading","lazy"])}}}e.core.ruler.before("linkify","implicit_figures",i)};const XHe=Ys(QHe);var Or={};Or.getAttrs=function(n,e,t){const i=/[^\t\n\f />"'=]/,s=" ",r="=",o=".",a="#",l=[];let d="",c="",_=!0,f=!1;for(let m=e+t.leftDelimiter.length;m<n.length;m++){if(n.slice(m,m+t.rightDelimiter.length)===t.rightDelimiter){d!==""&&l.push([d,c]);break}const h=n.charAt(m);if(h===r&&_){_=!1;continue}if(h===o&&d===""){n.charAt(m+1)===o?(d="css-module",m+=1):d="class",_=!1;continue}if(h===a&&d===""){d="id",_=!1;continue}if(h==='"'&&c===""&&!f){f=!0;continue}if(h==='"'&&f){f=!1;continue}if(h===s&&!f){if(d==="")continue;l.push([d,c]),d="",c="",_=!0;continue}if(!(_&&h.search(i)===-1)){if(_){d+=h;continue}c+=h}}if(t.allowedAttributes&&t.allowedAttributes.length){const m=t.allowedAttributes;return l.filter(function(h){const E=h[0];function b(g){return E===g||g instanceof RegExp&&g.test(E)}return m.some(b)})}return l};Or.addAttrs=function(n,e){for(let t=0,i=n.length;t<i;++t){const s=n[t][0];s==="class"?e.attrJoin("class",n[t][1]):s==="css-module"?e.attrJoin("css-module",n[t][1]):e.attrPush(n[t])}return e};Or.hasDelimiters=function(n,e){if(!n)throw new Error('Parameter `where` not passed. Should be "start", "end" or "only".');return function(t){const i=e.leftDelimiter.length+1+e.rightDelimiter.length;if(!t||typeof t!="string"||t.length<i)return!1;function s(c){const _=c.charAt(e.leftDelimiter.length)===".",f=c.charAt(e.leftDelimiter.length)==="#";return _||f?c.length>=i+1:c.length>=i}let r,o,a,l;const d=i-e.rightDelimiter.length;switch(n){case"start":a=t.slice(0,e.leftDelimiter.length),r=a===e.leftDelimiter?0:-1,o=r===-1?-1:t.indexOf(e.rightDelimiter,d),l=t.charAt(o+e.rightDelimiter.length),l&&e.rightDelimiter.indexOf(l)!==-1&&(o=-1);break;case"end":r=t.lastIndexOf(e.leftDelimiter),o=r===-1?-1:t.indexOf(e.rightDelimiter,r+d),o=o===t.length-e.rightDelimiter.length?o:-1;break;case"only":a=t.slice(0,e.leftDelimiter.length),r=a===e.leftDelimiter?0:-1,a=t.slice(t.length-e.rightDelimiter.length),o=a===e.rightDelimiter?t.length-e.rightDelimiter.length:-1;break;default:throw new Error(`Unexpected case ${n}, expected 'start', 'end' or 'only'`)}return r!==-1&&o!==-1&&s(t.substring(r,o+e.rightDelimiter.length))}};Or.removeDelimiter=function(n,e){const t=$g(e.leftDelimiter),i=$g(e.rightDelimiter),s=new RegExp("[ \\n]?"+t+"[^"+t+i+"]+"+i+"$"),r=n.search(s);return r!==-1?n.slice(0,r):n};function $g(n){return n.replace(/[-/\\^$*+?.()|[\]{}]/g,"\\$&")}Or.escapeRegExp=$g;Or.getMatchingOpeningToken=function(n,e){if(n[e].type==="softbreak")return!1;if(n[e].nesting===0)return n[e];const t=n[e].level,i=n[e].type.replace("_close","_open");for(;e>=0;--e)if(n[e].type===i&&n[e].level===t)return n[e];return!1};const ZHe=/[&<>"]/,JHe=/[&<>"]/g,e7e={"&":"&amp;","<":"&lt;",">":"&gt;",'"':"&quot;"};function t7e(n){return e7e[n]}Or.escapeHtml=function(n){return ZHe.test(n)?n.replace(JHe,t7e):n};const At=Or;var n7e=n=>{const e=new RegExp("^ {0,3}[-*_]{3,} ?"+At.escapeRegExp(n.leftDelimiter)+"[^"+At.escapeRegExp(n.rightDelimiter)+"]");return[{name:"fenced code blocks",tests:[{shift:0,block:!0,info:At.hasDelimiters("end",n)}],transform:(t,i)=>{const s=t[i],r=s.info.lastIndexOf(n.leftDelimiter),o=At.getAttrs(s.info,r,n);At.addAttrs(o,s),s.info=At.removeDelimiter(s.info,n)}},{name:"inline nesting 0",tests:[{shift:0,type:"inline",children:[{shift:-1,type:t=>t==="image"||t==="code_inline"},{shift:0,type:"text",content:At.hasDelimiters("start",n)}]}],transform:(t,i,s)=>{const r=t[i].children[s],o=r.content.indexOf(n.rightDelimiter),a=t[i].children[s-1],l=At.getAttrs(r.content,0,n);At.addAttrs(l,a),r.content.length===o+n.rightDelimiter.length?t[i].children.splice(s,1):r.content=r.content.slice(o+n.rightDelimiter.length)}},{name:"tables",tests:[{shift:0,type:"table_close"},{shift:1,type:"paragraph_open"},{shift:2,type:"inline",content:At.hasDelimiters("only",n)}],transform:(t,i)=>{const s=t[i+2],r=At.getMatchingOpeningToken(t,i),o=At.getAttrs(s.content,0,n);At.addAttrs(o,r),t.splice(i+1,3)}},{name:"inline attributes",tests:[{shift:0,type:"inline",children:[{shift:-1,nesting:-1},{shift:0,type:"text",content:At.hasDelimiters("start",n)}]}],transform:(t,i,s)=>{const r=t[i].children[s],o=r.content,a=At.getAttrs(o,0,n),l=At.getMatchingOpeningToken(t[i].children,s-1);At.addAttrs(a,l),r.content=o.slice(o.indexOf(n.rightDelimiter)+n.rightDelimiter.length)}},{name:"list softbreak",tests:[{shift:-2,type:"list_item_open"},{shift:0,type:"inline",children:[{position:-2,type:"softbreak"},{position:-1,type:"text",content:At.hasDelimiters("only",n)}]}],transform:(t,i,s)=>{const o=t[i].children[s].content,a=At.getAttrs(o,0,n);let l=i-2;for(;t[l-1]&&t[l-1].type!=="ordered_list_open"&&t[l-1].type!=="bullet_list_open";)l--;At.addAttrs(a,t[l-1]),t[i].children=t[i].children.slice(0,-2)}},{name:"list double softbreak",tests:[{shift:0,type:t=>t==="bullet_list_close"||t==="ordered_list_close"},{shift:1,type:"paragraph_open"},{shift:2,type:"inline",content:At.hasDelimiters("only",n),children:t=>t.length===1},{shift:3,type:"paragraph_close"}],transform:(t,i)=>{const r=t[i+2].content,o=At.getAttrs(r,0,n),a=At.getMatchingOpeningToken(t,i);At.addAttrs(o,a),t.splice(i+1,3)}},{name:"list item end",tests:[{shift:-2,type:"list_item_open"},{shift:0,type:"inline",children:[{position:-1,type:"text",content:At.hasDelimiters("end",n)}]}],transform:(t,i,s)=>{const r=t[i].children[s],o=r.content,a=At.getAttrs(o,o.lastIndexOf(n.leftDelimiter),n);At.addAttrs(a,t[i-2]);const l=o.slice(0,o.lastIndexOf(n.leftDelimiter));r.content=wS(l)!==" "?l:l.slice(0,-1)}},{name:`
{.a} softbreak then curly in start`,tests:[{shift:0,type:"inline",children:[{position:-2,type:"softbreak"},{position:-1,type:"text",content:At.hasDelimiters("only",n)}]}],transform:(t,i,s)=>{const r=t[i].children[s],o=At.getAttrs(r.content,0,n);let a=i+1;for(;t[a+1]&&t[a+1].nesting===-1;)a++;const l=At.getMatchingOpeningToken(t,a);At.addAttrs(o,l),t[i].children=t[i].children.slice(0,-2)}},{name:"horizontal rule",tests:[{shift:0,type:"paragraph_open"},{shift:1,type:"inline",children:t=>t.length===1,content:t=>t.match(e)!==null},{shift:2,type:"paragraph_close"}],transform:(t,i)=>{const s=t[i];s.type="hr",s.tag="hr",s.nesting=0;const r=t[i+1].content,o=r.lastIndexOf(n.leftDelimiter),a=At.getAttrs(r,o,n);At.addAttrs(a,s),s.markup=r,t.splice(i+1,2)}},{name:"end of block",tests:[{shift:0,type:"inline",children:[{position:-1,content:At.hasDelimiters("end",n),type:t=>t!=="code_inline"&&t!=="math_inline"}]}],transform:(t,i,s)=>{const r=t[i].children[s],o=r.content,a=At.getAttrs(o,o.lastIndexOf(n.leftDelimiter),n);let l=i+1;for(;t[l+1]&&t[l+1].nesting===-1;)l++;const d=At.getMatchingOpeningToken(t,l);At.addAttrs(a,d);const c=o.slice(0,o.lastIndexOf(n.leftDelimiter));r.content=wS(c)!==" "?c:c.slice(0,-1)}}]};function wS(n){return n.slice(-1)[0]}const i7e=n7e,s7e={leftDelimiter:"{",rightDelimiter:"}",allowedAttributes:[]};var r7e=function(e,t){let i=Object.assign({},s7e);i=Object.assign(i,t);const s=i7e(i);function r(o){const a=o.tokens;for(let l=0;l<a.length;l++)for(let d=0;d<s.length;d++){const c=s[d];let _=null;c.tests.every(m=>{const h=Wg(a,l,m);return h.j!==null&&(_=h.j),h.match})&&(c.transform(a,l,_),(c.name==="inline attributes"||c.name==="inline nesting 0")&&d--)}}e.core.ruler.before("linkify","curly_attributes",r)};function Wg(n,e,t){const i={match:!1,j:null},s=t.shift!==void 0?e+t.shift:t.position;if(t.shift!==void 0&&s<0)return i;const r=l7e(n,s);if(r===void 0)return i;for(const o of Object.keys(t))if(!(o==="shift"||o==="position")){if(r[o]===void 0)return i;if(o==="children"&&o7e(t.children)){if(r.children.length===0)return i;let a;const l=t.children,d=r.children;if(l.every(c=>c.position!==void 0)){if(a=l.every(c=>Wg(d,c.position,c).match),a){const c=c7e(l).position;i.j=c>=0?c:d.length+c}}else for(let c=0;c<d.length;c++)if(a=l.every(_=>Wg(d,c,_).match),a){i.j=c;break}if(a===!1)return i;continue}switch(typeof t[o]){case"boolean":case"number":case"string":if(r[o]!==t[o])return i;break;case"function":if(!t[o](r[o]))return i;break;case"object":if(a7e(t[o])){if(t[o].every(l=>l(r[o]))===!1)return i;break}default:throw new Error(`Unknown type of pattern test (key: ${o}). Test should be of type boolean, number, string, function or array of functions.`)}}return i.match=!0,i}function o7e(n){return Array.isArray(n)&&n.length&&n.every(e=>typeof e=="object")}function a7e(n){return Array.isArray(n)&&n.length&&n.every(e=>typeof e=="function")}function l7e(n,e){return e>=0?n[e]:n[n.length+e]}function c7e(n){return n.slice(-1)[0]||{}}const d7e=Ys(r7e);function EN(n){return n instanceof Map?n.clear=n.delete=n.set=function(){throw new Error("map is read-only")}:n instanceof Set&&(n.add=n.clear=n.delete=function(){throw new Error("set is read-only")}),Object.freeze(n),Object.getOwnPropertyNames(n).forEach(e=>{const t=n[e],i=typeof t;(i==="object"||i==="function")&&!Object.isFrozen(t)&&EN(t)}),n}let NS=class{constructor(e){e.data===void 0&&(e.data={}),this.data=e.data,this.isMatchIgnored=!1}ignoreMatch(){this.isMatchIgnored=!0}};function vN(n){return n.replace(/&/g,"&amp;").replace(/</g,"&lt;").replace(/>/g,"&gt;").replace(/"/g,"&quot;").replace(/'/g,"&#x27;")}function mr(n,...e){const t=Object.create(null);for(const i in n)t[i]=n[i];return e.forEach(function(i){for(const s in i)t[s]=i[s]}),t}const u7e="</span>",OS=n=>!!n.scope,p7e=(n,{prefix:e})=>{if(n.startsWith("language:"))return n.replace("language:","language-");if(n.includes(".")){const t=n.split(".");return[`${e}${t.shift()}`,...t.map((i,s)=>`${i}${"_".repeat(s+1)}`)].join(" ")}return`${e}${n}`};class _7e{constructor(e,t){this.buffer="",this.classPrefix=t.classPrefix,e.walk(this)}addText(e){this.buffer+=vN(e)}openNode(e){if(!OS(e))return;const t=p7e(e.scope,{prefix:this.classPrefix});this.span(t)}closeNode(e){OS(e)&&(this.buffer+=u7e)}value(){return this.buffer}span(e){this.buffer+=`<span class="${e}">`}}const IS=(n={})=>{const e={children:[]};return Object.assign(e,n),e};class hE{constructor(){this.rootNode=IS(),this.stack=[this.rootNode]}get top(){return this.stack[this.stack.length-1]}get root(){return this.rootNode}add(e){this.top.children.push(e)}openNode(e){const t=IS({scope:e});this.add(t),this.stack.push(t)}closeNode(){if(this.stack.length>1)return this.stack.pop()}closeAllNodes(){for(;this.closeNode(););}toJSON(){return JSON.stringify(this.rootNode,null,4)}walk(e){return this.constructor._walk(e,this.rootNode)}static _walk(e,t){return typeof t=="string"?e.addText(t):t.children&&(e.openNode(t),t.children.forEach(i=>this._walk(e,i)),e.closeNode(t)),e}static _collapse(e){typeof e!="string"&&e.children&&(e.children.every(t=>typeof t=="string")?e.children=[e.children.join("")]:e.children.forEach(t=>{hE._collapse(t)}))}}class h7e extends hE{constructor(e){super(),this.options=e}addText(e){e!==""&&this.add(e)}startScope(e){this.openNode(e)}endScope(){this.closeNode()}__addSublanguage(e,t){const i=e.root;t&&(i.scope=`language:${t}`),this.add(i)}toHTML(){return new _7e(this,this.options).value()}finalize(){return this.closeAllNodes(),!0}}function tc(n){return n?typeof n=="string"?n:n.source:null}function yN(n){return To("(?=",n,")")}function f7e(n){return To("(?:",n,")*")}function m7e(n){return To("(?:",n,")?")}function To(...n){return n.map(t=>tc(t)).join("")}function g7e(n){const e=n[n.length-1];return typeof e=="object"&&e.constructor===Object?(n.splice(n.length-1,1),e):{}}function fE(...n){return"("+(g7e(n).capture?"":"?:")+n.map(i=>tc(i)).join("|")+")"}function SN(n){return new RegExp(n.toString()+"|").exec("").length-1}function b7e(n,e){const t=n&&n.exec(e);return t&&t.index===0}const E7e=/\[(?:[^\\\]]|\\.)*\]|\(\??|\\([1-9][0-9]*)|\\./;function mE(n,{joinWith:e}){let t=0;return n.map(i=>{t+=1;const s=t;let r=tc(i),o="";for(;r.length>0;){const a=E7e.exec(r);if(!a){o+=r;break}o+=r.substring(0,a.index),r=r.substring(a.index+a[0].length),a[0][0]==="\\"&&a[1]?o+="\\"+String(Number(a[1])+s):(o+=a[0],a[0]==="("&&t++)}return o}).map(i=>`(${i})`).join(e)}const v7e=/\b\B/,TN="[a-zA-Z]\\w*",gE="[a-zA-Z_]\\w*",xN="\\b\\d+(\\.\\d+)?",CN="(-?)(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",RN="\\b(0b[01]+)",y7e="!|!=|!==|%|%=|&|&&|&=|\\*|\\*=|\\+|\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\?|\\[|\\{|\\(|\\^|\\^=|\\||\\|=|\\|\\||~",S7e=(n={})=>{const e=/^#![ ]*\//;return n.binary&&(n.begin=To(e,/.*\b/,n.binary,/\b.*/)),mr({scope:"meta",begin:e,end:/$/,relevance:0,"on:begin":(t,i)=>{t.index!==0&&i.ignoreMatch()}},n)},nc={begin:"\\\\[\\s\\S]",relevance:0},T7e={scope:"string",begin:"'",end:"'",illegal:"\\n",contains:[nc]},x7e={scope:"string",begin:'"',end:'"',illegal:"\\n",contains:[nc]},C7e={begin:/\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\b/},op=function(n,e,t={}){const i=mr({scope:"comment",begin:n,end:e,contains:[]},t);i.contains.push({scope:"doctag",begin:"[ ]*(?=(TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):)",end:/(TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):/,excludeBegin:!0,relevance:0});const s=fE("I","a","is","so","us","to","at","if","in","it","on",/[A-Za-z]+['](d|ve|re|ll|t|s|n)/,/[A-Za-z]+[-][a-z]+/,/[A-Za-z][a-z]{2,}/);return i.contains.push({begin:To(/[ ]+/,"(",s,/[.]?[:]?([.][ ]|[ ])/,"){3}")}),i},R7e=op("//","$"),A7e=op("/\\*","\\*/"),w7e=op("#","$"),N7e={scope:"number",begin:xN,relevance:0},O7e={scope:"number",begin:CN,relevance:0},I7e={scope:"number",begin:RN,relevance:0},M7e={scope:"regexp",begin:/\/(?=[^/\n]*\/)/,end:/\/[gimuy]*/,contains:[nc,{begin:/\[/,end:/\]/,relevance:0,contains:[nc]}]},D7e={scope:"title",begin:TN,relevance:0},k7e={scope:"title",begin:gE,relevance:0},L7e={begin:"\\.\\s*"+gE,relevance:0},P7e=function(n){return Object.assign(n,{"on:begin":(e,t)=>{t.data._beginMatch=e[1]},"on:end":(e,t)=>{t.data._beginMatch!==e[1]&&t.ignoreMatch()}})};var Bc=Object.freeze({__proto__:null,APOS_STRING_MODE:T7e,BACKSLASH_ESCAPE:nc,BINARY_NUMBER_MODE:I7e,BINARY_NUMBER_RE:RN,COMMENT:op,C_BLOCK_COMMENT_MODE:A7e,C_LINE_COMMENT_MODE:R7e,C_NUMBER_MODE:O7e,C_NUMBER_RE:CN,END_SAME_AS_BEGIN:P7e,HASH_COMMENT_MODE:w7e,IDENT_RE:TN,MATCH_NOTHING_RE:v7e,METHOD_GUARD:L7e,NUMBER_MODE:N7e,NUMBER_RE:xN,PHRASAL_WORDS_MODE:C7e,QUOTE_STRING_MODE:x7e,REGEXP_MODE:M7e,RE_STARTERS_RE:y7e,SHEBANG:S7e,TITLE_MODE:D7e,UNDERSCORE_IDENT_RE:gE,UNDERSCORE_TITLE_MODE:k7e});function U7e(n,e){n.input[n.index-1]==="."&&e.ignoreMatch()}function F7e(n,e){n.className!==void 0&&(n.scope=n.className,delete n.className)}function B7e(n,e){e&&n.beginKeywords&&(n.begin="\\b("+n.beginKeywords.split(" ").join("|")+")(?!\\.)(?=\\b|\\s)",n.__beforeBegin=U7e,n.keywords=n.keywords||n.beginKeywords,delete n.beginKeywords,n.relevance===void 0&&(n.relevance=0))}function G7e(n,e){Array.isArray(n.illegal)&&(n.illegal=fE(...n.illegal))}function z7e(n,e){if(n.match){if(n.begin||n.end)throw new Error("begin & end are not supported with match");n.begin=n.match,delete n.match}}function V7e(n,e){n.relevance===void 0&&(n.relevance=1)}const H7e=(n,e)=>{if(!n.beforeMatch)return;if(n.starts)throw new Error("beforeMatch cannot be used with starts");const t=Object.assign({},n);Object.keys(n).forEach(i=>{delete n[i]}),n.keywords=t.keywords,n.begin=To(t.beforeMatch,yN(t.begin)),n.starts={relevance:0,contains:[Object.assign(t,{endsParent:!0})]},n.relevance=0,delete t.beforeMatch},q7e=["of","and","for","in","not","or","if","then","parent","list","value"],Y7e="keyword";function AN(n,e,t=Y7e){const i=Object.create(null);return typeof n=="string"?s(t,n.split(" ")):Array.isArray(n)?s(t,n):Object.keys(n).forEach(function(r){Object.assign(i,AN(n[r],e,r))}),i;function s(r,o){e&&(o=o.map(a=>a.toLowerCase())),o.forEach(function(a){const l=a.split("|");i[l[0]]=[r,$7e(l[0],l[1])]})}}function $7e(n,e){return e?Number(e):W7e(n)?0:1}function W7e(n){return q7e.includes(n.toLowerCase())}const MS={},oo=n=>{console.error(n)},DS=(n,...e)=>{console.log(`WARN: ${n}`,...e)},No=(n,e)=>{MS[`${n}/${e}`]||(console.log(`Deprecated as of ${n}. ${e}`),MS[`${n}/${e}`]=!0)},ru=new Error;function wN(n,e,{key:t}){let i=0;const s=n[t],r={},o={};for(let a=1;a<=e.length;a++)o[a+i]=s[a],r[a+i]=!0,i+=SN(e[a-1]);n[t]=o,n[t]._emit=r,n[t]._multi=!0}function K7e(n){if(Array.isArray(n.begin)){if(n.skip||n.excludeBegin||n.returnBegin)throw oo("skip, excludeBegin, returnBegin not compatible with beginScope: {}"),ru;if(typeof n.beginScope!="object"||n.beginScope===null)throw oo("beginScope must be object"),ru;wN(n,n.begin,{key:"beginScope"}),n.begin=mE(n.begin,{joinWith:""})}}function j7e(n){if(Array.isArray(n.end)){if(n.skip||n.excludeEnd||n.returnEnd)throw oo("skip, excludeEnd, returnEnd not compatible with endScope: {}"),ru;if(typeof n.endScope!="object"||n.endScope===null)throw oo("endScope must be object"),ru;wN(n,n.end,{key:"endScope"}),n.end=mE(n.end,{joinWith:""})}}function Q7e(n){n.scope&&typeof n.scope=="object"&&n.scope!==null&&(n.beginScope=n.scope,delete n.scope)}function X7e(n){Q7e(n),typeof n.beginScope=="string"&&(n.beginScope={_wrap:n.beginScope}),typeof n.endScope=="string"&&(n.endScope={_wrap:n.endScope}),K7e(n),j7e(n)}function Z7e(n){function e(o,a){return new RegExp(tc(o),"m"+(n.case_insensitive?"i":"")+(n.unicodeRegex?"u":"")+(a?"g":""))}class t{constructor(){this.matchIndexes={},this.regexes=[],this.matchAt=1,this.position=0}addRule(a,l){l.position=this.position++,this.matchIndexes[this.matchAt]=l,this.regexes.push([l,a]),this.matchAt+=SN(a)+1}compile(){this.regexes.length===0&&(this.exec=()=>null);const a=this.regexes.map(l=>l[1]);this.matcherRe=e(mE(a,{joinWith:"|"}),!0),this.lastIndex=0}exec(a){this.matcherRe.lastIndex=this.lastIndex;const l=this.matcherRe.exec(a);if(!l)return null;const d=l.findIndex((_,f)=>f>0&&_!==void 0),c=this.matchIndexes[d];return l.splice(0,d),Object.assign(l,c)}}class i{constructor(){this.rules=[],this.multiRegexes=[],this.count=0,this.lastIndex=0,this.regexIndex=0}getMatcher(a){if(this.multiRegexes[a])return this.multiRegexes[a];const l=new t;return this.rules.slice(a).forEach(([d,c])=>l.addRule(d,c)),l.compile(),this.multiRegexes[a]=l,l}resumingScanAtSamePosition(){return this.regexIndex!==0}considerAll(){this.regexIndex=0}addRule(a,l){this.rules.push([a,l]),l.type==="begin"&&this.count++}exec(a){const l=this.getMatcher(this.regexIndex);l.lastIndex=this.lastIndex;let d=l.exec(a);if(this.resumingScanAtSamePosition()&&!(d&&d.index===this.lastIndex)){const c=this.getMatcher(0);c.lastIndex=this.lastIndex+1,d=c.exec(a)}return d&&(this.regexIndex+=d.position+1,this.regexIndex===this.count&&this.considerAll()),d}}function s(o){const a=new i;return o.contains.forEach(l=>a.addRule(l.begin,{rule:l,type:"begin"})),o.terminatorEnd&&a.addRule(o.terminatorEnd,{type:"end"}),o.illegal&&a.addRule(o.illegal,{type:"illegal"}),a}function r(o,a){const l=o;if(o.isCompiled)return l;[F7e,z7e,X7e,H7e].forEach(c=>c(o,a)),n.compilerExtensions.forEach(c=>c(o,a)),o.__beforeBegin=null,[B7e,G7e,V7e].forEach(c=>c(o,a)),o.isCompiled=!0;let d=null;return typeof o.keywords=="object"&&o.keywords.$pattern&&(o.keywords=Object.assign({},o.keywords),d=o.keywords.$pattern,delete o.keywords.$pattern),d=d||/\w+/,o.keywords&&(o.keywords=AN(o.keywords,n.case_insensitive)),l.keywordPatternRe=e(d,!0),a&&(o.begin||(o.begin=/\B|\b/),l.beginRe=e(l.begin),!o.end&&!o.endsWithParent&&(o.end=/\B|\b/),o.end&&(l.endRe=e(l.end)),l.terminatorEnd=tc(l.end)||"",o.endsWithParent&&a.terminatorEnd&&(l.terminatorEnd+=(o.end?"|":"")+a.terminatorEnd)),o.illegal&&(l.illegalRe=e(o.illegal)),o.contains||(o.contains=[]),o.contains=[].concat(...o.contains.map(function(c){return J7e(c==="self"?o:c)})),o.contains.forEach(function(c){r(c,l)}),o.starts&&r(o.starts,a),l.matcher=s(l),l}if(n.compilerExtensions||(n.compilerExtensions=[]),n.contains&&n.contains.includes("self"))throw new Error("ERR: contains `self` is not supported at the top-level of a language. See documentation.");return n.classNameAliases=mr(n.classNameAliases||{}),r(n)}function NN(n){return n?n.endsWithParent||NN(n.starts):!1}function J7e(n){return n.variants&&!n.cachedVariants&&(n.cachedVariants=n.variants.map(function(e){return mr(n,{variants:null},e)})),n.cachedVariants?n.cachedVariants:NN(n)?mr(n,{starts:n.starts?mr(n.starts):null}):Object.isFrozen(n)?mr(n):n}var eqe="11.9.0";class tqe extends Error{constructor(e,t){super(e),this.name="HTMLInjectionError",this.html=t}}const Jp=vN,kS=mr,LS=Symbol("nomatch"),nqe=7,ON=function(n){const e=Object.create(null),t=Object.create(null),i=[];let s=!0;const r="Could not find the language '{}', did you forget to load/include a language module?",o={disableAutodetect:!0,name:"Plain text",contains:[]};let a={ignoreUnescapedHTML:!1,throwUnescapedHTML:!1,noHighlightRe:/^(no-?highlight)$/i,languageDetectRe:/\blang(?:uage)?-([\w-]+)\b/i,classPrefix:"hljs-",cssSelector:"pre code",languages:null,__emitter:h7e};function l(P){return a.noHighlightRe.test(P)}function d(P){let j=P.className+" ";j+=P.parentNode?P.parentNode.className:"";const Y=a.languageDetectRe.exec(j);if(Y){const Q=S(Y[1]);return Q||(DS(r.replace("{}",Y[1])),DS("Falling back to no-highlight mode for this block.",P)),Q?Y[1]:"no-highlight"}return j.split(/\s+/).find(Q=>l(Q)||S(Q))}function c(P,j,Y){let Q="",re="";typeof j=="object"?(Q=P,Y=j.ignoreIllegals,re=j.language):(No("10.7.0","highlight(lang, code, ...args) has been deprecated."),No("10.7.0",`Please use highlight(code, options) instead.
https://github.com/highlightjs/highlight.js/issues/2277`),re=P,Q=j),Y===void 0&&(Y=!0);const te={code:Q,language:re};H("before:highlight",te);const Z=te.result?te.result:_(te.language,te.code,Y);return Z.code=te.code,H("after:highlight",Z),Z}function _(P,j,Y,Q){const re=Object.create(null);function te(X,se){return X.keywords[se]}function Z(){if(!V.keywords){ie.addText(ae);return}let X=0;V.keywordPatternRe.lastIndex=0;let se=V.keywordPatternRe.exec(ae),Re="";for(;se;){Re+=ae.substring(X,se.index);const Te=ne.case_insensitive?se[0].toLowerCase():se[0],Me=te(V,Te);if(Me){const[ze,st]=Me;if(ie.addText(Re),Re="",re[Te]=(re[Te]||0)+1,re[Te]<=nqe&&(D+=st),ze.startsWith("_"))Re+=se[0];else{const De=ne.classNameAliases[ze]||ze;Ae(se[0],De)}}else Re+=se[0];X=V.keywordPatternRe.lastIndex,se=V.keywordPatternRe.exec(ae)}Re+=ae.substring(X),ie.addText(Re)}function fe(){if(ae==="")return;let X=null;if(typeof V.subLanguage=="string"){if(!e[V.subLanguage]){ie.addText(ae);return}X=_(V.subLanguage,ae,!0,_e[V.subLanguage]),_e[V.subLanguage]=X._top}else X=m(ae,V.subLanguage.length?V.subLanguage:null);V.relevance>0&&(D+=X.relevance),ie.__addSublanguage(X._emitter,X.language)}function ve(){V.subLanguage!=null?fe():Z(),ae=""}function Ae(X,se){X!==""&&(ie.startScope(se),ie.addText(X),ie.endScope())}function J(X,se){let Re=1;const Te=se.length-1;for(;Re<=Te;){if(!X._emit[Re]){Re++;continue}const Me=ne.classNameAliases[X[Re]]||X[Re],ze=se[Re];Me?Ae(ze,Me):(ae=ze,Z(),ae=""),Re++}}function me(X,se){return X.scope&&typeof X.scope=="string"&&ie.openNode(ne.classNameAliases[X.scope]||X.scope),X.beginScope&&(X.beginScope._wrap?(Ae(ae,ne.classNameAliases[X.beginScope._wrap]||X.beginScope._wrap),ae=""):X.beginScope._multi&&(J(X.beginScope,se),ae="")),V=Object.create(X,{parent:{value:V}}),V}function ee(X,se,Re){let Te=b7e(X.endRe,Re);if(Te){if(X["on:end"]){const Me=new NS(X);X["on:end"](se,Me),Me.isMatchIgnored&&(Te=!1)}if(Te){for(;X.endsParent&&X.parent;)X=X.parent;return X}}if(X.endsWithParent)return ee(X.parent,se,Re)}function Se(X){return V.matcher.regexIndex===0?(ae+=X[0],1):(he=!0,0)}function Oe(X){const se=X[0],Re=X.rule,Te=new NS(Re),Me=[Re.__beforeBegin,Re["on:begin"]];for(const ze of Me)if(ze&&(ze(X,Te),Te.isMatchIgnored))return Se(se);return Re.skip?ae+=se:(Re.excludeBegin&&(ae+=se),ve(),!Re.returnBegin&&!Re.excludeBegin&&(ae=se)),me(Re,X),Re.returnBegin?0:se.length}function k(X){const se=X[0],Re=j.substring(X.index),Te=ee(V,X,Re);if(!Te)return LS;const Me=V;V.endScope&&V.endScope._wrap?(ve(),Ae(se,V.endScope._wrap)):V.endScope&&V.endScope._multi?(ve(),J(V.endScope,X)):Me.skip?ae+=se:(Me.returnEnd||Me.excludeEnd||(ae+=se),ve(),Me.excludeEnd&&(ae=se));do V.scope&&ie.closeNode(),!V.skip&&!V.subLanguage&&(D+=V.relevance),V=V.parent;while(V!==Te.parent);return Te.starts&&me(Te.starts,X),Me.returnEnd?0:se.length}function B(){const X=[];for(let se=V;se!==ne;se=se.parent)se.scope&&X.unshift(se.scope);X.forEach(se=>ie.openNode(se))}let $={};function ce(X,se){const Re=se&&se[0];if(ae+=X,Re==null)return ve(),0;if($.type==="begin"&&se.type==="end"&&$.index===se.index&&Re===""){if(ae+=j.slice(se.index,se.index+1),!s){const Te=new Error(`0 width match regex (${P})`);throw Te.languageName=P,Te.badRule=$.rule,Te}return 1}if($=se,se.type==="begin")return Oe(se);if(se.type==="illegal"&&!Y){const Te=new Error('Illegal lexeme "'+Re+'" for mode "'+(V.scope||"<unnamed>")+'"');throw Te.mode=V,Te}else if(se.type==="end"){const Te=k(se);if(Te!==LS)return Te}if(se.type==="illegal"&&Re==="")return 1;if(z>1e5&&z>se.index*3)throw new Error("potential infinite loop, way more iterations than matches");return ae+=Re,Re.length}const ne=S(P);if(!ne)throw oo(r.replace("{}",P)),new Error('Unknown language: "'+P+'"');const Ce=Z7e(ne);let we="",V=Q||Ce;const _e={},ie=new a.__emitter(a);B();let ae="",D=0,I=0,z=0,he=!1;try{if(ne.__emitTokens)ne.__emitTokens(j,ie);else{for(V.matcher.considerAll();;){z++,he?he=!1:V.matcher.considerAll(),V.matcher.lastIndex=I;const X=V.matcher.exec(j);if(!X)break;const se=j.substring(I,X.index),Re=ce(se,X);I=X.index+Re}ce(j.substring(I))}return ie.finalize(),we=ie.toHTML(),{language:P,value:we,relevance:D,illegal:!1,_emitter:ie,_top:V}}catch(X){if(X.message&&X.message.includes("Illegal"))return{language:P,value:Jp(j),illegal:!0,relevance:0,_illegalBy:{message:X.message,index:I,context:j.slice(I-100,I+100),mode:X.mode,resultSoFar:we},_emitter:ie};if(s)return{language:P,value:Jp(j),illegal:!1,relevance:0,errorRaised:X,_emitter:ie,_top:V};throw X}}function f(P){const j={value:Jp(P),illegal:!1,relevance:0,_top:o,_emitter:new a.__emitter(a)};return j._emitter.addText(P),j}function m(P,j){j=j||a.languages||Object.keys(e);const Y=f(P),Q=j.filter(S).filter(U).map(ve=>_(ve,P,!1));Q.unshift(Y);const re=Q.sort((ve,Ae)=>{if(ve.relevance!==Ae.relevance)return Ae.relevance-ve.relevance;if(ve.language&&Ae.language){if(S(ve.language).supersetOf===Ae.language)return 1;if(S(Ae.language).supersetOf===ve.language)return-1}return 0}),[te,Z]=re,fe=te;return fe.secondBest=Z,fe}function h(P,j,Y){const Q=j&&t[j]||Y;P.classList.add("hljs"),P.classList.add(`language-${Q}`)}function E(P){let j=null;const Y=d(P);if(l(Y))return;if(H("before:highlightElement",{el:P,language:Y}),P.dataset.highlighted){console.log("Element previously highlighted. To highlight again, first unset `dataset.highlighted`.",P);return}if(P.children.length>0&&(a.ignoreUnescapedHTML||(console.warn("One of your code blocks includes unescaped HTML. This is a potentially serious security risk."),console.warn("https://github.com/highlightjs/highlight.js/wiki/security"),console.warn("The element with unescaped HTML:"),console.warn(P)),a.throwUnescapedHTML))throw new tqe("One of your code blocks includes unescaped HTML.",P.innerHTML);j=P;const Q=j.textContent,re=Y?c(Q,{language:Y,ignoreIllegals:!0}):m(Q);P.innerHTML=re.value,P.dataset.highlighted="yes",h(P,Y,re.language),P.result={language:re.language,re:re.relevance,relevance:re.relevance},re.secondBest&&(P.secondBest={language:re.secondBest.language,relevance:re.secondBest.relevance}),H("after:highlightElement",{el:P,result:re,text:Q})}function b(P){a=kS(a,P)}const g=()=>{T(),No("10.6.0","initHighlighting() deprecated. Use highlightAll() now.")};function v(){T(),No("10.6.0","initHighlightingOnLoad() deprecated. Use highlightAll() now.")}let y=!1;function T(){if(document.readyState==="loading"){y=!0;return}document.querySelectorAll(a.cssSelector).forEach(E)}function C(){y&&T()}typeof window<"u"&&window.addEventListener&&window.addEventListener("DOMContentLoaded",C,!1);function x(P,j){let Y=null;try{Y=j(n)}catch(Q){if(oo("Language definition for '{}' could not be registered.".replace("{}",P)),s)oo(Q);else throw Q;Y=o}Y.name||(Y.name=P),e[P]=Y,Y.rawDefinition=j.bind(null,n),Y.aliases&&A(Y.aliases,{languageName:P})}function O(P){delete e[P];for(const j of Object.keys(t))t[j]===P&&delete t[j]}function R(){return Object.keys(e)}function S(P){return P=(P||"").toLowerCase(),e[P]||e[t[P]]}function A(P,{languageName:j}){typeof P=="string"&&(P=[P]),P.forEach(Y=>{t[Y.toLowerCase()]=j})}function U(P){const j=S(P);return j&&!j.disableAutodetect}function F(P){P["before:highlightBlock"]&&!P["before:highlightElement"]&&(P["before:highlightElement"]=j=>{P["before:highlightBlock"](Object.assign({block:j.el},j))}),P["after:highlightBlock"]&&!P["after:highlightElement"]&&(P["after:highlightElement"]=j=>{P["after:highlightBlock"](Object.assign({block:j.el},j))})}function K(P){F(P),i.push(P)}function L(P){const j=i.indexOf(P);j!==-1&&i.splice(j,1)}function H(P,j){const Y=P;i.forEach(function(Q){Q[Y]&&Q[Y](j)})}function G(P){return No("10.7.0","highlightBlock will be removed entirely in v12.0"),No("10.7.0","Please use highlightElement now."),E(P)}Object.assign(n,{highlight:c,highlightAuto:m,highlightAll:T,highlightElement:E,highlightBlock:G,configure:b,initHighlighting:g,initHighlightingOnLoad:v,registerLanguage:x,unregisterLanguage:O,listLanguages:R,getLanguage:S,registerAliases:A,autoDetection:U,inherit:kS,addPlugin:K,removePlugin:L}),n.debugMode=function(){s=!1},n.safeMode=function(){s=!0},n.versionString=eqe,n.regex={concat:To,lookahead:yN,either:fE,optional:m7e,anyNumberOfTimes:f7e};for(const P in Bc)typeof Bc[P]=="object"&&EN(Bc[P]);return Object.assign(n,Bc),n},Ea=ON({});Ea.newInstance=()=>ON({});var iqe=Ea;Ea.HighlightJS=Ea;Ea.default=Ea;var e_,PS;function sqe(){if(PS)return e_;PS=1;function n(e){const t="[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]+",r="далее "+"возврат вызватьисключение выполнить для если и из или иначе иначеесли исключение каждого конецесли конецпопытки конеццикла не новый перейти перем по пока попытка прервать продолжить тогда цикл экспорт ",l="загрузитьизфайла "+"вебклиент вместо внешнеесоединение клиент конецобласти мобильноеприложениеклиент мобильноеприложениесервер наклиенте наклиентенасервере наклиентенасерверебезконтекста насервере насерверебезконтекста область перед после сервер толстыйклиентобычноеприложение толстыйклиентуправляемоеприложение тонкийклиент ",d="разделительстраниц разделительстрок символтабуляции ",c="ansitooem oemtoansi ввестивидсубконто ввестиперечисление ввестипериод ввестиплансчетов выбранныйплансчетов датагод датамесяц датачисло заголовоксистемы значениевстроку значениеизстроки каталогиб каталогпользователя кодсимв конгода конецпериодаби конецрассчитанногопериодаби конецстандартногоинтервала конквартала конмесяца коннедели лог лог10 максимальноеколичествосубконто названиеинтерфейса названиенабораправ назначитьвид назначитьсчет найтиссылки началопериодаби началостандартногоинтервала начгода начквартала начмесяца начнедели номерднягода номерднянедели номернеделигода обработкаожидания основнойжурналрасчетов основнойплансчетов основнойязык очиститьокносообщений периодстр получитьвремята получитьдатута получитьдокументта получитьзначенияотбора получитьпозициюта получитьпустоезначение получитьта префиксавтонумерации пропись пустоезначение разм разобратьпозициюдокумента рассчитатьрегистрына рассчитатьрегистрыпо симв создатьобъект статусвозврата стрколичествострок сформироватьпозициюдокумента счетпокоду текущеевремя типзначения типзначениястр установитьтана установитьтапо фиксшаблон шаблон ",_="acos asin atan base64значение base64строка cos exp log log10 pow sin sqrt tan xmlзначение xmlстрока xmlтип xmlтипзнч активноеокно безопасныйрежим безопасныйрежимразделенияданных булево ввестидату ввестизначение ввестистроку ввестичисло возможностьчтенияxml вопрос восстановитьзначение врег выгрузитьжурналрегистрации выполнитьобработкуоповещения выполнитьпроверкуправдоступа вычислить год данныеформывзначение дата день деньгода деньнедели добавитьмесяц заблокироватьданныедляредактирования заблокироватьработупользователя завершитьработусистемы загрузитьвнешнююкомпоненту закрытьсправку записатьjson записатьxml записатьдатуjson записьжурналарегистрации заполнитьзначениясвойств запроситьразрешениепользователя запуститьприложение запуститьсистему зафиксироватьтранзакцию значениевданныеформы значениевстрокувнутр значениевфайл значениезаполнено значениеизстрокивнутр значениеизфайла изxmlтипа импортмоделиxdto имякомпьютера имяпользователя инициализироватьпредопределенныеданные информацияобошибке каталогбиблиотекимобильногоустройства каталогвременныхфайлов каталогдокументов каталогпрограммы кодироватьстроку кодлокализацииинформационнойбазы кодсимвола командасистемы конецгода конецдня конецквартала конецмесяца конецминуты конецнедели конецчаса конфигурациябазыданныхизмененадинамически конфигурацияизменена копироватьданныеформы копироватьфайл краткоепредставлениеошибки лев макс местноевремя месяц мин минута монопольныйрежим найти найтинедопустимыесимволыxml найтиокнопонавигационнойссылке найтипомеченныенаудаление найтипоссылкам найтифайлы началогода началодня началоквартала началомесяца началоминуты началонедели началочаса начатьзапросразрешенияпользователя начатьзапускприложения начатькопированиефайла начатьперемещениефайла начатьподключениевнешнейкомпоненты начатьподключениерасширенияработыскриптографией начатьподключениерасширенияработысфайлами начатьпоискфайлов начатьполучениекаталогавременныхфайлов начатьполучениекаталогадокументов начатьполучениерабочегокаталогаданныхпользователя начатьполучениефайлов начатьпомещениефайла начатьпомещениефайлов начатьсозданиедвоичныхданныхизфайла начатьсозданиекаталога начатьтранзакцию начатьудалениефайлов начатьустановкувнешнейкомпоненты начатьустановкурасширенияработыскриптографией начатьустановкурасширенияработысфайлами неделягода необходимостьзавершениясоединения номерсеансаинформационнойбазы номерсоединенияинформационнойбазы нрег нстр обновитьинтерфейс обновитьнумерациюобъектов обновитьповторноиспользуемыезначения обработкапрерыванияпользователя объединитьфайлы окр описаниеошибки оповестить оповеститьобизменении отключитьобработчикзапросанастроекклиенталицензирования отключитьобработчикожидания отключитьобработчикоповещения открытьзначение открытьиндекссправки открытьсодержаниесправки открытьсправку открытьформу открытьформумодально отменитьтранзакцию очиститьжурналрегистрации очиститьнастройкипользователя очиститьсообщения параметрыдоступа перейтипонавигационнойссылке переместитьфайл подключитьвнешнююкомпоненту подключитьобработчикзапросанастроекклиенталицензирования подключитьобработчикожидания подключитьобработчикоповещения подключитьрасширениеработыскриптографией подключитьрасширениеработысфайлами подробноепредставлениеошибки показатьвводдаты показатьвводзначения показатьвводстроки показатьвводчисла показатьвопрос показатьзначение показатьинформациюобошибке показатьнакарте показатьоповещениепользователя показатьпредупреждение полноеимяпользователя получитьcomобъект получитьxmlтип получитьадреспоместоположению получитьблокировкусеансов получитьвремязавершенияспящегосеанса получитьвремязасыпанияпассивногосеанса получитьвремяожиданияблокировкиданных получитьданныевыбора получитьдополнительныйпараметрклиенталицензирования получитьдопустимыекодылокализации получитьдопустимыечасовыепояса получитьзаголовокклиентскогоприложения получитьзаголовоксистемы получитьзначенияотборажурналарегистрации получитьидентификаторконфигурации получитьизвременногохранилища получитьимявременногофайла получитьимяклиенталицензирования получитьинформациюэкрановклиента получитьиспользованиежурналарегистрации получитьиспользованиесобытияжурналарегистрации получитькраткийзаголовокприложения получитьмакетоформления получитьмаскувсефайлы получитьмаскувсефайлыклиента получитьмаскувсефайлысервера получитьместоположениепоадресу получитьминимальнуюдлинупаролейпользователей получитьнавигационнуюссылку получитьнавигационнуюссылкуинформационнойбазы получитьобновлениеконфигурациибазыданных получитьобновлениепредопределенныхданныхинформационнойбазы получитьобщиймакет получитьобщуюформу получитьокна получитьоперативнуюотметкувремени получитьотключениебезопасногорежима получитьпараметрыфункциональныхопцийинтерфейса получитьполноеимяпредопределенногозначения получитьпредставлениянавигационныхссылок получитьпроверкусложностипаролейпользователей получитьразделительпути получитьразделительпутиклиента получитьразделительпутисервера получитьсеансыинформационнойбазы получитьскоростьклиентскогосоединения получитьсоединенияинформационнойбазы получитьсообщенияпользователю получитьсоответствиеобъектаиформы получитьсоставстандартногоинтерфейсаodata получитьструктурухранениябазыданных получитьтекущийсеансинформационнойбазы получитьфайл получитьфайлы получитьформу получитьфункциональнуюопцию получитьфункциональнуюопциюинтерфейса получитьчасовойпоясинформационнойбазы пользователиос поместитьвовременноехранилище поместитьфайл поместитьфайлы прав праводоступа предопределенноезначение представлениекодалокализации представлениепериода представлениеправа представлениеприложения представлениесобытияжурналарегистрации представлениечасовогопояса предупреждение прекратитьработусистемы привилегированныйрежим продолжитьвызов прочитатьjson прочитатьxml прочитатьдатуjson пустаястрока рабочийкаталогданныхпользователя разблокироватьданныедляредактирования разделитьфайл разорватьсоединениесвнешнимисточникомданных раскодироватьстроку рольдоступна секунда сигнал символ скопироватьжурналрегистрации смещениелетнеговремени смещениестандартноговремени соединитьбуферыдвоичныхданных создатькаталог создатьфабрикуxdto сокрл сокрлп сокрп сообщить состояние сохранитьзначение сохранитьнастройкипользователя сред стрдлина стрзаканчиваетсяна стрзаменить стрнайти стрначинаетсяс строка строкасоединенияинформационнойбазы стрполучитьстроку стрразделить стрсоединить стрсравнить стрчисловхождений стрчислострок стршаблон текущаядата текущаядатасеанса текущаяуниверсальнаядата текущаяуниверсальнаядатавмиллисекундах текущийвариантинтерфейсаклиентскогоприложения текущийвариантосновногошрифтаклиентскогоприложения текущийкодлокализации текущийрежимзапуска текущийязык текущийязыксистемы тип типзнч транзакцияактивна трег удалитьданныеинформационнойбазы удалитьизвременногохранилища удалитьобъекты удалитьфайлы универсальноевремя установитьбезопасныйрежим установитьбезопасныйрежимразделенияданных установитьблокировкусеансов установитьвнешнююкомпоненту установитьвремязавершенияспящегосеанса установитьвремязасыпанияпассивногосеанса установитьвремяожиданияблокировкиданных установитьзаголовокклиентскогоприложения установитьзаголовоксистемы установитьиспользованиежурналарегистрации установитьиспользованиесобытияжурналарегистрации установитькраткийзаголовокприложения установитьминимальнуюдлинупаролейпользователей установитьмонопольныйрежим установитьнастройкиклиенталицензирования установитьобновлениепредопределенныхданныхинформационнойбазы установитьотключениебезопасногорежима установитьпараметрыфункциональныхопцийинтерфейса установитьпривилегированныйрежим установитьпроверкусложностипаролейпользователей установитьрасширениеработыскриптографией установитьрасширениеработысфайлами установитьсоединениесвнешнимисточникомданных установитьсоответствиеобъектаиформы установитьсоставстандартногоинтерфейсаodata установитьчасовойпоясинформационнойбазы установитьчасовойпояссеанса формат цел час часовойпояс часовойпояссеанса число числопрописью этоадресвременногохранилища ",f="wsссылки библиотекакартинок библиотекамакетовоформлениякомпоновкиданных библиотекастилей бизнеспроцессы внешниеисточникиданных внешниеобработки внешниеотчеты встроенныепокупки главныйинтерфейс главныйстиль документы доставляемыеуведомления журналыдокументов задачи информацияобинтернетсоединении использованиерабочейдаты историяработыпользователя константы критерииотбора метаданные обработки отображениерекламы отправкадоставляемыхуведомлений отчеты панельзадачос параметрзапуска параметрысеанса перечисления планывидоврасчета планывидовхарактеристик планыобмена планысчетов полнотекстовыйпоиск пользователиинформационнойбазы последовательности проверкавстроенныхпокупок рабочаядата расширенияконфигурации регистрыбухгалтерии регистрынакопления регистрырасчета регистрысведений регламентныезадания сериализаторxdto справочники средствагеопозиционирования средствакриптографии средствамультимедиа средстваотображениярекламы средствапочты средствателефонии фабрикаxdto файловыепотоки фоновыезадания хранилищанастроек хранилищевариантовотчетов хранилищенастроекданныхформ хранилищеобщихнастроек хранилищепользовательскихнастроекдинамическихсписков хранилищепользовательскихнастроекотчетов хранилищесистемныхнастроек ",m=d+c+_+f,h="webцвета windowsцвета windowsшрифты библиотекакартинок рамкистиля символы цветастиля шрифтыстиля ",E="автоматическоесохранениеданныхформывнастройках автонумерациявформе автораздвижениесерий анимациядиаграммы вариантвыравниванияэлементовизаголовков вариантуправлениявысотойтаблицы вертикальнаяпрокруткаформы вертикальноеположение вертикальноеположениеэлемента видгруппыформы виддекорацииформы виддополненияэлементаформы видизмененияданных видкнопкиформы видпереключателя видподписейкдиаграмме видполяформы видфлажка влияниеразмеранапузырекдиаграммы горизонтальноеположение горизонтальноеположениеэлемента группировкаколонок группировкаподчиненныхэлементовформы группыиэлементы действиеперетаскивания дополнительныйрежимотображения допустимыедействияперетаскивания интервалмеждуэлементамиформы использованиевывода использованиеполосыпрокрутки используемоезначениеточкибиржевойдиаграммы историявыборапривводе источникзначенийоситочекдиаграммы источникзначенияразмерапузырькадиаграммы категориягруппыкоманд максимумсерий начальноеотображениедерева начальноеотображениесписка обновлениетекстаредактирования ориентациядендрограммы ориентациядиаграммы ориентацияметокдиаграммы ориентацияметоксводнойдиаграммы ориентацияэлементаформы отображениевдиаграмме отображениевлегендедиаграммы отображениегруппыкнопок отображениезаголовкашкалыдиаграммы отображениезначенийсводнойдиаграммы отображениезначенияизмерительнойдиаграммы отображениеинтерваладиаграммыганта отображениекнопки отображениекнопкивыбора отображениеобсужденийформы отображениеобычнойгруппы отображениеотрицательныхзначенийпузырьковойдиаграммы отображениепанелипоиска отображениеподсказки отображениепредупрежденияприредактировании отображениеразметкиполосырегулирования отображениестраницформы отображениетаблицы отображениетекстазначениядиаграммыганта отображениеуправленияобычнойгруппы отображениефигурыкнопки палитрацветовдиаграммы поведениеобычнойгруппы поддержкамасштабадендрограммы поддержкамасштабадиаграммыганта поддержкамасштабасводнойдиаграммы поисквтаблицепривводе положениезаголовкаэлементаформы положениекартинкикнопкиформы положениекартинкиэлементаграфическойсхемы положениекоманднойпанелиформы положениекоманднойпанелиэлементаформы положениеопорнойточкиотрисовки положениеподписейкдиаграмме положениеподписейшкалызначенийизмерительнойдиаграммы положениесостоянияпросмотра положениестрокипоиска положениетекстасоединительнойлинии положениеуправленияпоиском положениешкалывремени порядокотображенияточекгоризонтальнойгистограммы порядоксерийвлегендедиаграммы размеркартинки расположениезаголовкашкалыдиаграммы растягиваниеповертикалидиаграммыганта режимавтоотображениясостояния режимвводастроктаблицы режимвыборанезаполненного режимвыделениядаты режимвыделениястрокитаблицы режимвыделениятаблицы режимизмененияразмера режимизменениясвязанногозначения режимиспользованиядиалогапечати режимиспользованияпараметракоманды режиммасштабированияпросмотра режимосновногоокнаклиентскогоприложения режимоткрытияокнаформы режимотображениявыделения режимотображениягеографическойсхемы режимотображениязначенийсерии режимотрисовкисеткиграфическойсхемы режимполупрозрачностидиаграммы режимпробеловдиаграммы режимразмещениянастранице режимредактированияколонки режимсглаживаниядиаграммы режимсглаживанияиндикатора режимсписказадач сквозноевыравнивание сохранениеданныхформывнастройках способзаполнениятекстазаголовкашкалыдиаграммы способопределенияограничивающегозначениядиаграммы стандартнаягруппакоманд стандартноеоформление статусоповещенияпользователя стильстрелки типаппроксимациилиниитрендадиаграммы типдиаграммы типединицышкалывремени типимпортасерийслоягеографическойсхемы типлиниигеографическойсхемы типлиниидиаграммы типмаркерагеографическойсхемы типмаркерадиаграммы типобластиоформления типорганизацииисточникаданныхгеографическойсхемы типотображениясериислоягеографическойсхемы типотображенияточечногообъектагеографическойсхемы типотображенияшкалыэлементалегендыгеографическойсхемы типпоискаобъектовгеографическойсхемы типпроекциигеографическойсхемы типразмещенияизмерений типразмещенияреквизитовизмерений типрамкиэлементауправления типсводнойдиаграммы типсвязидиаграммыганта типсоединениязначенийпосериямдиаграммы типсоединенияточекдиаграммы типсоединительнойлинии типстороныэлементаграфическойсхемы типформыотчета типшкалырадарнойдиаграммы факторлиниитрендадиаграммы фигуракнопки фигурыграфическойсхемы фиксациявтаблице форматдняшкалывремени форматкартинки ширинаподчиненныхэлементовформы ",b="виддвижениябухгалтерии виддвижениянакопления видпериодарегистрарасчета видсчета видточкимаршрутабизнеспроцесса использованиеагрегатарегистранакопления использованиегруппиэлементов использованиережимапроведения использованиесреза периодичностьагрегатарегистранакопления режимавтовремя режимзаписидокумента режимпроведениядокумента ",g="авторегистрацияизменений допустимыйномерсообщения отправкаэлементаданных получениеэлементаданных ",v="использованиерасшифровкитабличногодокумента ориентациястраницы положениеитоговколоноксводнойтаблицы положениеитоговстроксводнойтаблицы положениетекстаотносительнокартинки расположениезаголовкагруппировкитабличногодокумента способчтениязначенийтабличногодокумента типдвустороннейпечати типзаполненияобластитабличногодокумента типкурсоровтабличногодокумента типлиниирисункатабличногодокумента типлинииячейкитабличногодокумента типнаправленияпереходатабличногодокумента типотображениявыделениятабличногодокумента типотображениялинийсводнойтаблицы типразмещениятекстатабличногодокумента типрисункатабличногодокумента типсмещениятабличногодокумента типузоратабличногодокумента типфайлатабличногодокумента точностьпечати чередованиерасположениястраниц ",y="отображениевремениэлементовпланировщика ",T="типфайлаформатированногодокумента ",C="обходрезультатазапроса типзаписизапроса ",x="видзаполнениярасшифровкипостроителяотчета типдобавленияпредставлений типизмеренияпостроителяотчета типразмещенияитогов ",O="доступкфайлу режимдиалогавыборафайла режимоткрытияфайла ",R="типизмеренияпостроителязапроса ",S="видданныханализа методкластеризации типединицыинтервалавременианализаданных типзаполнениятаблицырезультатаанализаданных типиспользованиячисловыхзначенийанализаданных типисточникаданныхпоискаассоциаций типколонкианализаданныхдереворешений типколонкианализаданныхкластеризация типколонкианализаданныхобщаястатистика типколонкианализаданныхпоискассоциаций типколонкианализаданныхпоискпоследовательностей типколонкимоделипрогноза типмерырасстоянияанализаданных типотсеченияправилассоциации типполяанализаданных типстандартизациианализаданных типупорядочиванияправилассоциациианализаданных типупорядочиванияшаблоновпоследовательностейанализаданных типупрощениядереварешений ",A="wsнаправлениепараметра вариантxpathxs вариантзаписидатыjson вариантпростоготипаxs видгруппымоделиxs видфасетаxdto действиепостроителяdom завершенностьпростоготипаxs завершенностьсоставноготипаxs завершенностьсхемыxs запрещенныеподстановкиxs исключениягруппподстановкиxs категорияиспользованияатрибутаxs категорияограниченияидентичностиxs категорияограниченияпространствименxs методнаследованияxs модельсодержимогоxs назначениетипаxml недопустимыеподстановкиxs обработкапробельныхсимволовxs обработкасодержимогоxs ограничениезначенияxs параметрыотбораузловdom переносстрокjson позициявдокументеdom пробельныесимволыxml типатрибутаxml типзначенияjson типканоническогоxml типкомпонентыxs типпроверкиxml типрезультатаdomxpath типузлаdom типузлаxml формаxml формапредставленияxs форматдатыjson экранированиесимволовjson ",U="видсравнениякомпоновкиданных действиеобработкирасшифровкикомпоновкиданных направлениесортировкикомпоновкиданных расположениевложенныхэлементоврезультатакомпоновкиданных расположениеитоговкомпоновкиданных расположениегруппировкикомпоновкиданных расположениеполейгруппировкикомпоновкиданных расположениеполякомпоновкиданных расположениереквизитовкомпоновкиданных расположениересурсовкомпоновкиданных типбухгалтерскогоостаткакомпоновкиданных типвыводатекстакомпоновкиданных типгруппировкикомпоновкиданных типгруппыэлементовотборакомпоновкиданных типдополненияпериодакомпоновкиданных типзаголовкаполейкомпоновкиданных типмакетагруппировкикомпоновкиданных типмакетаобластикомпоновкиданных типостаткакомпоновкиданных типпериодакомпоновкиданных типразмещениятекстакомпоновкиданных типсвязинаборовданныхкомпоновкиданных типэлементарезультатакомпоновкиданных расположениелегендыдиаграммыкомпоновкиданных типпримененияотборакомпоновкиданных режимотображенияэлементанастройкикомпоновкиданных режимотображениянастроеккомпоновкиданных состояниеэлементанастройкикомпоновкиданных способвосстановлениянастроеккомпоновкиданных режимкомпоновкирезультата использованиепараметракомпоновкиданных автопозицияресурсовкомпоновкиданных вариантиспользованиягруппировкикомпоновкиданных расположениересурсоввдиаграммекомпоновкиданных фиксациякомпоновкиданных использованиеусловногооформлениякомпоновкиданных ",F="важностьинтернетпочтовогосообщения обработкатекстаинтернетпочтовогосообщения способкодированияинтернетпочтовоговложения способкодированиянеasciiсимволовинтернетпочтовогосообщения типтекстапочтовогосообщения протоколинтернетпочты статусразборапочтовогосообщения ",K="режимтранзакциизаписижурналарегистрации статустранзакциизаписижурналарегистрации уровеньжурналарегистрации ",L="расположениехранилищасертификатовкриптографии режимвключениясертификатовкриптографии режимпроверкисертификатакриптографии типхранилищасертификатовкриптографии ",H="кодировкаименфайловвzipфайле методсжатияzip методшифрованияzip режимвосстановленияпутейфайловzip режимобработкиподкаталоговzip режимсохраненияпутейzip уровеньсжатияzip ",G="звуковоеоповещение направлениепереходакстроке позициявпотоке порядокбайтов режимблокировкиданных режимуправленияблокировкойданных сервисвстроенныхпокупок состояниефоновогозадания типподписчикадоставляемыхуведомлений уровеньиспользованиязащищенногосоединенияftp ",P="направлениепорядкасхемызапроса типдополненияпериодамисхемызапроса типконтрольнойточкисхемызапроса типобъединениясхемызапроса типпараметрадоступнойтаблицысхемызапроса типсоединениясхемызапроса ",j="httpметод автоиспользованиеобщегореквизита автопрефиксномеразадачи вариантвстроенногоязыка видиерархии видрегистранакопления видтаблицывнешнегоисточникаданных записьдвиженийприпроведении заполнениепоследовательностей индексирование использованиебазыпланавидоврасчета использованиебыстроговыбора использованиеобщегореквизита использованиеподчинения использованиеполнотекстовогопоиска использованиеразделяемыхданныхобщегореквизита использованиереквизита назначениеиспользованияприложения назначениерасширенияконфигурации направлениепередачи обновлениепредопределенныхданных оперативноепроведение основноепредставлениевидарасчета основноепредставлениевидахарактеристики основноепредставлениезадачи основноепредставлениепланаобмена основноепредставлениесправочника основноепредставлениесчета перемещениеграницыприпроведении периодичностьномерабизнеспроцесса периодичностьномерадокумента периодичностьрегистрарасчета периодичностьрегистрасведений повторноеиспользованиевозвращаемыхзначений полнотекстовыйпоискпривводепостроке принадлежностьобъекта проведение разделениеаутентификацииобщегореквизита разделениеданныхобщегореквизита разделениерасширенийконфигурацииобщегореквизита режимавтонумерацииобъектов режимзаписирегистра режимиспользованиямодальности режимиспользованиясинхронныхвызововрасширенийплатформыивнешнихкомпонент режимповторногоиспользованиясеансов режимполученияданныхвыборапривводепостроке режимсовместимости режимсовместимостиинтерфейса режимуправленияблокировкойданныхпоумолчанию сериикодовпланавидовхарактеристик сериикодовпланасчетов сериикодовсправочника созданиепривводе способвыбора способпоискастрокипривводепостроке способредактирования типданныхтаблицывнешнегоисточникаданных типкодапланавидоврасчета типкодасправочника типмакета типномерабизнеспроцесса типномерадокумента типномеразадачи типформы удалениедвижений ",Y="важностьпроблемыприменениярасширенияконфигурации вариантинтерфейсаклиентскогоприложения вариантмасштабаформклиентскогоприложения вариантосновногошрифтаклиентскогоприложения вариантстандартногопериода вариантстандартнойдатыначала видграницы видкартинки видотображенияполнотекстовогопоиска видрамки видсравнения видцвета видчисловогозначения видшрифта допустимаядлина допустимыйзнак использованиеbyteordermark использованиеметаданныхполнотекстовогопоиска источникрасширенийконфигурации клавиша кодвозвратадиалога кодировкаxbase кодировкатекста направлениепоиска направлениесортировки обновлениепредопределенныхданных обновлениеприизмененииданных отображениепанелиразделов проверказаполнения режимдиалогавопрос режимзапускаклиентскогоприложения режимокругления режимоткрытияформприложения режимполнотекстовогопоиска скоростьклиентскогосоединения состояниевнешнегоисточникаданных состояниеобновленияконфигурациибазыданных способвыборасертификатаwindows способкодированиястроки статуссообщения типвнешнейкомпоненты типплатформы типповеденияклавишиenter типэлементаинформацииовыполненииобновленияконфигурациибазыданных уровеньизоляциитранзакций хешфункция частидаты",Q=h+E+b+g+v+y+T+C+x+O+R+S+A+U+F+K+L+H+G+P+j+Y,Z="comобъект ftpсоединение httpзапрос httpсервисответ httpсоединение wsопределения wsпрокси xbase анализданных аннотацияxs блокировкаданных буфердвоичныхданных включениеxs выражениекомпоновкиданных генераторслучайныхчисел географическаясхема географическиекоординаты графическаясхема группамоделиxs данныерасшифровкикомпоновкиданных двоичныеданные дендрограмма диаграмма диаграммаганта диалогвыборафайла диалогвыборацвета диалогвыборашрифта диалограсписаниярегламентногозадания диалогредактированиястандартногопериода диапазон документdom документhtml документацияxs доставляемоеуведомление записьdom записьfastinfoset записьhtml записьjson записьxml записьzipфайла записьданных записьтекста записьузловdom запрос защищенноесоединениеopenssl значенияполейрасшифровкикомпоновкиданных извлечениетекста импортxs интернетпочта интернетпочтовоесообщение интернетпочтовыйпрофиль интернетпрокси интернетсоединение информациядляприложенияxs использованиеатрибутаxs использованиесобытияжурналарегистрации источникдоступныхнастроеккомпоновкиданных итераторузловdom картинка квалификаторыдаты квалификаторыдвоичныхданных квалификаторыстроки квалификаторычисла компоновщикмакетакомпоновкиданных компоновщикнастроеккомпоновкиданных конструктормакетаоформлениякомпоновкиданных конструкторнастроеккомпоновкиданных конструкторформатнойстроки линия макеткомпоновкиданных макетобластикомпоновкиданных макетоформлениякомпоновкиданных маскаxs менеджеркриптографии наборсхемxml настройкикомпоновкиданных настройкисериализацииjson обработкакартинок обработкарасшифровкикомпоновкиданных обходдереваdom объявлениеатрибутаxs объявлениенотацииxs объявлениеэлементаxs описаниеиспользованиясобытиядоступжурналарегистрации описаниеиспользованиясобытияотказвдоступежурналарегистрации описаниеобработкирасшифровкикомпоновкиданных описаниепередаваемогофайла описаниетипов определениегруппыатрибутовxs определениегруппымоделиxs определениеограниченияидентичностиxs определениепростоготипаxs определениесоставноготипаxs определениетипадокументаdom определенияxpathxs отборкомпоновкиданных пакетотображаемыхдокументов параметрвыбора параметркомпоновкиданных параметрызаписиjson параметрызаписиxml параметрычтенияxml переопределениеxs планировщик полеанализаданных полекомпоновкиданных построительdom построительзапроса построительотчета построительотчетаанализаданных построительсхемxml поток потоквпамяти почта почтовоесообщение преобразованиеxsl преобразованиекканоническомуxml процессорвыводарезультатакомпоновкиданныхвколлекциюзначений процессорвыводарезультатакомпоновкиданныхвтабличныйдокумент процессоркомпоновкиданных разыменовательпространствименdom рамка расписаниерегламентногозадания расширенноеимяxml результатчтенияданных своднаядиаграмма связьпараметравыбора связьпотипу связьпотипукомпоновкиданных сериализаторxdto сертификатклиентаwindows сертификатклиентафайл сертификаткриптографии сертификатыудостоверяющихцентровwindows сертификатыудостоверяющихцентровфайл сжатиеданных системнаяинформация сообщениепользователю сочетаниеклавиш сравнениезначений стандартнаядатаначала стандартныйпериод схемаxml схемакомпоновкиданных табличныйдокумент текстовыйдокумент тестируемоеприложение типданныхxml уникальныйидентификатор фабрикаxdto файл файловыйпоток фасетдлиныxs фасетколичестваразрядовдробнойчастиxs фасетмаксимальноговключающегозначенияxs фасетмаксимальногоисключающегозначенияxs фасетмаксимальнойдлиныxs фасетминимальноговключающегозначенияxs фасетминимальногоисключающегозначенияxs фасетминимальнойдлиныxs фасетобразцаxs фасетобщегоколичестваразрядовxs фасетперечисленияxs фасетпробельныхсимволовxs фильтрузловdom форматированнаястрока форматированныйдокумент фрагментxs хешированиеданных хранилищезначения цвет чтениеfastinfoset чтениеhtml чтениеjson чтениеxml чтениеzipфайла чтениеданных чтениетекста чтениеузловdom шрифт элементрезультатакомпоновкиданных "+"comsafearray деревозначений массив соответствие списокзначений структура таблицазначений фиксированнаяструктура фиксированноесоответствие фиксированныймассив ",fe="null истина ложь неопределено",ve=e.inherit(e.NUMBER_MODE),Ae={className:"string",begin:'"|\\|',end:'"|$',contains:[{begin:'""'}]},J={begin:"'",end:"'",excludeBegin:!0,excludeEnd:!0,contains:[{className:"number",begin:"\\d{4}([\\.\\\\/:-]?\\d{2}){0,5}"}]},me=e.inherit(e.C_LINE_COMMENT_MODE),ee={className:"meta",begin:"#|&",end:"$",keywords:{$pattern:t,keyword:r+l},contains:[me]},Se={className:"symbol",begin:"~",end:";|:",excludeEnd:!0},Oe={className:"function",variants:[{begin:"процедура|функция",end:"\\)",keywords:"процедура функция"},{begin:"конецпроцедуры|конецфункции",keywords:"конецпроцедуры конецфункции"}],contains:[{begin:"\\(",end:"\\)",endsParent:!0,contains:[{className:"params",begin:t,end:",",excludeEnd:!0,endsWithParent:!0,keywords:{$pattern:t,keyword:"знач",literal:fe},contains:[ve,Ae,J]},me]},e.inherit(e.TITLE_MODE,{begin:t})]};return{name:"1C:Enterprise",case_insensitive:!0,keywords:{$pattern:t,keyword:r,built_in:m,class:Q,type:Z,literal:fe},contains:[ee,Oe,me,Se,ve,Ae,J]}}return e_=n,e_}var t_,US;function rqe(){if(US)return t_;US=1;function n(e){const t=e.regex,i=/^[a-zA-Z][a-zA-Z0-9-]*/,s=["ALPHA","BIT","CHAR","CR","CRLF","CTL","DIGIT","DQUOTE","HEXDIG","HTAB","LF","LWSP","OCTET","SP","VCHAR","WSP"],r=e.COMMENT(/;/,/$/),o={scope:"symbol",match:/%b[0-1]+(-[0-1]+|(\.[0-1]+)+)?/},a={scope:"symbol",match:/%d[0-9]+(-[0-9]+|(\.[0-9]+)+)?/},l={scope:"symbol",match:/%x[0-9A-F]+(-[0-9A-F]+|(\.[0-9A-F]+)+)?/},d={scope:"symbol",match:/%[si](?=".*")/},c={scope:"attribute",match:t.concat(i,/(?=\s*=)/)};return{name:"Augmented Backus-Naur Form",illegal:/[!@#$^&',?+~`|:]/,keywords:s,contains:[{scope:"operator",match:/=\/?/},c,r,o,a,l,d,e.QUOTE_STRING_MODE,e.NUMBER_MODE]}}return t_=n,t_}var n_,FS;function oqe(){if(FS)return n_;FS=1;function n(e){const t=e.regex,i=["GET","POST","HEAD","PUT","DELETE","CONNECT","OPTIONS","PATCH","TRACE"];return{name:"Apache Access Log",contains:[{className:"number",begin:/^\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(:\d{1,5})?\b/,relevance:5},{className:"number",begin:/\b\d+\b/,relevance:0},{className:"string",begin:t.concat(/"/,t.either(...i)),end:/"/,keywords:i,illegal:/\n/,relevance:5,contains:[{begin:/HTTP\/[12]\.\d'/,relevance:5}]},{className:"string",begin:/\[\d[^\]\n]{8,}\]/,illegal:/\n/,relevance:1},{className:"string",begin:/\[/,end:/\]/,illegal:/\n/,relevance:0},{className:"string",begin:/"Mozilla\/\d\.\d \(/,end:/"/,illegal:/\n/,relevance:3},{className:"string",begin:/"/,end:/"/,illegal:/\n/,relevance:0}]}}return n_=n,n_}var i_,BS;function aqe(){if(BS)return i_;BS=1;function n(e){const t=e.regex,i=/[a-zA-Z_$][a-zA-Z0-9_$]*/,s=t.concat(i,t.concat("(\\.",i,")*")),r=/([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)/,o={className:"rest_arg",begin:/[.]{3}/,end:i,relevance:10};return{name:"ActionScript",aliases:["as"],keywords:{keyword:["as","break","case","catch","class","const","continue","default","delete","do","dynamic","each","else","extends","final","finally","for","function","get","if","implements","import","in","include","instanceof","interface","internal","is","namespace","native","new","override","package","private","protected","public","return","set","static","super","switch","this","throw","try","typeof","use","var","void","while","with"],literal:["true","false","null","undefined"]},contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{match:[/\bpackage/,/\s+/,s],className:{1:"keyword",3:"title.class"}},{match:[/\b(?:class|interface|extends|implements)/,/\s+/,i],className:{1:"keyword",3:"title.class"}},{className:"meta",beginKeywords:"import include",end:/;/,keywords:{keyword:"import include"}},{beginKeywords:"function",end:/[{;]/,excludeEnd:!0,illegal:/\S/,contains:[e.inherit(e.TITLE_MODE,{className:"title.function"}),{className:"params",begin:/\(/,end:/\)/,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,o]},{begin:t.concat(/:\s*/,r)}]},e.METHOD_GUARD],illegal:/#/}}return i_=n,i_}var s_,GS;function lqe(){if(GS)return s_;GS=1;function n(e){const t="\\d(_|\\d)*",i="[eE][-+]?"+t,s=t+"(\\."+t+")?("+i+")?",r="\\w+",a="\\b("+(t+"#"+r+"(\\."+r+")?#("+i+")?")+"|"+s+")",l="[A-Za-z](_?[A-Za-z0-9.])*",d=`[]\\{\\}%#'"`,c=e.COMMENT("--","$"),_={begin:"\\s+:\\s+",end:"\\s*(:=|;|\\)|=>|$)",illegal:d,contains:[{beginKeywords:"loop for declare others",endsParent:!0},{className:"keyword",beginKeywords:"not null constant access function procedure in out aliased exception"},{className:"type",begin:l,endsParent:!0,relevance:0}]};return{name:"Ada",case_insensitive:!0,keywords:{keyword:["abort","else","new","return","abs","elsif","not","reverse","abstract","end","accept","entry","select","access","exception","of","separate","aliased","exit","or","some","all","others","subtype","and","for","out","synchronized","array","function","overriding","at","tagged","generic","package","task","begin","goto","pragma","terminate","body","private","then","if","procedure","type","case","in","protected","constant","interface","is","raise","use","declare","range","delay","limited","record","when","delta","loop","rem","while","digits","renames","with","do","mod","requeue","xor"],literal:["True","False"]},contains:[c,{className:"string",begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{className:"string",begin:/'.'/},{className:"number",begin:a,relevance:0},{className:"symbol",begin:"'"+l},{className:"title",begin:"(\\bwith\\s+)?(\\bprivate\\s+)?\\bpackage\\s+(\\bbody\\s+)?",end:"(is|$)",keywords:"package body",excludeBegin:!0,excludeEnd:!0,illegal:d},{begin:"(\\b(with|overriding)\\s+)?\\b(function|procedure)\\s+",end:"(\\bis|\\bwith|\\brenames|\\)\\s*;)",keywords:"overriding function procedure with is renames return",returnBegin:!0,contains:[c,{className:"title",begin:"(\\bwith\\s+)?\\b(function|procedure)\\s+",end:"(\\(|\\s+|$)",excludeBegin:!0,excludeEnd:!0,illegal:d},_,{className:"type",begin:"\\breturn\\s+",end:"(\\s+|;|$)",keywords:"return",excludeBegin:!0,excludeEnd:!0,endsParent:!0,illegal:d}]},{className:"type",begin:"\\b(sub)?type\\s+",end:"\\s+",keywords:"type",excludeBegin:!0,illegal:d},_]}}return s_=n,s_}var r_,zS;function cqe(){if(zS)return r_;zS=1;function n(e){const t={className:"built_in",begin:"\\b(void|bool|int8|int16|int32|int64|int|uint8|uint16|uint32|uint64|uint|string|ref|array|double|float|auto|dictionary)"},i={className:"symbol",begin:"[a-zA-Z0-9_]+@"},s={className:"keyword",begin:"<",end:">",contains:[t,i]};return t.contains=[s],i.contains=[s],{name:"AngelScript",aliases:["asc"],keywords:["for","in|0","break","continue","while","do|0","return","if","else","case","switch","namespace","is","cast","or","and","xor","not","get|0","in","inout|10","out","override","set|0","private","public","const","default|0","final","shared","external","mixin|10","enum","typedef","funcdef","this","super","import","from","interface","abstract|0","try","catch","protected","explicit","property"],illegal:"(^using\\s+[A-Za-z0-9_\\.]+;$|\\bfunction\\s*[^\\(])",contains:[{className:"string",begin:"'",end:"'",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE],relevance:0},{className:"string",begin:'"""',end:'"""'},{className:"string",begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE],relevance:0},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",begin:"^\\s*\\[",end:"\\]"},{beginKeywords:"interface namespace",end:/\{/,illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]},{beginKeywords:"class",end:/\{/,illegal:"[;.\\-]",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+",contains:[{begin:"[:,]\\s*",contains:[{className:"symbol",begin:"[a-zA-Z0-9_]+"}]}]}]},t,i,{className:"literal",begin:"\\b(null|true|false)"},{className:"number",relevance:0,begin:"(-?)(\\b0[xXbBoOdD][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?f?|\\.\\d+f?)([eE][-+]?\\d+f?)?)"}]}}return r_=n,r_}var o_,VS;function dqe(){if(VS)return o_;VS=1;function n(e){const t={className:"number",begin:/[$%]\d+/},i={className:"number",begin:/\b\d+/},s={className:"number",begin:/\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(:\d{1,5})?/},r={className:"number",begin:/:\d{1,5}/};return{name:"Apache config",aliases:["apacheconf"],case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:"section",begin:/<\/?/,end:/>/,contains:[s,r,e.inherit(e.QUOTE_STRING_MODE,{relevance:0})]},{className:"attribute",begin:/\w+/,relevance:0,keywords:{_:["order","deny","allow","setenv","rewriterule","rewriteengine","rewritecond","documentroot","sethandler","errordocument","loadmodule","options","header","listen","serverroot","servername"]},starts:{end:/$/,relevance:0,keywords:{literal:"on off all deny allow"},contains:[{className:"meta",begin:/\s\[/,end:/\]$/},{className:"variable",begin:/[\$%]\{/,end:/\}/,contains:["self",t]},s,i,e.QUOTE_STRING_MODE]}}],illegal:/\S/}}return o_=n,o_}var a_,HS;function uqe(){if(HS)return a_;HS=1;function n(e){const t=e.regex,i=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),s={className:"params",begin:/\(/,end:/\)/,contains:["self",e.C_NUMBER_MODE,i]},r=e.COMMENT(/--/,/$/),o=e.COMMENT(/\(\*/,/\*\)/,{contains:["self",r]}),a=[r,o,e.HASH_COMMENT_MODE],l=[/apart from/,/aside from/,/instead of/,/out of/,/greater than/,/isn't|(doesn't|does not) (equal|come before|come after|contain)/,/(greater|less) than( or equal)?/,/(starts?|ends|begins?) with/,/contained by/,/comes (before|after)/,/a (ref|reference)/,/POSIX (file|path)/,/(date|time) string/,/quoted form/],d=[/clipboard info/,/the clipboard/,/info for/,/list (disks|folder)/,/mount volume/,/path to/,/(close|open for) access/,/(get|set) eof/,/current date/,/do shell script/,/get volume settings/,/random number/,/set volume/,/system attribute/,/system info/,/time to GMT/,/(load|run|store) script/,/scripting components/,/ASCII (character|number)/,/localized string/,/choose (application|color|file|file name|folder|from list|remote application|URL)/,/display (alert|dialog)/];return{name:"AppleScript",aliases:["osascript"],keywords:{keyword:"about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without",literal:"AppleScript false linefeed return pi quote result space tab true",built_in:"alias application boolean class constant date file integer list number real record string text activate beep count delay launch log offset read round run say summarize write character characters contents day frontmost id item length month name|0 paragraph paragraphs rest reverse running time version weekday word words year"},contains:[i,e.C_NUMBER_MODE,{className:"built_in",begin:t.concat(/\b/,t.either(...d),/\b/)},{className:"built_in",begin:/^\s*return\b/},{className:"literal",begin:/\b(text item delimiters|current application|missing value)\b/},{className:"keyword",begin:t.concat(/\b/,t.either(...l),/\b/)},{beginKeywords:"on",illegal:/[${=;\n]/,contains:[e.UNDERSCORE_TITLE_MODE,s]},...a],illegal:/\/\/|->|=>|\[\[/}}return a_=n,a_}var l_,qS;function pqe(){if(qS)return l_;qS=1;function n(e){const t="[A-Za-z_][0-9A-Za-z_]*",i={keyword:["if","for","while","var","new","function","do","return","void","else","break"],literal:["BackSlash","DoubleQuote","false","ForwardSlash","Infinity","NaN","NewLine","null","PI","SingleQuote","Tab","TextFormatting","true","undefined"],built_in:["Abs","Acos","All","Angle","Any","Area","AreaGeodetic","Array","Asin","Atan","Atan2","Attachments","Average","Back","Bearing","Boolean","Buffer","BufferGeodetic","Ceil","Centroid","Clip","Concatenate","Console","Constrain","Contains","ConvertDirection","Cos","Count","Crosses","Cut","Date","DateAdd","DateDiff","Day","Decode","DefaultValue","Densify","DensifyGeodetic","Dictionary","Difference","Disjoint","Distance","DistanceGeodetic","Distinct","Domain","DomainCode","DomainName","EnvelopeIntersects","Equals","Erase","Exp","Expects","Extent","Feature","FeatureSet","FeatureSetByAssociation","FeatureSetById","FeatureSetByName","FeatureSetByPortalItem","FeatureSetByRelationshipName","Filter","Find","First","Floor","FromCharCode","FromCodePoint","FromJSON","GdbVersion","Generalize","Geometry","GetFeatureSet","GetUser","GroupBy","Guid","Hash","HasKey","Hour","IIf","Includes","IndexOf","Insert","Intersection","Intersects","IsEmpty","IsNan","ISOMonth","ISOWeek","ISOWeekday","ISOYear","IsSelfIntersecting","IsSimple","Left|0","Length","Length3D","LengthGeodetic","Log","Lower","Map","Max","Mean","Mid","Millisecond","Min","Minute","Month","MultiPartToSinglePart","Multipoint","NextSequenceValue","None","Now","Number","Offset|0","OrderBy","Overlaps","Point","Polygon","Polyline","Pop","Portal","Pow","Proper","Push","Random","Reduce","Relate","Replace","Resize","Reverse","Right|0","RingIsClockwise","Rotate","Round","Schema","Second","SetGeometry","Simplify","Sin","Slice","Sort","Splice","Split","Sqrt","Stdev","SubtypeCode","SubtypeName","Subtypes","Sum","SymmetricDifference","Tan","Text","Timestamp","ToCharCode","ToCodePoint","Today","ToHex","ToLocal","Top|0","Touches","ToUTC","TrackAccelerationAt","TrackAccelerationWindow","TrackCurrentAcceleration","TrackCurrentDistance","TrackCurrentSpeed","TrackCurrentTime","TrackDistanceAt","TrackDistanceWindow","TrackDuration","TrackFieldWindow","TrackGeometryWindow","TrackIndex","TrackSpeedAt","TrackSpeedWindow","TrackStartTime","TrackWindow","Trim","TypeOf","Union","Upper","UrlEncode","Variance","Week","Weekday","When","Within","Year"]},s={className:"symbol",begin:"\\$[datastore|feature|layer|map|measure|sourcefeature|sourcelayer|targetfeature|targetlayer|value|view]+"},r={className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:e.C_NUMBER_RE}],relevance:0},o={className:"subst",begin:"\\$\\{",end:"\\}",keywords:i,contains:[]},a={className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,o]};o.contains=[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,a,r,e.REGEXP_MODE];const l=o.contains.concat([e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE]);return{name:"ArcGIS Arcade",case_insensitive:!0,keywords:i,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,a,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,s,r,{begin:/[{,]\s*/,relevance:0,contains:[{begin:t+"\\s*:",returnBegin:!0,relevance:0,contains:[{className:"attr",begin:t,relevance:0}]}]},{begin:"("+e.RE_STARTERS_RE+"|\\b(return)\\b)\\s*",keywords:"return",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{className:"function",begin:"(\\(.*?\\)|"+t+")\\s*=>",returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:t},{begin:/\(\s*\)/},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:i,contains:l}]}]}],relevance:0},{beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{className:"title.function",begin:t}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:l}],illegal:/\[|%/},{begin:/\$[(.]/}],illegal:/#(?!!)/}}return l_=n,l_}var c_,YS;function _qe(){if(YS)return c_;YS=1;function n(t){const i=t.regex,s=t.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),r="decltype\\(auto\\)",o="[a-zA-Z_]\\w*::",a="<[^<>]+>",l="(?!struct)("+r+"|"+i.optional(o)+"[a-zA-Z_]\\w*"+i.optional(a)+")",d={className:"type",begin:"\\b[a-z\\d_]*_t\\b"},c="\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)",_={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[t.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+c+"|.)",end:"'",illegal:"."},t.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},f={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},m={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},t.inherit(_,{className:"string"}),{className:"string",begin:/<.*?>/},s,t.C_BLOCK_COMMENT_MODE]},h={className:"title",begin:i.optional(o)+t.IDENT_RE,relevance:0},E=i.optional(o)+t.IDENT_RE+"\\s*\\(",b=["alignas","alignof","and","and_eq","asm","atomic_cancel","atomic_commit","atomic_noexcept","auto","bitand","bitor","break","case","catch","class","co_await","co_return","co_yield","compl","concept","const_cast|10","consteval","constexpr","constinit","continue","decltype","default","delete","do","dynamic_cast|10","else","enum","explicit","export","extern","false","final","for","friend","goto","if","import","inline","module","mutable","namespace","new","noexcept","not","not_eq","nullptr","operator","or","or_eq","override","private","protected","public","reflexpr","register","reinterpret_cast|10","requires","return","sizeof","static_assert","static_cast|10","struct","switch","synchronized","template","this","thread_local","throw","transaction_safe","transaction_safe_dynamic","true","try","typedef","typeid","typename","union","using","virtual","volatile","while","xor","xor_eq"],g=["bool","char","char16_t","char32_t","char8_t","double","float","int","long","short","void","wchar_t","unsigned","signed","const","static"],v=["any","auto_ptr","barrier","binary_semaphore","bitset","complex","condition_variable","condition_variable_any","counting_semaphore","deque","false_type","future","imaginary","initializer_list","istringstream","jthread","latch","lock_guard","multimap","multiset","mutex","optional","ostringstream","packaged_task","pair","promise","priority_queue","queue","recursive_mutex","recursive_timed_mutex","scoped_lock","set","shared_future","shared_lock","shared_mutex","shared_timed_mutex","shared_ptr","stack","string_view","stringstream","timed_mutex","thread","true_type","tuple","unique_lock","unique_ptr","unordered_map","unordered_multimap","unordered_multiset","unordered_set","variant","vector","weak_ptr","wstring","wstring_view"],y=["abort","abs","acos","apply","as_const","asin","atan","atan2","calloc","ceil","cerr","cin","clog","cos","cosh","cout","declval","endl","exchange","exit","exp","fabs","floor","fmod","forward","fprintf","fputs","free","frexp","fscanf","future","invoke","isalnum","isalpha","iscntrl","isdigit","isgraph","islower","isprint","ispunct","isspace","isupper","isxdigit","labs","launder","ldexp","log","log10","make_pair","make_shared","make_shared_for_overwrite","make_tuple","make_unique","malloc","memchr","memcmp","memcpy","memset","modf","move","pow","printf","putchar","puts","realloc","scanf","sin","sinh","snprintf","sprintf","sqrt","sscanf","std","stderr","stdin","stdout","strcat","strchr","strcmp","strcpy","strcspn","strlen","strncat","strncmp","strncpy","strpbrk","strrchr","strspn","strstr","swap","tan","tanh","terminate","to_underlying","tolower","toupper","vfprintf","visit","vprintf","vsprintf"],x={type:g,keyword:b,literal:["NULL","false","nullopt","nullptr","true"],built_in:["_Pragma"],_type_hints:v},O={className:"function.dispatch",relevance:0,keywords:{_hint:y},begin:i.concat(/\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!switch)/,/(?!while)/,t.IDENT_RE,i.lookahead(/(<[^<>]+>|)\s*\(/))},R=[O,m,d,s,t.C_BLOCK_COMMENT_MODE,f,_],S={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:x,contains:R.concat([{begin:/\(/,end:/\)/,keywords:x,contains:R.concat(["self"]),relevance:0}]),relevance:0},A={className:"function",begin:"("+l+"[\\*&\\s]+)+"+E,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:x,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:r,keywords:x,relevance:0},{begin:E,returnBegin:!0,contains:[h],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[_,f]},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:x,relevance:0,contains:[s,t.C_BLOCK_COMMENT_MODE,_,f,d,{begin:/\(/,end:/\)/,keywords:x,relevance:0,contains:["self",s,t.C_BLOCK_COMMENT_MODE,_,f,d]}]},d,s,t.C_BLOCK_COMMENT_MODE,m]};return{name:"C++",aliases:["cc","c++","h++","hpp","hh","hxx","cxx"],keywords:x,illegal:"</",classNameAliases:{"function.dispatch":"built_in"},contains:[].concat(S,A,O,R,[m,{begin:"\\b(deque|list|queue|priority_queue|pair|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array|tuple|optional|variant|function)\\s*<(?!<)",end:">",keywords:x,contains:["self",d]},{begin:t.IDENT_RE+"::",keywords:x},{match:[/\b(?:enum(?:\s+(?:class|struct))?|class|struct|union)/,/\s+/,/\w+/],className:{1:"keyword",3:"title.class"}}])}}function e(t){const i={type:["boolean","byte","word","String"],built_in:["KeyboardController","MouseController","SoftwareSerial","EthernetServer","EthernetClient","LiquidCrystal","RobotControl","GSMVoiceCall","EthernetUDP","EsploraTFT","HttpClient","RobotMotor","WiFiClient","GSMScanner","FileSystem","Scheduler","GSMServer","YunClient","YunServer","IPAddress","GSMClient","GSMModem","Keyboard","Ethernet","Console","GSMBand","Esplora","Stepper","Process","WiFiUDP","GSM_SMS","Mailbox","USBHost","Firmata","PImage","Client","Server","GSMPIN","FileIO","Bridge","Serial","EEPROM","Stream","Mouse","Audio","Servo","File","Task","GPRS","WiFi","Wire","TFT","GSM","SPI","SD"],_hints:["setup","loop","runShellCommandAsynchronously","analogWriteResolution","retrieveCallingNumber","printFirmwareVersion","analogReadResolution","sendDigitalPortPair","noListenOnLocalhost","readJoystickButton","setFirmwareVersion","readJoystickSwitch","scrollDisplayRight","getVoiceCallStatus","scrollDisplayLeft","writeMicroseconds","delayMicroseconds","beginTransmission","getSignalStrength","runAsynchronously","getAsynchronously","listenOnLocalhost","getCurrentCarrier","readAccelerometer","messageAvailable","sendDigitalPorts","lineFollowConfig","countryNameWrite","runShellCommand","readStringUntil","rewindDirectory","readTemperature","setClockDivider","readLightSensor","endTransmission","analogReference","detachInterrupt","countryNameRead","attachInterrupt","encryptionType","readBytesUntil","robotNameWrite","readMicrophone","robotNameRead","cityNameWrite","userNameWrite","readJoystickY","readJoystickX","mouseReleased","openNextFile","scanNetworks","noInterrupts","digitalWrite","beginSpeaker","mousePressed","isActionDone","mouseDragged","displayLogos","noAutoscroll","addParameter","remoteNumber","getModifiers","keyboardRead","userNameRead","waitContinue","processInput","parseCommand","printVersion","readNetworks","writeMessage","blinkVersion","cityNameRead","readMessage","setDataMode","parsePacket","isListening","setBitOrder","beginPacket","isDirectory","motorsWrite","drawCompass","digitalRead","clearScreen","serialEvent","rightToLeft","setTextSize","leftToRight","requestFrom","keyReleased","compassRead","analogWrite","interrupts","WiFiServer","disconnect","playMelody","parseFloat","autoscroll","getPINUsed","setPINUsed","setTimeout","sendAnalog","readSlider","analogRead","beginWrite","createChar","motorsStop","keyPressed","tempoWrite","readButton","subnetMask","debugPrint","macAddress","writeGreen","randomSeed","attachGPRS","readString","sendString","remotePort","releaseAll","mouseMoved","background","getXChange","getYChange","answerCall","getResult","voiceCall","endPacket","constrain","getSocket","writeJSON","getButton","available","connected","findUntil","readBytes","exitValue","readGreen","writeBlue","startLoop","IPAddress","isPressed","sendSysex","pauseMode","gatewayIP","setCursor","getOemKey","tuneWrite","noDisplay","loadImage","switchPIN","onRequest","onReceive","changePIN","playFile","noBuffer","parseInt","overflow","checkPIN","knobRead","beginTFT","bitClear","updateIR","bitWrite","position","writeRGB","highByte","writeRed","setSpeed","readBlue","noStroke","remoteIP","transfer","shutdown","hangCall","beginSMS","endWrite","attached","maintain","noCursor","checkReg","checkPUK","shiftOut","isValid","shiftIn","pulseIn","connect","println","localIP","pinMode","getIMEI","display","noBlink","process","getBand","running","beginSD","drawBMP","lowByte","setBand","release","bitRead","prepare","pointTo","readRed","setMode","noFill","remove","listen","stroke","detach","attach","noTone","exists","buffer","height","bitSet","circle","config","cursor","random","IRread","setDNS","endSMS","getKey","micros","millis","begin","print","write","ready","flush","width","isPIN","blink","clear","press","mkdir","rmdir","close","point","yield","image","BSSID","click","delay","read","text","move","peek","beep","rect","line","open","seek","fill","size","turn","stop","home","find","step","tone","sqrt","RSSI","SSID","end","bit","tan","cos","sin","pow","map","abs","max","min","get","run","put"],literal:["DIGITAL_MESSAGE","FIRMATA_STRING","ANALOG_MESSAGE","REPORT_DIGITAL","REPORT_ANALOG","INPUT_PULLUP","SET_PIN_MODE","INTERNAL2V56","SYSTEM_RESET","LED_BUILTIN","INTERNAL1V1","SYSEX_START","INTERNAL","EXTERNAL","DEFAULT","OUTPUT","INPUT","HIGH","LOW"]},s=n(t),r=s.keywords;return r.type=[...r.type,...i.type],r.literal=[...r.literal,...i.literal],r.built_in=[...r.built_in,...i.built_in],r._hints=i._hints,s.name="Arduino",s.aliases=["ino"],s.supersetOf="cpp",s}return c_=e,c_}var d_,$S;function hqe(){if($S)return d_;$S=1;function n(e){const t={variants:[e.COMMENT("^[ \\t]*(?=#)","$",{relevance:0,excludeBegin:!0}),e.COMMENT("[;@]","$",{relevance:0}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]};return{name:"ARM Assembly",case_insensitive:!0,aliases:["arm"],keywords:{$pattern:"\\.?"+e.IDENT_RE,meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND ",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 w0 w1 w2 w3 w4 w5 w6 w7 w8 w9 w10 w11 w12 w13 w14 w15 w16 w17 w18 w19 w20 w21 w22 w23 w24 w25 w26 w27 w28 w29 w30 x0 x1 x2 x3 x4 x5 x6 x7 x8 x9 x10 x11 x12 x13 x14 x15 x16 x17 x18 x19 x20 x21 x22 x23 x24 x25 x26 x27 x28 x29 x30 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 {PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @"},contains:[{className:"keyword",begin:"\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?(?=\\s)"},t,e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"[#$=]?0x[0-9a-f]+"},{begin:"[#$=]?0b[01]+"},{begin:"[#$=]\\d+"},{begin:"\\b\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^[ \\t]*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"^[a-z_\\.\\$][a-z0-9_\\.\\$]+"},{begin:"[=#]\\w+"}],relevance:0}]}}return d_=n,d_}var u_,WS;function fqe(){if(WS)return u_;WS=1;function n(e){const t=e.regex,i=t.concat(/[\p{L}_]/u,t.optional(/[\p{L}0-9_.-]*:/u),/[\p{L}0-9_.-]*/u),s=/[\p{L}0-9._:-]+/u,r={className:"symbol",begin:/&[a-z]+;|&#[0-9]+;|&#x[a-f0-9]+;/},o={begin:/\s/,contains:[{className:"keyword",begin:/#?[a-z_][a-z1-9_-]+/,illegal:/\n/}]},a=e.inherit(o,{begin:/\(/,end:/\)/}),l=e.inherit(e.APOS_STRING_MODE,{className:"string"}),d=e.inherit(e.QUOTE_STRING_MODE,{className:"string"}),c={endsWithParent:!0,illegal:/</,relevance:0,contains:[{className:"attr",begin:s,relevance:0},{begin:/=\s*/,relevance:0,contains:[{className:"string",endsParent:!0,variants:[{begin:/"/,end:/"/,contains:[r]},{begin:/'/,end:/'/,contains:[r]},{begin:/[^\s"'=<>`]+/}]}]}]};return{name:"HTML, XML",aliases:["html","xhtml","rss","atom","xjb","xsd","xsl","plist","wsf","svg"],case_insensitive:!0,unicodeRegex:!0,contains:[{className:"meta",begin:/<![a-z]/,end:/>/,relevance:10,contains:[o,d,l,a,{begin:/\[/,end:/\]/,contains:[{className:"meta",begin:/<![a-z]/,end:/>/,contains:[o,a,d,l]}]}]},e.COMMENT(/<!--/,/-->/,{relevance:10}),{begin:/<!\[CDATA\[/,end:/\]\]>/,relevance:10},r,{className:"meta",end:/\?>/,variants:[{begin:/<\?xml/,relevance:10,contains:[d]},{begin:/<\?[a-z][a-z0-9]+/}]},{className:"tag",begin:/<style(?=\s|>)/,end:/>/,keywords:{name:"style"},contains:[c],starts:{end:/<\/style>/,returnEnd:!0,subLanguage:["css","xml"]}},{className:"tag",begin:/<script(?=\s|>)/,end:/>/,keywords:{name:"script"},contains:[c],starts:{end:/<\/script>/,returnEnd:!0,subLanguage:["javascript","handlebars","xml"]}},{className:"tag",begin:/<>|<\/>/},{className:"tag",begin:t.concat(/</,t.lookahead(t.concat(i,t.either(/\/>/,/>/,/\s/)))),end:/\/?>/,contains:[{className:"name",begin:i,relevance:0,starts:c}]},{className:"tag",begin:t.concat(/<\//,t.lookahead(t.concat(i,/>/))),contains:[{className:"name",begin:i,relevance:0},{begin:/>/,relevance:0,endsParent:!0}]}]}}return u_=n,u_}var p_,KS;function mqe(){if(KS)return p_;KS=1;function n(e){const t=e.regex,i={begin:"^'{3,}[ \\t]*$",relevance:10},s=[{begin:/\\[*_`]/},{begin:/\\\\\*{2}[^\n]*?\*{2}/},{begin:/\\\\_{2}[^\n]*_{2}/},{begin:/\\\\`{2}[^\n]*`{2}/},{begin:/[:;}][*_`](?![*_`])/}],r=[{className:"strong",begin:/\*{2}([^\n]+?)\*{2}/},{className:"strong",begin:t.concat(/\*\*/,/((\*(?!\*)|\\[^\n]|[^*\n\\])+\n)+/,/(\*(?!\*)|\\[^\n]|[^*\n\\])*/,/\*\*/),relevance:0},{className:"strong",begin:/\B\*(\S|\S[^\n]*?\S)\*(?!\w)/},{className:"strong",begin:/\*[^\s]([^\n]+\n)+([^\n]+)\*/}],o=[{className:"emphasis",begin:/_{2}([^\n]+?)_{2}/},{className:"emphasis",begin:t.concat(/__/,/((_(?!_)|\\[^\n]|[^_\n\\])+\n)+/,/(_(?!_)|\\[^\n]|[^_\n\\])*/,/__/),relevance:0},{className:"emphasis",begin:/\b_(\S|\S[^\n]*?\S)_(?!\w)/},{className:"emphasis",begin:/_[^\s]([^\n]+\n)+([^\n]+)_/},{className:"emphasis",begin:"\\B'(?!['\\s])",end:"(\\n{2}|')",contains:[{begin:"\\\\'\\w",relevance:0}],relevance:0}],a={className:"symbol",begin:"^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\s+",relevance:10},l={className:"bullet",begin:"^(\\*+|-+|\\.+|[^\\n]+?::)\\s+"};return{name:"AsciiDoc",aliases:["adoc"],contains:[e.COMMENT("^/{4,}\\n","\\n/{4,}$",{relevance:10}),e.COMMENT("^//","$",{relevance:0}),{className:"title",begin:"^\\.\\w.*$"},{begin:"^[=\\*]{4,}\\n",end:"\\n^[=\\*]{4,}$",relevance:10},{className:"section",relevance:10,variants:[{begin:"^(={1,6})[ ].+?([ ]\\1)?$"},{begin:"^[^\\[\\]\\n]+?\\n[=\\-~\\^\\+]{2,}$"}]},{className:"meta",begin:"^:.+?:",end:"\\s",excludeEnd:!0,relevance:10},{className:"meta",begin:"^\\[.+?\\]$",relevance:0},{className:"quote",begin:"^_{4,}\\n",end:"\\n_{4,}$",relevance:10},{className:"code",begin:"^[\\-\\.]{4,}\\n",end:"\\n[\\-\\.]{4,}$",relevance:10},{begin:"^\\+{4,}\\n",end:"\\n\\+{4,}$",contains:[{begin:"<",end:">",subLanguage:"xml",relevance:0}],relevance:10},l,a,...s,...r,...o,{className:"string",variants:[{begin:"``.+?''"},{begin:"`.+?'"}]},{className:"code",begin:/`{2}/,end:/(\n{2}|`{2})/},{className:"code",begin:"(`.+?`|\\+.+?\\+)",relevance:0},{className:"code",begin:"^[ \\t]",end:"$",relevance:0},i,{begin:"(link:)?(http|https|ftp|file|irc|image:?):\\S+?\\[[^[]*?\\]",returnBegin:!0,contains:[{begin:"(link|image:?):",relevance:0},{className:"link",begin:"\\w",end:"[^\\[]+",relevance:0},{className:"string",begin:"\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0,relevance:0}],relevance:10}]}}return p_=n,p_}var __,jS;function gqe(){if(jS)return __;jS=1;function n(e){const t=e.regex,i=["false","synchronized","int","abstract","float","private","char","boolean","static","null","if","const","for","true","while","long","throw","strictfp","finally","protected","import","native","final","return","void","enum","else","extends","implements","break","transient","new","catch","instanceof","byte","super","volatile","case","assert","short","package","default","double","public","try","this","switch","continue","throws","privileged","aspectOf","adviceexecution","proceed","cflowbelow","cflow","initialization","preinitialization","staticinitialization","withincode","target","within","execution","getWithinTypeName","handler","thisJoinPoint","thisJoinPointStaticPart","thisEnclosingJoinPointStaticPart","declare","parents","warning","error","soft","precedence","thisAspectInstance"],s=["get","set","args","call"];return{name:"AspectJ",keywords:i,illegal:/<\/|#/,contains:[e.COMMENT(/\/\*\*/,/\*\//,{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:/@[A-Za-z]+/}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"class",beginKeywords:"aspect",end:/[{;=]/,excludeEnd:!0,illegal:/[:;"\[\]]/,contains:[{beginKeywords:"extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton"},e.UNDERSCORE_TITLE_MODE,{begin:/\([^\)]*/,end:/[)]+/,keywords:i.concat(s),excludeEnd:!1}]},{className:"class",beginKeywords:"class interface",end:/[{;=]/,excludeEnd:!0,relevance:0,keywords:"class interface",illegal:/[:"\[\]]/,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"pointcut after before around throwing returning",end:/[)]/,excludeEnd:!1,illegal:/["\[\]]/,contains:[{begin:t.concat(e.UNDERSCORE_IDENT_RE,/\s*\(/),returnBegin:!0,contains:[e.UNDERSCORE_TITLE_MODE]}]},{begin:/[:]/,returnBegin:!0,end:/[{;]/,relevance:0,excludeEnd:!1,keywords:i,illegal:/["\[\]]/,contains:[{begin:t.concat(e.UNDERSCORE_IDENT_RE,/\s*\(/),keywords:i.concat(s),relevance:0},e.QUOTE_STRING_MODE]},{beginKeywords:"new throw",relevance:0},{className:"function",begin:/\w+ +\w+(\.\w+)?\s*\([^\)]*\)\s*((throws)[\w\s,]+)?[\{;]/,returnBegin:!0,end:/[{;=]/,keywords:i,excludeEnd:!0,contains:[{begin:t.concat(e.UNDERSCORE_IDENT_RE,/\s*\(/),returnBegin:!0,relevance:0,contains:[e.UNDERSCORE_TITLE_MODE]},{className:"params",begin:/\(/,end:/\)/,relevance:0,keywords:i,contains:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:/@[A-Za-z]+/}]}}return __=n,__}var h_,QS;function bqe(){if(QS)return h_;QS=1;function n(e){const t={begin:"`[\\s\\S]"};return{name:"AutoHotkey",case_insensitive:!0,aliases:["ahk"],keywords:{keyword:"Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group",literal:"true false NOT AND OR",built_in:"ComSpec Clipboard ClipboardAll ErrorLevel"},contains:[t,e.inherit(e.QUOTE_STRING_MODE,{contains:[t]}),e.COMMENT(";","$",{relevance:0}),e.C_BLOCK_COMMENT_MODE,{className:"number",begin:e.NUMBER_RE,relevance:0},{className:"variable",begin:"%[a-zA-Z0-9#_$@]+%"},{className:"built_in",begin:"^\\s*\\w+\\s*(,|%)"},{className:"title",variants:[{begin:'^[^\\n";]+::(?!=)'},{begin:'^[^\\n";]+:(?!=)',relevance:0}]},{className:"meta",begin:"^\\s*#\\w+",end:"$",relevance:0},{className:"built_in",begin:"A_[a-zA-Z0-9]+"},{begin:",\\s*,"}]}}return h_=n,h_}var f_,XS;function Eqe(){if(XS)return f_;XS=1;function n(e){const t="ByRef Case Const ContinueCase ContinueLoop Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With",i=["EndRegion","forcedef","forceref","ignorefunc","include","include-once","NoTrayIcon","OnAutoItStartRegister","pragma","Region","RequireAdmin","Tidy_Off","Tidy_On","Tidy_Parameters"],s="True False And Null Not Or Default",r="Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait WinWaitActive WinWaitClose WinWaitNotActive",o={variants:[e.COMMENT(";","$",{relevance:0}),e.COMMENT("#cs","#ce"),e.COMMENT("#comments-start","#comments-end")]},a={begin:"\\$[A-z0-9_]+"},l={className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},d={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]},c={className:"meta",begin:"#",end:"$",keywords:{keyword:i},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",keywords:{keyword:"include"},end:"$",contains:[l,{className:"string",variants:[{begin:"<",end:">"},{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]}]},l,o]},_={className:"symbol",begin:"@[A-z0-9_]+"},f={beginKeywords:"Func",end:"$",illegal:"\\$|\\[|%",contains:[e.inherit(e.UNDERSCORE_TITLE_MODE,{className:"title.function"}),{className:"params",begin:"\\(",end:"\\)",contains:[a,l,d]}]};return{name:"AutoIt",case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:t,built_in:r,literal:s},contains:[o,a,l,d,c,_,f]}}return f_=n,f_}var m_,ZS;function vqe(){if(ZS)return m_;ZS=1;function n(e){return{name:"AVR Assembly",case_insensitive:!0,keywords:{$pattern:"\\.?"+e.IDENT_RE,keyword:"adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub subi swap tst wdr",built_in:"r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf",meta:".byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set"},contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT(";","$",{relevance:0}),e.C_NUMBER_MODE,e.BINARY_NUMBER_MODE,{className:"number",begin:"\\b(\\$[a-zA-Z0-9]+|0o[0-7]+)"},e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",illegal:"[^\\\\][^']"},{className:"symbol",begin:"^[A-Za-z0-9_.$]+:"},{className:"meta",begin:"#",end:"$"},{className:"subst",begin:"@[0-9]+"}]}}return m_=n,m_}var g_,JS;function yqe(){if(JS)return g_;JS=1;function n(e){const t={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)\}/}]},i="BEGIN END if else while do for in break continue delete next nextfile function func exit|10",s={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/(u|b)?r?'''/,end:/'''/,relevance:10},{begin:/(u|b)?r?"""/,end:/"""/,relevance:10},{begin:/(u|r|ur)'/,end:/'/,relevance:10},{begin:/(u|r|ur)"/,end:/"/,relevance:10},{begin:/(b|br)'/,end:/'/},{begin:/(b|br)"/,end:/"/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]};return{name:"Awk",keywords:{keyword:i},contains:[t,s,e.REGEXP_MODE,e.HASH_COMMENT_MODE,e.NUMBER_MODE]}}return g_=n,g_}var b_,e0;function Sqe(){if(e0)return b_;e0=1;function n(e){const t=e.UNDERSCORE_IDENT_RE,o={keyword:["abstract","as","asc","avg","break","breakpoint","by","byref","case","catch","changecompany","class","client","client","common","const","continue","count","crosscompany","delegate","delete_from","desc","display","div","do","edit","else","eventhandler","exists","extends","final","finally","firstfast","firstonly","firstonly1","firstonly10","firstonly100","firstonly1000","flush","for","forceliterals","forcenestedloop","forceplaceholders","forceselectorder","forupdate","from","generateonly","group","hint","if","implements","in","index","insert_recordset","interface","internal","is","join","like","maxof","minof","mod","namespace","new","next","nofetch","notexists","optimisticlock","order","outer","pessimisticlock","print","private","protected","public","readonly","repeatableread","retry","return","reverse","select","server","setting","static","sum","super","switch","this","throw","try","ttsabort","ttsbegin","ttscommit","unchecked","update_recordset","using","validtimestate","void","where","while"],built_in:["anytype","boolean","byte","char","container","date","double","enum","guid","int","int64","long","real","short","str","utcdatetime","var"],literal:["default","false","null","true"]},a={variants:[{match:[/(class|interface)\s+/,t,/\s+(extends|implements)\s+/,t]},{match:[/class\s+/,t]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:o};return{name:"X++",aliases:["x++"],keywords:o,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},a]}}return b_=n,b_}var E_,t0;function Tqe(){if(t0)return E_;t0=1;function n(e){const t=e.regex,i={},s={begin:/\$\{/,end:/\}/,contains:["self",{begin:/:-/,contains:[i]}]};Object.assign(i,{className:"variable",variants:[{begin:t.concat(/\$[\w\d#@][\w\d_]*/,"(?![\\w\\d])(?![$])")},s]});const r={className:"subst",begin:/\$\(/,end:/\)/,contains:[e.BACKSLASH_ESCAPE]},o={begin:/<<-?\s*(?=\w+)/,starts:{contains:[e.END_SAME_AS_BEGIN({begin:/(\w+)/,end:/(\w+)/,className:"string"})]}},a={className:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,i,r]};r.contains.push(a);const l={match:/\\"/},d={className:"string",begin:/'/,end:/'/},c={match:/\\'/},_={begin:/\$?\(\(/,end:/\)\)/,contains:[{begin:/\d+#[0-9a-f]+/,className:"number"},e.NUMBER_MODE,i]},f=["fish","bash","zsh","sh","csh","ksh","tcsh","dash","scsh"],m=e.SHEBANG({binary:`(${f.join("|")})`,relevance:10}),h={className:"function",begin:/\w[\w\d_]*\s*\(\s*\)\s*\{/,returnBegin:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/\w[\w\d_]*/})],relevance:0},E=["if","then","else","elif","fi","for","while","until","in","do","done","case","esac","function","select"],b=["true","false"],g={match:/(\/[a-z._-]+)+/},v=["break","cd","continue","eval","exec","exit","export","getopts","hash","pwd","readonly","return","shift","test","times","trap","umask","unset"],y=["alias","bind","builtin","caller","command","declare","echo","enable","help","let","local","logout","mapfile","printf","read","readarray","source","type","typeset","ulimit","unalias"],T=["autoload","bg","bindkey","bye","cap","chdir","clone","comparguments","compcall","compctl","compdescribe","compfiles","compgroups","compquote","comptags","comptry","compvalues","dirs","disable","disown","echotc","echoti","emulate","fc","fg","float","functions","getcap","getln","history","integer","jobs","kill","limit","log","noglob","popd","print","pushd","pushln","rehash","sched","setcap","setopt","stat","suspend","ttyctl","unfunction","unhash","unlimit","unsetopt","vared","wait","whence","where","which","zcompile","zformat","zftp","zle","zmodload","zparseopts","zprof","zpty","zregexparse","zsocket","zstyle","ztcp"],C=["chcon","chgrp","chown","chmod","cp","dd","df","dir","dircolors","ln","ls","mkdir","mkfifo","mknod","mktemp","mv","realpath","rm","rmdir","shred","sync","touch","truncate","vdir","b2sum","base32","base64","cat","cksum","comm","csplit","cut","expand","fmt","fold","head","join","md5sum","nl","numfmt","od","paste","ptx","pr","sha1sum","sha224sum","sha256sum","sha384sum","sha512sum","shuf","sort","split","sum","tac","tail","tr","tsort","unexpand","uniq","wc","arch","basename","chroot","date","dirname","du","echo","env","expr","factor","groups","hostid","id","link","logname","nice","nohup","nproc","pathchk","pinky","printenv","printf","pwd","readlink","runcon","seq","sleep","stat","stdbuf","stty","tee","test","timeout","tty","uname","unlink","uptime","users","who","whoami","yes"];return{name:"Bash",aliases:["sh"],keywords:{$pattern:/\b[a-z][a-z0-9._-]+\b/,keyword:E,literal:b,built_in:[...v,...y,"set","shopt",...T,...C]},contains:[m,e.SHEBANG(),h,_,e.HASH_COMMENT_MODE,o,g,a,l,d,c,i]}}return E_=n,E_}var v_,n0;function xqe(){if(n0)return v_;n0=1;function n(e){return{name:"BASIC",case_insensitive:!0,illegal:"^.",keywords:{$pattern:"[a-zA-Z][a-zA-Z0-9_$%!#]*",keyword:["ABS","ASC","AND","ATN","AUTO|0","BEEP","BLOAD|10","BSAVE|10","CALL","CALLS","CDBL","CHAIN","CHDIR","CHR$|10","CINT","CIRCLE","CLEAR","CLOSE","CLS","COLOR","COM","COMMON","CONT","COS","CSNG","CSRLIN","CVD","CVI","CVS","DATA","DATE$","DEFDBL","DEFINT","DEFSNG","DEFSTR","DEF|0","SEG","USR","DELETE","DIM","DRAW","EDIT","END","ENVIRON","ENVIRON$","EOF","EQV","ERASE","ERDEV","ERDEV$","ERL","ERR","ERROR","EXP","FIELD","FILES","FIX","FOR|0","FRE","GET","GOSUB|10","GOTO","HEX$","IF","THEN","ELSE|0","INKEY$","INP","INPUT","INPUT#","INPUT$","INSTR","IMP","INT","IOCTL","IOCTL$","KEY","ON","OFF","LIST","KILL","LEFT$","LEN","LET","LINE","LLIST","LOAD","LOC","LOCATE","LOF","LOG","LPRINT","USING","LSET","MERGE","MID$","MKDIR","MKD$","MKI$","MKS$","MOD","NAME","NEW","NEXT","NOISE","NOT","OCT$","ON","OR","PEN","PLAY","STRIG","OPEN","OPTION","BASE","OUT","PAINT","PALETTE","PCOPY","PEEK","PMAP","POINT","POKE","POS","PRINT","PRINT]","PSET","PRESET","PUT","RANDOMIZE","READ","REM","RENUM","RESET|0","RESTORE","RESUME","RETURN|0","RIGHT$","RMDIR","RND","RSET","RUN","SAVE","SCREEN","SGN","SHELL","SIN","SOUND","SPACE$","SPC","SQR","STEP","STICK","STOP","STR$","STRING$","SWAP","SYSTEM","TAB","TAN","TIME$","TIMER","TROFF","TRON","TO","USR","VAL","VARPTR","VARPTR$","VIEW","WAIT","WHILE","WEND","WIDTH","WINDOW","WRITE","XOR"]},contains:[e.QUOTE_STRING_MODE,e.COMMENT("REM","$",{relevance:10}),e.COMMENT("'","$",{relevance:0}),{className:"symbol",begin:"^[0-9]+ ",relevance:10},{className:"number",begin:"\\b\\d+(\\.\\d+)?([edED]\\d+)?[#!]?",relevance:0},{className:"number",begin:"(&[hH][0-9a-fA-F]{1,4})"},{className:"number",begin:"(&[oO][0-7]{1,6})"}]}}return v_=n,v_}var y_,i0;function Cqe(){if(i0)return y_;i0=1;function n(e){return{name:"BackusNaur Form",contains:[{className:"attribute",begin:/</,end:/>/},{begin:/::=/,end:/$/,contains:[{begin:/</,end:/>/},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]}]}}return y_=n,y_}var S_,s0;function Rqe(){if(s0)return S_;s0=1;function n(e){const t={className:"literal",begin:/[+-]+/,relevance:0};return{name:"Brainfuck",aliases:["bf"],contains:[e.COMMENT(/[^\[\]\.,\+\-<> \r\n]/,/[\[\]\.,\+\-<> \r\n]/,{contains:[{match:/[ ]+[^\[\]\.,\+\-<> \r\n]/,relevance:0}],returnEnd:!0,relevance:0}),{className:"title",begin:"[\\[\\]]",relevance:0},{className:"string",begin:"[\\.,]",relevance:0},{begin:/(?=\+\+|--)/,contains:[t]},t]}}return S_=n,S_}var T_,r0;function Aqe(){if(r0)return T_;r0=1;function n(e){const t=e.regex,i=e.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),s="decltype\\(auto\\)",r="[a-zA-Z_]\\w*::",o="<[^<>]+>",a="("+s+"|"+t.optional(r)+"[a-zA-Z_]\\w*"+t.optional(o)+")",l={className:"type",variants:[{begin:"\\b[a-z\\d_]*_t\\b"},{match:/\batomic_[a-z]{3,6}\b/}]},d="\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)",c={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+d+"|.)",end:"'",illegal:"."},e.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},_={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},f={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(c,{className:"string"}),{className:"string",begin:/<.*?>/},i,e.C_BLOCK_COMMENT_MODE]},m={className:"title",begin:t.optional(r)+e.IDENT_RE,relevance:0},h=t.optional(r)+e.IDENT_RE+"\\s*\\(",g={keyword:["asm","auto","break","case","continue","default","do","else","enum","extern","for","fortran","goto","if","inline","register","restrict","return","sizeof","struct","switch","typedef","union","volatile","while","_Alignas","_Alignof","_Atomic","_Generic","_Noreturn","_Static_assert","_Thread_local","alignas","alignof","noreturn","static_assert","thread_local","_Pragma"],type:["float","double","signed","unsigned","int","short","long","char","void","_Bool","_Complex","_Imaginary","_Decimal32","_Decimal64","_Decimal128","const","static","complex","bool","imaginary"],literal:"true false NULL",built_in:"std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set pair bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap priority_queue make_pair array shared_ptr abort terminate abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf endl initializer_list unique_ptr"},v=[f,l,i,e.C_BLOCK_COMMENT_MODE,_,c],y={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:g,contains:v.concat([{begin:/\(/,end:/\)/,keywords:g,contains:v.concat(["self"]),relevance:0}]),relevance:0},T={begin:"("+a+"[\\*&\\s]+)+"+h,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:g,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:s,keywords:g,relevance:0},{begin:h,returnBegin:!0,contains:[e.inherit(m,{className:"title.function"})],relevance:0},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:g,relevance:0,contains:[i,e.C_BLOCK_COMMENT_MODE,c,_,l,{begin:/\(/,end:/\)/,keywords:g,relevance:0,contains:["self",i,e.C_BLOCK_COMMENT_MODE,c,_,l]}]},l,i,e.C_BLOCK_COMMENT_MODE,f]};return{name:"C",aliases:["h"],keywords:g,disableAutodetect:!0,illegal:"</",contains:[].concat(y,T,v,[f,{begin:e.IDENT_RE+"::",keywords:g},{className:"class",beginKeywords:"enum class struct union",end:/[{;:<>=]/,contains:[{beginKeywords:"final class struct"},e.TITLE_MODE]}]),exports:{preprocessor:f,strings:c,keywords:g}}}return T_=n,T_}var x_,o0;function wqe(){if(o0)return x_;o0=1;function n(e){const t=e.regex,i=["div","mod","in","and","or","not","xor","asserterror","begin","case","do","downto","else","end","exit","for","local","if","of","repeat","then","to","until","while","with","var"],s="false true",r=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\{/,/\}/,{relevance:0}),e.COMMENT(/\(\*/,/\*\)/,{relevance:10})],o={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},a={className:"string",begin:/(#\d+)+/},l={className:"number",begin:"\\b\\d+(\\.\\d+)?(DT|D|T)",relevance:0},d={className:"string",begin:'"',end:'"'},c={match:[/procedure/,/\s+/,/[a-zA-Z_][\w@]*/,/\s*/],scope:{1:"keyword",3:"title.function"},contains:[{className:"params",begin:/\(/,end:/\)/,keywords:i,contains:[o,a,e.NUMBER_MODE]},...r]},_=["Table","Form","Report","Dataport","Codeunit","XMLport","MenuSuite","Page","Query"],f={match:[/OBJECT/,/\s+/,t.either(..._),/\s+/,/\d+/,/\s+(?=[^\s])/,/.*/,/$/],relevance:3,scope:{1:"keyword",3:"type",5:"number",7:"title"}};return{name:"C/AL",case_insensitive:!0,keywords:{keyword:i,literal:s},illegal:/\/\*/,contains:[{match:/[\w]+(?=\=)/,scope:"attribute",relevance:0},o,a,l,d,e.NUMBER_MODE,f,c]}}return x_=n,x_}var C_,a0;function Nqe(){if(a0)return C_;a0=1;function n(e){const t=["struct","enum","interface","union","group","import","using","const","annotation","extends","in","of","on","as","with","from","fixed"],i=["Void","Bool","Int8","Int16","Int32","Int64","UInt8","UInt16","UInt32","UInt64","Float32","Float64","Text","Data","AnyPointer","AnyStruct","Capability","List"],s=["true","false"],r={variants:[{match:[/(struct|enum|interface)/,/\s+/,e.IDENT_RE]},{match:[/extends/,/\s*\(/,e.IDENT_RE,/\s*\)/]}],scope:{1:"keyword",3:"title.class"}};return{name:"Capn Proto",aliases:["capnp"],keywords:{keyword:t,type:i,literal:s},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.HASH_COMMENT_MODE,{className:"meta",begin:/@0x[\w\d]{16};/,illegal:/\n/},{className:"symbol",begin:/@\d+\b/},r]}}return C_=n,C_}var R_,l0;function Oqe(){if(l0)return R_;l0=1;function n(e){const t=["assembly","module","package","import","alias","class","interface","object","given","value","assign","void","function","new","of","extends","satisfies","abstracts","in","out","return","break","continue","throw","assert","dynamic","if","else","switch","case","for","while","try","catch","finally","then","let","this","outer","super","is","exists","nonempty"],i=["shared","abstract","formal","default","actual","variable","late","native","deprecated","final","sealed","annotation","suppressWarnings","small"],s=["doc","by","license","see","throws","tagged"],r={className:"subst",excludeBegin:!0,excludeEnd:!0,begin:/``/,end:/``/,keywords:t,relevance:10},o=[{className:"string",begin:'"""',end:'"""',relevance:10},{className:"string",begin:'"',end:'"',contains:[r]},{className:"string",begin:"'",end:"'"},{className:"number",begin:"#[0-9a-fA-F_]+|\\$[01_]+|[0-9_]+(?:\\.[0-9_](?:[eE][+-]?\\d+)?)?[kMGTPmunpf]?",relevance:0}];return r.contains=o,{name:"Ceylon",keywords:{keyword:t.concat(i),meta:s},illegal:"\\$[^01]|#[^0-9a-fA-F]",contains:[e.C_LINE_COMMENT_MODE,e.COMMENT("/\\*","\\*/",{contains:["self"]}),{className:"meta",begin:'@[a-z]\\w*(?::"[^"]*")?'}].concat(o)}}return R_=n,R_}var A_,c0;function Iqe(){if(c0)return A_;c0=1;function n(e){return{name:"Clean",aliases:["icl","dcl"],keywords:{keyword:["if","let","in","with","where","case","of","class","instance","otherwise","implementation","definition","system","module","from","import","qualified","as","special","code","inline","foreign","export","ccall","stdcall","generic","derive","infix","infixl","infixr"],built_in:"Int Real Char Bool",literal:"True False"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{begin:"->|<-[|:]?|#!?|>>=|\\{\\||\\|\\}|:==|=:|<>"}]}}return A_=n,A_}var w_,d0;function Mqe(){if(d0)return w_;d0=1;function n(e){const t="a-zA-Z_\\-!.?+*=<>&'",i="[#]?["+t+"]["+t+"0-9/;:$#]*",s="def defonce defprotocol defstruct defmulti defmethod defn- defn defmacro deftype defrecord",r={$pattern:i,built_in:s+" cond apply if-not if-let if not not= =|0 <|0 >|0 <=|0 >=|0 ==|0 +|0 /|0 *|0 -|0 rem quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last drop-while while intern condp case reduced cycle split-at split-with repeat replicate iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter monitor-exit macroexpand macroexpand-1 for dosync and or when when-not when-let comp juxt partial sequence memoize constantly complement identity assert peek pop doto proxy first rest cons cast coll last butlast sigs reify second ffirst fnext nfirst nnext meta with-meta ns in-ns create-ns import refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize"},o={begin:i,relevance:0},a={scope:"number",relevance:0,variants:[{match:/[-+]?0[xX][0-9a-fA-F]+N?/},{match:/[-+]?0[0-7]+N?/},{match:/[-+]?[1-9][0-9]?[rR][0-9a-zA-Z]+N?/},{match:/[-+]?[0-9]+\/[0-9]+N?/},{match:/[-+]?[0-9]+((\.[0-9]*([eE][+-]?[0-9]+)?M?)|([eE][+-]?[0-9]+M?|M))/},{match:/[-+]?([1-9][0-9]*|0)N?/}]},l={scope:"character",variants:[{match:/\\o[0-3]?[0-7]{1,2}/},{match:/\\u[0-9a-fA-F]{4}/},{match:/\\(newline|space|tab|formfeed|backspace|return)/},{match:/\\\S/,relevance:0}]},d={scope:"regex",begin:/#"/,end:/"/,contains:[e.BACKSLASH_ESCAPE]},c=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),_={scope:"punctuation",match:/,/,relevance:0},f=e.COMMENT(";","$",{relevance:0}),m={className:"literal",begin:/\b(true|false|nil)\b/},h={begin:"\\[|(#::?"+i+")?\\{",end:"[\\]\\}]",relevance:0},E={className:"symbol",begin:"[:]{1,2}"+i},b={begin:"\\(",end:"\\)"},g={endsWithParent:!0,relevance:0},v={keywords:r,className:"name",begin:i,relevance:0,starts:g},y=[_,b,l,d,c,f,E,h,a,m,o],T={beginKeywords:s,keywords:{$pattern:i,keyword:s},end:'(\\[|#|\\d|"|:|\\{|\\)|\\(|$)',contains:[{className:"title",begin:i,relevance:0,excludeEnd:!0,endsParent:!0}].concat(y)};return b.contains=[T,v,g],g.contains=y,h.contains=y,{name:"Clojure",aliases:["clj","edn"],illegal:/\S/,contains:[_,b,l,d,c,f,E,h,a,m]}}return w_=n,w_}var N_,u0;function Dqe(){if(u0)return N_;u0=1;function n(e){return{name:"Clojure REPL",contains:[{className:"meta.prompt",begin:/^([\w.-]+|\s*#_)?=>/,starts:{end:/$/,subLanguage:"clojure"}}]}}return N_=n,N_}var O_,p0;function kqe(){if(p0)return O_;p0=1;function n(e){return{name:"CMake",aliases:["cmake.in"],case_insensitive:!0,keywords:{keyword:"break cmake_host_system_information cmake_minimum_required cmake_parse_arguments cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro endwhile execute_process file find_file find_library find_package find_path find_program foreach function get_cmake_property get_directory_property get_filename_component get_property if include include_guard list macro mark_as_advanced math message option return separate_arguments set_directory_properties set_property set site_name string unset variable_watch while add_compile_definitions add_compile_options add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_link_options add_subdirectory add_test aux_source_directory build_command create_test_sourcelist define_property enable_language enable_testing export fltk_wrap_ui get_source_file_property get_target_property get_test_property include_directories include_external_msproject include_regular_expression install link_directories link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions set_source_files_properties set_target_properties set_tests_properties source_group target_compile_definitions target_compile_features target_compile_options target_include_directories target_link_directories target_link_libraries target_link_options target_sources try_compile try_run ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ctest_test ctest_update ctest_upload build_name exec_program export_library_dependencies install_files install_programs install_targets load_command make_directory output_required_files remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or not command policy target test exists is_newer_than is_directory is_symlink is_absolute matches less greater equal less_equal greater_equal strless strgreater strequal strless_equal strgreater_equal version_less version_greater version_equal version_less_equal version_greater_equal in_list defined"},contains:[{className:"variable",begin:/\$\{/,end:/\}/},e.COMMENT(/#\[\[/,/]]/),e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE]}}return O_=n,O_}var I_,_0;function Lqe(){if(_0)return I_;_0=1;const n=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],e=["true","false","null","undefined","NaN","Infinity"],t=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],i=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],s=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],r=[].concat(s,t,i);function o(a){const l=["npm","print"],d=["yes","no","on","off"],c=["then","unless","until","loop","by","when","and","or","is","isnt","not"],_=["var","const","let","function","static"],f=C=>x=>!C.includes(x),m={keyword:n.concat(c).filter(f(_)),literal:e.concat(d),built_in:r.concat(l)},h="[A-Za-z$_][0-9A-Za-z$_]*",E={className:"subst",begin:/#\{/,end:/\}/,keywords:m},b=[a.BINARY_NUMBER_MODE,a.inherit(a.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[a.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[a.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[a.BACKSLASH_ESCAPE,E]},{begin:/"/,end:/"/,contains:[a.BACKSLASH_ESCAPE,E]}]},{className:"regexp",variants:[{begin:"///",end:"///",contains:[E,a.HASH_COMMENT_MODE]},{begin:"//[gim]{0,3}(?=\\W)",relevance:0},{begin:/\/(?![ *]).*?(?![\\]).\/[gim]{0,3}(?=\W)/}]},{begin:"@"+h},{subLanguage:"javascript",excludeBegin:!0,excludeEnd:!0,variants:[{begin:"```",end:"```"},{begin:"`",end:"`"}]}];E.contains=b;const g=a.inherit(a.TITLE_MODE,{begin:h}),v="(\\(.*\\)\\s*)?\\B[-=]>",y={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:m,contains:["self"].concat(b)}]},T={variants:[{match:[/class\s+/,h,/\s+extends\s+/,h]},{match:[/class\s+/,h]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:m};return{name:"CoffeeScript",aliases:["coffee","cson","iced"],keywords:m,illegal:/\/\*/,contains:[...b,a.COMMENT("###","###"),a.HASH_COMMENT_MODE,{className:"function",begin:"^\\s*"+h+"\\s*=\\s*"+v,end:"[-=]>",returnBegin:!0,contains:[g,y]},{begin:/[:\(,=]\s*/,relevance:0,contains:[{className:"function",begin:v,end:"[-=]>",returnBegin:!0,contains:[y]}]},T,{begin:h+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}]}}return I_=o,I_}var M_,h0;function Pqe(){if(h0)return M_;h0=1;function n(e){return{name:"Coq",keywords:{keyword:["_|0","as","at","cofix","else","end","exists","exists2","fix","for","forall","fun","if","IF","in","let","match","mod","Prop","return","Set","then","Type","using","where","with","Abort","About","Add","Admit","Admitted","All","Arguments","Assumptions","Axiom","Back","BackTo","Backtrack","Bind","Blacklist","Canonical","Cd","Check","Class","Classes","Close","Coercion","Coercions","CoFixpoint","CoInductive","Collection","Combined","Compute","Conjecture","Conjectures","Constant","constr","Constraint","Constructors","Context","Corollary","CreateHintDb","Cut","Declare","Defined","Definition","Delimit","Dependencies","Dependent","Derive","Drop","eauto","End","Equality","Eval","Example","Existential","Existentials","Existing","Export","exporting","Extern","Extract","Extraction","Fact","Field","Fields","File","Fixpoint","Focus","for","From","Function","Functional","Generalizable","Global","Goal","Grab","Grammar","Graph","Guarded","Heap","Hint","HintDb","Hints","Hypotheses","Hypothesis","ident","Identity","If","Immediate","Implicit","Import","Include","Inductive","Infix","Info","Initial","Inline","Inspect","Instance","Instances","Intro","Intros","Inversion","Inversion_clear","Language","Left","Lemma","Let","Libraries","Library","Load","LoadPath","Local","Locate","Ltac","ML","Mode","Module","Modules","Monomorphic","Morphism","Next","NoInline","Notation","Obligation","Obligations","Opaque","Open","Optimize","Options","Parameter","Parameters","Parametric","Path","Paths","pattern","Polymorphic","Preterm","Print","Printing","Program","Projections","Proof","Proposition","Pwd","Qed","Quit","Rec","Record","Recursive","Redirect","Relation","Remark","Remove","Require","Reserved","Reset","Resolve","Restart","Rewrite","Right","Ring","Rings","Save","Scheme","Scope","Scopes","Script","Search","SearchAbout","SearchHead","SearchPattern","SearchRewrite","Section","Separate","Set","Setoid","Show","Solve","Sorted","Step","Strategies","Strategy","Structure","SubClass","Table","Tables","Tactic","Term","Test","Theorem","Time","Timeout","Transparent","Type","Typeclasses","Types","Undelimit","Undo","Unfocus","Unfocused","Unfold","Universe","Universes","Unset","Unshelve","using","Variable","Variables","Variant","Verbose","Visibility","where","with"],built_in:["abstract","absurd","admit","after","apply","as","assert","assumption","at","auto","autorewrite","autounfold","before","bottom","btauto","by","case","case_eq","cbn","cbv","change","classical_left","classical_right","clear","clearbody","cofix","compare","compute","congruence","constr_eq","constructor","contradict","contradiction","cut","cutrewrite","cycle","decide","decompose","dependent","destruct","destruction","dintuition","discriminate","discrR","do","double","dtauto","eapply","eassumption","eauto","ecase","econstructor","edestruct","ediscriminate","eelim","eexact","eexists","einduction","einjection","eleft","elim","elimtype","enough","equality","erewrite","eright","esimplify_eq","esplit","evar","exact","exactly_once","exfalso","exists","f_equal","fail","field","field_simplify","field_simplify_eq","first","firstorder","fix","fold","fourier","functional","generalize","generalizing","gfail","give_up","has_evar","hnf","idtac","in","induction","injection","instantiate","intro","intro_pattern","intros","intuition","inversion","inversion_clear","is_evar","is_var","lapply","lazy","left","lia","lra","move","native_compute","nia","nsatz","omega","once","pattern","pose","progress","proof","psatz","quote","record","red","refine","reflexivity","remember","rename","repeat","replace","revert","revgoals","rewrite","rewrite_strat","right","ring","ring_simplify","rtauto","set","setoid_reflexivity","setoid_replace","setoid_rewrite","setoid_symmetry","setoid_transitivity","shelve","shelve_unifiable","simpl","simple","simplify_eq","solve","specialize","split","split_Rabs","split_Rmult","stepl","stepr","subst","sum","swap","symmetry","tactic","tauto","time","timeout","top","transitivity","trivial","try","tryif","unfold","unify","until","using","vm_compute","with"]},contains:[e.QUOTE_STRING_MODE,e.COMMENT("\\(\\*","\\*\\)"),e.C_NUMBER_MODE,{className:"type",excludeBegin:!0,begin:"\\|\\s*",end:"\\w+"},{begin:/[-=]>/}]}}return M_=n,M_}var D_,f0;function Uqe(){if(f0)return D_;f0=1;function n(e){return{name:"Caché Object Script",case_insensitive:!0,aliases:["cls"],keywords:"property parameter class classmethod clientmethod extends as break catch close continue do d|0 else elseif for goto halt hang h|0 if job j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 tcommit throw trollback try tstart use view while write w|0 xecute x|0 zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit zsync ascii",contains:[{className:"number",begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)",relevance:0},{className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"comment",begin:/;/,end:"$",relevance:0},{className:"built_in",begin:/(?:\$\$?|\.\.)\^?[a-zA-Z]+/},{className:"built_in",begin:/\$\$\$[a-zA-Z]+/},{className:"built_in",begin:/%[a-z]+(?:\.[a-z]+)*/},{className:"symbol",begin:/\^%?[a-zA-Z][\w]*/},{className:"keyword",begin:/##class|##super|#define|#dim/},{begin:/&sql\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,subLanguage:"sql"},{begin:/&(js|jscript|javascript)</,end:/>/,excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"},{begin:/&html<\s*</,end:/>\s*>/,subLanguage:"xml"}]}}return D_=n,D_}var k_,m0;function Fqe(){if(m0)return k_;m0=1;function n(e){const t=e.regex,i=e.COMMENT("//","$",{contains:[{begin:/\\\n/}]}),s="decltype\\(auto\\)",r="[a-zA-Z_]\\w*::",o="<[^<>]+>",a="(?!struct)("+s+"|"+t.optional(r)+"[a-zA-Z_]\\w*"+t.optional(o)+")",l={className:"type",begin:"\\b[a-z\\d_]*_t\\b"},d="\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)",c={className:"string",variants:[{begin:'(u8?|U|L)?"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:"(u8?|U|L)?'("+d+"|.)",end:"'",illegal:"."},e.END_SAME_AS_BEGIN({begin:/(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,end:/\)([^()\\ ]{0,16})"/})]},_={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},f={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(c,{className:"string"}),{className:"string",begin:/<.*?>/},i,e.C_BLOCK_COMMENT_MODE]},m={className:"title",begin:t.optional(r)+e.IDENT_RE,relevance:0},h=t.optional(r)+e.IDENT_RE+"\\s*\\(",E=["alignas","alignof","and","and_eq","asm","atomic_cancel","atomic_commit","atomic_noexcept","auto","bitand","bitor","break","case","catch","class","co_await","co_return","co_yield","compl","concept","const_cast|10","consteval","constexpr","constinit","continue","decltype","default","delete","do","dynamic_cast|10","else","enum","explicit","export","extern","false","final","for","friend","goto","if","import","inline","module","mutable","namespace","new","noexcept","not","not_eq","nullptr","operator","or","or_eq","override","private","protected","public","reflexpr","register","reinterpret_cast|10","requires","return","sizeof","static_assert","static_cast|10","struct","switch","synchronized","template","this","thread_local","throw","transaction_safe","transaction_safe_dynamic","true","try","typedef","typeid","typename","union","using","virtual","volatile","while","xor","xor_eq"],b=["bool","char","char16_t","char32_t","char8_t","double","float","int","long","short","void","wchar_t","unsigned","signed","const","static"],g=["any","auto_ptr","barrier","binary_semaphore","bitset","complex","condition_variable","condition_variable_any","counting_semaphore","deque","false_type","future","imaginary","initializer_list","istringstream","jthread","latch","lock_guard","multimap","multiset","mutex","optional","ostringstream","packaged_task","pair","promise","priority_queue","queue","recursive_mutex","recursive_timed_mutex","scoped_lock","set","shared_future","shared_lock","shared_mutex","shared_timed_mutex","shared_ptr","stack","string_view","stringstream","timed_mutex","thread","true_type","tuple","unique_lock","unique_ptr","unordered_map","unordered_multimap","unordered_multiset","unordered_set","variant","vector","weak_ptr","wstring","wstring_view"],v=["abort","abs","acos","apply","as_const","asin","atan","atan2","calloc","ceil","cerr","cin","clog","cos","cosh","cout","declval","endl","exchange","exit","exp","fabs","floor","fmod","forward","fprintf","fputs","free","frexp","fscanf","future","invoke","isalnum","isalpha","iscntrl","isdigit","isgraph","islower","isprint","ispunct","isspace","isupper","isxdigit","labs","launder","ldexp","log","log10","make_pair","make_shared","make_shared_for_overwrite","make_tuple","make_unique","malloc","memchr","memcmp","memcpy","memset","modf","move","pow","printf","putchar","puts","realloc","scanf","sin","sinh","snprintf","sprintf","sqrt","sscanf","std","stderr","stdin","stdout","strcat","strchr","strcmp","strcpy","strcspn","strlen","strncat","strncmp","strncpy","strpbrk","strrchr","strspn","strstr","swap","tan","tanh","terminate","to_underlying","tolower","toupper","vfprintf","visit","vprintf","vsprintf"],C={type:b,keyword:E,literal:["NULL","false","nullopt","nullptr","true"],built_in:["_Pragma"],_type_hints:g},x={className:"function.dispatch",relevance:0,keywords:{_hint:v},begin:t.concat(/\b/,/(?!decltype)/,/(?!if)/,/(?!for)/,/(?!switch)/,/(?!while)/,e.IDENT_RE,t.lookahead(/(<[^<>]+>|)\s*\(/))},O=[x,f,l,i,e.C_BLOCK_COMMENT_MODE,_,c],R={variants:[{begin:/=/,end:/;/},{begin:/\(/,end:/\)/},{beginKeywords:"new throw return else",end:/;/}],keywords:C,contains:O.concat([{begin:/\(/,end:/\)/,keywords:C,contains:O.concat(["self"]),relevance:0}]),relevance:0},S={className:"function",begin:"("+a+"[\\*&\\s]+)+"+h,returnBegin:!0,end:/[{;=]/,excludeEnd:!0,keywords:C,illegal:/[^\w\s\*&:<>.]/,contains:[{begin:s,keywords:C,relevance:0},{begin:h,returnBegin:!0,contains:[m],relevance:0},{begin:/::/,relevance:0},{begin:/:/,endsWithParent:!0,contains:[c,_]},{relevance:0,match:/,/},{className:"params",begin:/\(/,end:/\)/,keywords:C,relevance:0,contains:[i,e.C_BLOCK_COMMENT_MODE,c,_,l,{begin:/\(/,end:/\)/,keywords:C,relevance:0,contains:["self",i,e.C_BLOCK_COMMENT_MODE,c,_,l]}]},l,i,e.C_BLOCK_COMMENT_MODE,f]};return{name:"C++",aliases:["cc","c++","h++","hpp","hh","hxx","cxx"],keywords:C,illegal:"</",classNameAliases:{"function.dispatch":"built_in"},contains:[].concat(R,S,x,O,[f,{begin:"\\b(deque|list|queue|priority_queue|pair|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array|tuple|optional|variant|function)\\s*<(?!<)",end:">",keywords:C,contains:["self",l]},{begin:e.IDENT_RE+"::",keywords:C},{match:[/\b(?:enum(?:\s+(?:class|struct))?|class|struct|union)/,/\s+/,/\w+/],className:{1:"keyword",3:"title.class"}}])}}return k_=n,k_}var L_,g0;function Bqe(){if(g0)return L_;g0=1;function n(e){const t="primitive rsc_template",i="group clone ms master location colocation order fencing_topology rsc_ticket acl_target acl_group user role tag xml",s="property rsc_defaults op_defaults",r="params meta operations op rule attributes utilization",o="read write deny defined not_defined in_range date spec in ref reference attribute type xpath version and or lt gt tag lte gte eq ne \\",a="number string",l="Master Started Slave Stopped start promote demote stop monitor true false";return{name:"crmsh",aliases:["crm","pcmk"],case_insensitive:!0,keywords:{keyword:r+" "+o+" "+a,literal:l},contains:[e.HASH_COMMENT_MODE,{beginKeywords:"node",starts:{end:"\\s*([\\w_-]+:)?",starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*"}}},{beginKeywords:t,starts:{className:"title",end:"\\s*[\\$\\w_][\\w_-]*",starts:{end:"\\s*@?[\\w_][\\w_\\.:-]*"}}},{begin:"\\b("+i.split(" ").join("|")+")\\s+",keywords:i,starts:{className:"title",end:"[\\$\\w_][\\w_-]*"}},{beginKeywords:s,starts:{className:"title",end:"\\s*([\\w_-]+:)?"}},e.QUOTE_STRING_MODE,{className:"meta",begin:"(ocf|systemd|service|lsb):[\\w_:-]+",relevance:0},{className:"number",begin:"\\b\\d+(\\.\\d+)?(ms|s|h|m)?",relevance:0},{className:"literal",begin:"[-]?(infinity|inf)",relevance:0},{className:"attr",begin:/([A-Za-z$_#][\w_-]+)=/,relevance:0},{className:"tag",begin:"</?",end:"/?>",relevance:0}]}}return L_=n,L_}var P_,b0;function Gqe(){if(b0)return P_;b0=1;function n(e){const t="(_?[ui](8|16|32|64|128))?",i="(_?f(32|64))?",s="[a-zA-Z_]\\w*[!?=]?",r="[a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\*\\*|\\[\\][=?]?",o="[A-Za-z_]\\w*(::\\w+)*(\\?|!)?",a={$pattern:s,keyword:"abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield __DIR__ __END_LINE__ __FILE__ __LINE__",literal:"false nil true"},l={className:"subst",begin:/#\{/,end:/\}/,keywords:a},d={className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])"},c={className:"template-variable",variants:[{begin:"\\{\\{",end:"\\}\\}"},{begin:"\\{%",end:"%\\}"}],keywords:a};function _(v,y){const T=[{begin:v,end:y}];return T[0].contains=T,T}const f={className:"string",contains:[e.BACKSLASH_ESCAPE,l],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:"%[Qwi]?\\(",end:"\\)",contains:_("\\(","\\)")},{begin:"%[Qwi]?\\[",end:"\\]",contains:_("\\[","\\]")},{begin:"%[Qwi]?\\{",end:/\}/,contains:_(/\{/,/\}/)},{begin:"%[Qwi]?<",end:">",contains:_("<",">")},{begin:"%[Qwi]?\\|",end:"\\|"},{begin:/<<-\w+$/,end:/^\s*\w+$/}],relevance:0},m={className:"string",variants:[{begin:"%q\\(",end:"\\)",contains:_("\\(","\\)")},{begin:"%q\\[",end:"\\]",contains:_("\\[","\\]")},{begin:"%q\\{",end:/\}/,contains:_(/\{/,/\}/)},{begin:"%q<",end:">",contains:_("<",">")},{begin:"%q\\|",end:"\\|"},{begin:/<<-'\w+'$/,end:/^\s*\w+$/}],relevance:0},h={begin:"(?!%\\})("+e.RE_STARTERS_RE+"|\\n|\\b(case|if|select|unless|until|when|while)\\b)\\s*",keywords:"case if select unless until when while",contains:[{className:"regexp",contains:[e.BACKSLASH_ESCAPE,l],variants:[{begin:"//[a-z]*",relevance:0},{begin:"/(?!\\/)",end:"/[a-z]*"}]}],relevance:0},E={className:"regexp",contains:[e.BACKSLASH_ESCAPE,l],variants:[{begin:"%r\\(",end:"\\)",contains:_("\\(","\\)")},{begin:"%r\\[",end:"\\]",contains:_("\\[","\\]")},{begin:"%r\\{",end:/\}/,contains:_(/\{/,/\}/)},{begin:"%r<",end:">",contains:_("<",">")},{begin:"%r\\|",end:"\\|"}],relevance:0},b={className:"meta",begin:"@\\[",end:"\\]",contains:[e.inherit(e.QUOTE_STRING_MODE,{className:"string"})]},g=[c,f,m,E,h,b,d,e.HASH_COMMENT_MODE,{className:"class",beginKeywords:"class module struct",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:o}),{begin:"<"}]},{className:"class",beginKeywords:"lib enum union",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:o})]},{beginKeywords:"annotation",end:"$|;",illegal:/=/,contains:[e.HASH_COMMENT_MODE,e.inherit(e.TITLE_MODE,{begin:o})],relevance:2},{className:"function",beginKeywords:"def",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:r,endsParent:!0})]},{className:"function",beginKeywords:"fun macro",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:r,endsParent:!0})],relevance:2},{className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"(!|\\?)?:",relevance:0},{className:"symbol",begin:":",contains:[f,{begin:r}],relevance:0},{className:"number",variants:[{begin:"\\b0b([01_]+)"+t},{begin:"\\b0o([0-7_]+)"+t},{begin:"\\b0x([A-Fa-f0-9_]+)"+t},{begin:"\\b([1-9][0-9_]*[0-9]|[0-9])(\\.[0-9][0-9_]*)?([eE]_?[-+]?[0-9_]*)?"+i+"(?!_)"},{begin:"\\b([1-9][0-9_]*|0)"+t}],relevance:0}];return l.contains=g,c.contains=g.slice(1),{name:"Crystal",aliases:["cr"],keywords:a,contains:g}}return P_=n,P_}var U_,E0;function zqe(){if(E0)return U_;E0=1;function n(e){const t=["bool","byte","char","decimal","delegate","double","dynamic","enum","float","int","long","nint","nuint","object","sbyte","short","string","ulong","uint","ushort"],i=["public","private","protected","static","internal","protected","abstract","async","extern","override","unsafe","virtual","new","sealed","partial"],s=["default","false","null","true"],r=["abstract","as","base","break","case","catch","class","const","continue","do","else","event","explicit","extern","finally","fixed","for","foreach","goto","if","implicit","in","interface","internal","is","lock","namespace","new","operator","out","override","params","private","protected","public","readonly","record","ref","return","scoped","sealed","sizeof","stackalloc","static","struct","switch","this","throw","try","typeof","unchecked","unsafe","using","virtual","void","volatile","while"],o=["add","alias","and","ascending","async","await","by","descending","equals","from","get","global","group","init","into","join","let","nameof","not","notnull","on","or","orderby","partial","remove","select","set","unmanaged","value|0","var","when","where","with","yield"],a={keyword:r.concat(o),built_in:t,literal:s},l=e.inherit(e.TITLE_MODE,{begin:"[a-zA-Z](\\.?\\w)*"}),d={className:"number",variants:[{begin:"\\b(0b[01']+)"},{begin:"(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)(u|U|l|L|ul|UL|f|F|b|B)"},{begin:"(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)"}],relevance:0},c={className:"string",begin:'@"',end:'"',contains:[{begin:'""'}]},_=e.inherit(c,{illegal:/\n/}),f={className:"subst",begin:/\{/,end:/\}/,keywords:a},m=e.inherit(f,{illegal:/\n/}),h={className:"string",begin:/\$"/,end:'"',illegal:/\n/,contains:[{begin:/\{\{/},{begin:/\}\}/},e.BACKSLASH_ESCAPE,m]},E={className:"string",begin:/\$@"/,end:'"',contains:[{begin:/\{\{/},{begin:/\}\}/},{begin:'""'},f]},b=e.inherit(E,{illegal:/\n/,contains:[{begin:/\{\{/},{begin:/\}\}/},{begin:'""'},m]});f.contains=[E,h,c,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,d,e.C_BLOCK_COMMENT_MODE],m.contains=[b,h,_,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,d,e.inherit(e.C_BLOCK_COMMENT_MODE,{illegal:/\n/})];const g={variants:[E,h,c,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},v={begin:"<",end:">",contains:[{beginKeywords:"in out"},l]},y=e.IDENT_RE+"(<"+e.IDENT_RE+"(\\s*,\\s*"+e.IDENT_RE+")*>)?(\\[\\])?",T={begin:"@"+e.IDENT_RE,relevance:0};return{name:"C#",aliases:["cs","c#"],keywords:a,illegal:/::/,contains:[e.COMMENT("///","$",{returnBegin:!0,contains:[{className:"doctag",variants:[{begin:"///",relevance:0},{begin:"<!--|-->"},{begin:"</?",end:">"}]}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"meta",begin:"#",end:"$",keywords:{keyword:"if else elif endif define undef warning error line region endregion pragma checksum"}},g,d,{beginKeywords:"class interface",relevance:0,end:/[{;=]/,illegal:/[^\s:,]/,contains:[{beginKeywords:"where class"},l,v,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:"namespace",relevance:0,end:/[{;=]/,illegal:/[^\s:]/,contains:[l,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{beginKeywords:"record",relevance:0,end:/[{;=]/,illegal:/[^\s:]/,contains:[l,v,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"meta",begin:"^\\s*\\[(?=[\\w])",excludeBegin:!0,end:"\\]",excludeEnd:!0,contains:[{className:"string",begin:/"/,end:/"/}]},{beginKeywords:"new return throw await else",relevance:0},{className:"function",begin:"("+y+"\\s+)+"+e.IDENT_RE+"\\s*(<[^=]+>\\s*)?\\(",returnBegin:!0,end:/\s*[{;=]/,excludeEnd:!0,keywords:a,contains:[{beginKeywords:i.join(" "),relevance:0},{begin:e.IDENT_RE+"\\s*(<[^=]+>\\s*)?\\(",returnBegin:!0,contains:[e.TITLE_MODE,v],relevance:0},{match:/\(\)/},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:a,relevance:0,contains:[g,d,e.C_BLOCK_COMMENT_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},T]}}return U_=n,U_}var F_,v0;function Vqe(){if(v0)return F_;v0=1;function n(e){return{name:"CSP",case_insensitive:!1,keywords:{$pattern:"[a-zA-Z][a-zA-Z0-9_-]*",keyword:["base-uri","child-src","connect-src","default-src","font-src","form-action","frame-ancestors","frame-src","img-src","manifest-src","media-src","object-src","plugin-types","report-uri","sandbox","script-src","style-src","trusted-types","unsafe-hashes","worker-src"]},contains:[{className:"string",begin:"'",end:"'"},{className:"attribute",begin:"^Content",end:":",excludeEnd:!0}]}}return F_=n,F_}var B_,y0;function Hqe(){if(y0)return B_;y0=1;const n=a=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:a.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:a.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),e=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],t=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"],i=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"],s=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"],r=["align-content","align-items","align-self","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","isolation","justify-content","left","letter-spacing","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","resize","rest","rest-after","rest-before","right","row-gap","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","speak","speak-as","src","tab-size","table-layout","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","z-index"].reverse();function o(a){const l=a.regex,d=n(a),c={begin:/-(webkit|moz|ms|o)-(?=[a-z])/},_="and or not only",f=/@-?\w[\w]*(-\w+)*/,m="[a-zA-Z-][a-zA-Z0-9_-]*",h=[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE];return{name:"CSS",case_insensitive:!0,illegal:/[=|'\$]/,keywords:{keyframePosition:"from to"},classNameAliases:{keyframePosition:"selector-tag"},contains:[d.BLOCK_COMMENT,c,d.CSS_NUMBER_MODE,{className:"selector-id",begin:/#[A-Za-z0-9_-]+/,relevance:0},{className:"selector-class",begin:"\\."+m,relevance:0},d.ATTRIBUTE_SELECTOR_MODE,{className:"selector-pseudo",variants:[{begin:":("+i.join("|")+")"},{begin:":(:)?("+s.join("|")+")"}]},d.CSS_VARIABLE,{className:"attribute",begin:"\\b("+r.join("|")+")\\b"},{begin:/:/,end:/[;}{]/,contains:[d.BLOCK_COMMENT,d.HEXCOLOR,d.IMPORTANT,d.CSS_NUMBER_MODE,...h,{begin:/(url|data-uri)\(/,end:/\)/,relevance:0,keywords:{built_in:"url data-uri"},contains:[...h,{className:"string",begin:/[^)]/,endsWithParent:!0,excludeEnd:!0}]},d.FUNCTION_DISPATCH]},{begin:l.lookahead(/@/),end:"[{;]",relevance:0,illegal:/:/,contains:[{className:"keyword",begin:f},{begin:/\s/,endsWithParent:!0,excludeEnd:!0,relevance:0,keywords:{$pattern:/[a-z-]+/,keyword:_,attribute:t.join(" ")},contains:[{begin:/[a-z-]+(?=:)/,className:"attribute"},...h,d.CSS_NUMBER_MODE]}]},{className:"selector-tag",begin:"\\b("+e.join("|")+")\\b"}]}}return B_=o,B_}var G_,S0;function qqe(){if(S0)return G_;S0=1;function n(e){const t={$pattern:e.UNDERSCORE_IDENT_RE,keyword:"abstract alias align asm assert auto body break byte case cast catch class const continue debug default delete deprecated do else enum export extern final finally for foreach foreach_reverse|10 goto if immutable import in inout int interface invariant is lazy macro mixin module new nothrow out override package pragma private protected public pure ref return scope shared static struct super switch synchronized template this throw try typedef typeid typeof union unittest version void volatile while with __FILE__ __LINE__ __gshared|10 __thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__",built_in:"bool cdouble cent cfloat char creal dchar delegate double dstring float function idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar wstring",literal:"false null true"},i="(0|[1-9][\\d_]*)",s="(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)",r="0[bB][01_]+",o="([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*)",a="0[xX]"+o,l="([eE][+-]?"+s+")",d="("+s+"(\\.\\d*|"+l+")|\\d+\\."+s+"|\\."+i+l+"?)",c="(0[xX]("+o+"\\."+o+"|\\.?"+o+")[pP][+-]?"+s+")",_="("+i+"|"+r+"|"+a+")",f="("+c+"|"+d+")",m=`\\\\(['"\\?\\\\abfnrtv]|u[\\dA-Fa-f]{4}|[0-7]{1,3}|x[\\dA-Fa-f]{2}|U[\\dA-Fa-f]{8})|&[a-zA-Z\\d]{2,};`,h={className:"number",begin:"\\b"+_+"(L|u|U|Lu|LU|uL|UL)?",relevance:0},E={className:"number",begin:"\\b("+f+"([fF]|L|i|[fF]i|Li)?|"+_+"(i|[fF]i|Li))",relevance:0},b={className:"string",begin:"'("+m+"|.)",end:"'",illegal:"."},v={className:"string",begin:'"',contains:[{begin:m,relevance:0}],end:'"[cwd]?'},y={className:"string",begin:'[rq]"',end:'"[cwd]?',relevance:5},T={className:"string",begin:"`",end:"`[cwd]?"},C={className:"string",begin:'x"[\\da-fA-F\\s\\n\\r]*"[cwd]?',relevance:10},x={className:"string",begin:'q"\\{',end:'\\}"'},O={className:"meta",begin:"^#!",end:"$",relevance:5},R={className:"meta",begin:"#(line)",end:"$",relevance:5},S={className:"keyword",begin:"@[a-zA-Z_][a-zA-Z_\\d]*"},A=e.COMMENT("\\/\\+","\\+\\/",{contains:["self"],relevance:10});return{name:"D",keywords:t,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,A,C,v,y,T,x,E,h,b,O,R,S]}}return G_=n,G_}var z_,T0;function Yqe(){if(T0)return z_;T0=1;function n(e){const t=e.regex,i={begin:/<\/?[A-Za-z_]/,end:">",subLanguage:"xml",relevance:0},s={begin:"^[-\\*]{3,}",end:"$"},r={className:"code",variants:[{begin:"(`{3,})[^`](.|\\n)*?\\1`*[ ]*"},{begin:"(~{3,})[^~](.|\\n)*?\\1~*[ ]*"},{begin:"```",end:"```+[ ]*$"},{begin:"~~~",end:"~~~+[ ]*$"},{begin:"`.+?`"},{begin:"(?=^( {4}|\\t))",contains:[{begin:"^( {4}|\\t)",end:"(\\n)$"}],relevance:0}]},o={className:"bullet",begin:"^[ ]*([*+-]|(\\d+\\.))(?=\\s+)",end:"\\s+",excludeEnd:!0},a={begin:/^\[[^\n]+\]:/,returnBegin:!0,contains:[{className:"symbol",begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0},{className:"link",begin:/:\s*/,end:/$/,excludeBegin:!0}]},l=/[A-Za-z][A-Za-z0-9+.-]*/,d={variants:[{begin:/\[.+?\]\[.*?\]/,relevance:0},{begin:/\[.+?\]\(((data|javascript|mailto):|(?:http|ftp)s?:\/\/).*?\)/,relevance:2},{begin:t.concat(/\[.+?\]\(/,l,/:\/\/.*?\)/),relevance:2},{begin:/\[.+?\]\([./?&#].*?\)/,relevance:1},{begin:/\[.*?\]\(.*?\)/,relevance:0}],returnBegin:!0,contains:[{match:/\[(?=\])/},{className:"string",relevance:0,begin:"\\[",end:"\\]",excludeBegin:!0,returnEnd:!0},{className:"link",relevance:0,begin:"\\]\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0},{className:"symbol",relevance:0,begin:"\\]\\[",end:"\\]",excludeBegin:!0,excludeEnd:!0}]},c={className:"strong",contains:[],variants:[{begin:/_{2}(?!\s)/,end:/_{2}/},{begin:/\*{2}(?!\s)/,end:/\*{2}/}]},_={className:"emphasis",contains:[],variants:[{begin:/\*(?![*\s])/,end:/\*/},{begin:/_(?![_\s])/,end:/_/,relevance:0}]},f=e.inherit(c,{contains:[]}),m=e.inherit(_,{contains:[]});c.contains.push(m),_.contains.push(f);let h=[i,d];return[c,_,f,m].forEach(g=>{g.contains=g.contains.concat(h)}),h=h.concat(c,_),{name:"Markdown",aliases:["md","mkdown","mkd"],contains:[{className:"section",variants:[{begin:"^#{1,6}",end:"$",contains:h},{begin:"(?=^.+?\\n[=-]{2,}$)",contains:[{begin:"^[=-]*$"},{begin:"^",end:"\\n",contains:h}]}]},i,o,c,_,{className:"quote",begin:"^>\\s+",contains:h,end:"$"},r,s,d,a]}}return z_=n,z_}var V_,x0;function $qe(){if(x0)return V_;x0=1;function n(e){const t={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"}]},i={className:"subst",variants:[{begin:/\$\{/,end:/\}/}],keywords:"true false null this is new super"},s={className:"string",variants:[{begin:"r'''",end:"'''"},{begin:'r"""',end:'"""'},{begin:"r'",end:"'",illegal:"\\n"},{begin:'r"',end:'"',illegal:"\\n"},{begin:"'''",end:"'''",contains:[e.BACKSLASH_ESCAPE,t,i]},{begin:'"""',end:'"""',contains:[e.BACKSLASH_ESCAPE,t,i]},{begin:"'",end:"'",illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,t,i]},{begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,t,i]}]};i.contains=[e.C_NUMBER_MODE,s];const r=["Comparable","DateTime","Duration","Function","Iterable","Iterator","List","Map","Match","Object","Pattern","RegExp","Set","Stopwatch","String","StringBuffer","StringSink","Symbol","Type","Uri","bool","double","int","num","Element","ElementList"],o=r.map(d=>`${d}?`);return{name:"Dart",keywords:{keyword:["abstract","as","assert","async","await","base","break","case","catch","class","const","continue","covariant","default","deferred","do","dynamic","else","enum","export","extends","extension","external","factory","false","final","finally","for","Function","get","hide","if","implements","import","in","interface","is","late","library","mixin","new","null","on","operator","part","required","rethrow","return","sealed","set","show","static","super","switch","sync","this","throw","true","try","typedef","var","void","when","while","with","yield"],built_in:r.concat(o).concat(["Never","Null","dynamic","print","document","querySelector","querySelectorAll","window"]),$pattern:/[A-Za-z][A-Za-z0-9_]*\??/},contains:[s,e.COMMENT(/\/\*\*(?!\/)/,/\*\//,{subLanguage:"markdown",relevance:0}),e.COMMENT(/\/{3,} ?/,/$/,{contains:[{subLanguage:"markdown",begin:".",end:"$",relevance:0}]}),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"class interface",end:/\{/,excludeEnd:!0,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"},{begin:"=>"}]}}return V_=n,V_}var H_,C0;function Wqe(){if(C0)return H_;C0=1;function n(e){const t=["exports","register","file","shl","array","record","property","for","mod","while","set","ally","label","uses","raise","not","stored","class","safecall","var","interface","or","private","static","exit","index","inherited","to","else","stdcall","override","shr","asm","far","resourcestring","finalization","packed","virtual","out","and","protected","library","do","xorwrite","goto","near","function","end","div","overload","object","unit","begin","string","on","inline","repeat","until","destructor","write","message","program","with","read","initialization","except","default","nil","if","case","cdecl","in","downto","threadvar","of","try","pascal","const","external","constructor","type","public","then","implementation","finally","published","procedure","absolute","reintroduce","operator","as","is","abstract","alias","assembler","bitpacked","break","continue","cppdecl","cvar","enumerator","experimental","platform","deprecated","unimplemented","dynamic","export","far16","forward","generic","helper","implements","interrupt","iochecks","local","name","nodefault","noreturn","nostackframe","oldfpccall","otherwise","saveregisters","softfloat","specialize","strict","unaligned","varargs"],i=[e.C_LINE_COMMENT_MODE,e.COMMENT(/\{/,/\}/,{relevance:0}),e.COMMENT(/\(\*/,/\*\)/,{relevance:10})],s={className:"meta",variants:[{begin:/\{\$/,end:/\}/},{begin:/\(\*\$/,end:/\*\)/}]},r={className:"string",begin:/'/,end:/'/,contains:[{begin:/''/}]},o={className:"number",relevance:0,variants:[{begin:"\\$[0-9A-Fa-f]+"},{begin:"&[0-7]+"},{begin:"%[01]+"}]},a={className:"string",begin:/(#\d+)+/},l={begin:e.IDENT_RE+"\\s*=\\s*class\\s*\\(",returnBegin:!0,contains:[e.TITLE_MODE]},d={className:"function",beginKeywords:"function constructor destructor procedure",end:/[:;]/,keywords:"function constructor|10 destructor|10 procedure|10",contains:[e.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,keywords:t,contains:[r,a,s].concat(i)},s].concat(i)};return{name:"Delphi",aliases:["dpr","dfm","pas","pascal"],case_insensitive:!0,keywords:t,illegal:/"|\$[G-Zg-z]|\/\*|<\/|\|/,contains:[r,a,e.NUMBER_MODE,o,l,d,s].concat(i)}}return H_=n,H_}var q_,R0;function Kqe(){if(R0)return q_;R0=1;function n(e){const t=e.regex;return{name:"Diff",aliases:["patch"],contains:[{className:"meta",relevance:10,match:t.either(/^@@ +-\d+,\d+ +\+\d+,\d+ +@@/,/^\*\*\* +\d+,\d+ +\*\*\*\*$/,/^--- +\d+,\d+ +----$/)},{className:"comment",variants:[{begin:t.either(/Index: /,/^index/,/={3,}/,/^-{3}/,/^\*{3} /,/^\+{3}/,/^diff --git/),end:/$/},{match:/^\*{15}$/}]},{className:"addition",begin:/^\+/,end:/$/},{className:"deletion",begin:/^-/,end:/$/},{className:"addition",begin:/^!/,end:/$/}]}}return q_=n,q_}var Y_,A0;function jqe(){if(A0)return Y_;A0=1;function n(e){const t={begin:/\|[A-Za-z]+:?/,keywords:{name:"truncatewords removetags linebreaksbr yesno get_digit timesince random striptags filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort dictsortreversed default_if_none pluralize lower join center default truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize localtime utc timezone"},contains:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE]};return{name:"Django",aliases:["jinja"],case_insensitive:!0,subLanguage:"xml",contains:[e.COMMENT(/\{%\s*comment\s*%\}/,/\{%\s*endcomment\s*%\}/),e.COMMENT(/\{#/,/#\}/),{className:"template-tag",begin:/\{%/,end:/%\}/,contains:[{className:"name",begin:/\w+/,keywords:{name:"comment endcomment load templatetag ifchanged endifchanged if endif firstof for endfor ifnotequal endifnotequal widthratio extends include spaceless endspaceless regroup ifequal endifequal ssi now with cycle url filter endfilter debug block endblock else autoescape endautoescape csrf_token empty elif endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix plural get_current_language language get_available_languages get_current_language_bidi get_language_info get_language_info_list localize endlocalize localtime endlocaltime timezone endtimezone get_current_timezone verbatim"},starts:{endsWithParent:!0,keywords:"in by as",contains:[t],relevance:0}}]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:[t]}]}}return Y_=n,Y_}var $_,w0;function Qqe(){if(w0)return $_;w0=1;function n(e){return{name:"DNS Zone",aliases:["bind","zone"],keywords:["IN","A","AAAA","AFSDB","APL","CAA","CDNSKEY","CDS","CERT","CNAME","DHCID","DLV","DNAME","DNSKEY","DS","HIP","IPSECKEY","KEY","KX","LOC","MX","NAPTR","NS","NSEC","NSEC3","NSEC3PARAM","PTR","RRSIG","RP","SIG","SOA","SRV","SSHFP","TA","TKEY","TLSA","TSIG","TXT"],contains:[e.COMMENT(";","$",{relevance:0}),{className:"meta",begin:/^\$(TTL|GENERATE|INCLUDE|ORIGIN)\b/},{className:"number",begin:"((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:)))\\b"},{className:"number",begin:"((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9]).){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\b"},e.inherit(e.NUMBER_MODE,{begin:/\b\d+[dhwm]?/})]}}return $_=n,$_}var W_,N0;function Xqe(){if(N0)return W_;N0=1;function n(e){return{name:"Dockerfile",aliases:["docker"],case_insensitive:!0,keywords:["from","maintainer","expose","env","arg","user","onbuild","stopsignal"],contains:[e.HASH_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,{beginKeywords:"run cmd entrypoint volume add copy workdir label healthcheck shell",starts:{end:/[^\\]$/,subLanguage:"bash"}}],illegal:"</"}}return W_=n,W_}var K_,O0;function Zqe(){if(O0)return K_;O0=1;function n(e){const t=e.COMMENT(/^\s*@?rem\b/,/$/,{relevance:10});return{name:"Batch file (DOS)",aliases:["bat","cmd"],case_insensitive:!0,illegal:/\/\*/,keywords:{keyword:["if","else","goto","for","in","do","call","exit","not","exist","errorlevel","defined","equ","neq","lss","leq","gtr","geq"],built_in:["prn","nul","lpt3","lpt2","lpt1","con","com4","com3","com2","com1","aux","shift","cd","dir","echo","setlocal","endlocal","set","pause","copy","append","assoc","at","attrib","break","cacls","cd","chcp","chdir","chkdsk","chkntfs","cls","cmd","color","comp","compact","convert","date","dir","diskcomp","diskcopy","doskey","erase","fs","find","findstr","format","ftype","graftabl","help","keyb","label","md","mkdir","mode","more","move","path","pause","print","popd","pushd","promt","rd","recover","rem","rename","replace","restore","rmdir","shift","sort","start","subst","time","title","tree","type","ver","verify","vol","ping","net","ipconfig","taskkill","xcopy","ren","del"]},contains:[{className:"variable",begin:/%%[^ ]|%[^ ]+?%|![^ ]+?!/},{className:"function",begin:{className:"symbol",begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)",relevance:0}.begin,end:"goto:eof",contains:[e.inherit(e.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),t]},{className:"number",begin:"\\b\\d+",relevance:0},t]}}return K_=n,K_}var j_,I0;function Jqe(){if(I0)return j_;I0=1;function n(e){return{keywords:"dsconfig",contains:[{className:"keyword",begin:"^dsconfig",end:/\s/,excludeEnd:!0,relevance:10},{className:"built_in",begin:/(list|create|get|set|delete)-(\w+)/,end:/\s/,excludeEnd:!0,illegal:"!@#$%^&*()",relevance:10},{className:"built_in",begin:/--(\w+)/,end:/\s/,excludeEnd:!0},{className:"string",begin:/"/,end:/"/},{className:"string",begin:/'/,end:/'/},{className:"string",begin:/[\w\-?]+:\w+/,end:/\W/,relevance:0},{className:"string",begin:/\w+(\-\w+)*/,end:/(?=\W)/,relevance:0},e.HASH_COMMENT_MODE]}}return j_=n,j_}var Q_,M0;function eYe(){if(M0)return Q_;M0=1;function n(e){const t={className:"string",variants:[e.inherit(e.QUOTE_STRING_MODE,{begin:'((u8?|U)|L)?"'}),{begin:'(u8?|U)?R"',end:'"',contains:[e.BACKSLASH_ESCAPE]},{begin:"'\\\\?.",end:"'",illegal:"."}]},i={className:"number",variants:[{begin:"\\b(\\d+(\\.\\d*)?|\\.\\d+)(u|U|l|L|ul|UL|f|F)"},{begin:e.C_NUMBER_RE}],relevance:0},s={className:"meta",begin:"#",end:"$",keywords:{keyword:"if else elif endif define undef ifdef ifndef"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",keywords:{keyword:"include"},contains:[e.inherit(t,{className:"string"}),{className:"string",begin:"<",end:">",illegal:"\\n"}]},t,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},r={className:"variable",begin:/&[a-z\d_]*\b/},o={className:"keyword",begin:"/[a-z][a-z\\d-]*/"},a={className:"symbol",begin:"^\\s*[a-zA-Z_][a-zA-Z\\d_]*:"},l={className:"params",relevance:0,begin:"<",end:">",contains:[i,r]},d={className:"title.class",begin:/[a-zA-Z_][a-zA-Z\d_@-]*(?=\s\{)/,relevance:.2},c={className:"title.class",begin:/^\/(?=\s*\{)/,relevance:10},_={match:/[a-z][a-z-,]+(?=;)/,relevance:0,scope:"attr"},f={relevance:0,match:[/[a-z][a-z-,]+/,/\s*/,/=/],scope:{1:"attr",3:"operator"}},m={scope:"punctuation",relevance:0,match:/\};|[;{}]/};return{name:"Device Tree",contains:[c,r,o,a,d,f,_,l,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i,t,s,m,{begin:e.IDENT_RE+"::",keywords:""}]}}return Q_=n,Q_}var X_,D0;function tYe(){if(D0)return X_;D0=1;function n(e){const t="if eq ne lt lte gt gte select default math sep";return{name:"Dust",aliases:["dst"],case_insensitive:!0,subLanguage:"xml",contains:[{className:"template-tag",begin:/\{[#\/]/,end:/\}/,illegal:/;/,contains:[{className:"name",begin:/[a-zA-Z\.-]+/,starts:{endsWithParent:!0,relevance:0,contains:[e.QUOTE_STRING_MODE]}}]},{className:"template-variable",begin:/\{/,end:/\}/,illegal:/;/,keywords:t}]}}return X_=n,X_}var Z_,k0;function nYe(){if(k0)return Z_;k0=1;function n(e){const t=e.COMMENT(/\(\*/,/\*\)/),i={className:"attribute",begin:/^[ ]*[a-zA-Z]+([\s_-]+[a-zA-Z]+)*/},r={begin:/=/,end:/[.;]/,contains:[t,{className:"meta",begin:/\?.*\?/},{className:"string",variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"`",end:"`"}]}]};return{name:"Extended Backus-Naur Form",illegal:/\S/,contains:[t,i,r]}}return Z_=n,Z_}var J_,L0;function iYe(){if(L0)return J_;L0=1;function n(e){const t=e.regex,i="[a-zA-Z_][a-zA-Z0-9_.]*(!|\\?)?",s="[a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?",a={$pattern:i,keyword:["after","alias","and","case","catch","cond","defstruct","defguard","do","else","end","fn","for","if","import","in","not","or","quote","raise","receive","require","reraise","rescue","try","unless","unquote","unquote_splicing","use","when","with|0"],literal:["false","nil","true"]},l={className:"subst",begin:/#\{/,end:/\}/,keywords:a},d={className:"number",begin:"(\\b0o[0-7_]+)|(\\b0b[01_]+)|(\\b0x[0-9a-fA-F_]+)|(-?\\b[0-9][0-9_]*(\\.[0-9_]+([eE][-+]?[0-9]+)?)?)",relevance:0},_={match:/\\[\s\S]/,scope:"char.escape",relevance:0},f=`[/|([{<"']`,m=[{begin:/"/,end:/"/},{begin:/'/,end:/'/},{begin:/\//,end:/\//},{begin:/\|/,end:/\|/},{begin:/\(/,end:/\)/},{begin:/\[/,end:/\]/},{begin:/\{/,end:/\}/},{begin:/</,end:/>/}],h=x=>({scope:"char.escape",begin:t.concat(/\\/,x),relevance:0}),E={className:"string",begin:"~[a-z](?="+f+")",contains:m.map(x=>e.inherit(x,{contains:[h(x.end),_,l]}))},b={className:"string",begin:"~[A-Z](?="+f+")",contains:m.map(x=>e.inherit(x,{contains:[h(x.end)]}))},g={className:"regex",variants:[{begin:"~r(?="+f+")",contains:m.map(x=>e.inherit(x,{end:t.concat(x.end,/[uismxfU]{0,7}/),contains:[h(x.end),_,l]}))},{begin:"~R(?="+f+")",contains:m.map(x=>e.inherit(x,{end:t.concat(x.end,/[uismxfU]{0,7}/),contains:[h(x.end)]}))}]},v={className:"string",contains:[e.BACKSLASH_ESCAPE,l],variants:[{begin:/"""/,end:/"""/},{begin:/'''/,end:/'''/},{begin:/~S"""/,end:/"""/,contains:[]},{begin:/~S"/,end:/"/,contains:[]},{begin:/~S'''/,end:/'''/,contains:[]},{begin:/~S'/,end:/'/,contains:[]},{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},y={className:"function",beginKeywords:"def defp defmacro defmacrop",end:/\B\b/,contains:[e.inherit(e.TITLE_MODE,{begin:i,endsParent:!0})]},T=e.inherit(y,{className:"class",beginKeywords:"defimpl defmodule defprotocol defrecord",end:/\bdo\b|$|;/}),C=[v,g,b,E,e.HASH_COMMENT_MODE,T,y,{begin:"::"},{className:"symbol",begin:":(?![\\s:])",contains:[v,{begin:s}],relevance:0},{className:"symbol",begin:i+":(?!:)",relevance:0},{className:"title.class",begin:/(\b[A-Z][a-zA-Z0-9_]+)/,relevance:0},d,{className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))"}];return l.contains=C,{name:"Elixir",aliases:["ex","exs"],keywords:a,contains:C}}return J_=n,J_}var eh,P0;function sYe(){if(P0)return eh;P0=1;function n(e){const t={variants:[e.COMMENT("--","$"),e.COMMENT(/\{-/,/-\}/,{contains:["self"]})]},i={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},s={begin:"\\(",end:"\\)",illegal:'"',contains:[{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},t]},r={begin:/\{/,end:/\}/,contains:s.contains},o={className:"string",begin:"'\\\\?.",end:"'",illegal:"."};return{name:"Elm",keywords:["let","in","if","then","else","case","of","where","module","import","exposing","type","alias","as","infix","infixl","infixr","port","effect","command","subscription"],contains:[{beginKeywords:"port effect module",end:"exposing",keywords:"port effect module where command subscription exposing",contains:[s,t],illegal:"\\W\\.|;"},{begin:"import",end:"$",keywords:"import as exposing",contains:[s,t],illegal:"\\W\\.|;"},{begin:"type",end:"$",keywords:"type alias",contains:[i,s,r,t]},{beginKeywords:"infix infixl infixr",end:"$",contains:[e.C_NUMBER_MODE,t]},{begin:"port",end:"$",keywords:"port",contains:[t]},o,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,i,e.inherit(e.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),t,{begin:"->|<-"}],illegal:/;/}}return eh=n,eh}var th,U0;function rYe(){if(U0)return th;U0=1;function n(e){const t=e.regex,i="([a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?)",s=t.either(/\b([A-Z]+[a-z0-9]+)+/,/\b([A-Z]+[a-z0-9]+)+[A-Z]+/),r=t.concat(s,/(::\w+)*/),a={"variable.constant":["__FILE__","__LINE__","__ENCODING__"],"variable.language":["self","super"],keyword:["alias","and","begin","BEGIN","break","case","class","defined","do","else","elsif","end","END","ensure","for","if","in","module","next","not","or","redo","require","rescue","retry","return","then","undef","unless","until","when","while","yield",...["include","extend","prepend","public","private","protected","raise","throw"]],built_in:["proc","lambda","attr_accessor","attr_reader","attr_writer","define_method","private_constant","module_function"],literal:["true","false","nil"]},l={className:"doctag",begin:"@[A-Za-z]+"},d={begin:"#<",end:">"},c=[e.COMMENT("#","$",{contains:[l]}),e.COMMENT("^=begin","^=end",{contains:[l],relevance:10}),e.COMMENT("^__END__",e.MATCH_NOTHING_RE)],_={className:"subst",begin:/#\{/,end:/\}/,keywords:a},f={className:"string",contains:[e.BACKSLASH_ESCAPE,_],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/`/,end:/`/},{begin:/%[qQwWx]?\(/,end:/\)/},{begin:/%[qQwWx]?\[/,end:/\]/},{begin:/%[qQwWx]?\{/,end:/\}/},{begin:/%[qQwWx]?</,end:/>/},{begin:/%[qQwWx]?\//,end:/\//},{begin:/%[qQwWx]?%/,end:/%/},{begin:/%[qQwWx]?-/,end:/-/},{begin:/%[qQwWx]?\|/,end:/\|/},{begin:/\B\?(\\\d{1,3})/},{begin:/\B\?(\\x[A-Fa-f0-9]{1,2})/},{begin:/\B\?(\\u\{?[A-Fa-f0-9]{1,6}\}?)/},{begin:/\B\?(\\M-\\C-|\\M-\\c|\\c\\M-|\\M-|\\C-\\M-)[\x20-\x7e]/},{begin:/\B\?\\(c|C-)[\x20-\x7e]/},{begin:/\B\?\\?\S/},{begin:t.concat(/<<[-~]?'?/,t.lookahead(/(\w+)(?=\W)[^\n]*\n(?:[^\n]*\n)*?\s*\1\b/)),contains:[e.END_SAME_AS_BEGIN({begin:/(\w+)/,end:/(\w+)/,contains:[e.BACKSLASH_ESCAPE,_]})]}]},m="[1-9](_?[0-9])*|0",h="[0-9](_?[0-9])*",E={className:"number",relevance:0,variants:[{begin:`\\b(${m})(\\.(${h}))?([eE][+-]?(${h})|r)?i?\\b`},{begin:"\\b0[dD][0-9](_?[0-9])*r?i?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*r?i?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*r?i?\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*r?i?\\b"},{begin:"\\b0(_?[0-7])+r?i?\\b"}]},b={variants:[{match:/\(\)/},{className:"params",begin:/\(/,end:/(?=\))/,excludeBegin:!0,endsParent:!0,keywords:a}]},O=[f,{variants:[{match:[/class\s+/,r,/\s+<\s+/,r]},{match:[/\b(class|module)\s+/,r]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:a},{match:[/(include|extend)\s+/,r],scope:{2:"title.class"},keywords:a},{relevance:0,match:[r,/\.new[. (]/],scope:{1:"title.class"}},{relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"},{relevance:0,match:s,scope:"title.class"},{match:[/def/,/\s+/,i],scope:{1:"keyword",3:"title.function"},contains:[b]},{begin:e.IDENT_RE+"::"},{className:"symbol",begin:e.UNDERSCORE_IDENT_RE+"(!|\\?)?:",relevance:0},{className:"symbol",begin:":(?!\\s)",contains:[f,{begin:i}],relevance:0},E,{className:"variable",begin:"(\\$\\W)|((\\$|@@?)(\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])"},{className:"params",begin:/\|/,end:/\|/,excludeBegin:!0,excludeEnd:!0,relevance:0,keywords:a},{begin:"("+e.RE_STARTERS_RE+"|unless)\\s*",keywords:"unless",contains:[{className:"regexp",contains:[e.BACKSLASH_ESCAPE,_],illegal:/\n/,variants:[{begin:"/",end:"/[a-z]*"},{begin:/%r\{/,end:/\}[a-z]*/},{begin:"%r\\(",end:"\\)[a-z]*"},{begin:"%r!",end:"![a-z]*"},{begin:"%r\\[",end:"\\][a-z]*"}]}].concat(d,c),relevance:0}].concat(d,c);_.contains=O,b.contains=O;const R="[>?]>",S="[\\w#]+\\(\\w+\\):\\d+:\\d+[>*]",A="(\\w+-)?\\d+\\.\\d+\\.\\d+(p\\d+)?[^\\d][^>]+>",U=[{begin:/^\s*=>/,starts:{end:"$",contains:O}},{className:"meta.prompt",begin:"^("+R+"|"+S+"|"+A+")(?=[ ])",starts:{end:"$",keywords:a,contains:O}}];return c.unshift(d),{name:"Ruby",aliases:["rb","gemspec","podspec","thor","irb"],keywords:a,illegal:/\/\*/,contains:[e.SHEBANG({binary:"ruby"})].concat(U).concat(c).concat(O)}}return th=n,th}var nh,F0;function oYe(){if(F0)return nh;F0=1;function n(e){return{name:"ERB",subLanguage:"xml",contains:[e.COMMENT("<%#","%>"),{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}return nh=n,nh}var ih,B0;function aYe(){if(B0)return ih;B0=1;function n(e){const t=e.regex;return{name:"Erlang REPL",keywords:{built_in:"spawn spawn_link self",keyword:"after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor"},contains:[{className:"meta.prompt",begin:"^[0-9]+> ",relevance:10},e.COMMENT("%","$"),{className:"number",begin:"\\b(\\d+(_\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\d+(_\\d+)*(\\.\\d+(_\\d+)*)?([eE][-+]?\\d+)?)",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:t.concat(/\?(::)?/,/([A-Z]\w*)/,/((::)[A-Z]\w*)*/)},{begin:"->"},{begin:"ok"},{begin:"!"},{begin:"(\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\b[a-z'][a-zA-Z0-9_']*)",relevance:0},{begin:"[A-Z][a-zA-Z0-9_']*",relevance:0}]}}return ih=n,ih}var sh,G0;function lYe(){if(G0)return sh;G0=1;function n(e){const t="[a-z'][a-zA-Z0-9_']*",i="("+t+":"+t+"|"+t+")",s={keyword:"after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor",literal:"false true"},r=e.COMMENT("%","$"),o={className:"number",begin:"\\b(\\d+(_\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\d+(_\\d+)*(\\.\\d+(_\\d+)*)?([eE][-+]?\\d+)?)",relevance:0},a={begin:"fun\\s+"+t+"/\\d+"},l={begin:i+"\\(",end:"\\)",returnBegin:!0,relevance:0,contains:[{begin:i,relevance:0},{begin:"\\(",end:"\\)",endsWithParent:!0,returnEnd:!0,relevance:0}]},d={begin:/\{/,end:/\}/,relevance:0},c={begin:"\\b_([A-Z][A-Za-z0-9_]*)?",relevance:0},_={begin:"[A-Z][a-zA-Z0-9_]*",relevance:0},f={begin:"#"+e.UNDERSCORE_IDENT_RE,relevance:0,returnBegin:!0,contains:[{begin:"#"+e.UNDERSCORE_IDENT_RE,relevance:0},{begin:/\{/,end:/\}/,relevance:0}]},m={beginKeywords:"fun receive if try case",end:"end",keywords:s};m.contains=[r,a,e.inherit(e.APOS_STRING_MODE,{className:""}),m,l,e.QUOTE_STRING_MODE,o,d,c,_,f];const h=[r,a,m,l,e.QUOTE_STRING_MODE,o,d,c,_,f];l.contains[1].contains=h,d.contains=h,f.contains[1].contains=h;const E=["-module","-record","-undef","-export","-ifdef","-ifndef","-author","-copyright","-doc","-vsn","-import","-include","-include_lib","-compile","-define","-else","-endif","-file","-behaviour","-behavior","-spec"],b={className:"params",begin:"\\(",end:"\\)",contains:h};return{name:"Erlang",aliases:["erl"],keywords:s,illegal:"(</|\\*=|\\+=|-=|/\\*|\\*/|\\(\\*|\\*\\))",contains:[{className:"function",begin:"^"+t+"\\s*\\(",end:"->",returnBegin:!0,illegal:"\\(|#|//|/\\*|\\\\|:|;",contains:[b,e.inherit(e.TITLE_MODE,{begin:t})],starts:{end:";|\\.",keywords:s,contains:h}},r,{begin:"^-",end:"\\.",relevance:0,excludeEnd:!0,returnBegin:!0,keywords:{$pattern:"-"+e.IDENT_RE,keyword:E.map(g=>`${g}|1.5`).join(" ")},contains:[b]},o,e.QUOTE_STRING_MODE,f,c,_,d,{begin:/\.$/}]}}return sh=n,sh}var rh,z0;function cYe(){if(z0)return rh;z0=1;function n(e){return{name:"Excel formulae",aliases:["xlsx","xls"],case_insensitive:!0,keywords:{$pattern:/[a-zA-Z][\w\.]*/,built_in:["ABS","ACCRINT","ACCRINTM","ACOS","ACOSH","ACOT","ACOTH","AGGREGATE","ADDRESS","AMORDEGRC","AMORLINC","AND","ARABIC","AREAS","ASC","ASIN","ASINH","ATAN","ATAN2","ATANH","AVEDEV","AVERAGE","AVERAGEA","AVERAGEIF","AVERAGEIFS","BAHTTEXT","BASE","BESSELI","BESSELJ","BESSELK","BESSELY","BETADIST","BETA.DIST","BETAINV","BETA.INV","BIN2DEC","BIN2HEX","BIN2OCT","BINOMDIST","BINOM.DIST","BINOM.DIST.RANGE","BINOM.INV","BITAND","BITLSHIFT","BITOR","BITRSHIFT","BITXOR","CALL","CEILING","CEILING.MATH","CEILING.PRECISE","CELL","CHAR","CHIDIST","CHIINV","CHITEST","CHISQ.DIST","CHISQ.DIST.RT","CHISQ.INV","CHISQ.INV.RT","CHISQ.TEST","CHOOSE","CLEAN","CODE","COLUMN","COLUMNS","COMBIN","COMBINA","COMPLEX","CONCAT","CONCATENATE","CONFIDENCE","CONFIDENCE.NORM","CONFIDENCE.T","CONVERT","CORREL","COS","COSH","COT","COTH","COUNT","COUNTA","COUNTBLANK","COUNTIF","COUNTIFS","COUPDAYBS","COUPDAYS","COUPDAYSNC","COUPNCD","COUPNUM","COUPPCD","COVAR","COVARIANCE.P","COVARIANCE.S","CRITBINOM","CSC","CSCH","CUBEKPIMEMBER","CUBEMEMBER","CUBEMEMBERPROPERTY","CUBERANKEDMEMBER","CUBESET","CUBESETCOUNT","CUBEVALUE","CUMIPMT","CUMPRINC","DATE","DATEDIF","DATEVALUE","DAVERAGE","DAY","DAYS","DAYS360","DB","DBCS","DCOUNT","DCOUNTA","DDB","DEC2BIN","DEC2HEX","DEC2OCT","DECIMAL","DEGREES","DELTA","DEVSQ","DGET","DISC","DMAX","DMIN","DOLLAR","DOLLARDE","DOLLARFR","DPRODUCT","DSTDEV","DSTDEVP","DSUM","DURATION","DVAR","DVARP","EDATE","EFFECT","ENCODEURL","EOMONTH","ERF","ERF.PRECISE","ERFC","ERFC.PRECISE","ERROR.TYPE","EUROCONVERT","EVEN","EXACT","EXP","EXPON.DIST","EXPONDIST","FACT","FACTDOUBLE","FALSE|0","F.DIST","FDIST","F.DIST.RT","FILTERXML","FIND","FINDB","F.INV","F.INV.RT","FINV","FISHER","FISHERINV","FIXED","FLOOR","FLOOR.MATH","FLOOR.PRECISE","FORECAST","FORECAST.ETS","FORECAST.ETS.CONFINT","FORECAST.ETS.SEASONALITY","FORECAST.ETS.STAT","FORECAST.LINEAR","FORMULATEXT","FREQUENCY","F.TEST","FTEST","FV","FVSCHEDULE","GAMMA","GAMMA.DIST","GAMMADIST","GAMMA.INV","GAMMAINV","GAMMALN","GAMMALN.PRECISE","GAUSS","GCD","GEOMEAN","GESTEP","GETPIVOTDATA","GROWTH","HARMEAN","HEX2BIN","HEX2DEC","HEX2OCT","HLOOKUP","HOUR","HYPERLINK","HYPGEOM.DIST","HYPGEOMDIST","IF","IFERROR","IFNA","IFS","IMABS","IMAGINARY","IMARGUMENT","IMCONJUGATE","IMCOS","IMCOSH","IMCOT","IMCSC","IMCSCH","IMDIV","IMEXP","IMLN","IMLOG10","IMLOG2","IMPOWER","IMPRODUCT","IMREAL","IMSEC","IMSECH","IMSIN","IMSINH","IMSQRT","IMSUB","IMSUM","IMTAN","INDEX","INDIRECT","INFO","INT","INTERCEPT","INTRATE","IPMT","IRR","ISBLANK","ISERR","ISERROR","ISEVEN","ISFORMULA","ISLOGICAL","ISNA","ISNONTEXT","ISNUMBER","ISODD","ISREF","ISTEXT","ISO.CEILING","ISOWEEKNUM","ISPMT","JIS","KURT","LARGE","LCM","LEFT","LEFTB","LEN","LENB","LINEST","LN","LOG","LOG10","LOGEST","LOGINV","LOGNORM.DIST","LOGNORMDIST","LOGNORM.INV","LOOKUP","LOWER","MATCH","MAX","MAXA","MAXIFS","MDETERM","MDURATION","MEDIAN","MID","MIDBs","MIN","MINIFS","MINA","MINUTE","MINVERSE","MIRR","MMULT","MOD","MODE","MODE.MULT","MODE.SNGL","MONTH","MROUND","MULTINOMIAL","MUNIT","N","NA","NEGBINOM.DIST","NEGBINOMDIST","NETWORKDAYS","NETWORKDAYS.INTL","NOMINAL","NORM.DIST","NORMDIST","NORMINV","NORM.INV","NORM.S.DIST","NORMSDIST","NORM.S.INV","NORMSINV","NOT","NOW","NPER","NPV","NUMBERVALUE","OCT2BIN","OCT2DEC","OCT2HEX","ODD","ODDFPRICE","ODDFYIELD","ODDLPRICE","ODDLYIELD","OFFSET","OR","PDURATION","PEARSON","PERCENTILE.EXC","PERCENTILE.INC","PERCENTILE","PERCENTRANK.EXC","PERCENTRANK.INC","PERCENTRANK","PERMUT","PERMUTATIONA","PHI","PHONETIC","PI","PMT","POISSON.DIST","POISSON","POWER","PPMT","PRICE","PRICEDISC","PRICEMAT","PROB","PRODUCT","PROPER","PV","QUARTILE","QUARTILE.EXC","QUARTILE.INC","QUOTIENT","RADIANS","RAND","RANDBETWEEN","RANK.AVG","RANK.EQ","RANK","RATE","RECEIVED","REGISTER.ID","REPLACE","REPLACEB","REPT","RIGHT","RIGHTB","ROMAN","ROUND","ROUNDDOWN","ROUNDUP","ROW","ROWS","RRI","RSQ","RTD","SEARCH","SEARCHB","SEC","SECH","SECOND","SERIESSUM","SHEET","SHEETS","SIGN","SIN","SINH","SKEW","SKEW.P","SLN","SLOPE","SMALL","SQL.REQUEST","SQRT","SQRTPI","STANDARDIZE","STDEV","STDEV.P","STDEV.S","STDEVA","STDEVP","STDEVPA","STEYX","SUBSTITUTE","SUBTOTAL","SUM","SUMIF","SUMIFS","SUMPRODUCT","SUMSQ","SUMX2MY2","SUMX2PY2","SUMXMY2","SWITCH","SYD","T","TAN","TANH","TBILLEQ","TBILLPRICE","TBILLYIELD","T.DIST","T.DIST.2T","T.DIST.RT","TDIST","TEXT","TEXTJOIN","TIME","TIMEVALUE","T.INV","T.INV.2T","TINV","TODAY","TRANSPOSE","TREND","TRIM","TRIMMEAN","TRUE|0","TRUNC","T.TEST","TTEST","TYPE","UNICHAR","UNICODE","UPPER","VALUE","VAR","VAR.P","VAR.S","VARA","VARP","VARPA","VDB","VLOOKUP","WEBSERVICE","WEEKDAY","WEEKNUM","WEIBULL","WEIBULL.DIST","WORKDAY","WORKDAY.INTL","XIRR","XNPV","XOR","YEAR","YEARFRAC","YIELD","YIELDDISC","YIELDMAT","Z.TEST","ZTEST"]},contains:[{begin:/^=/,end:/[^=]/,returnEnd:!0,illegal:/=/,relevance:10},{className:"symbol",begin:/\b[A-Z]{1,2}\d+\b/,end:/[^\d]/,excludeEnd:!0,relevance:0},{className:"symbol",begin:/[A-Z]{0,2}\d*:[A-Z]{0,2}\d*/,relevance:0},e.BACKSLASH_ESCAPE,e.QUOTE_STRING_MODE,{className:"number",begin:e.NUMBER_RE+"(%)?",relevance:0},e.COMMENT(/\bN\(/,/\)/,{excludeBegin:!0,excludeEnd:!0,illegal:/\n/})]}}return rh=n,rh}var oh,V0;function dYe(){if(V0)return oh;V0=1;function n(e){return{name:"FIX",contains:[{begin:/[^\u2401\u0001]+/,end:/[\u2401\u0001]/,excludeEnd:!0,returnBegin:!0,returnEnd:!1,contains:[{begin:/([^\u2401\u0001=]+)/,end:/=([^\u2401\u0001=]+)/,returnEnd:!0,returnBegin:!1,className:"attr"},{begin:/=/,end:/([\u2401\u0001])/,excludeEnd:!0,excludeBegin:!0,className:"string"}]}],case_insensitive:!0}}return oh=n,oh}var ah,H0;function uYe(){if(H0)return ah;H0=1;function n(e){const t={className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},i={className:"string",variants:[{begin:'"',end:'"'}]},r={className:"function",beginKeywords:"def",end:/[:={\[(\n;]/,excludeEnd:!0,contains:[{className:"title",relevance:0,begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/}]};return{name:"Flix",keywords:{keyword:["case","class","def","else","enum","if","impl","import","in","lat","rel","index","let","match","namespace","switch","type","yield","with"],literal:["true","false"]},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,t,i,r,e.C_NUMBER_MODE]}}return ah=n,ah}var lh,q0;function pYe(){if(q0)return lh;q0=1;function n(e){const t=e.regex,i={className:"params",begin:"\\(",end:"\\)"},s={variants:[e.COMMENT("!","$",{relevance:0}),e.COMMENT("^C[ ]","$",{relevance:0}),e.COMMENT("^C$","$",{relevance:0})]},r=/(_[a-z_\d]+)?/,o=/([de][+-]?\d+)?/,a={className:"number",variants:[{begin:t.concat(/\b\d+/,/\.(\d*)/,o,r)},{begin:t.concat(/\b\d+/,o,r)},{begin:t.concat(/\.\d+/,o,r)}],relevance:0},l={className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[e.UNDERSCORE_TITLE_MODE,i]},d={className:"string",relevance:0,variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]};return{name:"Fortran",case_insensitive:!0,aliases:["f90","f95"],keywords:{keyword:["kind","do","concurrent","local","shared","while","private","call","intrinsic","where","elsewhere","type","endtype","endmodule","endselect","endinterface","end","enddo","endif","if","forall","endforall","only","contains","default","return","stop","then","block","endblock","endassociate","public","subroutine|10","function","program",".and.",".or.",".not.",".le.",".eq.",".ge.",".gt.",".lt.","goto","save","else","use","module","select","case","access","blank","direct","exist","file","fmt","form","formatted","iostat","name","named","nextrec","number","opened","rec","recl","sequential","status","unformatted","unit","continue","format","pause","cycle","exit","c_null_char","c_alert","c_backspace","c_form_feed","flush","wait","decimal","round","iomsg","synchronous","nopass","non_overridable","pass","protected","volatile","abstract","extends","import","non_intrinsic","value","deferred","generic","final","enumerator","class","associate","bind","enum","c_int","c_short","c_long","c_long_long","c_signed_char","c_size_t","c_int8_t","c_int16_t","c_int32_t","c_int64_t","c_int_least8_t","c_int_least16_t","c_int_least32_t","c_int_least64_t","c_int_fast8_t","c_int_fast16_t","c_int_fast32_t","c_int_fast64_t","c_intmax_t","C_intptr_t","c_float","c_double","c_long_double","c_float_complex","c_double_complex","c_long_double_complex","c_bool","c_char","c_null_ptr","c_null_funptr","c_new_line","c_carriage_return","c_horizontal_tab","c_vertical_tab","iso_c_binding","c_loc","c_funloc","c_associated","c_f_pointer","c_ptr","c_funptr","iso_fortran_env","character_storage_size","error_unit","file_storage_size","input_unit","iostat_end","iostat_eor","numeric_storage_size","output_unit","c_f_procpointer","ieee_arithmetic","ieee_support_underflow_control","ieee_get_underflow_mode","ieee_set_underflow_mode","newunit","contiguous","recursive","pad","position","action","delim","readwrite","eor","advance","nml","interface","procedure","namelist","include","sequence","elemental","pure","impure","integer","real","character","complex","logical","codimension","dimension","allocatable|10","parameter","external","implicit|10","none","double","precision","assign","intent","optional","pointer","target","in","out","common","equivalence","data"],literal:[".False.",".True."],built_in:["alog","alog10","amax0","amax1","amin0","amin1","amod","cabs","ccos","cexp","clog","csin","csqrt","dabs","dacos","dasin","datan","datan2","dcos","dcosh","ddim","dexp","dint","dlog","dlog10","dmax1","dmin1","dmod","dnint","dsign","dsin","dsinh","dsqrt","dtan","dtanh","float","iabs","idim","idint","idnint","ifix","isign","max0","max1","min0","min1","sngl","algama","cdabs","cdcos","cdexp","cdlog","cdsin","cdsqrt","cqabs","cqcos","cqexp","cqlog","cqsin","cqsqrt","dcmplx","dconjg","derf","derfc","dfloat","dgamma","dimag","dlgama","iqint","qabs","qacos","qasin","qatan","qatan2","qcmplx","qconjg","qcos","qcosh","qdim","qerf","qerfc","qexp","qgamma","qimag","qlgama","qlog","qlog10","qmax1","qmin1","qmod","qnint","qsign","qsin","qsinh","qsqrt","qtan","qtanh","abs","acos","aimag","aint","anint","asin","atan","atan2","char","cmplx","conjg","cos","cosh","exp","ichar","index","int","log","log10","max","min","nint","sign","sin","sinh","sqrt","tan","tanh","print","write","dim","lge","lgt","lle","llt","mod","nullify","allocate","deallocate","adjustl","adjustr","all","allocated","any","associated","bit_size","btest","ceiling","count","cshift","date_and_time","digits","dot_product","eoshift","epsilon","exponent","floor","fraction","huge","iand","ibclr","ibits","ibset","ieor","ior","ishft","ishftc","lbound","len_trim","matmul","maxexponent","maxloc","maxval","merge","minexponent","minloc","minval","modulo","mvbits","nearest","pack","present","product","radix","random_number","random_seed","range","repeat","reshape","rrspacing","scale","scan","selected_int_kind","selected_real_kind","set_exponent","shape","size","spacing","spread","sum","system_clock","tiny","transpose","trim","ubound","unpack","verify","achar","iachar","transfer","dble","entry","dprod","cpu_time","command_argument_count","get_command","get_command_argument","get_environment_variable","is_iostat_end","ieee_arithmetic","ieee_support_underflow_control","ieee_get_underflow_mode","ieee_set_underflow_mode","is_iostat_eor","move_alloc","new_line","selected_char_kind","same_type_as","extends_type_of","acosh","asinh","atanh","bessel_j0","bessel_j1","bessel_jn","bessel_y0","bessel_y1","bessel_yn","erf","erfc","erfc_scaled","gamma","log_gamma","hypot","norm2","atomic_define","atomic_ref","execute_command_line","leadz","trailz","storage_size","merge_bits","bge","bgt","ble","blt","dshiftl","dshiftr","findloc","iall","iany","iparity","image_index","lcobound","ucobound","maskl","maskr","num_images","parity","popcnt","poppar","shifta","shiftl","shiftr","this_image","sync","change","team","co_broadcast","co_max","co_min","co_sum","co_reduce"]},illegal:/\/\*/,contains:[d,l,{begin:/^C\s*=(?!=)/,relevance:0},s,a]}}return lh=n,lh}var ch,Y0;function _Ye(){if(Y0)return ch;Y0=1;function n(a){return new RegExp(a.replace(/[-/\\^$*+?.()|[\]{}]/g,"\\$&"),"m")}function e(a){return a?typeof a=="string"?a:a.source:null}function t(a){return i("(?=",a,")")}function i(...a){return a.map(d=>e(d)).join("")}function s(a){const l=a[a.length-1];return typeof l=="object"&&l.constructor===Object?(a.splice(a.length-1,1),l):{}}function r(...a){return"("+(s(a).capture?"":"?:")+a.map(c=>e(c)).join("|")+")"}function o(a){const l=["abstract","and","as","assert","base","begin","class","default","delegate","do","done","downcast","downto","elif","else","end","exception","extern","finally","fixed","for","fun","function","global","if","in","inherit","inline","interface","internal","lazy","let","match","member","module","mutable","namespace","new","of","open","or","override","private","public","rec","return","static","struct","then","to","try","type","upcast","use","val","void","when","while","with","yield"],d={scope:"keyword",match:/\b(yield|return|let|do|match|use)!/},c=["if","else","endif","line","nowarn","light","r","i","I","load","time","help","quit"],_=["true","false","null","Some","None","Ok","Error","infinity","infinityf","nan","nanf"],f=["__LINE__","__SOURCE_DIRECTORY__","__SOURCE_FILE__"],m=["bool","byte","sbyte","int8","int16","int32","uint8","uint16","uint32","int","uint","int64","uint64","nativeint","unativeint","decimal","float","double","float32","single","char","string","unit","bigint","option","voption","list","array","seq","byref","exn","inref","nativeptr","obj","outref","voidptr","Result"],E={keyword:l,literal:_,built_in:["not","ref","raise","reraise","dict","readOnlyDict","set","get","enum","sizeof","typeof","typedefof","nameof","nullArg","invalidArg","invalidOp","id","fst","snd","ignore","lock","using","box","unbox","tryUnbox","printf","printfn","sprintf","eprintf","eprintfn","fprintf","fprintfn","failwith","failwithf"],"variable.constant":f},g={variants:[a.COMMENT(/\(\*(?!\))/,/\*\)/,{contains:["self"]}),a.C_LINE_COMMENT_MODE]},v=/[a-zA-Z_](\w|')*/,y={scope:"variable",begin:/``/,end:/``/},T=/\B('|\^)/,C={scope:"symbol",variants:[{match:i(T,/``.*?``/)},{match:i(T,a.UNDERSCORE_IDENT_RE)}],relevance:0},x=function({includeEqual:ve}){let Ae;ve?Ae="!%&*+-/<=>@^|~?":Ae="!%&*+-/<>@^|~?";const J=Array.from(Ae),me=i("[",...J.map(n),"]"),ee=r(me,/\./),Se=i(ee,t(ee)),Oe=r(i(Se,ee,"*"),i(me,"+"));return{scope:"operator",match:r(Oe,/:\?>/,/:\?/,/:>/,/:=/,/::?/,/\$/),relevance:0}},O=x({includeEqual:!0}),R=x({includeEqual:!1}),S=function(ve,Ae){return{begin:i(ve,t(i(/\s*/,r(/\w/,/'/,/\^/,/#/,/``/,/\(/,/{\|/)))),beginScope:Ae,end:t(r(/\n/,/=/)),relevance:0,keywords:a.inherit(E,{type:m}),contains:[g,C,a.inherit(y,{scope:null}),R]}},A=S(/:/,"operator"),U=S(/\bof\b/,"keyword"),F={begin:[/(^|\s+)/,/type/,/\s+/,v],beginScope:{2:"keyword",4:"title.class"},end:t(/\(|=|$/),keywords:E,contains:[g,a.inherit(y,{scope:null}),C,{scope:"operator",match:/<|>/},A]},K={scope:"computation-expression",match:/\b[_a-z]\w*(?=\s*\{)/},L={begin:[/^\s*/,i(/#/,r(...c)),/\b/],beginScope:{2:"meta"},end:t(/\s|$/)},H={variants:[a.BINARY_NUMBER_MODE,a.C_NUMBER_MODE]},G={scope:"string",begin:/"/,end:/"/,contains:[a.BACKSLASH_ESCAPE]},P={scope:"string",begin:/@"/,end:/"/,contains:[{match:/""/},a.BACKSLASH_ESCAPE]},j={scope:"string",begin:/"""/,end:/"""/,relevance:2},Y={scope:"subst",begin:/\{/,end:/\}/,keywords:E},Q={scope:"string",begin:/\$"/,end:/"/,contains:[{match:/\{\{/},{match:/\}\}/},a.BACKSLASH_ESCAPE,Y]},re={scope:"string",begin:/(\$@|@\$)"/,end:/"/,contains:[{match:/\{\{/},{match:/\}\}/},{match:/""/},a.BACKSLASH_ESCAPE,Y]},te={scope:"string",begin:/\$"""/,end:/"""/,contains:[{match:/\{\{/},{match:/\}\}/},Y],relevance:2},Z={scope:"string",match:i(/'/,r(/[^\\']/,/\\(?:.|\d{3}|x[a-fA-F\d]{2}|u[a-fA-F\d]{4}|U[a-fA-F\d]{8})/),/'/)};return Y.contains=[re,Q,P,G,Z,d,g,y,A,K,L,H,C,O],{name:"F#",aliases:["fs","f#"],keywords:E,illegal:/\/\*/,classNameAliases:{"computation-expression":"keyword"},contains:[d,{variants:[te,re,Q,j,P,G,Z]},g,y,F,{scope:"meta",begin:/\[</,end:/>\]/,relevance:2,contains:[y,j,P,G,Z,H]},U,A,K,L,H,C,O]}}return ch=o,ch}var dh,$0;function hYe(){if($0)return dh;$0=1;function n(e){const t=e.regex,i={keyword:"abort acronym acronyms alias all and assign binary card diag display else eq file files for free ge gt if integer le loop lt maximizing minimizing model models ne negative no not option options or ord positive prod put putpage puttl repeat sameas semicont semiint smax smin solve sos1 sos2 sum system table then until using while xor yes",literal:"eps inf na",built_in:"abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power randBinomial randLinear randTriangle round rPower sigmoid sign signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion handleCollect handleDelete handleStatus handleSubmit heapFree heapLimit heapSize jobHandle jobKill jobStatus jobTerminate licenseLevel licenseStatus maxExecError sleep timeClose timeComp timeElapsed timeExec timeStart"},s={className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0},r={className:"symbol",variants:[{begin:/=[lgenxc]=/},{begin:/\$/}]},o={className:"comment",variants:[{begin:"'",end:"'"},{begin:'"',end:'"'}],illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},a={begin:"/",end:"/",keywords:i,contains:[o,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},l=/[a-z0-9&#*=?@\\><:,()$[\]_.{}!+%^-]+/,d={begin:/[a-z][a-z0-9_]*(\([a-z0-9_, ]*\))?[ \t]+/,excludeBegin:!0,end:"$",endsWithParent:!0,contains:[o,a,{className:"comment",begin:t.concat(l,t.anyNumberOfTimes(t.concat(/[ ]+/,l))),relevance:0}]};return{name:"GAMS",aliases:["gms"],case_insensitive:!0,keywords:i,contains:[e.COMMENT(/^\$ontext/,/^\$offtext/),{className:"meta",begin:"^\\$[a-z0-9]+",end:"$",returnBegin:!0,contains:[{className:"keyword",begin:"^\\$[a-z0-9]+"}]},e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{beginKeywords:"set sets parameter parameters variable variables scalar scalars equation equations",end:";",contains:[e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,a,d]},{beginKeywords:"table",end:";",returnBegin:!0,contains:[{beginKeywords:"table",end:"$",contains:[d]},e.COMMENT("^\\*","$"),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE]},{className:"function",begin:/^[a-z][a-z0-9_,\-+' ()$]+\.{2}/,returnBegin:!0,contains:[{className:"title",begin:/^[a-z0-9_]+/},s,r]},e.C_NUMBER_MODE,r]}}return dh=n,dh}var uh,W0;function fYe(){if(W0)return uh;W0=1;function n(e){const t={keyword:"bool break call callexe checkinterrupt clear clearg closeall cls comlog compile continue create debug declare delete disable dlibrary dllcall do dos ed edit else elseif enable end endfor endif endp endo errorlog errorlogat expr external fn for format goto gosub graph if keyword let lib library line load loadarray loadexe loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen scroll setarray show sparse stop string struct system trace trap threadfor threadendfor threadbegin threadjoin threadstat threadend until use while winprint ne ge le gt lt and xor or not eq eqv",built_in:"abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester strtrim",literal:"DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR"},i=e.COMMENT("@","@"),s={className:"meta",begin:"#",end:"$",keywords:{keyword:"define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline"},contains:[{begin:/\\\n/,relevance:0},{beginKeywords:"include",end:"$",keywords:{keyword:"include"},contains:[{className:"string",begin:'"',end:'"',illegal:"\\n"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i]},r={begin:/\bstruct\s+/,end:/\s/,keywords:"struct",contains:[{className:"type",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},o=[{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,endsWithParent:!0,relevance:0,contains:[{className:"literal",begin:/\.\.\./},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,i,r]}],a={className:"title",begin:e.UNDERSCORE_IDENT_RE,relevance:0},l=function(m,h,E){const b=e.inherit({className:"function",beginKeywords:m,end:h,excludeEnd:!0,contains:[].concat(o)},E||{});return b.contains.push(a),b.contains.push(e.C_NUMBER_MODE),b.contains.push(e.C_BLOCK_COMMENT_MODE),b.contains.push(i),b},d={className:"built_in",begin:"\\b("+t.built_in.split(" ").join("|")+")\\b"},c={className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE],relevance:0},_={begin:e.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,keywords:t,relevance:0,contains:[{beginKeywords:t.keyword},d,{className:"built_in",begin:e.UNDERSCORE_IDENT_RE,relevance:0}]},f={begin:/\(/,end:/\)/,relevance:0,keywords:{built_in:t.built_in,literal:t.literal},contains:[e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,i,d,_,c,"self"]};return _.contains.push(f),{name:"GAUSS",aliases:["gss"],case_insensitive:!0,keywords:t,illegal:/(\{[%#]|[%#]\}| <- )/,contains:[e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,i,c,s,{className:"keyword",begin:/\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/},l("proc keyword",";"),l("fn","="),{beginKeywords:"for threadfor",end:/;/,relevance:0,contains:[e.C_BLOCK_COMMENT_MODE,i,f]},{variants:[{begin:e.UNDERSCORE_IDENT_RE+"\\."+e.UNDERSCORE_IDENT_RE},{begin:e.UNDERSCORE_IDENT_RE+"\\s*="}],relevance:0},_,r]}}return uh=n,uh}var ph,K0;function mYe(){if(K0)return ph;K0=1;function n(e){const t="[A-Z_][A-Z0-9_.]*",i="%",s={$pattern:t,keyword:"IF DO WHILE ENDWHILE CALL ENDIF SUB ENDSUB GOTO REPEAT ENDREPEAT EQ LT GT NE GE LE OR XOR"},r={className:"meta",begin:"([O])([0-9]+)"},o=e.inherit(e.C_NUMBER_MODE,{begin:"([-+]?((\\.\\d+)|(\\d+)(\\.\\d*)?))|"+e.C_NUMBER_RE}),a=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(/\(/,/\)/),o,e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"name",begin:"([G])([0-9]+\\.?[0-9]?)"},{className:"name",begin:"([M])([0-9]+\\.?[0-9]?)"},{className:"attr",begin:"(VC|VS|#)",end:"(\\d+)"},{className:"attr",begin:"(VZOFX|VZOFY|VZOFZ)"},{className:"built_in",begin:"(ATAN|ABS|ACOS|ASIN|SIN|COS|EXP|FIX|FUP|ROUND|LN|TAN)(\\[)",contains:[o],end:"\\]"},{className:"symbol",variants:[{begin:"N",end:"\\d+",illegal:"\\W"}]}];return{name:"G-code (ISO 6983)",aliases:["nc"],case_insensitive:!0,keywords:s,contains:[{className:"meta",begin:i},r].concat(a)}}return ph=n,ph}var _h,j0;function gYe(){if(j0)return _h;j0=1;function n(e){return{name:"Gherkin",aliases:["feature"],keywords:"Feature Background Ability Business Need Scenario Scenarios Scenario Outline Scenario Template Examples Given And Then But When",contains:[{className:"symbol",begin:"\\*",relevance:0},{className:"meta",begin:"@[^@\\s]+"},{begin:"\\|",end:"\\|\\w*$",contains:[{className:"string",begin:"[^|]+"}]},{className:"variable",begin:"<",end:">"},e.HASH_COMMENT_MODE,{className:"string",begin:'"""',end:'"""'},e.QUOTE_STRING_MODE]}}return _h=n,_h}var hh,Q0;function bYe(){if(Q0)return hh;Q0=1;function n(e){return{name:"GLSL",keywords:{keyword:"break continue discard do else for if return while switch case default attribute binding buffer ccw centroid centroid varying coherent column_major const cw depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip triangles triangles_adjacency uniform varying vertices volatile writeonly",type:"atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBuffer iimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void",built_in:"gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow",literal:"true false"},illegal:'"',contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"}]}}return hh=n,hh}var fh,X0;function EYe(){if(X0)return fh;X0=1;function n(e){return{name:"GML",case_insensitive:!1,keywords:{keyword:["#endregion","#macro","#region","and","begin","break","case","constructor","continue","default","delete","div","do","else","end","enum","exit","for","function","globalvar","if","mod","not","or","repeat","return","switch","then","until","var","while","with","xor"],built_in:["abs","achievement_available","achievement_event","achievement_get_challenges","achievement_get_info","achievement_get_pic","achievement_increment","achievement_load_friends","achievement_load_leaderboard","achievement_load_progress","achievement_login","achievement_login_status","achievement_logout","achievement_post","achievement_post_score","achievement_reset","achievement_send_challenge","achievement_show","achievement_show_achievements","achievement_show_challenge_notifications","achievement_show_leaderboards","action_inherited","action_kill_object","ads_disable","ads_enable","ads_engagement_active","ads_engagement_available","ads_engagement_launch","ads_event","ads_event_preload","ads_get_display_height","ads_get_display_width","ads_interstitial_available","ads_interstitial_display","ads_move","ads_set_reward_callback","ads_setup","alarm_get","alarm_set","analytics_event","analytics_event_ext","angle_difference","ansi_char","application_get_position","application_surface_draw_enable","application_surface_enable","application_surface_is_enabled","arccos","arcsin","arctan","arctan2","array_copy","array_create","array_delete","array_equals","array_height_2d","array_insert","array_length","array_length_1d","array_length_2d","array_pop","array_push","array_resize","array_sort","asset_get_index","asset_get_type","audio_channel_num","audio_create_buffer_sound","audio_create_play_queue","audio_create_stream","audio_create_sync_group","audio_debug","audio_destroy_stream","audio_destroy_sync_group","audio_emitter_create","audio_emitter_exists","audio_emitter_falloff","audio_emitter_free","audio_emitter_gain","audio_emitter_get_gain","audio_emitter_get_listener_mask","audio_emitter_get_pitch","audio_emitter_get_vx","audio_emitter_get_vy","audio_emitter_get_vz","audio_emitter_get_x","audio_emitter_get_y","audio_emitter_get_z","audio_emitter_pitch","audio_emitter_position","audio_emitter_set_listener_mask","audio_emitter_velocity","audio_exists","audio_falloff_set_model","audio_free_buffer_sound","audio_free_play_queue","audio_get_listener_count","audio_get_listener_info","audio_get_listener_mask","audio_get_master_gain","audio_get_name","audio_get_recorder_count","audio_get_recorder_info","audio_get_type","audio_group_is_loaded","audio_group_load","audio_group_load_progress","audio_group_name","audio_group_set_gain","audio_group_stop_all","audio_group_unload","audio_is_paused","audio_is_playing","audio_listener_get_data","audio_listener_orientation","audio_listener_position","audio_listener_set_orientation","audio_listener_set_position","audio_listener_set_velocity","audio_listener_velocity","audio_master_gain","audio_music_gain","audio_music_is_playing","audio_pause_all","audio_pause_music","audio_pause_sound","audio_pause_sync_group","audio_play_in_sync_group","audio_play_music","audio_play_sound","audio_play_sound_at","audio_play_sound_on","audio_queue_sound","audio_resume_all","audio_resume_music","audio_resume_sound","audio_resume_sync_group","audio_set_listener_mask","audio_set_master_gain","audio_sound_gain","audio_sound_get_gain","audio_sound_get_listener_mask","audio_sound_get_pitch","audio_sound_get_track_position","audio_sound_length","audio_sound_pitch","audio_sound_set_listener_mask","audio_sound_set_track_position","audio_start_recording","audio_start_sync_group","audio_stop_all","audio_stop_music","audio_stop_recording","audio_stop_sound","audio_stop_sync_group","audio_sync_group_debug","audio_sync_group_get_track_pos","audio_sync_group_is_playing","audio_system","background_get_height","background_get_width","base64_decode","base64_encode","browser_input_capture","buffer_async_group_begin","buffer_async_group_end","buffer_async_group_option","buffer_base64_decode","buffer_base64_decode_ext","buffer_base64_encode","buffer_copy","buffer_copy_from_vertex_buffer","buffer_create","buffer_create_from_vertex_buffer","buffer_create_from_vertex_buffer_ext","buffer_delete","buffer_exists","buffer_fill","buffer_get_address","buffer_get_alignment","buffer_get_size","buffer_get_surface","buffer_get_type","buffer_load","buffer_load_async","buffer_load_ext","buffer_load_partial","buffer_md5","buffer_peek","buffer_poke","buffer_read","buffer_resize","buffer_save","buffer_save_async","buffer_save_ext","buffer_seek","buffer_set_surface","buffer_sha1","buffer_sizeof","buffer_tell","buffer_write","camera_apply","camera_create","camera_create_view","camera_destroy","camera_get_active","camera_get_begin_script","camera_get_default","camera_get_end_script","camera_get_proj_mat","camera_get_update_script","camera_get_view_angle","camera_get_view_border_x","camera_get_view_border_y","camera_get_view_height","camera_get_view_mat","camera_get_view_speed_x","camera_get_view_speed_y","camera_get_view_target","camera_get_view_width","camera_get_view_x","camera_get_view_y","camera_set_begin_script","camera_set_default","camera_set_end_script","camera_set_proj_mat","camera_set_update_script","camera_set_view_angle","camera_set_view_border","camera_set_view_mat","camera_set_view_pos","camera_set_view_size","camera_set_view_speed","camera_set_view_target","ceil","choose","chr","clamp","clickable_add","clickable_add_ext","clickable_change","clickable_change_ext","clickable_delete","clickable_exists","clickable_set_style","clipboard_get_text","clipboard_has_text","clipboard_set_text","cloud_file_save","cloud_string_save","cloud_synchronise","code_is_compiled","collision_circle","collision_circle_list","collision_ellipse","collision_ellipse_list","collision_line","collision_line_list","collision_point","collision_point_list","collision_rectangle","collision_rectangle_list","color_get_blue","color_get_green","color_get_hue","color_get_red","color_get_saturation","color_get_value","colour_get_blue","colour_get_green","colour_get_hue","colour_get_red","colour_get_saturation","colour_get_value","cos","darccos","darcsin","darctan","darctan2","date_compare_date","date_compare_datetime","date_compare_time","date_create_datetime","date_current_datetime","date_date_of","date_date_string","date_datetime_string","date_day_span","date_days_in_month","date_days_in_year","date_get_day","date_get_day_of_year","date_get_hour","date_get_hour_of_year","date_get_minute","date_get_minute_of_year","date_get_month","date_get_second","date_get_second_of_year","date_get_timezone","date_get_week","date_get_weekday","date_get_year","date_hour_span","date_inc_day","date_inc_hour","date_inc_minute","date_inc_month","date_inc_second","date_inc_week","date_inc_year","date_is_today","date_leap_year","date_minute_span","date_month_span","date_second_span","date_set_timezone","date_time_of","date_time_string","date_valid_datetime","date_week_span","date_year_span","dcos","debug_event","debug_get_callstack","degtorad","device_get_tilt_x","device_get_tilt_y","device_get_tilt_z","device_is_keypad_open","device_mouse_check_button","device_mouse_check_button_pressed","device_mouse_check_button_released","device_mouse_dbclick_enable","device_mouse_raw_x","device_mouse_raw_y","device_mouse_x","device_mouse_x_to_gui","device_mouse_y","device_mouse_y_to_gui","directory_create","directory_destroy","directory_exists","display_get_dpi_x","display_get_dpi_y","display_get_gui_height","display_get_gui_width","display_get_height","display_get_orientation","display_get_sleep_margin","display_get_timing_method","display_get_width","display_mouse_get_x","display_mouse_get_y","display_mouse_set","display_reset","display_set_gui_maximise","display_set_gui_maximize","display_set_gui_size","display_set_sleep_margin","display_set_timing_method","display_set_ui_visibility","distance_to_object","distance_to_point","dot_product","dot_product_3d","dot_product_3d_normalised","dot_product_3d_normalized","dot_product_normalised","dot_product_normalized","draw_arrow","draw_background","draw_background_ext","draw_background_part_ext","draw_background_tiled","draw_button","draw_circle","draw_circle_color","draw_circle_colour","draw_clear","draw_clear_alpha","draw_ellipse","draw_ellipse_color","draw_ellipse_colour","draw_enable_alphablend","draw_enable_drawevent","draw_enable_swf_aa","draw_flush","draw_get_alpha","draw_get_color","draw_get_colour","draw_get_lighting","draw_get_swf_aa_level","draw_getpixel","draw_getpixel_ext","draw_healthbar","draw_highscore","draw_light_define_ambient","draw_light_define_direction","draw_light_define_point","draw_light_enable","draw_light_get","draw_light_get_ambient","draw_line","draw_line_color","draw_line_colour","draw_line_width","draw_line_width_color","draw_line_width_colour","draw_path","draw_point","draw_point_color","draw_point_colour","draw_primitive_begin","draw_primitive_begin_texture","draw_primitive_end","draw_rectangle","draw_rectangle_color","draw_rectangle_colour","draw_roundrect","draw_roundrect_color","draw_roundrect_color_ext","draw_roundrect_colour","draw_roundrect_colour_ext","draw_roundrect_ext","draw_self","draw_set_alpha","draw_set_alpha_test","draw_set_alpha_test_ref_value","draw_set_blend_mode","draw_set_blend_mode_ext","draw_set_circle_precision","draw_set_color","draw_set_color_write_enable","draw_set_colour","draw_set_font","draw_set_halign","draw_set_lighting","draw_set_swf_aa_level","draw_set_valign","draw_skeleton","draw_skeleton_collision","draw_skeleton_instance","draw_skeleton_time","draw_sprite","draw_sprite_ext","draw_sprite_general","draw_sprite_part","draw_sprite_part_ext","draw_sprite_pos","draw_sprite_stretched","draw_sprite_stretched_ext","draw_sprite_tiled","draw_sprite_tiled_ext","draw_surface","draw_surface_ext","draw_surface_general","draw_surface_part","draw_surface_part_ext","draw_surface_stretched","draw_surface_stretched_ext","draw_surface_tiled","draw_surface_tiled_ext","draw_text","draw_text_color","draw_text_colour","draw_text_ext","draw_text_ext_color","draw_text_ext_colour","draw_text_ext_transformed","draw_text_ext_transformed_color","draw_text_ext_transformed_colour","draw_text_transformed","draw_text_transformed_color","draw_text_transformed_colour","draw_texture_flush","draw_tile","draw_tilemap","draw_triangle","draw_triangle_color","draw_triangle_colour","draw_vertex","draw_vertex_color","draw_vertex_colour","draw_vertex_texture","draw_vertex_texture_color","draw_vertex_texture_colour","ds_exists","ds_grid_add","ds_grid_add_disk","ds_grid_add_grid_region","ds_grid_add_region","ds_grid_clear","ds_grid_copy","ds_grid_create","ds_grid_destroy","ds_grid_get","ds_grid_get_disk_max","ds_grid_get_disk_mean","ds_grid_get_disk_min","ds_grid_get_disk_sum","ds_grid_get_max","ds_grid_get_mean","ds_grid_get_min","ds_grid_get_sum","ds_grid_height","ds_grid_multiply","ds_grid_multiply_disk","ds_grid_multiply_grid_region","ds_grid_multiply_region","ds_grid_read","ds_grid_resize","ds_grid_set","ds_grid_set_disk","ds_grid_set_grid_region","ds_grid_set_region","ds_grid_shuffle","ds_grid_sort","ds_grid_value_disk_exists","ds_grid_value_disk_x","ds_grid_value_disk_y","ds_grid_value_exists","ds_grid_value_x","ds_grid_value_y","ds_grid_width","ds_grid_write","ds_list_add","ds_list_clear","ds_list_copy","ds_list_create","ds_list_delete","ds_list_destroy","ds_list_empty","ds_list_find_index","ds_list_find_value","ds_list_insert","ds_list_mark_as_list","ds_list_mark_as_map","ds_list_read","ds_list_replace","ds_list_set","ds_list_shuffle","ds_list_size","ds_list_sort","ds_list_write","ds_map_add","ds_map_add_list","ds_map_add_map","ds_map_clear","ds_map_copy","ds_map_create","ds_map_delete","ds_map_destroy","ds_map_empty","ds_map_exists","ds_map_find_first","ds_map_find_last","ds_map_find_next","ds_map_find_previous","ds_map_find_value","ds_map_read","ds_map_replace","ds_map_replace_list","ds_map_replace_map","ds_map_secure_load","ds_map_secure_load_buffer","ds_map_secure_save","ds_map_secure_save_buffer","ds_map_set","ds_map_size","ds_map_write","ds_priority_add","ds_priority_change_priority","ds_priority_clear","ds_priority_copy","ds_priority_create","ds_priority_delete_max","ds_priority_delete_min","ds_priority_delete_value","ds_priority_destroy","ds_priority_empty","ds_priority_find_max","ds_priority_find_min","ds_priority_find_priority","ds_priority_read","ds_priority_size","ds_priority_write","ds_queue_clear","ds_queue_copy","ds_queue_create","ds_queue_dequeue","ds_queue_destroy","ds_queue_empty","ds_queue_enqueue","ds_queue_head","ds_queue_read","ds_queue_size","ds_queue_tail","ds_queue_write","ds_set_precision","ds_stack_clear","ds_stack_copy","ds_stack_create","ds_stack_destroy","ds_stack_empty","ds_stack_pop","ds_stack_push","ds_stack_read","ds_stack_size","ds_stack_top","ds_stack_write","dsin","dtan","effect_clear","effect_create_above","effect_create_below","environment_get_variable","event_inherited","event_perform","event_perform_object","event_user","exp","external_call","external_define","external_free","facebook_accesstoken","facebook_check_permission","facebook_dialog","facebook_graph_request","facebook_init","facebook_launch_offerwall","facebook_login","facebook_logout","facebook_post_message","facebook_request_publish_permissions","facebook_request_read_permissions","facebook_send_invite","facebook_status","facebook_user_id","file_attributes","file_bin_close","file_bin_open","file_bin_position","file_bin_read_byte","file_bin_rewrite","file_bin_seek","file_bin_size","file_bin_write_byte","file_copy","file_delete","file_exists","file_find_close","file_find_first","file_find_next","file_rename","file_text_close","file_text_eof","file_text_eoln","file_text_open_append","file_text_open_from_string","file_text_open_read","file_text_open_write","file_text_read_real","file_text_read_string","file_text_readln","file_text_write_real","file_text_write_string","file_text_writeln","filename_change_ext","filename_dir","filename_drive","filename_ext","filename_name","filename_path","floor","font_add","font_add_enable_aa","font_add_get_enable_aa","font_add_sprite","font_add_sprite_ext","font_delete","font_exists","font_get_bold","font_get_first","font_get_fontname","font_get_italic","font_get_last","font_get_name","font_get_size","font_get_texture","font_get_uvs","font_replace","font_replace_sprite","font_replace_sprite_ext","font_set_cache_size","font_texture_page_size","frac","game_end","game_get_speed","game_load","game_load_buffer","game_restart","game_save","game_save_buffer","game_set_speed","gamepad_axis_count","gamepad_axis_value","gamepad_button_check","gamepad_button_check_pressed","gamepad_button_check_released","gamepad_button_count","gamepad_button_value","gamepad_get_axis_deadzone","gamepad_get_button_threshold","gamepad_get_description","gamepad_get_device_count","gamepad_is_connected","gamepad_is_supported","gamepad_set_axis_deadzone","gamepad_set_button_threshold","gamepad_set_color","gamepad_set_colour","gamepad_set_vibration","gesture_double_tap_distance","gesture_double_tap_time","gesture_drag_distance","gesture_drag_time","gesture_flick_speed","gesture_get_double_tap_distance","gesture_get_double_tap_time","gesture_get_drag_distance","gesture_get_drag_time","gesture_get_flick_speed","gesture_get_pinch_angle_away","gesture_get_pinch_angle_towards","gesture_get_pinch_distance","gesture_get_rotate_angle","gesture_get_rotate_time","gesture_get_tap_count","gesture_pinch_angle_away","gesture_pinch_angle_towards","gesture_pinch_distance","gesture_rotate_angle","gesture_rotate_time","gesture_tap_count","get_integer","get_integer_async","get_login_async","get_open_filename","get_open_filename_ext","get_save_filename","get_save_filename_ext","get_string","get_string_async","get_timer","gml_pragma","gml_release_mode","gpu_get_alphatestenable","gpu_get_alphatestfunc","gpu_get_alphatestref","gpu_get_blendenable","gpu_get_blendmode","gpu_get_blendmode_dest","gpu_get_blendmode_destalpha","gpu_get_blendmode_ext","gpu_get_blendmode_ext_sepalpha","gpu_get_blendmode_src","gpu_get_blendmode_srcalpha","gpu_get_colorwriteenable","gpu_get_colourwriteenable","gpu_get_cullmode","gpu_get_fog","gpu_get_lightingenable","gpu_get_state","gpu_get_tex_filter","gpu_get_tex_filter_ext","gpu_get_tex_max_aniso","gpu_get_tex_max_aniso_ext","gpu_get_tex_max_mip","gpu_get_tex_max_mip_ext","gpu_get_tex_min_mip","gpu_get_tex_min_mip_ext","gpu_get_tex_mip_bias","gpu_get_tex_mip_bias_ext","gpu_get_tex_mip_enable","gpu_get_tex_mip_enable_ext","gpu_get_tex_mip_filter","gpu_get_tex_mip_filter_ext","gpu_get_tex_repeat","gpu_get_tex_repeat_ext","gpu_get_texfilter","gpu_get_texfilter_ext","gpu_get_texrepeat","gpu_get_texrepeat_ext","gpu_get_zfunc","gpu_get_ztestenable","gpu_get_zwriteenable","gpu_pop_state","gpu_push_state","gpu_set_alphatestenable","gpu_set_alphatestfunc","gpu_set_alphatestref","gpu_set_blendenable","gpu_set_blendmode","gpu_set_blendmode_ext","gpu_set_blendmode_ext_sepalpha","gpu_set_colorwriteenable","gpu_set_colourwriteenable","gpu_set_cullmode","gpu_set_fog","gpu_set_lightingenable","gpu_set_state","gpu_set_tex_filter","gpu_set_tex_filter_ext","gpu_set_tex_max_aniso","gpu_set_tex_max_aniso_ext","gpu_set_tex_max_mip","gpu_set_tex_max_mip_ext","gpu_set_tex_min_mip","gpu_set_tex_min_mip_ext","gpu_set_tex_mip_bias","gpu_set_tex_mip_bias_ext","gpu_set_tex_mip_enable","gpu_set_tex_mip_enable_ext","gpu_set_tex_mip_filter","gpu_set_tex_mip_filter_ext","gpu_set_tex_repeat","gpu_set_tex_repeat_ext","gpu_set_texfilter","gpu_set_texfilter_ext","gpu_set_texrepeat","gpu_set_texrepeat_ext","gpu_set_zfunc","gpu_set_ztestenable","gpu_set_zwriteenable","highscore_add","highscore_clear","highscore_name","highscore_value","http_get","http_get_file","http_post_string","http_request","iap_acquire","iap_activate","iap_consume","iap_enumerate_products","iap_product_details","iap_purchase_details","iap_restore_all","iap_status","ini_close","ini_key_delete","ini_key_exists","ini_open","ini_open_from_string","ini_read_real","ini_read_string","ini_section_delete","ini_section_exists","ini_write_real","ini_write_string","instance_activate_all","instance_activate_layer","instance_activate_object","instance_activate_region","instance_change","instance_copy","instance_create","instance_create_depth","instance_create_layer","instance_deactivate_all","instance_deactivate_layer","instance_deactivate_object","instance_deactivate_region","instance_destroy","instance_exists","instance_find","instance_furthest","instance_id_get","instance_nearest","instance_number","instance_place","instance_place_list","instance_position","instance_position_list","int64","io_clear","irandom","irandom_range","is_array","is_bool","is_infinity","is_int32","is_int64","is_matrix","is_method","is_nan","is_numeric","is_ptr","is_real","is_string","is_struct","is_undefined","is_vec3","is_vec4","json_decode","json_encode","keyboard_check","keyboard_check_direct","keyboard_check_pressed","keyboard_check_released","keyboard_clear","keyboard_get_map","keyboard_get_numlock","keyboard_key_press","keyboard_key_release","keyboard_set_map","keyboard_set_numlock","keyboard_unset_map","keyboard_virtual_height","keyboard_virtual_hide","keyboard_virtual_show","keyboard_virtual_status","layer_add_instance","layer_background_alpha","layer_background_blend","layer_background_change","layer_background_create","layer_background_destroy","layer_background_exists","layer_background_get_alpha","layer_background_get_blend","layer_background_get_htiled","layer_background_get_id","layer_background_get_index","layer_background_get_speed","layer_background_get_sprite","layer_background_get_stretch","layer_background_get_visible","layer_background_get_vtiled","layer_background_get_xscale","layer_background_get_yscale","layer_background_htiled","layer_background_index","layer_background_speed","layer_background_sprite","layer_background_stretch","layer_background_visible","layer_background_vtiled","layer_background_xscale","layer_background_yscale","layer_create","layer_depth","layer_destroy","layer_destroy_instances","layer_element_move","layer_exists","layer_force_draw_depth","layer_get_all","layer_get_all_elements","layer_get_depth","layer_get_element_layer","layer_get_element_type","layer_get_forced_depth","layer_get_hspeed","layer_get_id","layer_get_id_at_depth","layer_get_name","layer_get_script_begin","layer_get_script_end","layer_get_shader","layer_get_target_room","layer_get_visible","layer_get_vspeed","layer_get_x","layer_get_y","layer_has_instance","layer_hspeed","layer_instance_get_instance","layer_is_draw_depth_forced","layer_reset_target_room","layer_script_begin","layer_script_end","layer_set_target_room","layer_set_visible","layer_shader","layer_sprite_alpha","layer_sprite_angle","layer_sprite_blend","layer_sprite_change","layer_sprite_create","layer_sprite_destroy","layer_sprite_exists","layer_sprite_get_alpha","layer_sprite_get_angle","layer_sprite_get_blend","layer_sprite_get_id","layer_sprite_get_index","layer_sprite_get_speed","layer_sprite_get_sprite","layer_sprite_get_x","layer_sprite_get_xscale","layer_sprite_get_y","layer_sprite_get_yscale","layer_sprite_index","layer_sprite_speed","layer_sprite_x","layer_sprite_xscale","layer_sprite_y","layer_sprite_yscale","layer_tile_alpha","layer_tile_blend","layer_tile_change","layer_tile_create","layer_tile_destroy","layer_tile_exists","layer_tile_get_alpha","layer_tile_get_blend","layer_tile_get_region","layer_tile_get_sprite","layer_tile_get_visible","layer_tile_get_x","layer_tile_get_xscale","layer_tile_get_y","layer_tile_get_yscale","layer_tile_region","layer_tile_visible","layer_tile_x","layer_tile_xscale","layer_tile_y","layer_tile_yscale","layer_tilemap_create","layer_tilemap_destroy","layer_tilemap_exists","layer_tilemap_get_id","layer_vspeed","layer_x","layer_y","lengthdir_x","lengthdir_y","lerp","ln","load_csv","log10","log2","logn","make_color_hsv","make_color_rgb","make_colour_hsv","make_colour_rgb","math_get_epsilon","math_set_epsilon","matrix_build","matrix_build_identity","matrix_build_lookat","matrix_build_projection_ortho","matrix_build_projection_perspective","matrix_build_projection_perspective_fov","matrix_get","matrix_multiply","matrix_set","matrix_stack_clear","matrix_stack_is_empty","matrix_stack_multiply","matrix_stack_pop","matrix_stack_push","matrix_stack_set","matrix_stack_top","matrix_transform_vertex","max","md5_file","md5_string_unicode","md5_string_utf8","mean","median","merge_color","merge_colour","min","motion_add","motion_set","mouse_check_button","mouse_check_button_pressed","mouse_check_button_released","mouse_clear","mouse_wheel_down","mouse_wheel_up","move_bounce_all","move_bounce_solid","move_contact_all","move_contact_solid","move_outside_all","move_outside_solid","move_random","move_snap","move_towards_point","move_wrap","mp_grid_add_cell","mp_grid_add_instances","mp_grid_add_rectangle","mp_grid_clear_all","mp_grid_clear_cell","mp_grid_clear_rectangle","mp_grid_create","mp_grid_destroy","mp_grid_draw","mp_grid_get_cell","mp_grid_path","mp_grid_to_ds_grid","mp_linear_path","mp_linear_path_object","mp_linear_step","mp_linear_step_object","mp_potential_path","mp_potential_path_object","mp_potential_settings","mp_potential_step","mp_potential_step_object","network_connect","network_connect_raw","network_create_server","network_create_server_raw","network_create_socket","network_create_socket_ext","network_destroy","network_resolve","network_send_broadcast","network_send_packet","network_send_raw","network_send_udp","network_send_udp_raw","network_set_config","network_set_timeout","object_exists","object_get_depth","object_get_mask","object_get_name","object_get_parent","object_get_persistent","object_get_physics","object_get_solid","object_get_sprite","object_get_visible","object_is_ancestor","object_set_mask","object_set_persistent","object_set_solid","object_set_sprite","object_set_visible","ord","os_get_config","os_get_info","os_get_language","os_get_region","os_is_network_connected","os_is_paused","os_lock_orientation","os_powersave_enable","parameter_count","parameter_string","part_emitter_burst","part_emitter_clear","part_emitter_create","part_emitter_destroy","part_emitter_destroy_all","part_emitter_exists","part_emitter_region","part_emitter_stream","part_particles_clear","part_particles_count","part_particles_create","part_particles_create_color","part_particles_create_colour","part_system_automatic_draw","part_system_automatic_update","part_system_clear","part_system_create","part_system_create_layer","part_system_depth","part_system_destroy","part_system_draw_order","part_system_drawit","part_system_exists","part_system_get_layer","part_system_layer","part_system_position","part_system_update","part_type_alpha1","part_type_alpha2","part_type_alpha3","part_type_blend","part_type_clear","part_type_color1","part_type_color2","part_type_color3","part_type_color_hsv","part_type_color_mix","part_type_color_rgb","part_type_colour1","part_type_colour2","part_type_colour3","part_type_colour_hsv","part_type_colour_mix","part_type_colour_rgb","part_type_create","part_type_death","part_type_destroy","part_type_direction","part_type_exists","part_type_gravity","part_type_life","part_type_orientation","part_type_scale","part_type_shape","part_type_size","part_type_speed","part_type_sprite","part_type_step","path_add","path_add_point","path_append","path_assign","path_change_point","path_clear_points","path_delete","path_delete_point","path_duplicate","path_end","path_exists","path_flip","path_get_closed","path_get_kind","path_get_length","path_get_name","path_get_number","path_get_point_speed","path_get_point_x","path_get_point_y","path_get_precision","path_get_speed","path_get_time","path_get_x","path_get_y","path_insert_point","path_mirror","path_rescale","path_reverse","path_rotate","path_set_closed","path_set_kind","path_set_precision","path_shift","path_start","physics_apply_angular_impulse","physics_apply_force","physics_apply_impulse","physics_apply_local_force","physics_apply_local_impulse","physics_apply_torque","physics_draw_debug","physics_fixture_add_point","physics_fixture_bind","physics_fixture_bind_ext","physics_fixture_create","physics_fixture_delete","physics_fixture_set_angular_damping","physics_fixture_set_awake","physics_fixture_set_box_shape","physics_fixture_set_chain_shape","physics_fixture_set_circle_shape","physics_fixture_set_collision_group","physics_fixture_set_density","physics_fixture_set_edge_shape","physics_fixture_set_friction","physics_fixture_set_kinematic","physics_fixture_set_linear_damping","physics_fixture_set_polygon_shape","physics_fixture_set_restitution","physics_fixture_set_sensor","physics_get_density","physics_get_friction","physics_get_restitution","physics_joint_delete","physics_joint_distance_create","physics_joint_enable_motor","physics_joint_friction_create","physics_joint_gear_create","physics_joint_get_value","physics_joint_prismatic_create","physics_joint_pulley_create","physics_joint_revolute_create","physics_joint_rope_create","physics_joint_set_value","physics_joint_weld_create","physics_joint_wheel_create","physics_mass_properties","physics_particle_count","physics_particle_create","physics_particle_delete","physics_particle_delete_region_box","physics_particle_delete_region_circle","physics_particle_delete_region_poly","physics_particle_draw","physics_particle_draw_ext","physics_particle_get_damping","physics_particle_get_data","physics_particle_get_data_particle","physics_particle_get_density","physics_particle_get_gravity_scale","physics_particle_get_group_flags","physics_particle_get_max_count","physics_particle_get_radius","physics_particle_group_add_point","physics_particle_group_begin","physics_particle_group_box","physics_particle_group_circle","physics_particle_group_count","physics_particle_group_delete","physics_particle_group_end","physics_particle_group_get_ang_vel","physics_particle_group_get_angle","physics_particle_group_get_centre_x","physics_particle_group_get_centre_y","physics_particle_group_get_data","physics_particle_group_get_inertia","physics_particle_group_get_mass","physics_particle_group_get_vel_x","physics_particle_group_get_vel_y","physics_particle_group_get_x","physics_particle_group_get_y","physics_particle_group_join","physics_particle_group_polygon","physics_particle_set_category_flags","physics_particle_set_damping","physics_particle_set_density","physics_particle_set_flags","physics_particle_set_gravity_scale","physics_particle_set_group_flags","physics_particle_set_max_count","physics_particle_set_radius","physics_pause_enable","physics_remove_fixture","physics_set_density","physics_set_friction","physics_set_restitution","physics_test_overlap","physics_world_create","physics_world_draw_debug","physics_world_gravity","physics_world_update_iterations","physics_world_update_speed","place_empty","place_free","place_meeting","place_snapped","point_direction","point_distance","point_distance_3d","point_in_circle","point_in_rectangle","point_in_triangle","position_change","position_destroy","position_empty","position_meeting","power","ptr","push_cancel_local_notification","push_get_first_local_notification","push_get_next_local_notification","push_local_notification","radtodeg","random","random_get_seed","random_range","random_set_seed","randomise","randomize","real","rectangle_in_circle","rectangle_in_rectangle","rectangle_in_triangle","room_add","room_assign","room_duplicate","room_exists","room_get_camera","room_get_name","room_get_viewport","room_goto","room_goto_next","room_goto_previous","room_instance_add","room_instance_clear","room_next","room_previous","room_restart","room_set_background_color","room_set_background_colour","room_set_camera","room_set_height","room_set_persistent","room_set_view","room_set_view_enabled","room_set_viewport","room_set_width","round","screen_save","screen_save_part","script_execute","script_exists","script_get_name","sha1_file","sha1_string_unicode","sha1_string_utf8","shader_current","shader_enable_corner_id","shader_get_name","shader_get_sampler_index","shader_get_uniform","shader_is_compiled","shader_reset","shader_set","shader_set_uniform_f","shader_set_uniform_f_array","shader_set_uniform_i","shader_set_uniform_i_array","shader_set_uniform_matrix","shader_set_uniform_matrix_array","shaders_are_supported","shop_leave_rating","show_debug_message","show_debug_overlay","show_error","show_message","show_message_async","show_question","show_question_async","sign","sin","skeleton_animation_clear","skeleton_animation_get","skeleton_animation_get_duration","skeleton_animation_get_ext","skeleton_animation_get_frame","skeleton_animation_get_frames","skeleton_animation_list","skeleton_animation_mix","skeleton_animation_set","skeleton_animation_set_ext","skeleton_animation_set_frame","skeleton_attachment_create","skeleton_attachment_get","skeleton_attachment_set","skeleton_bone_data_get","skeleton_bone_data_set","skeleton_bone_state_get","skeleton_bone_state_set","skeleton_collision_draw_set","skeleton_get_bounds","skeleton_get_minmax","skeleton_get_num_bounds","skeleton_skin_get","skeleton_skin_list","skeleton_skin_set","skeleton_slot_data","sprite_add","sprite_add_from_surface","sprite_assign","sprite_collision_mask","sprite_create_from_surface","sprite_delete","sprite_duplicate","sprite_exists","sprite_flush","sprite_flush_multi","sprite_get_bbox_bottom","sprite_get_bbox_left","sprite_get_bbox_right","sprite_get_bbox_top","sprite_get_height","sprite_get_name","sprite_get_number","sprite_get_speed","sprite_get_speed_type","sprite_get_texture","sprite_get_tpe","sprite_get_uvs","sprite_get_width","sprite_get_xoffset","sprite_get_yoffset","sprite_merge","sprite_prefetch","sprite_prefetch_multi","sprite_replace","sprite_save","sprite_save_strip","sprite_set_alpha_from_sprite","sprite_set_cache_size","sprite_set_cache_size_ext","sprite_set_offset","sprite_set_speed","sqr","sqrt","steam_activate_overlay","steam_activate_overlay_browser","steam_activate_overlay_store","steam_activate_overlay_user","steam_available_languages","steam_clear_achievement","steam_create_leaderboard","steam_current_game_language","steam_download_friends_scores","steam_download_scores","steam_download_scores_around_user","steam_file_delete","steam_file_exists","steam_file_persisted","steam_file_read","steam_file_share","steam_file_size","steam_file_write","steam_file_write_file","steam_get_achievement","steam_get_app_id","steam_get_persona_name","steam_get_quota_free","steam_get_quota_total","steam_get_stat_avg_rate","steam_get_stat_float","steam_get_stat_int","steam_get_user_account_id","steam_get_user_persona_name","steam_get_user_steam_id","steam_initialised","steam_is_cloud_enabled_for_account","steam_is_cloud_enabled_for_app","steam_is_overlay_activated","steam_is_overlay_enabled","steam_is_screenshot_requested","steam_is_user_logged_on","steam_reset_all_stats","steam_reset_all_stats_achievements","steam_send_screenshot","steam_set_achievement","steam_set_stat_avg_rate","steam_set_stat_float","steam_set_stat_int","steam_stats_ready","steam_ugc_create_item","steam_ugc_create_query_all","steam_ugc_create_query_all_ex","steam_ugc_create_query_user","steam_ugc_create_query_user_ex","steam_ugc_download","steam_ugc_get_item_install_info","steam_ugc_get_item_update_info","steam_ugc_get_item_update_progress","steam_ugc_get_subscribed_items","steam_ugc_num_subscribed_items","steam_ugc_query_add_excluded_tag","steam_ugc_query_add_required_tag","steam_ugc_query_set_allow_cached_response","steam_ugc_query_set_cloud_filename_filter","steam_ugc_query_set_match_any_tag","steam_ugc_query_set_ranked_by_trend_days","steam_ugc_query_set_return_long_description","steam_ugc_query_set_return_total_only","steam_ugc_query_set_search_text","steam_ugc_request_item_details","steam_ugc_send_query","steam_ugc_set_item_content","steam_ugc_set_item_description","steam_ugc_set_item_preview","steam_ugc_set_item_tags","steam_ugc_set_item_title","steam_ugc_set_item_visibility","steam_ugc_start_item_update","steam_ugc_submit_item_update","steam_ugc_subscribe_item","steam_ugc_unsubscribe_item","steam_upload_score","steam_upload_score_buffer","steam_upload_score_buffer_ext","steam_upload_score_ext","steam_user_installed_dlc","steam_user_owns_dlc","string","string_byte_at","string_byte_length","string_char_at","string_copy","string_count","string_delete","string_digits","string_format","string_hash_to_newline","string_height","string_height_ext","string_insert","string_length","string_letters","string_lettersdigits","string_lower","string_ord_at","string_pos","string_repeat","string_replace","string_replace_all","string_set_byte_at","string_upper","string_width","string_width_ext","surface_copy","surface_copy_part","surface_create","surface_create_ext","surface_depth_disable","surface_exists","surface_free","surface_get_depth_disable","surface_get_height","surface_get_texture","surface_get_width","surface_getpixel","surface_getpixel_ext","surface_reset_target","surface_resize","surface_save","surface_save_part","surface_set_target","surface_set_target_ext","tan","texture_get_height","texture_get_texel_height","texture_get_texel_width","texture_get_uvs","texture_get_width","texture_global_scale","texture_set_stage","tile_get_empty","tile_get_flip","tile_get_index","tile_get_mirror","tile_get_rotate","tile_set_empty","tile_set_flip","tile_set_index","tile_set_mirror","tile_set_rotate","tilemap_clear","tilemap_get","tilemap_get_at_pixel","tilemap_get_cell_x_at_pixel","tilemap_get_cell_y_at_pixel","tilemap_get_frame","tilemap_get_global_mask","tilemap_get_height","tilemap_get_mask","tilemap_get_tile_height","tilemap_get_tile_width","tilemap_get_tileset","tilemap_get_width","tilemap_get_x","tilemap_get_y","tilemap_set","tilemap_set_at_pixel","tilemap_set_global_mask","tilemap_set_mask","tilemap_tileset","tilemap_x","tilemap_y","timeline_add","timeline_clear","timeline_delete","timeline_exists","timeline_get_name","timeline_max_moment","timeline_moment_add_script","timeline_moment_clear","timeline_size","typeof","url_get_domain","url_open","url_open_ext","url_open_full","variable_global_exists","variable_global_get","variable_global_set","variable_instance_exists","variable_instance_get","variable_instance_get_names","variable_instance_set","variable_struct_exists","variable_struct_get","variable_struct_get_names","variable_struct_names_count","variable_struct_remove","variable_struct_set","vertex_argb","vertex_begin","vertex_color","vertex_colour","vertex_create_buffer","vertex_create_buffer_ext","vertex_create_buffer_from_buffer","vertex_create_buffer_from_buffer_ext","vertex_delete_buffer","vertex_end","vertex_float1","vertex_float2","vertex_float3","vertex_float4","vertex_format_add_color","vertex_format_add_colour","vertex_format_add_custom","vertex_format_add_normal","vertex_format_add_position","vertex_format_add_position_3d","vertex_format_add_texcoord","vertex_format_add_textcoord","vertex_format_begin","vertex_format_delete","vertex_format_end","vertex_freeze","vertex_get_buffer_size","vertex_get_number","vertex_normal","vertex_position","vertex_position_3d","vertex_submit","vertex_texcoord","vertex_ubyte4","view_get_camera","view_get_hport","view_get_surface_id","view_get_visible","view_get_wport","view_get_xport","view_get_yport","view_set_camera","view_set_hport","view_set_surface_id","view_set_visible","view_set_wport","view_set_xport","view_set_yport","virtual_key_add","virtual_key_delete","virtual_key_hide","virtual_key_show","win8_appbar_add_element","win8_appbar_enable","win8_appbar_remove_element","win8_device_touchscreen_available","win8_license_initialize_sandbox","win8_license_trial_version","win8_livetile_badge_clear","win8_livetile_badge_notification","win8_livetile_notification_begin","win8_livetile_notification_end","win8_livetile_notification_expiry","win8_livetile_notification_image_add","win8_livetile_notification_secondary_begin","win8_livetile_notification_tag","win8_livetile_notification_text_add","win8_livetile_queue_enable","win8_livetile_tile_clear","win8_livetile_tile_notification","win8_search_add_suggestions","win8_search_disable","win8_search_enable","win8_secondarytile_badge_notification","win8_secondarytile_delete","win8_secondarytile_pin","win8_settingscharm_add_entry","win8_settingscharm_add_html_entry","win8_settingscharm_add_xaml_entry","win8_settingscharm_get_xaml_property","win8_settingscharm_remove_entry","win8_settingscharm_set_xaml_property","win8_share_file","win8_share_image","win8_share_screenshot","win8_share_text","win8_share_url","window_center","window_device","window_get_caption","window_get_color","window_get_colour","window_get_cursor","window_get_fullscreen","window_get_height","window_get_visible_rects","window_get_width","window_get_x","window_get_y","window_handle","window_has_focus","window_mouse_get_x","window_mouse_get_y","window_mouse_set","window_set_caption","window_set_color","window_set_colour","window_set_cursor","window_set_fullscreen","window_set_max_height","window_set_max_width","window_set_min_height","window_set_min_width","window_set_position","window_set_rectangle","window_set_size","window_view_mouse_get_x","window_view_mouse_get_y","window_views_mouse_get_x","window_views_mouse_get_y","winphone_license_trial_version","winphone_tile_back_content","winphone_tile_back_content_wide","winphone_tile_back_image","winphone_tile_back_image_wide","winphone_tile_back_title","winphone_tile_background_color","winphone_tile_background_colour","winphone_tile_count","winphone_tile_cycle_images","winphone_tile_front_image","winphone_tile_front_image_small","winphone_tile_front_image_wide","winphone_tile_icon_image","winphone_tile_small_background_image","winphone_tile_small_icon_image","winphone_tile_title","winphone_tile_wide_content","zip_unzip"],literal:["all","false","noone","pointer_invalid","pointer_null","true","undefined"],symbol:["ANSI_CHARSET","ARABIC_CHARSET","BALTIC_CHARSET","CHINESEBIG5_CHARSET","DEFAULT_CHARSET","EASTEUROPE_CHARSET","GB2312_CHARSET","GM_build_date","GM_runtime_version","GM_version","GREEK_CHARSET","HANGEUL_CHARSET","HEBREW_CHARSET","JOHAB_CHARSET","MAC_CHARSET","OEM_CHARSET","RUSSIAN_CHARSET","SHIFTJIS_CHARSET","SYMBOL_CHARSET","THAI_CHARSET","TURKISH_CHARSET","VIETNAMESE_CHARSET","achievement_achievement_info","achievement_filter_all_players","achievement_filter_favorites_only","achievement_filter_friends_only","achievement_friends_info","achievement_leaderboard_info","achievement_our_info","achievement_pic_loaded","achievement_show_achievement","achievement_show_bank","achievement_show_friend_picker","achievement_show_leaderboard","achievement_show_profile","achievement_show_purchase_prompt","achievement_show_ui","achievement_type_achievement_challenge","achievement_type_score_challenge","asset_font","asset_object","asset_path","asset_room","asset_script","asset_shader","asset_sound","asset_sprite","asset_tiles","asset_timeline","asset_unknown","audio_3d","audio_falloff_exponent_distance","audio_falloff_exponent_distance_clamped","audio_falloff_inverse_distance","audio_falloff_inverse_distance_clamped","audio_falloff_linear_distance","audio_falloff_linear_distance_clamped","audio_falloff_none","audio_mono","audio_new_system","audio_old_system","audio_stereo","bm_add","bm_complex","bm_dest_alpha","bm_dest_color","bm_dest_colour","bm_inv_dest_alpha","bm_inv_dest_color","bm_inv_dest_colour","bm_inv_src_alpha","bm_inv_src_color","bm_inv_src_colour","bm_max","bm_normal","bm_one","bm_src_alpha","bm_src_alpha_sat","bm_src_color","bm_src_colour","bm_subtract","bm_zero","browser_chrome","browser_edge","browser_firefox","browser_ie","browser_ie_mobile","browser_not_a_browser","browser_opera","browser_safari","browser_safari_mobile","browser_tizen","browser_unknown","browser_windows_store","buffer_bool","buffer_f16","buffer_f32","buffer_f64","buffer_fast","buffer_fixed","buffer_generalerror","buffer_grow","buffer_invalidtype","buffer_network","buffer_outofbounds","buffer_outofspace","buffer_s16","buffer_s32","buffer_s8","buffer_seek_end","buffer_seek_relative","buffer_seek_start","buffer_string","buffer_surface_copy","buffer_text","buffer_u16","buffer_u32","buffer_u64","buffer_u8","buffer_vbuffer","buffer_wrap","button_type","c_aqua","c_black","c_blue","c_dkgray","c_fuchsia","c_gray","c_green","c_lime","c_ltgray","c_maroon","c_navy","c_olive","c_orange","c_purple","c_red","c_silver","c_teal","c_white","c_yellow","cmpfunc_always","cmpfunc_equal","cmpfunc_greater","cmpfunc_greaterequal","cmpfunc_less","cmpfunc_lessequal","cmpfunc_never","cmpfunc_notequal","cr_appstart","cr_arrow","cr_beam","cr_cross","cr_default","cr_drag","cr_handpoint","cr_hourglass","cr_none","cr_size_all","cr_size_nesw","cr_size_ns","cr_size_nwse","cr_size_we","cr_uparrow","cull_clockwise","cull_counterclockwise","cull_noculling","device_emulator","device_ios_ipad","device_ios_ipad_retina","device_ios_iphone","device_ios_iphone5","device_ios_iphone6","device_ios_iphone6plus","device_ios_iphone_retina","device_ios_unknown","device_tablet","display_landscape","display_landscape_flipped","display_portrait","display_portrait_flipped","dll_cdecl","dll_stdcall","ds_type_grid","ds_type_list","ds_type_map","ds_type_priority","ds_type_queue","ds_type_stack","ef_cloud","ef_ellipse","ef_explosion","ef_firework","ef_flare","ef_rain","ef_ring","ef_smoke","ef_smokeup","ef_snow","ef_spark","ef_star","ev_alarm","ev_animation_end","ev_boundary","ev_cleanup","ev_close_button","ev_collision","ev_create","ev_destroy","ev_draw","ev_draw_begin","ev_draw_end","ev_draw_post","ev_draw_pre","ev_end_of_path","ev_game_end","ev_game_start","ev_gesture","ev_gesture_double_tap","ev_gesture_drag_end","ev_gesture_drag_start","ev_gesture_dragging","ev_gesture_flick","ev_gesture_pinch_end","ev_gesture_pinch_in","ev_gesture_pinch_out","ev_gesture_pinch_start","ev_gesture_rotate_end","ev_gesture_rotate_start","ev_gesture_rotating","ev_gesture_tap","ev_global_gesture_double_tap","ev_global_gesture_drag_end","ev_global_gesture_drag_start","ev_global_gesture_dragging","ev_global_gesture_flick","ev_global_gesture_pinch_end","ev_global_gesture_pinch_in","ev_global_gesture_pinch_out","ev_global_gesture_pinch_start","ev_global_gesture_rotate_end","ev_global_gesture_rotate_start","ev_global_gesture_rotating","ev_global_gesture_tap","ev_global_left_button","ev_global_left_press","ev_global_left_release","ev_global_middle_button","ev_global_middle_press","ev_global_middle_release","ev_global_right_button","ev_global_right_press","ev_global_right_release","ev_gui","ev_gui_begin","ev_gui_end","ev_joystick1_button1","ev_joystick1_button2","ev_joystick1_button3","ev_joystick1_button4","ev_joystick1_button5","ev_joystick1_button6","ev_joystick1_button7","ev_joystick1_button8","ev_joystick1_down","ev_joystick1_left","ev_joystick1_right","ev_joystick1_up","ev_joystick2_button1","ev_joystick2_button2","ev_joystick2_button3","ev_joystick2_button4","ev_joystick2_button5","ev_joystick2_button6","ev_joystick2_button7","ev_joystick2_button8","ev_joystick2_down","ev_joystick2_left","ev_joystick2_right","ev_joystick2_up","ev_keyboard","ev_keypress","ev_keyrelease","ev_left_button","ev_left_press","ev_left_release","ev_middle_button","ev_middle_press","ev_middle_release","ev_mouse","ev_mouse_enter","ev_mouse_leave","ev_mouse_wheel_down","ev_mouse_wheel_up","ev_no_button","ev_no_more_health","ev_no_more_lives","ev_other","ev_outside","ev_right_button","ev_right_press","ev_right_release","ev_room_end","ev_room_start","ev_step","ev_step_begin","ev_step_end","ev_step_normal","ev_trigger","ev_user0","ev_user1","ev_user2","ev_user3","ev_user4","ev_user5","ev_user6","ev_user7","ev_user8","ev_user9","ev_user10","ev_user11","ev_user12","ev_user13","ev_user14","ev_user15","fa_archive","fa_bottom","fa_center","fa_directory","fa_hidden","fa_left","fa_middle","fa_readonly","fa_right","fa_sysfile","fa_top","fa_volumeid","fb_login_default","fb_login_fallback_to_webview","fb_login_forcing_safari","fb_login_forcing_webview","fb_login_no_fallback_to_webview","fb_login_use_system_account","gamespeed_fps","gamespeed_microseconds","ge_lose","global","gp_axislh","gp_axislv","gp_axisrh","gp_axisrv","gp_face1","gp_face2","gp_face3","gp_face4","gp_padd","gp_padl","gp_padr","gp_padu","gp_select","gp_shoulderl","gp_shoulderlb","gp_shoulderr","gp_shoulderrb","gp_start","gp_stickl","gp_stickr","iap_available","iap_canceled","iap_ev_consume","iap_ev_product","iap_ev_purchase","iap_ev_restore","iap_ev_storeload","iap_failed","iap_purchased","iap_refunded","iap_status_available","iap_status_loading","iap_status_processing","iap_status_restoring","iap_status_unavailable","iap_status_uninitialised","iap_storeload_failed","iap_storeload_ok","iap_unavailable","input_type","kbv_autocapitalize_characters","kbv_autocapitalize_none","kbv_autocapitalize_sentences","kbv_autocapitalize_words","kbv_returnkey_continue","kbv_returnkey_default","kbv_returnkey_done","kbv_returnkey_emergency","kbv_returnkey_go","kbv_returnkey_google","kbv_returnkey_join","kbv_returnkey_next","kbv_returnkey_route","kbv_returnkey_search","kbv_returnkey_send","kbv_returnkey_yahoo","kbv_type_ascii","kbv_type_default","kbv_type_email","kbv_type_numbers","kbv_type_phone","kbv_type_phone_name","kbv_type_url","layerelementtype_background","layerelementtype_instance","layerelementtype_oldtilemap","layerelementtype_particlesystem","layerelementtype_sprite","layerelementtype_tile","layerelementtype_tilemap","layerelementtype_undefined","lb_disp_none","lb_disp_numeric","lb_disp_time_ms","lb_disp_time_sec","lb_sort_ascending","lb_sort_descending","lb_sort_none","leaderboard_type_number","leaderboard_type_time_mins_secs","lighttype_dir","lighttype_point","local","matrix_projection","matrix_view","matrix_world","mb_any","mb_left","mb_middle","mb_none","mb_right","mip_markedonly","mip_off","mip_on","network_config_connect_timeout","network_config_disable_reliable_udp","network_config_enable_reliable_udp","network_config_use_non_blocking_socket","network_socket_bluetooth","network_socket_tcp","network_socket_udp","network_type_connect","network_type_data","network_type_disconnect","network_type_non_blocking_connect","of_challen","of_challenge_tie","of_challenge_win","os_3ds","os_android","os_bb10","os_ios","os_linux","os_macosx","os_ps3","os_ps4","os_psvita","os_switch","os_symbian","os_tizen","os_tvos","os_unknown","os_uwp","os_wiiu","os_win32","os_win8native","os_windows","os_winphone","os_xbox360","os_xboxone","other","ov_achievements","ov_community","ov_friends","ov_gamegroup","ov_players","ov_settings","path_action_continue","path_action_restart","path_action_reverse","path_action_stop","phy_debug_render_aabb","phy_debug_render_collision_pairs","phy_debug_render_coms","phy_debug_render_core_shapes","phy_debug_render_joints","phy_debug_render_obb","phy_debug_render_shapes","phy_joint_anchor_1_x","phy_joint_anchor_1_y","phy_joint_anchor_2_x","phy_joint_anchor_2_y","phy_joint_angle","phy_joint_angle_limits","phy_joint_damping_ratio","phy_joint_frequency","phy_joint_length_1","phy_joint_length_2","phy_joint_lower_angle_limit","phy_joint_max_force","phy_joint_max_length","phy_joint_max_motor_force","phy_joint_max_motor_torque","phy_joint_max_torque","phy_joint_motor_force","phy_joint_motor_speed","phy_joint_motor_torque","phy_joint_reaction_force_x","phy_joint_reaction_force_y","phy_joint_reaction_torque","phy_joint_speed","phy_joint_translation","phy_joint_upper_angle_limit","phy_particle_data_flag_category","phy_particle_data_flag_color","phy_particle_data_flag_colour","phy_particle_data_flag_position","phy_particle_data_flag_typeflags","phy_particle_data_flag_velocity","phy_particle_flag_colormixing","phy_particle_flag_colourmixing","phy_particle_flag_elastic","phy_particle_flag_powder","phy_particle_flag_spring","phy_particle_flag_tensile","phy_particle_flag_viscous","phy_particle_flag_wall","phy_particle_flag_water","phy_particle_flag_zombie","phy_particle_group_flag_rigid","phy_particle_group_flag_solid","pi","pr_linelist","pr_linestrip","pr_pointlist","pr_trianglefan","pr_trianglelist","pr_trianglestrip","ps_distr_gaussian","ps_distr_invgaussian","ps_distr_linear","ps_shape_diamond","ps_shape_ellipse","ps_shape_line","ps_shape_rectangle","pt_shape_circle","pt_shape_cloud","pt_shape_disk","pt_shape_explosion","pt_shape_flare","pt_shape_line","pt_shape_pixel","pt_shape_ring","pt_shape_smoke","pt_shape_snow","pt_shape_spark","pt_shape_sphere","pt_shape_square","pt_shape_star","spritespeed_framespergameframe","spritespeed_framespersecond","text_type","tf_anisotropic","tf_linear","tf_point","tile_flip","tile_index_mask","tile_mirror","tile_rotate","timezone_local","timezone_utc","tm_countvsyncs","tm_sleep","ty_real","ty_string","ugc_filetype_community","ugc_filetype_microtrans","ugc_list_Favorited","ugc_list_Followed","ugc_list_Published","ugc_list_Subscribed","ugc_list_UsedOrPlayed","ugc_list_VotedDown","ugc_list_VotedOn","ugc_list_VotedUp","ugc_list_WillVoteLater","ugc_match_AllGuides","ugc_match_Artwork","ugc_match_Collections","ugc_match_ControllerBindings","ugc_match_IntegratedGuides","ugc_match_Items","ugc_match_Items_Mtx","ugc_match_Items_ReadyToUse","ugc_match_Screenshots","ugc_match_UsableInGame","ugc_match_Videos","ugc_match_WebGuides","ugc_query_AcceptedForGameRankedByAcceptanceDate","ugc_query_CreatedByFollowedUsersRankedByPublicationDate","ugc_query_CreatedByFriendsRankedByPublicationDate","ugc_query_FavoritedByFriendsRankedByPublicationDate","ugc_query_NotYetRated","ugc_query_RankedByNumTimesReported","ugc_query_RankedByPublicationDate","ugc_query_RankedByTextSearch","ugc_query_RankedByTotalVotesAsc","ugc_query_RankedByTrend","ugc_query_RankedByVote","ugc_query_RankedByVotesUp","ugc_result_success","ugc_sortorder_CreationOrderAsc","ugc_sortorder_CreationOrderDesc","ugc_sortorder_ForModeration","ugc_sortorder_LastUpdatedDesc","ugc_sortorder_SubscriptionDateDesc","ugc_sortorder_TitleAsc","ugc_sortorder_VoteScoreDesc","ugc_visibility_friends_only","ugc_visibility_private","ugc_visibility_public","vertex_type_color","vertex_type_colour","vertex_type_float1","vertex_type_float2","vertex_type_float3","vertex_type_float4","vertex_type_ubyte4","vertex_usage_binormal","vertex_usage_blendindices","vertex_usage_blendweight","vertex_usage_color","vertex_usage_colour","vertex_usage_depth","vertex_usage_fog","vertex_usage_normal","vertex_usage_position","vertex_usage_psize","vertex_usage_sample","vertex_usage_tangent","vertex_usage_texcoord","vertex_usage_textcoord","vk_add","vk_alt","vk_anykey","vk_backspace","vk_control","vk_decimal","vk_delete","vk_divide","vk_down","vk_end","vk_enter","vk_escape","vk_f1","vk_f2","vk_f3","vk_f4","vk_f5","vk_f6","vk_f7","vk_f8","vk_f9","vk_f10","vk_f11","vk_f12","vk_home","vk_insert","vk_lalt","vk_lcontrol","vk_left","vk_lshift","vk_multiply","vk_nokey","vk_numpad0","vk_numpad1","vk_numpad2","vk_numpad3","vk_numpad4","vk_numpad5","vk_numpad6","vk_numpad7","vk_numpad8","vk_numpad9","vk_pagedown","vk_pageup","vk_pause","vk_printscreen","vk_ralt","vk_rcontrol","vk_return","vk_right","vk_rshift","vk_shift","vk_space","vk_subtract","vk_tab","vk_up"],"variable.language":["alarm","application_surface","argument","argument0","argument1","argument2","argument3","argument4","argument5","argument6","argument7","argument8","argument9","argument10","argument11","argument12","argument13","argument14","argument15","argument_count","argument_relative","async_load","background_color","background_colour","background_showcolor","background_showcolour","bbox_bottom","bbox_left","bbox_right","bbox_top","browser_height","browser_width","caption_health","caption_lives","caption_score","current_day","current_hour","current_minute","current_month","current_second","current_time","current_weekday","current_year","cursor_sprite","debug_mode","delta_time","depth","direction","display_aa","error_last","error_occurred","event_action","event_data","event_number","event_object","event_type","fps","fps_real","friction","game_display_name","game_id","game_project_name","game_save_id","gamemaker_pro","gamemaker_registered","gamemaker_version","gravity","gravity_direction","health","hspeed","iap_data","id|0","image_alpha","image_angle","image_blend","image_index","image_number","image_speed","image_xscale","image_yscale","instance_count","instance_id","keyboard_key","keyboard_lastchar","keyboard_lastkey","keyboard_string","layer","lives","mask_index","mouse_button","mouse_lastbutton","mouse_x","mouse_y","object_index","os_browser","os_device","os_type","os_version","path_endaction","path_index","path_orientation","path_position","path_positionprevious","path_scale","path_speed","persistent","phy_active","phy_angular_damping","phy_angular_velocity","phy_bullet","phy_col_normal_x","phy_col_normal_y","phy_collision_points","phy_collision_x","phy_collision_y","phy_com_x","phy_com_y","phy_dynamic","phy_fixed_rotation","phy_inertia","phy_kinematic","phy_linear_damping","phy_linear_velocity_x","phy_linear_velocity_y","phy_mass","phy_position_x","phy_position_xprevious","phy_position_y","phy_position_yprevious","phy_rotation","phy_sleeping","phy_speed","phy_speed_x","phy_speed_y","program_directory","room","room_caption","room_first","room_height","room_last","room_persistent","room_speed","room_width","score","self","show_health","show_lives","show_score","solid","speed","sprite_height","sprite_index","sprite_width","sprite_xoffset","sprite_yoffset","temp_directory","timeline_index","timeline_loop","timeline_position","timeline_running","timeline_speed","view_angle","view_camera","view_current","view_enabled","view_hborder","view_hport","view_hspeed","view_hview","view_object","view_surface_id","view_vborder","view_visible","view_vspeed","view_wport","view_wview","view_xport","view_xview","view_yport","view_yview","visible","vspeed","webgl_enabled","working_directory","xprevious","xstart","x|0","yprevious","ystart","y|0"]},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}return fh=n,fh}var mh,Z0;function vYe(){if(Z0)return mh;Z0=1;function n(e){const o={keyword:["break","case","chan","const","continue","default","defer","else","fallthrough","for","func","go","goto","if","import","interface","map","package","range","return","select","struct","switch","type","var"],type:["bool","byte","complex64","complex128","error","float32","float64","int8","int16","int32","int64","string","uint8","uint16","uint32","uint64","int","uint","uintptr","rune"],literal:["true","false","iota","nil"],built_in:["append","cap","close","complex","copy","imag","len","make","new","panic","print","println","real","recover","delete"]};return{name:"Go",aliases:["golang"],keywords:o,illegal:"</",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",variants:[e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{begin:"`",end:"`"}]},{className:"number",variants:[{begin:e.C_NUMBER_RE+"[i]",relevance:1},e.C_NUMBER_MODE]},{begin:/:=/},{className:"function",beginKeywords:"func",end:"\\s*(\\{|$)",excludeEnd:!0,contains:[e.TITLE_MODE,{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:o,illegal:/["']/}]}]}}return mh=n,mh}var gh,J0;function yYe(){if(J0)return gh;J0=1;function n(e){return{name:"Golo",keywords:{keyword:["println","readln","print","import","module","function","local","return","let","var","while","for","foreach","times","in","case","when","match","with","break","continue","augment","augmentation","each","find","filter","reduce","if","then","else","otherwise","try","catch","finally","raise","throw","orIfNull","DynamicObject|10","DynamicVariable","struct","Observable","map","set","vector","list","array"],literal:["true","false","null"]},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"@[A-Za-z]+"}]}}return gh=n,gh}var bh,eT;function SYe(){if(eT)return bh;eT=1;function n(e){return{name:"Gradle",case_insensitive:!0,keywords:["task","project","allprojects","subprojects","artifacts","buildscript","configurations","dependencies","repositories","sourceSets","description","delete","from","into","include","exclude","source","classpath","destinationDir","includes","options","sourceCompatibility","targetCompatibility","group","flatDir","doLast","doFirst","flatten","todir","fromdir","ant","def","abstract","break","case","catch","continue","default","do","else","extends","final","finally","for","if","implements","instanceof","native","new","private","protected","public","return","static","switch","synchronized","throw","throws","transient","try","volatile","while","strictfp","package","import","false","null","super","this","true","antlrtask","checkstyle","codenarc","copy","boolean","byte","char","class","double","float","int","interface","long","short","void","compile","runTime","file","fileTree","abs","any","append","asList","asWritable","call","collect","compareTo","count","div","dump","each","eachByte","eachFile","eachLine","every","find","findAll","flatten","getAt","getErr","getIn","getOut","getText","grep","immutable","inject","inspect","intersect","invokeMethods","isCase","join","leftShift","minus","multiply","newInputStream","newOutputStream","newPrintWriter","newReader","newWriter","next","plus","pop","power","previous","print","println","push","putAt","read","readBytes","readLines","reverse","reverseEach","round","size","sort","splitEachLine","step","subMap","times","toInteger","toList","tokenize","upto","waitForOrKill","withPrintWriter","withReader","withStream","withWriter","withWriterAppend","write","writeLine"],contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.REGEXP_MODE]}}return bh=n,bh}var Eh,tT;function TYe(){if(tT)return Eh;tT=1;function n(e){const t=e.regex,i=/[_A-Za-z][_0-9A-Za-z]*/;return{name:"GraphQL",aliases:["gql"],case_insensitive:!0,disableAutodetect:!1,keywords:{keyword:["query","mutation","subscription","type","input","schema","directive","interface","union","scalar","fragment","enum","on"],literal:["true","false","null"]},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,e.NUMBER_MODE,{scope:"punctuation",match:/[.]{3}/,relevance:0},{scope:"punctuation",begin:/[\!\(\)\:\=\[\]\{\|\}]{1}/,relevance:0},{scope:"variable",begin:/\$/,end:/\W/,excludeEnd:!0,relevance:0},{scope:"meta",match:/@\w+/,excludeEnd:!0},{scope:"symbol",begin:t.concat(i,t.lookahead(/\s*:/)),relevance:0}],illegal:[/[;<']/,/BEGIN/]}}return Eh=n,Eh}var vh,nT;function xYe(){if(nT)return vh;nT=1;function n(t,i={}){return i.variants=t,i}function e(t){const i=t.regex,s="[A-Za-z0-9_$]+",r=n([t.C_LINE_COMMENT_MODE,t.C_BLOCK_COMMENT_MODE,t.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]})]),o={className:"regexp",begin:/~?\/[^\/\n]+\//,contains:[t.BACKSLASH_ESCAPE]},a=n([t.BINARY_NUMBER_MODE,t.C_NUMBER_MODE]),l=n([{begin:/"""/,end:/"""/},{begin:/'''/,end:/'''/},{begin:"\\$/",end:"/\\$",relevance:10},t.APOS_STRING_MODE,t.QUOTE_STRING_MODE],{className:"string"}),d={match:[/(class|interface|trait|enum|record|extends|implements)/,/\s+/,t.UNDERSCORE_IDENT_RE],scope:{1:"keyword",3:"title.class"}};return{name:"Groovy",keywords:{"variable.language":"this super",literal:"true false null",type:["byte","short","char","int","long","boolean","float","double","void"],keyword:["def","as","in","assert","trait","abstract","static","volatile","transient","public","private","protected","synchronized","final","class","interface","enum","if","else","for","while","switch","case","break","default","continue","throw","throws","try","catch","finally","implements","extends","new","import","package","return","instanceof","var"]},contains:[t.SHEBANG({binary:"groovy",relevance:10}),r,l,o,a,d,{className:"meta",begin:"@[A-Za-z]+",relevance:0},{className:"attr",begin:s+"[ ]*:",relevance:0},{begin:/\?/,end:/:/,relevance:0,contains:[r,l,o,a,"self"]},{className:"symbol",begin:"^[ ]*"+i.lookahead(s+":"),excludeBegin:!0,end:s+":",relevance:0}],illegal:/#|<\//}}return vh=e,vh}var yh,iT;function CYe(){if(iT)return yh;iT=1;function n(e){return{name:"HAML",case_insensitive:!0,contains:[{className:"meta",begin:"^!!!( (5|1\\.1|Strict|Frameset|Basic|Mobile|RDFa|XML\\b.*))?$",relevance:10},e.COMMENT("^\\s*(!=#|=#|-#|/).*$",null,{relevance:0}),{begin:"^\\s*(-|=|!=)(?!#)",end:/$/,subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0},{className:"tag",begin:"^\\s*%",contains:[{className:"selector-tag",begin:"\\w+"},{className:"selector-id",begin:"#[\\w-]+"},{className:"selector-class",begin:"\\.[\\w-]+"},{begin:/\{\s*/,end:/\s*\}/,contains:[{begin:":\\w+\\s*=>",end:",\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:":\\w+"},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]},{begin:"\\(\\s*",end:"\\s*\\)",excludeEnd:!0,contains:[{begin:"\\w+\\s*=",end:"\\s+",returnBegin:!0,endsWithParent:!0,contains:[{className:"attr",begin:"\\w+",relevance:0},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{begin:"\\w+",relevance:0}]}]}]},{begin:"^\\s*[=~]\\s*"},{begin:/#\{/,end:/\}/,subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0}]}}return yh=n,yh}var Sh,sT;function RYe(){if(sT)return Sh;sT=1;function n(e){const t=e.regex,i={$pattern:/[\w.\/]+/,built_in:["action","bindattr","collection","component","concat","debugger","each","each-in","get","hash","if","in","input","link-to","loc","log","lookup","mut","outlet","partial","query-params","render","template","textarea","unbound","unless","view","with","yield"]},s={$pattern:/[\w.\/]+/,literal:["true","false","undefined","null"]},r=/""|"[^"]+"/,o=/''|'[^']+'/,a=/\[\]|\[[^\]]+\]/,l=/[^\s!"#%&'()*+,.\/;<=>@\[\\\]^`{|}~]+/,d=/(\.|\/)/,c=t.either(r,o,a,l),_=t.concat(t.optional(/\.|\.\/|\//),c,t.anyNumberOfTimes(t.concat(d,c))),f=t.concat("(",a,"|",l,")(?==)"),m={begin:_},h=e.inherit(m,{keywords:s}),E={begin:/\(/,end:/\)/},b={className:"attr",begin:f,relevance:0,starts:{begin:/=/,end:/=/,starts:{contains:[e.NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,h,E]}}},g={begin:/as\s+\|/,keywords:{keyword:"as"},end:/\|/,contains:[{begin:/\w+/}]},v={contains:[e.NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,g,b,h,E],returnEnd:!0},y=e.inherit(m,{className:"name",keywords:i,starts:e.inherit(v,{end:/\)/})});E.contains=[y];const T=e.inherit(m,{keywords:i,className:"name",starts:e.inherit(v,{end:/\}\}/})}),C=e.inherit(m,{keywords:i,className:"name"}),x=e.inherit(m,{className:"name",keywords:i,starts:e.inherit(v,{end:/\}\}/})});return{name:"Handlebars",aliases:["hbs","html.hbs","html.handlebars","htmlbars"],case_insensitive:!0,subLanguage:"xml",contains:[{begin:/\\\{\{/,skip:!0},{begin:/\\\\(?=\{\{)/,skip:!0},e.COMMENT(/\{\{!--/,/--\}\}/),e.COMMENT(/\{\{!/,/\}\}/),{className:"template-tag",begin:/\{\{\{\{(?!\/)/,end:/\}\}\}\}/,contains:[T],starts:{end:/\{\{\{\{\//,returnEnd:!0,subLanguage:"xml"}},{className:"template-tag",begin:/\{\{\{\{\//,end:/\}\}\}\}/,contains:[C]},{className:"template-tag",begin:/\{\{#/,end:/\}\}/,contains:[T]},{className:"template-tag",begin:/\{\{(?=else\}\})/,end:/\}\}/,keywords:"else"},{className:"template-tag",begin:/\{\{(?=else if)/,end:/\}\}/,keywords:"else if"},{className:"template-tag",begin:/\{\{\//,end:/\}\}/,contains:[C]},{className:"template-variable",begin:/\{\{\{/,end:/\}\}\}/,contains:[x]},{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:[x]}]}}return Sh=n,Sh}var Th,rT;function AYe(){if(rT)return Th;rT=1;function n(e){const t="([0-9]_*)+",i="([0-9a-fA-F]_*)+",s="([01]_*)+",r="([0-7]_*)+",d="([!#$%&*+.\\/<=>?@\\\\^~-]|(?!([(),;\\[\\]`|{}]|[_:\"']))(\\p{S}|\\p{P}))",c={variants:[e.COMMENT("--+","$"),e.COMMENT(/\{-/,/-\}/,{contains:["self"]})]},_={className:"meta",begin:/\{-#/,end:/#-\}/},f={className:"meta",begin:"^#",end:"$"},m={className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},h={begin:"\\(",end:"\\)",illegal:'"',contains:[_,f,{className:"type",begin:"\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"},e.inherit(e.TITLE_MODE,{begin:"[_a-z][\\w']*"}),c]},E={begin:/\{/,end:/\}/,contains:h.contains},b={className:"number",relevance:0,variants:[{match:`\\b(${t})(\\.(${t}))?([eE][+-]?(${t}))?\\b`},{match:`\\b0[xX]_*(${i})(\\.(${i}))?([pP][+-]?(${t}))?\\b`},{match:`\\b0[oO](${r})\\b`},{match:`\\b0[bB](${s})\\b`}]};return{name:"Haskell",aliases:["hs"],keywords:"let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec",unicodeRegex:!0,contains:[{beginKeywords:"module",end:"where",keywords:"module where",contains:[h,c],illegal:"\\W\\.|;"},{begin:"\\bimport\\b",end:"$",keywords:"import qualified as hiding",contains:[h,c],illegal:"\\W\\.|;"},{className:"class",begin:"^(\\s*)?(class|instance)\\b",end:"where",keywords:"class family instance where",contains:[m,h,c]},{className:"class",begin:"\\b(data|(new)?type)\\b",end:"$",keywords:"data family type newtype deriving",contains:[_,m,h,E,c]},{beginKeywords:"default",end:"$",contains:[m,h,c]},{beginKeywords:"infix infixl infixr",end:"$",contains:[e.C_NUMBER_MODE,c]},{begin:"\\bforeign\\b",end:"$",keywords:"foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe",contains:[m,e.QUOTE_STRING_MODE,c]},{className:"meta",begin:"#!\\/usr\\/bin\\/env runhaskell",end:"$"},_,f,{scope:"string",begin:/'(?=\\?.')/,end:/'/,contains:[{scope:"char.escape",match:/\\./}]},e.QUOTE_STRING_MODE,b,m,e.inherit(e.TITLE_MODE,{begin:"^[_a-z][\\w']*"}),{begin:`(?!-)${d}--+|--+(?!-)${d}`},c,{begin:"->|<-"}]}}return Th=n,Th}var xh,oT;function wYe(){if(oT)return xh;oT=1;function n(e){const t="[a-zA-Z_$][a-zA-Z0-9_$]*",i=/(-?)(\b0[xX][a-fA-F0-9_]+|(\b\d+(\.[\d_]*)?|\.[\d_]+)(([eE][-+]?\d+)|i32|u32|i64|f64)?)/;return{name:"Haxe",aliases:["hx"],keywords:{keyword:"abstract break case cast catch continue default do dynamic else enum extern final for function here if import in inline is macro never new override package private get set public return static super switch this throw trace try typedef untyped using var while "+"Int Float String Bool Dynamic Void Array ",built_in:"trace this",literal:"true false null _"},contains:[{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE,{className:"subst",begin:/\$\{/,end:/\}/},{className:"subst",begin:/\$/,end:/\W\}/}]},e.QUOTE_STRING_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"number",begin:i,relevance:0},{className:"variable",begin:"\\$"+t},{className:"meta",begin:/@:?/,end:/\(|$/,excludeEnd:!0},{className:"meta",begin:"#",end:"$",keywords:{keyword:"if else elseif end error"}},{className:"type",begin:/:[ \t]*/,end:/[^A-Za-z0-9_ \t\->]/,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:/:[ \t]*/,end:/\W/,excludeBegin:!0,excludeEnd:!0},{className:"type",begin:/new */,end:/\W/,excludeBegin:!0,excludeEnd:!0},{className:"title.class",beginKeywords:"enum",end:/\{/,contains:[e.TITLE_MODE]},{className:"title.class",begin:"\\babstract\\b(?=\\s*"+e.IDENT_RE+"\\s*\\()",end:/[\{$]/,contains:[{className:"type",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0},{className:"type",begin:/from +/,end:/\W/,excludeBegin:!0,excludeEnd:!0},{className:"type",begin:/to +/,end:/\W/,excludeBegin:!0,excludeEnd:!0},e.TITLE_MODE],keywords:{keyword:"abstract from to"}},{className:"title.class",begin:/\b(class|interface) +/,end:/[\{$]/,excludeEnd:!0,keywords:"class interface",contains:[{className:"keyword",begin:/\b(extends|implements) +/,keywords:"extends implements",contains:[{className:"type",begin:e.IDENT_RE,relevance:0}]},e.TITLE_MODE]},{className:"title.function",beginKeywords:"function",end:/\(/,excludeEnd:!0,illegal:/\S/,contains:[e.TITLE_MODE]}],illegal:/<\//}}return xh=n,xh}var Ch,aT;function NYe(){if(aT)return Ch;aT=1;function n(e){return{name:"HSP",case_insensitive:!0,keywords:{$pattern:/[\w._]+/,keyword:"goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:"string",begin:/\{"/,end:/"\}/,contains:[e.BACKSLASH_ESCAPE]},e.COMMENT(";","$",{relevance:0}),{className:"meta",begin:"#",end:"$",keywords:{keyword:"addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib"},contains:[e.inherit(e.QUOTE_STRING_MODE,{className:"string"}),e.NUMBER_MODE,e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"symbol",begin:"^\\*(\\w+|@)"},e.NUMBER_MODE,e.C_NUMBER_MODE]}}return Ch=n,Ch}var Rh,lT;function OYe(){if(lT)return Rh;lT=1;function n(e){const t=e.regex,i="HTTP/([32]|1\\.[01])",s=/[A-Za-z][A-Za-z0-9-]*/,r={className:"attribute",begin:t.concat("^",s,"(?=\\:\\s)"),starts:{contains:[{className:"punctuation",begin:/: /,relevance:0,starts:{end:"$",relevance:0}}]}},o=[r,{begin:"\\n\\n",starts:{subLanguage:[],endsWithParent:!0}}];return{name:"HTTP",aliases:["https"],illegal:/\S/,contains:[{begin:"^(?="+i+" \\d{3})",end:/$/,contains:[{className:"meta",begin:i},{className:"number",begin:"\\b\\d{3}\\b"}],starts:{end:/\b\B/,illegal:/\S/,contains:o}},{begin:"(?=^[A-Z]+ (.*?) "+i+"$)",end:/$/,contains:[{className:"string",begin:" ",end:" ",excludeBegin:!0,excludeEnd:!0},{className:"meta",begin:i},{className:"keyword",begin:"[A-Z]+"}],starts:{end:/\b\B/,illegal:/\S/,contains:o}},e.inherit(r,{relevance:0})]}}return Rh=n,Rh}var Ah,cT;function IYe(){if(cT)return Ah;cT=1;function n(e){const t="a-zA-Z_\\-!.?+*=<>&#'",i="["+t+"]["+t+"0-9/;:]*",s={$pattern:i,built_in:"!= % %= & &= * ** **= *= *map + += , --build-class-- --import-- -= . / // //= /= < << <<= <= = > >= >> >>= @ @= ^ ^= abs accumulate all and any ap-compose ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast callable calling-module-name car case cdr chain chr coll? combinations compile compress cond cons cons? continue count curry cut cycle dec def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first flatten float? fn fnc fnr for for* format fraction genexpr gensym get getattr global globals group-by hasattr hash hex id identity if if* if-not if-python2 import in inc input instance? integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass iter iterable? iterate iterator? keyword keyword? lambda last len let lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all map max merge-with method-decorator min multi-decorator multicombinations name neg? next none? nonlocal not not-in not? nth numeric? oct odd? open or ord partition permutations pos? post-route postwalk pow prewalk print product profile/calls profile/cpu put-route quasiquote quote raise range read read-str recursive-replace reduce remove repeat repeatedly repr require rest round route route-with-methods rwm second seq set-comp setattr setv some sorted string string? sum switch symbol? take take-nth take-while tee try unless unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms xi xor yield yield-from zero? zip zip-longest | |= ~"},r="[-+]?\\d+(\\.\\d+)?",o={begin:i,relevance:0},a={className:"number",begin:r,relevance:0},l=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),d=e.COMMENT(";","$",{relevance:0}),c={className:"literal",begin:/\b([Tt]rue|[Ff]alse|nil|None)\b/},_={begin:"[\\[\\{]",end:"[\\]\\}]",relevance:0},f={className:"comment",begin:"\\^"+i},m=e.COMMENT("\\^\\{","\\}"),h={className:"symbol",begin:"[:]{1,2}"+i},E={begin:"\\(",end:"\\)"},b={endsWithParent:!0,relevance:0},g={className:"name",relevance:0,keywords:s,begin:i,starts:b},v=[E,l,f,m,d,h,_,a,c,o];return E.contains=[e.COMMENT("comment",""),g,b],b.contains=v,_.contains=v,{name:"Hy",aliases:["hylang"],illegal:/\S/,contains:[e.SHEBANG(),E,l,f,m,d,h,_,a,c]}}return Ah=n,Ah}var wh,dT;function MYe(){if(dT)return wh;dT=1;function n(e){const t="\\[",i="\\]";return{name:"Inform 7",aliases:["i7"],case_insensitive:!0,keywords:{keyword:"thing room person man woman animal container supporter backdrop door scenery open closed locked inside gender is are say understand kind of rule"},contains:[{className:"string",begin:'"',end:'"',relevance:0,contains:[{className:"subst",begin:t,end:i}]},{className:"section",begin:/^(Volume|Book|Part|Chapter|Section|Table)\b/,end:"$"},{begin:/^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\b/,end:":",contains:[{begin:"\\(This",end:"\\)"}]},{className:"comment",begin:t,end:i,contains:["self"]}]}}return wh=n,wh}var Nh,uT;function DYe(){if(uT)return Nh;uT=1;function n(e){const t=e.regex,i={className:"number",relevance:0,variants:[{begin:/([+-]+)?[\d]+_[\d_]+/},{begin:e.NUMBER_RE}]},s=e.COMMENT();s.variants=[{begin:/;/,end:/$/},{begin:/#/,end:/$/}];const r={className:"variable",variants:[{begin:/\$[\w\d"][\w\d_]*/},{begin:/\$\{(.*?)\}/}]},o={className:"literal",begin:/\bon|off|true|false|yes|no\b/},a={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:"'''",end:"'''",relevance:10},{begin:'"""',end:'"""',relevance:10},{begin:'"',end:'"'},{begin:"'",end:"'"}]},l={begin:/\[/,end:/\]/,contains:[s,o,r,a,i,"self"],relevance:0},d=/[A-Za-z0-9_-]+/,c=/"(\\"|[^"])*"/,_=/'[^']*'/,f=t.either(d,c,_),m=t.concat(f,"(\\s*\\.\\s*",f,")*",t.lookahead(/\s*=\s*[^#\s]/));return{name:"TOML, also INI",aliases:["toml"],case_insensitive:!0,illegal:/\S/,contains:[s,{className:"section",begin:/\[+/,end:/\]+/},{begin:m,className:"attr",starts:{end:/$/,contains:[s,l,o,r,a,i]}}]}}return Nh=n,Nh}var Oh,pT;function kYe(){if(pT)return Oh;pT=1;function n(e){const t=e.regex,i={className:"params",begin:"\\(",end:"\\)"},s=/(_[a-z_\d]+)?/,r=/([de][+-]?\d+)?/,o={className:"number",variants:[{begin:t.concat(/\b\d+/,/\.(\d*)/,r,s)},{begin:t.concat(/\b\d+/,r,s)},{begin:t.concat(/\.\d+/,r,s)}],relevance:0};return{name:"IRPF90",case_insensitive:!0,keywords:{literal:".False. .True.",keyword:"kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read",built_in:"alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image IRP_ALIGN irp_here"},illegal:/\/\*/,contains:[e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{className:"string",relevance:0}),{className:"function",beginKeywords:"subroutine function program",illegal:"[${=\\n]",contains:[e.UNDERSCORE_TITLE_MODE,i]},e.COMMENT("!","$",{relevance:0}),e.COMMENT("begin_doc","end_doc",{relevance:10}),o]}}return Oh=n,Oh}var Ih,_T;function LYe(){if(_T)return Ih;_T=1;function n(e){const t="[A-Za-zА-Яа-яёЁ_!][A-Za-zА-Яа-яёЁ_0-9]*",i="[A-Za-zА-Яа-яёЁ_][A-Za-zА-Яа-яёЁ_0-9]*",s="and и else иначе endexcept endfinally endforeach конецвсе endif конецесли endwhile конецпока except exitfor finally foreach все if если in в not не or или try while пока ",r="SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT SYSRES_CONST_ACCES_RIGHT_TYPE_FULL SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE SYSRES_CONST_ACCESS_NO_ACCESS_VIEW SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_TYPE_CHANGE SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE SYSRES_CONST_ACCESS_TYPE_EXISTS SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE SYSRES_CONST_ACCESS_TYPE_FULL SYSRES_CONST_ACCESS_TYPE_FULL_CODE SYSRES_CONST_ACCESS_TYPE_VIEW SYSRES_CONST_ACCESS_TYPE_VIEW_CODE SYSRES_CONST_ACTION_TYPE_ABORT SYSRES_CONST_ACTION_TYPE_ACCEPT SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT SYSRES_CONST_ACTION_TYPE_CHANGE_CARD SYSRES_CONST_ACTION_TYPE_CHANGE_KIND SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE SYSRES_CONST_ACTION_TYPE_CONTINUE SYSRES_CONST_ACTION_TYPE_COPY SYSRES_CONST_ACTION_TYPE_CREATE SYSRES_CONST_ACTION_TYPE_CREATE_VERSION SYSRES_CONST_ACTION_TYPE_DELETE SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT SYSRES_CONST_ACTION_TYPE_DELETE_VERSION SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE SYSRES_CONST_ACTION_TYPE_LOCK SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY SYSRES_CONST_ACTION_TYPE_MARK_AS_READED SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED SYSRES_CONST_ACTION_TYPE_MODIFY SYSRES_CONST_ACTION_TYPE_MODIFY_CARD SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE SYSRES_CONST_ACTION_TYPE_PERFORM SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY SYSRES_CONST_ACTION_TYPE_RESTART SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE SYSRES_CONST_ACTION_TYPE_REVISION SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL SYSRES_CONST_ACTION_TYPE_SIGN SYSRES_CONST_ACTION_TYPE_START SYSRES_CONST_ACTION_TYPE_UNLOCK SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER SYSRES_CONST_ACTION_TYPE_VERSION_STATE SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY SYSRES_CONST_ACTION_TYPE_VIEW SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE SYSRES_CONST_ADD_REFERENCE_MODE_NAME SYSRES_CONST_ADDITION_REQUISITE_CODE SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS SYSRES_CONST_ALL_USERS_GROUP SYSRES_CONST_ALL_USERS_GROUP_NAME SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE SYSRES_CONST_APPROVING_SIGNATURE_NAME SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN SYSRES_CONST_ATTACH_TYPE_DOC SYSRES_CONST_ATTACH_TYPE_EDOC SYSRES_CONST_ATTACH_TYPE_FOLDER SYSRES_CONST_ATTACH_TYPE_JOB SYSRES_CONST_ATTACH_TYPE_REFERENCE SYSRES_CONST_ATTACH_TYPE_TASK SYSRES_CONST_AUTH_ENCODED_PASSWORD SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE SYSRES_CONST_AUTH_NOVELL SYSRES_CONST_AUTH_PASSWORD SYSRES_CONST_AUTH_PASSWORD_CODE SYSRES_CONST_AUTH_WINDOWS SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_AUTO_ENUM_METHOD_FLAG SYSRES_CONST_AUTO_NUMERATION_CODE SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_USAGE_ALL SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE SYSRES_CONST_AUTOTEXT_USAGE_SIGN SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE SYSRES_CONST_AUTOTEXT_USAGE_WORK SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BTN_PART SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT SYSRES_CONST_CARD_PART SYSRES_CONST_CARD_REFERENCE_MODE_NAME SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT SYSRES_CONST_CODE_COMPONENT_TYPE_URL SYSRES_CONST_CODE_REQUISITE_ACCESS SYSRES_CONST_CODE_REQUISITE_CODE SYSRES_CONST_CODE_REQUISITE_COMPONENT SYSRES_CONST_CODE_REQUISITE_DESCRIPTION SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT SYSRES_CONST_CODE_REQUISITE_RECORD SYSRES_CONST_COMMENT_REQ_CODE SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE SYSRES_CONST_COMP_CODE_GRD SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DOCS SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_COMPONENT_TYPE_EDOCS SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_COMPONENT_TYPE_OTHER SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES SYSRES_CONST_COMPONENT_TYPE_REFERENCES SYSRES_CONST_COMPONENT_TYPE_REPORTS SYSRES_CONST_COMPONENT_TYPE_SCRIPTS SYSRES_CONST_COMPONENT_TYPE_URL SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION SYSRES_CONST_CONST_FIRM_STATUS_COMMON SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL SYSRES_CONST_CONST_NEGATIVE_VALUE SYSRES_CONST_CONST_POSITIVE_VALUE SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE SYSRES_CONST_CONTENTS_REQUISITE_CODE SYSRES_CONST_DATA_TYPE_BOOLEAN SYSRES_CONST_DATA_TYPE_DATE SYSRES_CONST_DATA_TYPE_FLOAT SYSRES_CONST_DATA_TYPE_INTEGER SYSRES_CONST_DATA_TYPE_PICK SYSRES_CONST_DATA_TYPE_REFERENCE SYSRES_CONST_DATA_TYPE_STRING SYSRES_CONST_DATA_TYPE_TEXT SYSRES_CONST_DATA_TYPE_VARIANT SYSRES_CONST_DATE_CLOSE_REQ_CODE SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR SYSRES_CONST_DATE_OPEN_REQ_CODE SYSRES_CONST_DATE_REQUISITE SYSRES_CONST_DATE_REQUISITE_CODE SYSRES_CONST_DATE_REQUISITE_NAME SYSRES_CONST_DATE_REQUISITE_TYPE SYSRES_CONST_DATE_TYPE_CHAR SYSRES_CONST_DATETIME_FORMAT_VALUE SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_DET1_PART SYSRES_CONST_DET2_PART SYSRES_CONST_DET3_PART SYSRES_CONST_DET4_PART SYSRES_CONST_DET5_PART SYSRES_CONST_DET6_PART SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE SYSRES_CONST_DETAIL_REQ_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME SYSRES_CONST_DOCUMENT_STORAGES_CODE SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME SYSRES_CONST_DOUBLE_REQUISITE_CODE SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE SYSRES_CONST_EDITORS_REFERENCE_CODE SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE SYSRES_CONST_EDOC_DATE_REQUISITE_CODE SYSRES_CONST_EDOC_KIND_REFERENCE_CODE SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE SYSRES_CONST_EDOC_NONE_ENCODE_CODE SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_READONLY_ACCESS_CODE SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE SYSRES_CONST_EDOC_WRITE_ACCES_CODE SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_END_DATE_REQUISITE_CODE SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE SYSRES_CONST_EXIST_CONST SYSRES_CONST_EXIST_VALUE SYSRES_CONST_EXPORT_LOCK_TYPE_ASK SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK SYSRES_CONST_EXPORT_VERSION_TYPE_ASK SYSRES_CONST_EXPORT_VERSION_TYPE_LAST SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE SYSRES_CONST_EXTENSION_REQUISITE_CODE SYSRES_CONST_FILTER_NAME_REQUISITE_CODE SYSRES_CONST_FILTER_REQUISITE_CODE SYSRES_CONST_FILTER_TYPE_COMMON_CODE SYSRES_CONST_FILTER_TYPE_COMMON_NAME SYSRES_CONST_FILTER_TYPE_USER_CODE SYSRES_CONST_FILTER_TYPE_USER_NAME SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR SYSRES_CONST_FLOAT_REQUISITE_TYPE SYSRES_CONST_FOLDER_AUTHOR_VALUE SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS SYSRES_CONST_FOLDER_KIND_COMPONENTS SYSRES_CONST_FOLDER_KIND_EDOCS SYSRES_CONST_FOLDER_KIND_JOBS SYSRES_CONST_FOLDER_KIND_TASKS SYSRES_CONST_FOLDER_TYPE_COMMON SYSRES_CONST_FOLDER_TYPE_COMPONENT SYSRES_CONST_FOLDER_TYPE_FAVORITES SYSRES_CONST_FOLDER_TYPE_INBOX SYSRES_CONST_FOLDER_TYPE_OUTBOX SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH SYSRES_CONST_FOLDER_TYPE_SEARCH SYSRES_CONST_FOLDER_TYPE_SHORTCUTS SYSRES_CONST_FOLDER_TYPE_USER SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG SYSRES_CONST_FULL_SUBSTITUTE_TYPE SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE SYSRES_CONST_FUNCTION_CANCEL_RESULT SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM SYSRES_CONST_FUNCTION_CATEGORY_USER SYSRES_CONST_FUNCTION_FAILURE_RESULT SYSRES_CONST_FUNCTION_SAVE_RESULT SYSRES_CONST_GENERATED_REQUISITE SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_USER_REQUISITE_CODE SYSRES_CONST_GROUPS_REFERENCE_CODE SYSRES_CONST_GROUPS_REQUISITE_CODE SYSRES_CONST_HIDDEN_MODE_NAME SYSRES_CONST_HIGH_LVL_REQUISITE_CODE SYSRES_CONST_HISTORY_ACTION_CREATE_CODE SYSRES_CONST_HISTORY_ACTION_DELETE_CODE SYSRES_CONST_HISTORY_ACTION_EDIT_CODE SYSRES_CONST_HOUR_CHAR SYSRES_CONST_ID_REQUISITE_CODE SYSRES_CONST_IDSPS_REQUISITE_CODE SYSRES_CONST_IMAGE_MODE_COLOR SYSRES_CONST_IMAGE_MODE_GREYSCALE SYSRES_CONST_IMAGE_MODE_MONOCHROME SYSRES_CONST_IMPORTANCE_HIGH SYSRES_CONST_IMPORTANCE_LOW SYSRES_CONST_IMPORTANCE_NORMAL SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE SYSRES_CONST_INT_REQUISITE SYSRES_CONST_INT_REQUISITE_TYPE SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR SYSRES_CONST_INTEGER_TYPE_CHAR SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_JOB_BLOCK_DESCRIPTION SYSRES_CONST_JOB_KIND_CONTROL_JOB SYSRES_CONST_JOB_KIND_JOB SYSRES_CONST_JOB_KIND_NOTICE SYSRES_CONST_JOB_STATE_ABORTED SYSRES_CONST_JOB_STATE_COMPLETE SYSRES_CONST_JOB_STATE_WORKING SYSRES_CONST_KIND_REQUISITE_CODE SYSRES_CONST_KIND_REQUISITE_NAME SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE SYSRES_CONST_KOD_INPUT_TYPE SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT SYSRES_CONST_LINK_OBJECT_KIND_EDOC SYSRES_CONST_LINK_OBJECT_KIND_FOLDER SYSRES_CONST_LINK_OBJECT_KIND_JOB SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE SYSRES_CONST_LINK_OBJECT_KIND_TASK SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE SYSRES_CONST_LIST_REFERENCE_MODE_NAME SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE SYSRES_CONST_MAIN_VIEW_CODE SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE SYSRES_CONST_MAXIMIZED_MODE_NAME SYSRES_CONST_ME_VALUE SYSRES_CONST_MESSAGE_ATTENTION_CAPTION SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION SYSRES_CONST_MESSAGE_ERROR_CAPTION SYSRES_CONST_MESSAGE_INFORMATION_CAPTION SYSRES_CONST_MINIMIZED_MODE_NAME SYSRES_CONST_MINUTE_CHAR SYSRES_CONST_MODULE_REQUISITE_CODE SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION SYSRES_CONST_MONTH_FORMAT_VALUE SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_NAME_REQUISITE_CODE SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE SYSRES_CONST_NAMEAN_INPUT_TYPE SYSRES_CONST_NEGATIVE_PICK_VALUE SYSRES_CONST_NEGATIVE_VALUE SYSRES_CONST_NO SYSRES_CONST_NO_PICK_VALUE SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE SYSRES_CONST_NO_VALUE SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_NORMAL_MODE_NAME SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_NOTE_REQUISITE_CODE SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION SYSRES_CONST_NUM_REQUISITE SYSRES_CONST_NUM_STR_REQUISITE_CODE SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG SYSRES_CONST_NUMERATION_AUTO_STRONG SYSRES_CONST_NUMERATION_FROM_DICTONARY SYSRES_CONST_NUMERATION_MANUAL SYSRES_CONST_NUMERIC_TYPE_CHAR SYSRES_CONST_NUMREQ_REQUISITE_CODE SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_ORIGINALREF_REQUISITE_CODE SYSRES_CONST_OURFIRM_REF_CODE SYSRES_CONST_OURFIRM_REQUISITE_CODE SYSRES_CONST_OURFIRM_VAR SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE SYSRES_CONST_PICK_NEGATIVE_RESULT SYSRES_CONST_PICK_POSITIVE_RESULT SYSRES_CONST_PICK_REQUISITE SYSRES_CONST_PICK_REQUISITE_TYPE SYSRES_CONST_PICK_TYPE_CHAR SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE SYSRES_CONST_PLATFORM_VERSION_COMMENT SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_POSITIVE_PICK_VALUE SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE SYSRES_CONST_PRIORITY_REQUISITE_CODE SYSRES_CONST_QUALIFIED_TASK_TYPE SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE SYSRES_CONST_RECSTAT_REQUISITE_CODE SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_REF_REQUISITE SYSRES_CONST_REF_REQUISITE_TYPE SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE SYSRES_CONST_REFERENCE_TYPE_CHAR SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_MODE_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_EDIT_CODE SYSRES_CONST_REQ_MODE_HIDDEN_CODE SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_VIEW_CODE SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE SYSRES_CONST_REQ_SECTION_VALUE SYSRES_CONST_REQ_TYPE_VALUE SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME SYSRES_CONST_REQUISITE_FORMAT_LEFT SYSRES_CONST_REQUISITE_FORMAT_RIGHT SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_REQUISITE_SECTION_ACTIONS SYSRES_CONST_REQUISITE_SECTION_BUTTON SYSRES_CONST_REQUISITE_SECTION_BUTTONS SYSRES_CONST_REQUISITE_SECTION_CARD SYSRES_CONST_REQUISITE_SECTION_TABLE SYSRES_CONST_REQUISITE_SECTION_TABLE10 SYSRES_CONST_REQUISITE_SECTION_TABLE11 SYSRES_CONST_REQUISITE_SECTION_TABLE12 SYSRES_CONST_REQUISITE_SECTION_TABLE13 SYSRES_CONST_REQUISITE_SECTION_TABLE14 SYSRES_CONST_REQUISITE_SECTION_TABLE15 SYSRES_CONST_REQUISITE_SECTION_TABLE16 SYSRES_CONST_REQUISITE_SECTION_TABLE17 SYSRES_CONST_REQUISITE_SECTION_TABLE18 SYSRES_CONST_REQUISITE_SECTION_TABLE19 SYSRES_CONST_REQUISITE_SECTION_TABLE2 SYSRES_CONST_REQUISITE_SECTION_TABLE20 SYSRES_CONST_REQUISITE_SECTION_TABLE21 SYSRES_CONST_REQUISITE_SECTION_TABLE22 SYSRES_CONST_REQUISITE_SECTION_TABLE23 SYSRES_CONST_REQUISITE_SECTION_TABLE24 SYSRES_CONST_REQUISITE_SECTION_TABLE3 SYSRES_CONST_REQUISITE_SECTION_TABLE4 SYSRES_CONST_REQUISITE_SECTION_TABLE5 SYSRES_CONST_REQUISITE_SECTION_TABLE6 SYSRES_CONST_REQUISITE_SECTION_TABLE7 SYSRES_CONST_REQUISITE_SECTION_TABLE8 SYSRES_CONST_REQUISITE_SECTION_TABLE9 SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_RIGHT_ALIGNMENT_CODE SYSRES_CONST_ROLES_REFERENCE_CODE SYSRES_CONST_ROUTE_STEP_AFTER_RUS SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS SYSRES_CONST_ROUTE_TYPE_COMPLEX SYSRES_CONST_ROUTE_TYPE_PARALLEL SYSRES_CONST_ROUTE_TYPE_SERIAL SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE SYSRES_CONST_SEARCHES_COMPONENT_CONTENT SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME SYSRES_CONST_SEARCHES_EDOC_CONTENT SYSRES_CONST_SEARCHES_FOLDER_CONTENT SYSRES_CONST_SEARCHES_JOB_CONTENT SYSRES_CONST_SEARCHES_REFERENCE_CODE SYSRES_CONST_SEARCHES_TASK_CONTENT SYSRES_CONST_SECOND_CHAR SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE SYSRES_CONST_SECTION_REQUISITE_CODE SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE SYSRES_CONST_SELECT_REFERENCE_MODE_NAME SYSRES_CONST_SELECT_TYPE_SELECTABLE SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD SYSRES_CONST_SELECT_TYPE_UNSLECTABLE SYSRES_CONST_SERVER_TYPE_MAIN SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE SYSRES_CONST_STATE_REQ_NAME SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE SYSRES_CONST_STATE_REQUISITE_CODE SYSRES_CONST_STATIC_ROLE_TYPE_CODE SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE SYSRES_CONST_STATUS_VALUE_AUTOCLEANING SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE SYSRES_CONST_STATUS_VALUE_COMPLETE SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE SYSRES_CONST_STATUS_VALUE_RED_SQUARE SYSRES_CONST_STATUS_VALUE_SUSPEND SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE SYSRES_CONST_STORAGE_TYPE_FILE SYSRES_CONST_STORAGE_TYPE_SQL_SERVER SYSRES_CONST_STR_REQUISITE SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR SYSRES_CONST_STRING_REQUISITE_CODE SYSRES_CONST_STRING_REQUISITE_TYPE SYSRES_CONST_STRING_TYPE_CHAR SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE SYSRES_CONST_SYSTEM_VERSION_COMMENT SYSRES_CONST_TASK_ACCESS_TYPE_ALL SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD SYSRES_CONST_TASK_ENCODE_TYPE_NONE SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD SYSRES_CONST_TASK_ROUTE_ALL_CONDITION SYSRES_CONST_TASK_ROUTE_AND_CONDITION SYSRES_CONST_TASK_ROUTE_OR_CONDITION SYSRES_CONST_TASK_STATE_ABORTED SYSRES_CONST_TASK_STATE_COMPLETE SYSRES_CONST_TASK_STATE_CONTINUED SYSRES_CONST_TASK_STATE_CONTROL SYSRES_CONST_TASK_STATE_INIT SYSRES_CONST_TASK_STATE_WORKING SYSRES_CONST_TASK_TITLE SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE SYSRES_CONST_TASK_TYPES_REFERENCE_CODE SYSRES_CONST_TEMPLATES_REFERENCE_CODE SYSRES_CONST_TEST_DATE_REQUISITE_NAME SYSRES_CONST_TEST_DEV_DATABASE_NAME SYSRES_CONST_TEST_DEV_SYSTEM_CODE SYSRES_CONST_TEST_EDMS_DATABASE_NAME SYSRES_CONST_TEST_EDMS_MAIN_CODE SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME SYSRES_CONST_TEST_EDMS_SECOND_CODE SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME SYSRES_CONST_TEST_EDMS_SYSTEM_CODE SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME SYSRES_CONST_TEXT_REQUISITE SYSRES_CONST_TEXT_REQUISITE_CODE SYSRES_CONST_TEXT_REQUISITE_TYPE SYSRES_CONST_TEXT_TYPE_CHAR SYSRES_CONST_TYPE_CODE_REQUISITE_CODE SYSRES_CONST_TYPE_REQUISITE_CODE SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME SYSRES_CONST_USE_ACCESS_TYPE_CODE SYSRES_CONST_USE_ACCESS_TYPE_NAME SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE SYSRES_CONST_USER_CATEGORY_NORMAL SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE SYSRES_CONST_USER_COMMON_CATEGORY SYSRES_CONST_USER_COMMON_CATEGORY_CODE SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_USER_LOGIN_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_USER_SERVICE_CATEGORY SYSRES_CONST_USER_SERVICE_CATEGORY_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME SYSRES_CONST_USER_STATUS_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_DEVELOPER_NAME SYSRES_CONST_USER_STATUS_DISABLED_CODE SYSRES_CONST_USER_STATUS_DISABLED_NAME SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_USER_CODE SYSRES_CONST_USER_STATUS_USER_NAME SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER SYSRES_CONST_USER_TYPE_REQUISITE_CODE SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE SYSRES_CONST_USERS_REFERENCE_CODE SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME SYSRES_CONST_USERS_REQUISITE_CODE SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME SYSRES_CONST_VIEW_DEFAULT_CODE SYSRES_CONST_VIEW_DEFAULT_NAME SYSRES_CONST_VIEWER_REQUISITE_CODE SYSRES_CONST_WAITING_BLOCK_DESCRIPTION SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT SYSRES_CONST_XML_ENCODING SYSRES_CONST_XREC_STAT_REQUISITE_CODE SYSRES_CONST_XRECID_FIELD_NAME SYSRES_CONST_YES SYSRES_CONST_YES_NO_2_REQUISITE_CODE SYSRES_CONST_YES_NO_REQUISITE_CODE SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_YES_PICK_VALUE SYSRES_CONST_YES_VALUE ",o="CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE ",a="ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME ",l="DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY ",d="ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION ",c="JOB_BLOCK_ABORT_DEADLINE_PROPERTY JOB_BLOCK_AFTER_FINISH_EVENT JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT JOB_BLOCK_ATTACHMENT_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT JOB_BLOCK_BEFORE_START_EVENT JOB_BLOCK_CREATED_JOBS_PROPERTY JOB_BLOCK_DEADLINE_PROPERTY JOB_BLOCK_EXECUTION_RESULTS_PROPERTY JOB_BLOCK_IS_PARALLEL_PROPERTY JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY JOB_BLOCK_JOB_TEXT_PROPERTY JOB_BLOCK_NAME_PROPERTY JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY JOB_BLOCK_PERFORMER_PROPERTY JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY JOB_BLOCK_SUBJECT_PROPERTY ",_="ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE ",f="smHidden smMaximized smMinimized smNormal wmNo wmYes ",m="COMPONENT_TOKEN_LINK_KIND DOCUMENT_LINK_KIND EDOCUMENT_LINK_KIND FOLDER_LINK_KIND JOB_LINK_KIND REFERENCE_LINK_KIND TASK_LINK_KIND ",h="COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE ",E="MONITOR_BLOCK_AFTER_FINISH_EVENT MONITOR_BLOCK_BEFORE_START_EVENT MONITOR_BLOCK_DEADLINE_PROPERTY MONITOR_BLOCK_INTERVAL_PROPERTY MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY MONITOR_BLOCK_NAME_PROPERTY MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY ",b="NOTICE_BLOCK_AFTER_FINISH_EVENT NOTICE_BLOCK_ATTACHMENT_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY NOTICE_BLOCK_BEFORE_START_EVENT NOTICE_BLOCK_CREATED_NOTICES_PROPERTY NOTICE_BLOCK_DEADLINE_PROPERTY NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY NOTICE_BLOCK_NAME_PROPERTY NOTICE_BLOCK_NOTICE_TEXT_PROPERTY NOTICE_BLOCK_PERFORMER_PROPERTY NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY NOTICE_BLOCK_SUBJECT_PROPERTY ",g="dseAfterCancel dseAfterClose dseAfterDelete dseAfterDeleteOutOfTransaction dseAfterInsert dseAfterOpen dseAfterScroll dseAfterUpdate dseAfterUpdateOutOfTransaction dseBeforeCancel dseBeforeClose dseBeforeDelete dseBeforeDetailUpdate dseBeforeInsert dseBeforeOpen dseBeforeUpdate dseOnAnyRequisiteChange dseOnCloseRecord dseOnDeleteError dseOnOpenRecord dseOnPrepareUpdate dseOnUpdateError dseOnUpdateRatifiedRecord dseOnValidDelete dseOnValidUpdate reOnChange reOnChangeValues SELECTION_BEGIN_ROUTE_EVENT SELECTION_END_ROUTE_EVENT ",v="CURRENT_PERIOD_IS_REQUIRED PREVIOUS_CARD_TYPE_NAME SHOW_RECORD_PROPERTIES_FORM ",y="ACCESS_RIGHTS_SETTING_DIALOG_CODE ADMINISTRATOR_USER_CODE ANALYTIC_REPORT_TYPE asrtHideLocal asrtHideRemote CALCULATED_ROLE_TYPE_CODE COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE DCTS_TEST_PROTOCOLS_FOLDER_PATH E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER E_EDOC_VERSION_ALREDY_SIGNED E_EDOC_VERSION_ALREDY_SIGNED_BY_USER EDOC_TYPES_CODE_REQUISITE_FIELD_NAME EDOCUMENTS_ALIAS_NAME FILES_FOLDER_PATH FILTER_OPERANDS_DELIMITER FILTER_OPERATIONS_DELIMITER FORMCARD_NAME FORMLIST_NAME GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE INTEGRATED_REPORT_TYPE IS_BUILDER_APPLICATION_ROLE IS_BUILDER_APPLICATION_ROLE2 IS_BUILDER_USERS ISBSYSDEV LOG_FOLDER_PATH mbCancel mbNo mbNoToAll mbOK mbYes mbYesToAll MEMORY_DATASET_DESRIPTIONS_FILENAME mrNo mrNoToAll mrYes mrYesToAll MULTIPLE_SELECT_DIALOG_CODE NONOPERATING_RECORD_FLAG_FEMININE NONOPERATING_RECORD_FLAG_MASCULINE OPERATING_RECORD_FLAG_FEMININE OPERATING_RECORD_FLAG_MASCULINE PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE PROGRAM_INITIATED_LOOKUP_ACTION ratDelete ratEdit ratInsert REPORT_TYPE REQUIRED_PICK_VALUES_VARIABLE rmCard rmList SBRTE_PROGID_DEV SBRTE_PROGID_RELEASE STATIC_ROLE_TYPE_CODE SUPPRESS_EMPTY_TEMPLATE_CREATION SYSTEM_USER_CODE UPDATE_DIALOG_DATASET USED_IN_OBJECT_HINT_PARAM USER_INITIATED_LOOKUP_ACTION USER_NAME_FORMAT USER_SELECTION_RESTRICTIONS WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH ELS_SUBTYPE_CONTROL_NAME ELS_FOLDER_KIND_CONTROL_NAME REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME ",T="PRIVILEGE_COMPONENT_FULL_ACCESS PRIVILEGE_DEVELOPMENT_EXPORT PRIVILEGE_DEVELOPMENT_IMPORT PRIVILEGE_DOCUMENT_DELETE PRIVILEGE_ESD PRIVILEGE_FOLDER_DELETE PRIVILEGE_MANAGE_ACCESS_RIGHTS PRIVILEGE_MANAGE_REPLICATION PRIVILEGE_MANAGE_SESSION_SERVER PRIVILEGE_OBJECT_FULL_ACCESS PRIVILEGE_OBJECT_VIEW PRIVILEGE_RESERVE_LICENSE PRIVILEGE_SYSTEM_CUSTOMIZE PRIVILEGE_SYSTEM_DEVELOP PRIVILEGE_SYSTEM_INSTALL PRIVILEGE_TASK_DELETE PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE PRIVILEGES_PSEUDOREFERENCE_CODE ",C="ACCESS_TYPES_PSEUDOREFERENCE_CODE ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE COMPONENTS_PSEUDOREFERENCE_CODE FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE GROUPS_PSEUDOREFERENCE_CODE RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE REFTYPES_PSEUDOREFERENCE_CODE REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE SEND_PROTOCOL_PSEUDOREFERENCE_CODE SUBSTITUTES_PSEUDOREFERENCE_CODE SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE UNITS_PSEUDOREFERENCE_CODE USERS_PSEUDOREFERENCE_CODE VIEWERS_PSEUDOREFERENCE_CODE ",x="CERTIFICATE_TYPE_ENCRYPT CERTIFICATE_TYPE_SIGN CERTIFICATE_TYPE_SIGN_AND_ENCRYPT ",O="STORAGE_TYPE_FILE STORAGE_TYPE_NAS_CIFS STORAGE_TYPE_SAPERION STORAGE_TYPE_SQL_SERVER ",R="COMPTYPE2_REQUISITE_DOCUMENTS_VALUE COMPTYPE2_REQUISITE_TASKS_VALUE COMPTYPE2_REQUISITE_FOLDERS_VALUE COMPTYPE2_REQUISITE_REFERENCES_VALUE ",S="SYSREQ_CODE SYSREQ_COMPTYPE2 SYSREQ_CONST_AVAILABLE_FOR_WEB SYSREQ_CONST_COMMON_CODE SYSREQ_CONST_COMMON_VALUE SYSREQ_CONST_FIRM_CODE SYSREQ_CONST_FIRM_STATUS SYSREQ_CONST_FIRM_VALUE SYSREQ_CONST_SERVER_STATUS SYSREQ_CONTENTS SYSREQ_DATE_OPEN SYSREQ_DATE_CLOSE SYSREQ_DESCRIPTION SYSREQ_DESCRIPTION_LOCALIZE_ID SYSREQ_DOUBLE SYSREQ_EDOC_ACCESS_TYPE SYSREQ_EDOC_AUTHOR SYSREQ_EDOC_CREATED SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE SYSREQ_EDOC_EDITOR SYSREQ_EDOC_ENCODE_TYPE SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_EXPORT_DATE SYSREQ_EDOC_EXPORTER SYSREQ_EDOC_KIND SYSREQ_EDOC_LIFE_STAGE_NAME SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE SYSREQ_EDOC_MODIFIED SYSREQ_EDOC_NAME SYSREQ_EDOC_NOTE SYSREQ_EDOC_QUALIFIED_ID SYSREQ_EDOC_SESSION_KEY SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_SIGNATURE_TYPE SYSREQ_EDOC_SIGNED SYSREQ_EDOC_STORAGE SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE SYSREQ_EDOC_STORAGES_CHECK_RIGHTS SYSREQ_EDOC_STORAGES_COMPUTER_NAME SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE SYSREQ_EDOC_STORAGES_FUNCTION SYSREQ_EDOC_STORAGES_INITIALIZED SYSREQ_EDOC_STORAGES_LOCAL_PATH SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT SYSREQ_EDOC_STORAGES_SERVER_NAME SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME SYSREQ_EDOC_STORAGES_TYPE SYSREQ_EDOC_TEXT_MODIFIED SYSREQ_EDOC_TYPE_ACT_CODE SYSREQ_EDOC_TYPE_ACT_DESCRIPTION SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_EDOC_TYPE_ACT_SECTION SYSREQ_EDOC_TYPE_ADD_PARAMS SYSREQ_EDOC_TYPE_COMMENT SYSREQ_EDOC_TYPE_EVENT_TEXT SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID SYSREQ_EDOC_TYPE_NUMERATION_METHOD SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE SYSREQ_EDOC_TYPE_REQ_CODE SYSREQ_EDOC_TYPE_REQ_DESCRIPTION SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_REQ_IS_LEADING SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED SYSREQ_EDOC_TYPE_REQ_NUMBER SYSREQ_EDOC_TYPE_REQ_ON_CHANGE SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_EDOC_TYPE_REQ_ON_SELECT SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND SYSREQ_EDOC_TYPE_REQ_SECTION SYSREQ_EDOC_TYPE_VIEW_CARD SYSREQ_EDOC_TYPE_VIEW_CODE SYSREQ_EDOC_TYPE_VIEW_COMMENT SYSREQ_EDOC_TYPE_VIEW_IS_MAIN SYSREQ_EDOC_TYPE_VIEW_NAME SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_EDOC_VERSION_AUTHOR SYSREQ_EDOC_VERSION_CRC SYSREQ_EDOC_VERSION_DATA SYSREQ_EDOC_VERSION_EDITOR SYSREQ_EDOC_VERSION_EXPORT_DATE SYSREQ_EDOC_VERSION_EXPORTER SYSREQ_EDOC_VERSION_HIDDEN SYSREQ_EDOC_VERSION_LIFE_STAGE SYSREQ_EDOC_VERSION_MODIFIED SYSREQ_EDOC_VERSION_NOTE SYSREQ_EDOC_VERSION_SIGNATURE_TYPE SYSREQ_EDOC_VERSION_SIGNED SYSREQ_EDOC_VERSION_SIZE SYSREQ_EDOC_VERSION_SOURCE SYSREQ_EDOC_VERSION_TEXT_MODIFIED SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE SYSREQ_FOLDER_KIND SYSREQ_FUNC_CATEGORY SYSREQ_FUNC_COMMENT SYSREQ_FUNC_GROUP SYSREQ_FUNC_GROUP_COMMENT SYSREQ_FUNC_GROUP_NUMBER SYSREQ_FUNC_HELP SYSREQ_FUNC_PARAM_DEF_VALUE SYSREQ_FUNC_PARAM_IDENT SYSREQ_FUNC_PARAM_NUMBER SYSREQ_FUNC_PARAM_TYPE SYSREQ_FUNC_TEXT SYSREQ_GROUP_CATEGORY SYSREQ_ID SYSREQ_LAST_UPDATE SYSREQ_LEADER_REFERENCE SYSREQ_LINE_NUMBER SYSREQ_MAIN_RECORD_ID SYSREQ_NAME SYSREQ_NAME_LOCALIZE_ID SYSREQ_NOTE SYSREQ_ORIGINAL_RECORD SYSREQ_OUR_FIRM SYSREQ_PROFILING_SETTINGS_BATCH_LOGING SYSREQ_PROFILING_SETTINGS_BATCH_SIZE SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_START_LOGGED SYSREQ_RECORD_STATUS SYSREQ_REF_REQ_FIELD_NAME SYSREQ_REF_REQ_FORMAT SYSREQ_REF_REQ_GENERATED SYSREQ_REF_REQ_LENGTH SYSREQ_REF_REQ_PRECISION SYSREQ_REF_REQ_REFERENCE SYSREQ_REF_REQ_SECTION SYSREQ_REF_REQ_STORED SYSREQ_REF_REQ_TOKENS SYSREQ_REF_REQ_TYPE SYSREQ_REF_REQ_VIEW SYSREQ_REF_TYPE_ACT_CODE SYSREQ_REF_TYPE_ACT_DESCRIPTION SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_ACT_ON_EXECUTE SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_REF_TYPE_ACT_SECTION SYSREQ_REF_TYPE_ADD_PARAMS SYSREQ_REF_TYPE_COMMENT SYSREQ_REF_TYPE_COMMON_SETTINGS SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME SYSREQ_REF_TYPE_EVENT_TEXT SYSREQ_REF_TYPE_MAIN_LEADING_REF SYSREQ_REF_TYPE_NAME_IN_SINGULAR SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_REF_TYPE_NAME_LOCALIZE_ID SYSREQ_REF_TYPE_NUMERATION_METHOD SYSREQ_REF_TYPE_REQ_CODE SYSREQ_REF_TYPE_REQ_DESCRIPTION SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_REQ_IS_CONTROL SYSREQ_REF_TYPE_REQ_IS_FILTER SYSREQ_REF_TYPE_REQ_IS_LEADING SYSREQ_REF_TYPE_REQ_IS_REQUIRED SYSREQ_REF_TYPE_REQ_NUMBER SYSREQ_REF_TYPE_REQ_ON_CHANGE SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_REF_TYPE_REQ_ON_SELECT SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND SYSREQ_REF_TYPE_REQ_SECTION SYSREQ_REF_TYPE_VIEW_CARD SYSREQ_REF_TYPE_VIEW_CODE SYSREQ_REF_TYPE_VIEW_COMMENT SYSREQ_REF_TYPE_VIEW_IS_MAIN SYSREQ_REF_TYPE_VIEW_NAME SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_REFERENCE_TYPE_ID SYSREQ_STATE SYSREQ_STATЕ SYSREQ_SYSTEM_SETTINGS_VALUE SYSREQ_TYPE SYSREQ_UNIT SYSREQ_UNIT_ID SYSREQ_USER_GROUPS_GROUP_FULL_NAME SYSREQ_USER_GROUPS_GROUP_NAME SYSREQ_USER_GROUPS_GROUP_SERVER_NAME SYSREQ_USERS_ACCESS_RIGHTS SYSREQ_USERS_AUTHENTICATION SYSREQ_USERS_CATEGORY SYSREQ_USERS_COMPONENT SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC SYSREQ_USERS_DOMAIN SYSREQ_USERS_FULL_USER_NAME SYSREQ_USERS_GROUP SYSREQ_USERS_IS_MAIN_SERVER SYSREQ_USERS_LOGIN SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC SYSREQ_USERS_STATUS SYSREQ_USERS_USER_CERTIFICATE SYSREQ_USERS_USER_CERTIFICATE_INFO SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION SYSREQ_USERS_USER_CERTIFICATE_STATE SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT SYSREQ_USERS_USER_DEFAULT_CERTIFICATE SYSREQ_USERS_USER_DESCRIPTION SYSREQ_USERS_USER_GLOBAL_NAME SYSREQ_USERS_USER_LOGIN SYSREQ_USERS_USER_MAIN_SERVER SYSREQ_USERS_USER_TYPE SYSREQ_WORK_RULES_FOLDER_ID ",A="RESULT_VAR_NAME RESULT_VAR_NAME_ENG ",U="AUTO_NUMERATION_RULE_ID CANT_CHANGE_ID_REQUISITE_RULE_ID CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID CHECK_CODE_REQUISITE_RULE_ID CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID CHECK_FILTRATER_CHANGES_RULE_ID CHECK_RECORD_INTERVAL_RULE_ID CHECK_REFERENCE_INTERVAL_RULE_ID CHECK_REQUIRED_DATA_FULLNESS_RULE_ID CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID MAKE_RECORD_UNRATIFIED_RULE_ID RESTORE_AUTO_NUMERATION_RULE_ID SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID SET_IDSPS_VALUE_RULE_ID SET_NEXT_CODE_VALUE_RULE_ID SET_OURFIRM_BOUNDS_RULE_ID SET_OURFIRM_REQUISITE_RULE_ID ",F="SCRIPT_BLOCK_AFTER_FINISH_EVENT SCRIPT_BLOCK_BEFORE_START_EVENT SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY SCRIPT_BLOCK_NAME_PROPERTY SCRIPT_BLOCK_SCRIPT_PROPERTY ",K="SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_AFTER_FINISH_EVENT SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT SUBTASK_BLOCK_ATTACHMENTS_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY SUBTASK_BLOCK_BEFORE_START_EVENT SUBTASK_BLOCK_CREATED_TASK_PROPERTY SUBTASK_BLOCK_CREATION_EVENT SUBTASK_BLOCK_DEADLINE_PROPERTY SUBTASK_BLOCK_IMPORTANCE_PROPERTY SUBTASK_BLOCK_INITIATOR_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY SUBTASK_BLOCK_JOBS_TYPE_PROPERTY SUBTASK_BLOCK_NAME_PROPERTY SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY SUBTASK_BLOCK_PERFORMERS_PROPERTY SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_START_EVENT SUBTASK_BLOCK_STEP_CONTROL_PROPERTY SUBTASK_BLOCK_SUBJECT_PROPERTY SUBTASK_BLOCK_TASK_CONTROL_PROPERTY SUBTASK_BLOCK_TEXT_PROPERTY SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY ",L="SYSCOMP_CONTROL_JOBS SYSCOMP_FOLDERS SYSCOMP_JOBS SYSCOMP_NOTICES SYSCOMP_TASKS ",H="SYSDLG_CREATE_EDOCUMENT SYSDLG_CREATE_EDOCUMENT_VERSION SYSDLG_CURRENT_PERIOD SYSDLG_EDIT_FUNCTION_HELP SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS SYSDLG_EXPORT_SINGLE_EDOCUMENT SYSDLG_IMPORT_EDOCUMENT SYSDLG_MULTIPLE_SELECT SYSDLG_SETUP_ACCESS_RIGHTS SYSDLG_SETUP_DEFAULT_RIGHTS SYSDLG_SETUP_FILTER_CONDITION SYSDLG_SETUP_SIGN_RIGHTS SYSDLG_SETUP_TASK_OBSERVERS SYSDLG_SETUP_TASK_ROUTE SYSDLG_SETUP_USERS_LIST SYSDLG_SIGN_EDOCUMENT SYSDLG_SIGN_MULTIPLE_EDOCUMENTS ",G="SYSREF_ACCESS_RIGHTS_TYPES SYSREF_ADMINISTRATION_HISTORY SYSREF_ALL_AVAILABLE_COMPONENTS SYSREF_ALL_AVAILABLE_PRIVILEGES SYSREF_ALL_REPLICATING_COMPONENTS SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS SYSREF_CALENDAR_EVENTS SYSREF_COMPONENT_TOKEN_HISTORY SYSREF_COMPONENT_TOKENS SYSREF_COMPONENTS SYSREF_CONSTANTS SYSREF_DATA_RECEIVE_PROTOCOL SYSREF_DATA_SEND_PROTOCOL SYSREF_DIALOGS SYSREF_DIALOGS_REQUISITES SYSREF_EDITORS SYSREF_EDOC_CARDS SYSREF_EDOC_TYPES SYSREF_EDOCUMENT_CARD_REQUISITES SYSREF_EDOCUMENT_CARD_TYPES SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE SYSREF_EDOCUMENT_CARDS SYSREF_EDOCUMENT_HISTORY SYSREF_EDOCUMENT_KINDS SYSREF_EDOCUMENT_REQUISITES SYSREF_EDOCUMENT_SIGNATURES SYSREF_EDOCUMENT_TEMPLATES SYSREF_EDOCUMENT_TEXT_STORAGES SYSREF_EDOCUMENT_VIEWS SYSREF_FILTERER_SETUP_CONFLICTS SYSREF_FILTRATER_SETTING_CONFLICTS SYSREF_FOLDER_HISTORY SYSREF_FOLDERS SYSREF_FUNCTION_GROUPS SYSREF_FUNCTION_PARAMS SYSREF_FUNCTIONS SYSREF_JOB_HISTORY SYSREF_LINKS SYSREF_LOCALIZATION_DICTIONARY SYSREF_LOCALIZATION_LANGUAGES SYSREF_MODULES SYSREF_PRIVILEGES SYSREF_RECORD_HISTORY SYSREF_REFERENCE_REQUISITES SYSREF_REFERENCE_TYPE_VIEWS SYSREF_REFERENCE_TYPES SYSREF_REFERENCES SYSREF_REFERENCES_REQUISITES SYSREF_REMOTE_SERVERS SYSREF_REPLICATION_SESSIONS_LOG SYSREF_REPLICATION_SESSIONS_PROTOCOL SYSREF_REPORTS SYSREF_ROLES SYSREF_ROUTE_BLOCK_GROUPS SYSREF_ROUTE_BLOCKS SYSREF_SCRIPTS SYSREF_SEARCHES SYSREF_SERVER_EVENTS SYSREF_SERVER_EVENTS_HISTORY SYSREF_STANDARD_ROUTE_GROUPS SYSREF_STANDARD_ROUTES SYSREF_STATUSES SYSREF_SYSTEM_SETTINGS SYSREF_TASK_HISTORY SYSREF_TASK_KIND_GROUPS SYSREF_TASK_KINDS SYSREF_TASK_RIGHTS SYSREF_TASK_SIGNATURES SYSREF_TASKS SYSREF_UNITS SYSREF_USER_GROUPS SYSREF_USER_GROUPS_REFERENCE SYSREF_USER_SUBSTITUTION SYSREF_USERS SYSREF_USERS_REFERENCE SYSREF_VIEWERS SYSREF_WORKING_TIME_CALENDARS ",P="ACCESS_RIGHTS_TABLE_NAME EDMS_ACCESS_TABLE_NAME EDOC_TYPES_TABLE_NAME ",j="TEST_DEV_DB_NAME TEST_DEV_SYSTEM_CODE TEST_EDMS_DB_NAME TEST_EDMS_MAIN_CODE TEST_EDMS_MAIN_DB_NAME TEST_EDMS_SECOND_CODE TEST_EDMS_SECOND_DB_NAME TEST_EDMS_SYSTEM_CODE TEST_ISB5_MAIN_CODE TEST_ISB5_SECOND_CODE TEST_SQL_SERVER_2005_NAME TEST_SQL_SERVER_NAME ",Y="ATTENTION_CAPTION cbsCommandLinks cbsDefault CONFIRMATION_CAPTION ERROR_CAPTION INFORMATION_CAPTION mrCancel mrOk ",Q="EDOC_VERSION_ACTIVE_STAGE_CODE EDOC_VERSION_DESIGN_STAGE_CODE EDOC_VERSION_OBSOLETE_STAGE_CODE ",re="cpDataEnciphermentEnabled cpDigitalSignatureEnabled cpID cpIssuer cpPluginVersion cpSerial cpSubjectName cpSubjSimpleName cpValidFromDate cpValidToDate ",te="ISBL_SYNTAX NO_SYNTAX XML_SYNTAX ",Z="WAIT_BLOCK_AFTER_FINISH_EVENT WAIT_BLOCK_BEFORE_START_EVENT WAIT_BLOCK_DEADLINE_PROPERTY WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY WAIT_BLOCK_NAME_PROPERTY WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY ",fe="SYSRES_COMMON SYSRES_CONST SYSRES_MBFUNC SYSRES_SBDATA SYSRES_SBGUI SYSRES_SBINTF SYSRES_SBREFDSC SYSRES_SQLERRORS SYSRES_SYSCOMP ",ve=r+o+a+l+d+c+_+f+m+h+E+b+g+v+y+T+C+x+O+R+S+A+U+F+K+L+H+G+P+j+Y+Q+re+te+Z+fe,Ae="atUser atGroup atRole ",J="aemEnabledAlways aemDisabledAlways aemEnabledOnBrowse aemEnabledOnEdit aemDisabledOnBrowseEmpty ",me="apBegin apEnd ",ee="alLeft alRight ",Se="asmNever asmNoButCustomize asmAsLastTime asmYesButCustomize asmAlways ",Oe="cirCommon cirRevoked ",k="ctSignature ctEncode ctSignatureEncode ",B="clbUnchecked clbChecked clbGrayed ",$="ceISB ceAlways ceNever ",ce="ctDocument ctReference ctScript ctUnknown ctReport ctDialog ctFunction ctFolder ctEDocument ctTask ctJob ctNotice ctControlJob ",ne="cfInternal cfDisplay ",Ce="ciUnspecified ciWrite ciRead ",we="ckFolder ckEDocument ckTask ckJob ckComponentToken ckAny ckReference ckScript ckReport ckDialog ",V="ctISBLEditor ctBevel ctButton ctCheckListBox ctComboBox ctComboEdit ctGrid ctDBCheckBox ctDBComboBox ctDBEdit ctDBEllipsis ctDBMemo ctDBNavigator ctDBRadioGroup ctDBStatusLabel ctEdit ctGroupBox ctInplaceHint ctMemo ctPanel ctListBox ctRadioButton ctRichEdit ctTabSheet ctWebBrowser ctImage ctHyperLink ctLabel ctDBMultiEllipsis ctRibbon ctRichView ctInnerPanel ctPanelGroup ctBitButton ",_e="cctDate cctInteger cctNumeric cctPick cctReference cctString cctText ",ie="cltInternal cltPrimary cltGUI ",ae="dseBeforeOpen dseAfterOpen dseBeforeClose dseAfterClose dseOnValidDelete dseBeforeDelete dseAfterDelete dseAfterDeleteOutOfTransaction dseOnDeleteError dseBeforeInsert dseAfterInsert dseOnValidUpdate dseBeforeUpdate dseOnUpdateRatifiedRecord dseAfterUpdate dseAfterUpdateOutOfTransaction dseOnUpdateError dseAfterScroll dseOnOpenRecord dseOnCloseRecord dseBeforeCancel dseAfterCancel dseOnUpdateDeadlockError dseBeforeDetailUpdate dseOnPrepareUpdate dseOnAnyRequisiteChange ",D="dssEdit dssInsert dssBrowse dssInActive ",I="dftDate dftShortDate dftDateTime dftTimeStamp ",z="dotDays dotHours dotMinutes dotSeconds ",he="dtkndLocal dtkndUTC ",X="arNone arView arEdit arFull ",se="ddaView ddaEdit ",Re="emLock emEdit emSign emExportWithLock emImportWithUnlock emChangeVersionNote emOpenForModify emChangeLifeStage emDelete emCreateVersion emImport emUnlockExportedWithLock emStart emAbort emReInit emMarkAsReaded emMarkAsUnreaded emPerform emAccept emResume emChangeRights emEditRoute emEditObserver emRecoveryFromLocalCopy emChangeWorkAccessType emChangeEncodeTypeToCertificate emChangeEncodeTypeToPassword emChangeEncodeTypeToNone emChangeEncodeTypeToCertificatePassword emChangeStandardRoute emGetText emOpenForView emMoveToStorage emCreateObject emChangeVersionHidden emDeleteVersion emChangeLifeCycleStage emApprovingSign emExport emContinue emLockFromEdit emUnLockForEdit emLockForServer emUnlockFromServer emDelegateAccessRights emReEncode ",Te="ecotFile ecotProcess ",Me="eaGet eaCopy eaCreate eaCreateStandardRoute ",ze="edltAll edltNothing edltQuery ",st="essmText essmCard ",De="esvtLast esvtLastActive esvtSpecified ",lt="edsfExecutive edsfArchive ",Qe="edstSQLServer edstFile ",He="edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile ",Je="vsDefault vsDesign vsActive vsObsolete ",Fe="etNone etCertificate etPassword etCertificatePassword ",ut="ecException ecWarning ecInformation ",ue="estAll estApprovingOnly ",We="evtLast evtLastActive evtQuery ",Ue="fdtString fdtNumeric fdtInteger fdtDate fdtText fdtUnknown fdtWideString fdtLargeInteger ",Ne="ftInbox ftOutbox ftFavorites ftCommonFolder ftUserFolder ftComponents ftQuickLaunch ftShortcuts ftSearch ",Be="grhAuto grhX1 grhX2 grhX3 ",ct="hltText hltRTF hltHTML ",Et="iffBMP iffJPEG iffMultiPageTIFF iffSinglePageTIFF iffTIFF iffPNG ",jt="im8bGrayscale im24bRGB im1bMonochrome ",ln="itBMP itJPEG itWMF itPNG ",xt="ikhInformation ikhWarning ikhError ikhNoIcon ",$t="icUnknown icScript icFunction icIntegratedReport icAnalyticReport icDataSetEventHandler icActionHandler icFormEventHandler icLookUpEventHandler icRequisiteChangeEventHandler icBeforeSearchEventHandler icRoleCalculation icSelectRouteEventHandler icBlockPropertyCalculation icBlockQueryParamsEventHandler icChangeSearchResultEventHandler icBlockEventHandler icSubTaskInitEventHandler icEDocDataSetEventHandler icEDocLookUpEventHandler icEDocActionHandler icEDocFormEventHandler icEDocRequisiteChangeEventHandler icStructuredConversionRule icStructuredConversionEventBefore icStructuredConversionEventAfter icWizardEventHandler icWizardFinishEventHandler icWizardStepEventHandler icWizardStepFinishEventHandler icWizardActionEnableEventHandler icWizardActionExecuteEventHandler icCreateJobsHandler icCreateNoticesHandler icBeforeLookUpEventHandler icAfterLookUpEventHandler icTaskAbortEventHandler icWorkflowBlockActionHandler icDialogDataSetEventHandler icDialogActionHandler icDialogLookUpEventHandler icDialogRequisiteChangeEventHandler icDialogFormEventHandler icDialogValidCloseEventHandler icBlockFormEventHandler icTaskFormEventHandler icReferenceMethod icEDocMethod icDialogMethod icProcessMessageHandler ",yn="isShow isHide isByUserSettings ",ms="jkJob jkNotice jkControlJob ",Dr="jtInner jtLeft jtRight jtFull jtCross ",ci="lbpAbove lbpBelow lbpLeft lbpRight ",Sn="eltPerConnection eltPerUser ",di="sfcUndefined sfcBlack sfcGreen sfcRed sfcBlue sfcOrange sfcLilac ",Ki="sfsItalic sfsStrikeout sfsNormal ",gs="ldctStandardRoute ldctWizard ldctScript ldctFunction ldctRouteBlock ldctIntegratedReport ldctAnalyticReport ldctReferenceType ldctEDocumentType ldctDialog ldctServerEvents ",bs="mrcrtNone mrcrtUser mrcrtMaximal mrcrtCustom ",Es="vtEqual vtGreaterOrEqual vtLessOrEqual vtRange ",et="rdYesterday rdToday rdTomorrow rdThisWeek rdThisMonth rdThisYear rdNextMonth rdNextWeek rdLastWeek rdLastMonth ",w="rdWindow rdFile rdPrinter ",W="rdtString rdtNumeric rdtInteger rdtDate rdtReference rdtAccount rdtText rdtPick rdtUnknown rdtLargeInteger rdtDocument ",oe="reOnChange reOnChangeValues ",ye="ttGlobal ttLocal ttUser ttSystem ",Ee="ssmBrowse ssmSelect ssmMultiSelect ssmBrowseModal ",Ge="smSelect smLike smCard ",Xe="stNone stAuthenticating stApproving ",nt="sctString sctStream ",at="sstAnsiSort sstNaturalSort ",rt="svtEqual svtContain ",pt="soatString soatNumeric soatInteger soatDatetime soatReferenceRecord soatText soatPick soatBoolean soatEDocument soatAccount soatIntegerCollection soatNumericCollection soatStringCollection soatPickCollection soatDatetimeCollection soatBooleanCollection soatReferenceRecordCollection soatEDocumentCollection soatAccountCollection soatContents soatUnknown ",_t="tarAbortByUser tarAbortByWorkflowException ",Kt="tvtAllWords tvtExactPhrase tvtAnyWord ",Tn="usNone usCompleted usRedSquare usBlueSquare usYellowSquare usGreenSquare usOrangeSquare usPurpleSquare usFollowUp ",nn="utUnknown utUser utDeveloper utAdministrator utSystemDeveloper utDisconnected ",On="btAnd btDetailAnd btOr btNotOr btOnly ",Qt="vmView vmSelect vmNavigation ",yt="vsmSingle vsmMultiple vsmMultipleCheck vsmNoSelection ",tl="wfatPrevious wfatNext wfatCancel wfatFinish ",en="wfepUndefined wfepText3 wfepText6 wfepText9 wfepSpinEdit wfepDropDown wfepRadioGroup wfepFlag wfepText12 wfepText15 wfepText18 wfepText21 wfepText24 wfepText27 wfepText30 wfepRadioGroupColumn1 wfepRadioGroupColumn2 wfepRadioGroupColumn3 ",vs="wfetQueryParameter wfetText wfetDelimiter wfetLabel ",Ac="wptString wptInteger wptNumeric wptBoolean wptDateTime wptPick wptText wptUser wptUserList wptEDocumentInfo wptEDocumentInfoList wptReferenceRecordInfo wptReferenceRecordInfoList wptFolderInfo wptTaskInfo wptContents wptFileName wptDate ",kr="wsrComplete wsrGoNext wsrGoPrevious wsrCustom wsrCancel wsrGoFinal ",nl="wstForm wstEDocument wstTaskCard wstReferenceRecordCard wstFinal ",gn="waAll waPerformers waManual ",ys="wsbStart wsbFinish wsbNotice wsbStep wsbDecision wsbWait wsbMonitor wsbScript wsbConnector wsbSubTask wsbLifeCycleStage wsbPause ",il="wdtInteger wdtFloat wdtString wdtPick wdtDateTime wdtBoolean wdtTask wdtJob wdtFolder wdtEDocument wdtReferenceRecord wdtUser wdtGroup wdtRole wdtIntegerCollection wdtFloatCollection wdtStringCollection wdtPickCollection wdtDateTimeCollection wdtBooleanCollection wdtTaskCollection wdtJobCollection wdtFolderCollection wdtEDocumentCollection wdtReferenceRecordCollection wdtUserCollection wdtGroupCollection wdtRoleCollection wdtContents wdtUserList wdtSearchDescription wdtDeadLine wdtPickSet wdtAccountCollection ",Wn="wiLow wiNormal wiHigh ",sl="wrtSoft wrtHard ",bp="wsInit wsRunning wsDone wsControlled wsAborted wsContinued ",wc="wtmFull wtmFromCurrent wtmOnlyCurrent ",oM=Ae+J+me+ee+Se+Oe+k+B+$+ce+ne+Ce+we+V+_e+ie+ae+D+I+z+he+X+se+Re+Te+Me+ze+st+De+lt+Qe+He+Je+Fe+ut+ue+We+Ue+Ne+Be+ct+Et+jt+ln+xt+$t+yn+ms+Dr+ci+Sn+di+Ki+gs+bs+Es+et+w+W+oe+ye+Ee+Ge+Xe+nt+at+rt+pt+_t+Kt+Tn+nn+On+Qt+yt+tl+en+vs+Ac+kr+nl+gn+ys+il+Wn+sl+bp+wc,aM="AddSubString AdjustLineBreaks AmountInWords Analysis ArrayDimCount ArrayHighBound ArrayLowBound ArrayOf ArrayReDim Assert Assigned BeginOfMonth BeginOfPeriod BuildProfilingOperationAnalysis CallProcedure CanReadFile CArrayElement CDataSetRequisite ChangeDate ChangeReferenceDataset Char CharPos CheckParam CheckParamValue CompareStrings ConstantExists ControlState ConvertDateStr Copy CopyFile CreateArray CreateCachedReference CreateConnection CreateDialog CreateDualListDialog CreateEditor CreateException CreateFile CreateFolderDialog CreateInputDialog CreateLinkFile CreateList CreateLock CreateMemoryDataSet CreateObject CreateOpenDialog CreateProgress CreateQuery CreateReference CreateReport CreateSaveDialog CreateScript CreateSQLPivotFunction CreateStringList CreateTreeListSelectDialog CSelectSQL CSQL CSubString CurrentUserID CurrentUserName CurrentVersion DataSetLocateEx DateDiff DateTimeDiff DateToStr DayOfWeek DeleteFile DirectoryExists DisableCheckAccessRights DisableCheckFullShowingRestriction DisableMassTaskSendingRestrictions DropTable DupeString EditText EnableCheckAccessRights EnableCheckFullShowingRestriction EnableMassTaskSendingRestrictions EndOfMonth EndOfPeriod ExceptionExists ExceptionsOff ExceptionsOn Execute ExecuteProcess Exit ExpandEnvironmentVariables ExtractFileDrive ExtractFileExt ExtractFileName ExtractFilePath ExtractParams FileExists FileSize FindFile FindSubString FirmContext ForceDirectories Format FormatDate FormatNumeric FormatSQLDate FormatString FreeException GetComponent GetComponentLaunchParam GetConstant GetLastException GetReferenceRecord GetRefTypeByRefID GetTableID GetTempFolder IfThen In IndexOf InputDialog InputDialogEx InteractiveMode IsFileLocked IsGraphicFile IsNumeric Length LoadString LoadStringFmt LocalTimeToUTC LowerCase Max MessageBox MessageBoxEx MimeDecodeBinary MimeDecodeString MimeEncodeBinary MimeEncodeString Min MoneyInWords MoveFile NewID Now OpenFile Ord Precision Raise ReadCertificateFromFile ReadFile ReferenceCodeByID ReferenceNumber ReferenceRequisiteMode ReferenceRequisiteValue RegionDateSettings RegionNumberSettings RegionTimeSettings RegRead RegWrite RenameFile Replace Round SelectServerCode SelectSQL ServerDateTime SetConstant SetManagedFolderFieldsState ShowConstantsInputDialog ShowMessage Sleep Split SQL SQL2XLSTAB SQLProfilingSendReport StrToDate SubString SubStringCount SystemSetting Time TimeDiff Today Transliterate Trim UpperCase UserStatus UTCToLocalTime ValidateXML VarIsClear VarIsEmpty VarIsNull WorkTimeDiff WriteFile WriteFileEx WriteObjectHistory Анализ БазаДанных БлокЕсть БлокЕстьРасш БлокИнфо БлокСнять БлокСнятьРасш БлокУстановить Ввод ВводМеню ВедС ВедСпр ВерхняяГраницаМассива ВнешПрогр Восст ВременнаяПапка Время ВыборSQL ВыбратьЗапись ВыделитьСтр Вызвать Выполнить ВыпПрогр ГрафическийФайл ГруппаДополнительно ДатаВремяСерв ДеньНедели ДиалогДаНет ДлинаСтр ДобПодстр ЕПусто ЕслиТо ЕЧисло ЗамПодстр ЗаписьСправочника ЗначПоляСпр ИДТипСпр ИзвлечьДиск ИзвлечьИмяФайла ИзвлечьПуть ИзвлечьРасширение ИзмДат ИзменитьРазмерМассива ИзмеренийМассива ИмяОрг ИмяПоляСпр Индекс ИндикаторЗакрыть ИндикаторОткрыть ИндикаторШаг ИнтерактивныйРежим ИтогТблСпр КодВидВедСпр КодВидСпрПоИД КодПоAnalit КодСимвола КодСпр КолПодстр КолПроп КонМес Конст КонстЕсть КонстЗнач КонТран КопироватьФайл КопияСтр КПериод КСтрТблСпр Макс МаксСтрТблСпр Массив Меню МенюРасш Мин НаборДанныхНайтиРасш НаимВидСпр НаимПоAnalit НаимСпр НастроитьПереводыСтрок НачМес НачТран НижняяГраницаМассива НомерСпр НПериод Окно Окр Окружение ОтлИнфДобавить ОтлИнфУдалить Отчет ОтчетАнал ОтчетИнт ПапкаСуществует Пауза ПВыборSQL ПереименоватьФайл Переменные ПереместитьФайл Подстр ПоискПодстр ПоискСтр ПолучитьИДТаблицы ПользовательДополнительно ПользовательИД ПользовательИмя ПользовательСтатус Прервать ПроверитьПараметр ПроверитьПараметрЗнач ПроверитьУсловие РазбСтр РазнВремя РазнДат РазнДатаВремя РазнРабВремя РегУстВрем РегУстДат РегУстЧсл РедТекст РеестрЗапись РеестрСписокИменПарам РеестрЧтение РеквСпр РеквСпрПр Сегодня Сейчас Сервер СерверПроцессИД СертификатФайлСчитать СжПроб Символ СистемаДиректумКод СистемаИнформация СистемаКод Содержит СоединениеЗакрыть СоединениеОткрыть СоздатьДиалог СоздатьДиалогВыбораИзДвухСписков СоздатьДиалогВыбораПапки СоздатьДиалогОткрытияФайла СоздатьДиалогСохраненияФайла СоздатьЗапрос СоздатьИндикатор СоздатьИсключение СоздатьКэшированныйСправочник СоздатьМассив СоздатьНаборДанных СоздатьОбъект СоздатьОтчет СоздатьПапку СоздатьРедактор СоздатьСоединение СоздатьСписок СоздатьСписокСтрок СоздатьСправочник СоздатьСценарий СоздСпр СостСпр Сохр СохрСпр СписокСистем Спр Справочник СпрБлокЕсть СпрБлокСнять СпрБлокСнятьРасш СпрБлокУстановить СпрИзмНабДан СпрКод СпрНомер СпрОбновить СпрОткрыть СпрОтменить СпрПарам СпрПолеЗнач СпрПолеИмя СпрРекв СпрРеквВведЗн СпрРеквНовые СпрРеквПр СпрРеквПредЗн СпрРеквРежим СпрРеквТипТекст СпрСоздать СпрСост СпрСохранить СпрТблИтог СпрТблСтр СпрТблСтрКол СпрТблСтрМакс СпрТблСтрМин СпрТблСтрПред СпрТблСтрСлед СпрТблСтрСозд СпрТблСтрУд СпрТекПредст СпрУдалить СравнитьСтр СтрВерхРегистр СтрНижнРегистр СтрТблСпр СумПроп Сценарий СценарийПарам ТекВерсия ТекОрг Точн Тран Транслитерация УдалитьТаблицу УдалитьФайл УдСпр УдСтрТблСпр Уст УстановкиКонстант ФайлАтрибутСчитать ФайлАтрибутУстановить ФайлВремя ФайлВремяУстановить ФайлВыбрать ФайлЗанят ФайлЗаписать ФайлИскать ФайлКопировать ФайлМожноЧитать ФайлОткрыть ФайлПереименовать ФайлПерекодировать ФайлПереместить ФайлПросмотреть ФайлРазмер ФайлСоздать ФайлСсылкаСоздать ФайлСуществует ФайлСчитать ФайлУдалить ФмтSQLДат ФмтДат ФмтСтр ФмтЧсл Формат ЦМассивЭлемент ЦНаборДанныхРеквизит ЦПодстр ",lM="AltState Application CallType ComponentTokens CreatedJobs CreatedNotices ControlState DialogResult Dialogs EDocuments EDocumentVersionSource Folders GlobalIDs Job Jobs InputValue LookUpReference LookUpRequisiteNames LookUpSearch Object ParentComponent Processes References Requisite ReportName Reports Result Scripts Searches SelectedAttachments SelectedItems SelectMode Sender ServerEvents ServiceFactory ShiftState SubTask SystemDialogs Tasks Wizard Wizards Work ВызовСпособ ИмяОтчета РеквЗнач ",cM="IApplication IAccessRights IAccountRepository IAccountSelectionRestrictions IAction IActionList IAdministrationHistoryDescription IAnchors IApplication IArchiveInfo IAttachment IAttachmentList ICheckListBox ICheckPointedList IColumn IComponent IComponentDescription IComponentToken IComponentTokenFactory IComponentTokenInfo ICompRecordInfo IConnection IContents IControl IControlJob IControlJobInfo IControlList ICrypto ICrypto2 ICustomJob ICustomJobInfo ICustomListBox ICustomObjectWizardStep ICustomWork ICustomWorkInfo IDataSet IDataSetAccessInfo IDataSigner IDateCriterion IDateRequisite IDateRequisiteDescription IDateValue IDeaAccessRights IDeaObjectInfo IDevelopmentComponentLock IDialog IDialogFactory IDialogPickRequisiteItems IDialogsFactory IDICSFactory IDocRequisite IDocumentInfo IDualListDialog IECertificate IECertificateInfo IECertificates IEditControl IEditorForm IEdmsExplorer IEdmsObject IEdmsObjectDescription IEdmsObjectFactory IEdmsObjectInfo IEDocument IEDocumentAccessRights IEDocumentDescription IEDocumentEditor IEDocumentFactory IEDocumentInfo IEDocumentStorage IEDocumentVersion IEDocumentVersionListDialog IEDocumentVersionSource IEDocumentWizardStep IEDocVerSignature IEDocVersionState IEnabledMode IEncodeProvider IEncrypter IEvent IEventList IException IExternalEvents IExternalHandler IFactory IField IFileDialog IFolder IFolderDescription IFolderDialog IFolderFactory IFolderInfo IForEach IForm IFormTitle IFormWizardStep IGlobalIDFactory IGlobalIDInfo IGrid IHasher IHistoryDescription IHyperLinkControl IImageButton IImageControl IInnerPanel IInplaceHint IIntegerCriterion IIntegerList IIntegerRequisite IIntegerValue IISBLEditorForm IJob IJobDescription IJobFactory IJobForm IJobInfo ILabelControl ILargeIntegerCriterion ILargeIntegerRequisite ILargeIntegerValue ILicenseInfo ILifeCycleStage IList IListBox ILocalIDInfo ILocalization ILock IMemoryDataSet IMessagingFactory IMetadataRepository INotice INoticeInfo INumericCriterion INumericRequisite INumericValue IObject IObjectDescription IObjectImporter IObjectInfo IObserver IPanelGroup IPickCriterion IPickProperty IPickRequisite IPickRequisiteDescription IPickRequisiteItem IPickRequisiteItems IPickValue IPrivilege IPrivilegeList IProcess IProcessFactory IProcessMessage IProgress IProperty IPropertyChangeEvent IQuery IReference IReferenceCriterion IReferenceEnabledMode IReferenceFactory IReferenceHistoryDescription IReferenceInfo IReferenceRecordCardWizardStep IReferenceRequisiteDescription IReferencesFactory IReferenceValue IRefRequisite IReport IReportFactory IRequisite IRequisiteDescription IRequisiteDescriptionList IRequisiteFactory IRichEdit IRouteStep IRule IRuleList ISchemeBlock IScript IScriptFactory ISearchCriteria ISearchCriterion ISearchDescription ISearchFactory ISearchFolderInfo ISearchForObjectDescription ISearchResultRestrictions ISecuredContext ISelectDialog IServerEvent IServerEventFactory IServiceDialog IServiceFactory ISignature ISignProvider ISignProvider2 ISignProvider3 ISimpleCriterion IStringCriterion IStringList IStringRequisite IStringRequisiteDescription IStringValue ISystemDialogsFactory ISystemInfo ITabSheet ITask ITaskAbortReasonInfo ITaskCardWizardStep ITaskDescription ITaskFactory ITaskInfo ITaskRoute ITextCriterion ITextRequisite ITextValue ITreeListSelectDialog IUser IUserList IValue IView IWebBrowserControl IWizard IWizardAction IWizardFactory IWizardFormElement IWizardParam IWizardPickParam IWizardReferenceParam IWizardStep IWorkAccessRights IWorkDescription IWorkflowAskableParam IWorkflowAskableParams IWorkflowBlock IWorkflowBlockResult IWorkflowEnabledMode IWorkflowParam IWorkflowPickParam IWorkflowReferenceParam IWorkState IWorkTreeCustomNode IWorkTreeJobNode IWorkTreeTaskNode IXMLEditorForm SBCrypto ",dM=ve+oM,uM=lM,pM="null true false nil ",hv={className:"number",begin:e.NUMBER_RE,relevance:0},fv={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"}]},mv={className:"doctag",begin:"\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\b",relevance:0},_M={className:"comment",begin:"//",end:"$",relevance:0,contains:[e.PHRASAL_WORDS_MODE,mv]},hM={className:"comment",begin:"/\\*",end:"\\*/",relevance:0,contains:[e.PHRASAL_WORDS_MODE,mv]},gv={variants:[_M,hM]},Nc={$pattern:t,keyword:s,built_in:dM,class:uM,literal:pM},Ep={begin:"\\.\\s*"+e.UNDERSCORE_IDENT_RE,keywords:Nc,relevance:0},bv={className:"type",begin:":[ \\t]*("+cM.trim().replace(/\s/g,"|")+")",end:"[ \\t]*=",excludeEnd:!0},Ev={className:"variable",keywords:Nc,begin:t,relevance:0,contains:[bv,Ep]},vv=i+"\\(";return{name:"ISBL",case_insensitive:!0,keywords:Nc,illegal:"\\$|\\?|%|,|;$|~|#|@|</",contains:[{className:"function",begin:vv,end:"\\)$",returnBegin:!0,keywords:Nc,illegal:"[\\[\\]\\|\\$\\?%,~#@]",contains:[{className:"title",keywords:{$pattern:t,built_in:aM},begin:vv,end:"\\(",returnBegin:!0,excludeEnd:!0},Ep,Ev,fv,hv,gv]},bv,Ep,Ev,fv,hv,gv]}}return Ih=n,Ih}var Mh,hT;function PYe(){if(hT)return Mh;hT=1;var n="[0-9](_*[0-9])*",e=`\\.(${n})`,t="[0-9a-fA-F](_*[0-9a-fA-F])*",i={className:"number",variants:[{begin:`(\\b(${n})((${e})|\\.)?|(${e}))[eE][+-]?(${n})[fFdD]?\\b`},{begin:`\\b(${n})((${e})[fFdD]?\\b|\\.([fFdD]\\b)?)`},{begin:`(${e})[fFdD]?\\b`},{begin:`\\b(${n})[fFdD]\\b`},{begin:`\\b0[xX]((${t})\\.?|(${t})?\\.(${t}))[pP][+-]?(${n})[fFdD]?\\b`},{begin:"\\b(0|[1-9](_*[0-9])*)[lL]?\\b"},{begin:`\\b0[xX](${t})[lL]?\\b`},{begin:"\\b0(_*[0-7])*[lL]?\\b"},{begin:"\\b0[bB][01](_*[01])*[lL]?\\b"}],relevance:0};function s(o,a,l){return l===-1?"":o.replace(a,d=>s(o,a,l-1))}function r(o){const a=o.regex,l="[À-ʸa-zA-Z_$][À-ʸa-zA-Z_$0-9]*",d=l+s("(?:<"+l+"~~~(?:\\s*,\\s*"+l+"~~~)*>)?",/~~~/g,2),h={keyword:["synchronized","abstract","private","var","static","if","const ","for","while","strictfp","finally","protected","import","native","final","void","enum","else","break","transient","catch","instanceof","volatile","case","assert","package","default","public","try","switch","continue","throws","protected","public","private","module","requires","exports","do","sealed","yield","permits"],literal:["false","true","null"],type:["char","boolean","long","float","int","byte","short","double"],built_in:["super","this"]},E={className:"meta",begin:"@"+l,contains:[{begin:/\(/,end:/\)/,contains:["self"]}]},b={className:"params",begin:/\(/,end:/\)/,keywords:h,relevance:0,contains:[o.C_BLOCK_COMMENT_MODE],endsParent:!0};return{name:"Java",aliases:["jsp"],keywords:h,illegal:/<\/|#/,contains:[o.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{begin:/\w+@/,relevance:0},{className:"doctag",begin:"@[A-Za-z]+"}]}),{begin:/import java\.[a-z]+\./,keywords:"import",relevance:2},o.C_LINE_COMMENT_MODE,o.C_BLOCK_COMMENT_MODE,{begin:/"""/,end:/"""/,className:"string",contains:[o.BACKSLASH_ESCAPE]},o.APOS_STRING_MODE,o.QUOTE_STRING_MODE,{match:[/\b(?:class|interface|enum|extends|implements|new)/,/\s+/,l],className:{1:"keyword",3:"title.class"}},{match:/non-sealed/,scope:"keyword"},{begin:[a.concat(/(?!else)/,l),/\s+/,l,/\s+/,/=(?!=)/],className:{1:"type",3:"variable",5:"operator"}},{begin:[/record/,/\s+/,l],className:{1:"keyword",3:"title.class"},contains:[b,o.C_LINE_COMMENT_MODE,o.C_BLOCK_COMMENT_MODE]},{beginKeywords:"new throw return else",relevance:0},{begin:["(?:"+d+"\\s+)",o.UNDERSCORE_IDENT_RE,/\s*(?=\()/],className:{2:"title.function"},keywords:h,contains:[{className:"params",begin:/\(/,end:/\)/,keywords:h,relevance:0,contains:[E,o.APOS_STRING_MODE,o.QUOTE_STRING_MODE,i,o.C_BLOCK_COMMENT_MODE]},o.C_LINE_COMMENT_MODE,o.C_BLOCK_COMMENT_MODE]},i,E]}}return Mh=r,Mh}var Dh,fT;function UYe(){if(fT)return Dh;fT=1;const n="[A-Za-z$_][0-9A-Za-z$_]*",e=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],t=["true","false","null","undefined","NaN","Infinity"],i=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],s=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],r=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],o=["arguments","this","super","console","window","document","localStorage","sessionStorage","module","global"],a=[].concat(r,i,s);function l(d){const c=d.regex,_=(J,{after:me})=>{const ee="</"+J[0].slice(1);return J.input.indexOf(ee,me)!==-1},f=n,m={begin:"<>",end:"</>"},h=/<[A-Za-z0-9\\._:-]+\s*\/>/,E={begin:/<[A-Za-z0-9\\._:-]+/,end:/\/[A-Za-z0-9\\._:-]+>|\/>/,isTrulyOpeningTag:(J,me)=>{const ee=J[0].length+J.index,Se=J.input[ee];if(Se==="<"||Se===","){me.ignoreMatch();return}Se===">"&&(_(J,{after:ee})||me.ignoreMatch());let Oe;const k=J.input.substring(ee);if(Oe=k.match(/^\s*=/)){me.ignoreMatch();return}if((Oe=k.match(/^\s+extends\s+/))&&Oe.index===0){me.ignoreMatch();return}}},b={$pattern:n,keyword:e,literal:t,built_in:a,"variable.language":o},g="[0-9](_?[0-9])*",v=`\\.(${g})`,y="0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*",T={className:"number",variants:[{begin:`(\\b(${y})((${v})|\\.)?|(${v}))[eE][+-]?(${g})\\b`},{begin:`\\b(${y})\\b((${v})\\b|\\.)?|(${v})\\b`},{begin:"\\b(0|[1-9](_?[0-9])*)n\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*n?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*n?\\b"},{begin:"\\b0[0-7]+n?\\b"}],relevance:0},C={className:"subst",begin:"\\$\\{",end:"\\}",keywords:b,contains:[]},x={begin:"html`",end:"",starts:{end:"`",returnEnd:!1,contains:[d.BACKSLASH_ESCAPE,C],subLanguage:"xml"}},O={begin:"css`",end:"",starts:{end:"`",returnEnd:!1,contains:[d.BACKSLASH_ESCAPE,C],subLanguage:"css"}},R={begin:"gql`",end:"",starts:{end:"`",returnEnd:!1,contains:[d.BACKSLASH_ESCAPE,C],subLanguage:"graphql"}},S={className:"string",begin:"`",end:"`",contains:[d.BACKSLASH_ESCAPE,C]},U={className:"comment",variants:[d.COMMENT(/\/\*\*(?!\/)/,"\\*/",{relevance:0,contains:[{begin:"(?=@[A-Za-z]+)",relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"},{className:"type",begin:"\\{",end:"\\}",excludeEnd:!0,excludeBegin:!0,relevance:0},{className:"variable",begin:f+"(?=\\s*(-)|$)",endsParent:!0,relevance:0},{begin:/(?=[^\n])\s/,relevance:0}]}]}),d.C_BLOCK_COMMENT_MODE,d.C_LINE_COMMENT_MODE]},F=[d.APOS_STRING_MODE,d.QUOTE_STRING_MODE,x,O,R,S,{match:/\$\d+/},T];C.contains=F.concat({begin:/\{/,end:/\}/,keywords:b,contains:["self"].concat(F)});const K=[].concat(U,C.contains),L=K.concat([{begin:/\(/,end:/\)/,keywords:b,contains:["self"].concat(K)}]),H={className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:b,contains:L},G={variants:[{match:[/class/,/\s+/,f,/\s+/,/extends/,/\s+/,c.concat(f,"(",c.concat(/\./,f),")*")],scope:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},{match:[/class/,/\s+/,f],scope:{1:"keyword",3:"title.class"}}]},P={relevance:0,match:c.either(/\bJSON/,/\b[A-Z][a-z]+([A-Z][a-z]*|\d)*/,/\b[A-Z]{2,}([A-Z][a-z]+|\d)+([A-Z][a-z]*)*/,/\b[A-Z]{2,}[a-z]+([A-Z][a-z]+|\d)*([A-Z][a-z]*)*/),className:"title.class",keywords:{_:[...i,...s]}},j={label:"use_strict",className:"meta",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},Y={variants:[{match:[/function/,/\s+/,f,/(?=\s*\()/]},{match:[/function/,/\s*(?=\()/]}],className:{1:"keyword",3:"title.function"},label:"func.def",contains:[H],illegal:/%/},Q={relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"};function re(J){return c.concat("(?!",J.join("|"),")")}const te={match:c.concat(/\b/,re([...r,"super","import"]),f,c.lookahead(/\(/)),className:"title.function",relevance:0},Z={begin:c.concat(/\./,c.lookahead(c.concat(f,/(?![0-9A-Za-z$_(])/))),end:f,excludeBegin:!0,keywords:"prototype",className:"property",relevance:0},fe={match:[/get|set/,/\s+/,f,/(?=\()/],className:{1:"keyword",3:"title.function"},contains:[{begin:/\(\)/},H]},ve="(\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)|"+d.UNDERSCORE_IDENT_RE+")\\s*=>",Ae={match:[/const|var|let/,/\s+/,f,/\s*/,/=\s*/,/(async\s*)?/,c.lookahead(ve)],keywords:"async",className:{1:"keyword",3:"title.function"},contains:[H]};return{name:"JavaScript",aliases:["js","jsx","mjs","cjs"],keywords:b,exports:{PARAMS_CONTAINS:L,CLASS_REFERENCE:P},illegal:/#(?![$_A-z])/,contains:[d.SHEBANG({label:"shebang",binary:"node",relevance:5}),j,d.APOS_STRING_MODE,d.QUOTE_STRING_MODE,x,O,R,S,U,{match:/\$\d+/},T,P,{className:"attr",begin:f+c.lookahead(":"),relevance:0},Ae,{begin:"("+d.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",relevance:0,contains:[U,d.REGEXP_MODE,{className:"function",begin:ve,returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:d.UNDERSCORE_IDENT_RE,relevance:0},{className:null,begin:/\(\s*\)/,skip:!0},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:b,contains:L}]}]},{begin:/,/,relevance:0},{match:/\s+/,relevance:0},{variants:[{begin:m.begin,end:m.end},{match:h},{begin:E.begin,"on:begin":E.isTrulyOpeningTag,end:E.end}],subLanguage:"xml",contains:[{begin:E.begin,end:E.end,skip:!0,contains:["self"]}]}]},Y,{beginKeywords:"while if switch catch for"},{begin:"\\b(?!function)"+d.UNDERSCORE_IDENT_RE+"\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)\\s*\\{",returnBegin:!0,label:"func.def",contains:[H,d.inherit(d.TITLE_MODE,{begin:f,className:"title.function"})]},{match:/\.\.\./,relevance:0},Z,{match:"\\$"+f,relevance:0},{match:[/\bconstructor(?=\s*\()/],className:{1:"title.function"},contains:[H]},te,Q,G,fe,{match:/\$[(.]/}]}}return Dh=l,Dh}var kh,mT;function FYe(){if(mT)return kh;mT=1;function n(e){const i={className:"params",begin:/\(/,end:/\)/,contains:[{begin:/[\w-]+ *=/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/[\w-]+/}]}],relevance:0},s={className:"function",begin:/:[\w\-.]+/,relevance:0},r={className:"string",begin:/\B([\/.])[\w\-.\/=]+/},o={className:"params",begin:/--[\w\-=\/]+/};return{name:"JBoss CLI",aliases:["wildfly-cli"],keywords:{$pattern:"[a-z-]+",keyword:"alias batch cd clear command connect connection-factory connection-info data-source deploy deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias undeploy unset version xa-data-source",literal:"true false"},contains:[e.HASH_COMMENT_MODE,e.QUOTE_STRING_MODE,o,s,r,i]}}return kh=n,kh}var Lh,gT;function BYe(){if(gT)return Lh;gT=1;function n(e){const t={className:"attr",begin:/"(\\.|[^\\"\r\n])*"(?=\s*:)/,relevance:1.01},i={match:/[{}[\],:]/,className:"punctuation",relevance:0},s=["true","false","null"],r={scope:"literal",beginKeywords:s.join(" ")};return{name:"JSON",keywords:{literal:s},contains:[t,i,e.QUOTE_STRING_MODE,r,e.C_NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE],illegal:"\\S"}}return Lh=n,Lh}var Ph,bT;function GYe(){if(bT)return Ph;bT=1;function n(e){const t="[A-Za-z_\\u00A1-\\uFFFF][A-Za-z_0-9\\u00A1-\\uFFFF]*",o={$pattern:t,keyword:["baremodule","begin","break","catch","ccall","const","continue","do","else","elseif","end","export","false","finally","for","function","global","if","import","in","isa","let","local","macro","module","quote","return","true","try","using","where","while"],literal:["ARGS","C_NULL","DEPOT_PATH","ENDIAN_BOM","ENV","Inf","Inf16","Inf32","Inf64","InsertionSort","LOAD_PATH","MergeSort","NaN","NaN16","NaN32","NaN64","PROGRAM_FILE","QuickSort","RoundDown","RoundFromZero","RoundNearest","RoundNearestTiesAway","RoundNearestTiesUp","RoundToZero","RoundUp","VERSION|0","devnull","false","im","missing","nothing","pi","stderr","stdin","stdout","true","undef","π",""],built_in:["AbstractArray","AbstractChannel","AbstractChar","AbstractDict","AbstractDisplay","AbstractFloat","AbstractIrrational","AbstractMatrix","AbstractRange","AbstractSet","AbstractString","AbstractUnitRange","AbstractVecOrMat","AbstractVector","Any","ArgumentError","Array","AssertionError","BigFloat","BigInt","BitArray","BitMatrix","BitSet","BitVector","Bool","BoundsError","CapturedException","CartesianIndex","CartesianIndices","Cchar","Cdouble","Cfloat","Channel","Char","Cint","Cintmax_t","Clong","Clonglong","Cmd","Colon","Complex","ComplexF16","ComplexF32","ComplexF64","CompositeException","Condition","Cptrdiff_t","Cshort","Csize_t","Cssize_t","Cstring","Cuchar","Cuint","Cuintmax_t","Culong","Culonglong","Cushort","Cvoid","Cwchar_t","Cwstring","DataType","DenseArray","DenseMatrix","DenseVecOrMat","DenseVector","Dict","DimensionMismatch","Dims","DivideError","DomainError","EOFError","Enum","ErrorException","Exception","ExponentialBackOff","Expr","Float16","Float32","Float64","Function","GlobalRef","HTML","IO","IOBuffer","IOContext","IOStream","IdDict","IndexCartesian","IndexLinear","IndexStyle","InexactError","InitError","Int","Int128","Int16","Int32","Int64","Int8","Integer","InterruptException","InvalidStateException","Irrational","KeyError","LinRange","LineNumberNode","LinearIndices","LoadError","MIME","Matrix","Method","MethodError","Missing","MissingException","Module","NTuple","NamedTuple","Nothing","Number","OrdinalRange","OutOfMemoryError","OverflowError","Pair","PartialQuickSort","PermutedDimsArray","Pipe","ProcessFailedException","Ptr","QuoteNode","Rational","RawFD","ReadOnlyMemoryError","Real","ReentrantLock","Ref","Regex","RegexMatch","RoundingMode","SegmentationFault","Set","Signed","Some","StackOverflowError","StepRange","StepRangeLen","StridedArray","StridedMatrix","StridedVecOrMat","StridedVector","String","StringIndexError","SubArray","SubString","SubstitutionString","Symbol","SystemError","Task","TaskFailedException","Text","TextDisplay","Timer","Tuple","Type","TypeError","TypeVar","UInt","UInt128","UInt16","UInt32","UInt64","UInt8","UndefInitializer","UndefKeywordError","UndefRefError","UndefVarError","Union","UnionAll","UnitRange","Unsigned","Val","Vararg","VecElement","VecOrMat","Vector","VersionNumber","WeakKeyDict","WeakRef"]},a={keywords:o,illegal:/<\//},l={className:"number",begin:/(\b0x[\d_]*(\.[\d_]*)?|0x\.\d[\d_]*)p[-+]?\d+|\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\b\d[\d_]*(\.[\d_]*)?|\.\d[\d_]*)([eEfF][-+]?\d+)?/,relevance:0},d={className:"string",begin:/'(.|\\[xXuU][a-zA-Z0-9]+)'/},c={className:"subst",begin:/\$\(/,end:/\)/,keywords:o},_={className:"variable",begin:"\\$"+t},f={className:"string",contains:[e.BACKSLASH_ESCAPE,c,_],variants:[{begin:/\w*"""/,end:/"""\w*/,relevance:10},{begin:/\w*"/,end:/"\w*/}]},m={className:"string",contains:[e.BACKSLASH_ESCAPE,c,_],begin:"`",end:"`"},h={className:"meta",begin:"@"+t},E={className:"comment",variants:[{begin:"#=",end:"=#",relevance:10},{begin:"#",end:"$"}]};return a.name="Julia",a.contains=[l,d,f,m,h,E,e.HASH_COMMENT_MODE,{className:"keyword",begin:"\\b(((abstract|primitive)\\s+)type|(mutable\\s+)?struct)\\b"},{begin:/<:/}],c.contains=a.contains,a}return Ph=n,Ph}var Uh,ET;function zYe(){if(ET)return Uh;ET=1;function n(e){return{name:"Julia REPL",contains:[{className:"meta.prompt",begin:/^julia>/,relevance:10,starts:{end:/^(?![ ]{6})/,subLanguage:"julia"}}],aliases:["jldoctest"]}}return Uh=n,Uh}var Fh,vT;function VYe(){if(vT)return Fh;vT=1;var n="[0-9](_*[0-9])*",e=`\\.(${n})`,t="[0-9a-fA-F](_*[0-9a-fA-F])*",i={className:"number",variants:[{begin:`(\\b(${n})((${e})|\\.)?|(${e}))[eE][+-]?(${n})[fFdD]?\\b`},{begin:`\\b(${n})((${e})[fFdD]?\\b|\\.([fFdD]\\b)?)`},{begin:`(${e})[fFdD]?\\b`},{begin:`\\b(${n})[fFdD]\\b`},{begin:`\\b0[xX]((${t})\\.?|(${t})?\\.(${t}))[pP][+-]?(${n})[fFdD]?\\b`},{begin:"\\b(0|[1-9](_*[0-9])*)[lL]?\\b"},{begin:`\\b0[xX](${t})[lL]?\\b`},{begin:"\\b0(_*[0-7])*[lL]?\\b"},{begin:"\\b0[bB][01](_*[01])*[lL]?\\b"}],relevance:0};function s(r){const o={keyword:"abstract as val var vararg get set class object open private protected public noinline crossinline dynamic final enum if else do while for when throw try catch finally import package is in fun override companion reified inline lateinit init interface annotation data sealed internal infix operator out by constructor super tailrec where const inner suspend typealias external expect actual",built_in:"Byte Short Char Int Long Boolean Float Double Void Unit Nothing",literal:"true false null"},a={className:"keyword",begin:/\b(break|continue|return|this)\b/,starts:{contains:[{className:"symbol",begin:/@\w+/}]}},l={className:"symbol",begin:r.UNDERSCORE_IDENT_RE+"@"},d={className:"subst",begin:/\$\{/,end:/\}/,contains:[r.C_NUMBER_MODE]},c={className:"variable",begin:"\\$"+r.UNDERSCORE_IDENT_RE},_={className:"string",variants:[{begin:'"""',end:'"""(?=[^"])',contains:[c,d]},{begin:"'",end:"'",illegal:/\n/,contains:[r.BACKSLASH_ESCAPE]},{begin:'"',end:'"',illegal:/\n/,contains:[r.BACKSLASH_ESCAPE,c,d]}]};d.contains.push(_);const f={className:"meta",begin:"@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\s*:(?:\\s*"+r.UNDERSCORE_IDENT_RE+")?"},m={className:"meta",begin:"@"+r.UNDERSCORE_IDENT_RE,contains:[{begin:/\(/,end:/\)/,contains:[r.inherit(_,{className:"string"}),"self"]}]},h=i,E=r.COMMENT("/\\*","\\*/",{contains:[r.C_BLOCK_COMMENT_MODE]}),b={variants:[{className:"type",begin:r.UNDERSCORE_IDENT_RE},{begin:/\(/,end:/\)/,contains:[]}]},g=b;return g.variants[1].contains=[b],b.variants[1].contains=[g],{name:"Kotlin",aliases:["kt","kts"],keywords:o,contains:[r.COMMENT("/\\*\\*","\\*/",{relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"}]}),r.C_LINE_COMMENT_MODE,E,a,l,f,m,{className:"function",beginKeywords:"fun",end:"[(]|$",returnBegin:!0,excludeEnd:!0,keywords:o,relevance:5,contains:[{begin:r.UNDERSCORE_IDENT_RE+"\\s*\\(",returnBegin:!0,relevance:0,contains:[r.UNDERSCORE_TITLE_MODE]},{className:"type",begin:/</,end:/>/,keywords:"reified",relevance:0},{className:"params",begin:/\(/,end:/\)/,endsParent:!0,keywords:o,relevance:0,contains:[{begin:/:/,end:/[=,\/]/,endsWithParent:!0,contains:[b,r.C_LINE_COMMENT_MODE,E],relevance:0},r.C_LINE_COMMENT_MODE,E,f,m,_,r.C_NUMBER_MODE]},E]},{begin:[/class|interface|trait/,/\s+/,r.UNDERSCORE_IDENT_RE],beginScope:{3:"title.class"},keywords:"class interface trait",end:/[:\{(]|$/,excludeEnd:!0,illegal:"extends implements",contains:[{beginKeywords:"public protected internal private constructor"},r.UNDERSCORE_TITLE_MODE,{className:"type",begin:/</,end:/>/,excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:/[,:]\s*/,end:/[<\(,){\s]|$/,excludeBegin:!0,returnEnd:!0},f,m]},_,{className:"meta",begin:"^#!/usr/bin/env",end:"$",illegal:`
`},h]}}return Fh=s,Fh}var Bh,yT;function HYe(){if(yT)return Bh;yT=1;function n(e){const t="[a-zA-Z_][\\w.]*",i="<\\?(lasso(script)?|=)",s="\\]|\\?>",r={$pattern:t+"|&[lg]t;",literal:"true false none minimal full all void and or not bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft",built_in:"array date decimal duration integer map pair string tag xml null boolean bytes keyword list locale queue set stack staticarray local var variable global data self inherited currentcapture givenblock",keyword:"cache database_names database_schemanames database_tablenames define_tag define_type email_batch encode_set html_comment handle handle_error header if inline iterate ljax_target link link_currentaction link_currentgroup link_currentrecord link_detail link_firstgroup link_firstrecord link_lastgroup link_lastrecord link_nextgroup link_nextrecord link_prevgroup link_prevrecord log loop namespace_using output_none portal private protect records referer referrer repeating resultset rows search_args search_arguments select sort_args sort_arguments thread_atomic value_list while abort case else fail_if fail_ifnot fail if_empty if_false if_null if_true loop_abort loop_continue loop_count params params_up return return_value run_children soap_definetag soap_lastrequest soap_lastresponse tag_name ascending average by define descending do equals frozen group handle_failure import in into join let match max min on order parent protected provide public require returnhome skip split_thread sum take thread to trait type where with yield yieldhome"},o=e.COMMENT("<!--","-->",{relevance:0}),a={className:"meta",begin:"\\[noprocess\\]",starts:{end:"\\[/noprocess\\]",returnEnd:!0,contains:[o]}},l={className:"meta",begin:"\\[/noprocess|"+i},d={className:"symbol",begin:"'"+t+"'"},c=[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.inherit(e.C_NUMBER_MODE,{begin:e.C_NUMBER_RE+"|(-?infinity|NaN)\\b"}),e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"`",end:"`"},{variants:[{begin:"[#$]"+t},{begin:"#",end:"\\d+",illegal:"\\W"}]},{className:"type",begin:"::\\s*",end:t,illegal:"\\W"},{className:"params",variants:[{begin:"-(?!infinity)"+t,relevance:0},{begin:"(\\.\\.\\.)"}]},{begin:/(->|\.)\s*/,relevance:0,contains:[d]},{className:"class",beginKeywords:"define",returnEnd:!0,end:"\\(|=>",contains:[e.inherit(e.TITLE_MODE,{begin:t+"(=(?!>))?|[-+*/%](?!>)"})]}];return{name:"Lasso",aliases:["ls","lassoscript"],case_insensitive:!0,keywords:r,contains:[{className:"meta",begin:s,relevance:0,starts:{end:"\\[|"+i,returnEnd:!0,relevance:0,contains:[o]}},a,l,{className:"meta",begin:"\\[no_square_brackets",starts:{end:"\\[/no_square_brackets\\]",keywords:r,contains:[{className:"meta",begin:s,relevance:0,starts:{end:"\\[noprocess\\]|"+i,returnEnd:!0,contains:[o]}},a,l].concat(c)}},{className:"meta",begin:"\\[",relevance:0},{className:"meta",begin:"^#!",end:"lasso9$",relevance:10}].concat(c)}}return Bh=n,Bh}var Gh,ST;function qYe(){if(ST)return Gh;ST=1;function n(e){const i=e.regex.either(...["(?:NeedsTeXFormat|RequirePackage|GetIdInfo)","Provides(?:Expl)?(?:Package|Class|File)","(?:DeclareOption|ProcessOptions)","(?:documentclass|usepackage|input|include)","makeat(?:letter|other)","ExplSyntax(?:On|Off)","(?:new|renew|provide)?command","(?:re)newenvironment","(?:New|Renew|Provide|Declare)(?:Expandable)?DocumentCommand","(?:New|Renew|Provide|Declare)DocumentEnvironment","(?:(?:e|g|x)?def|let)","(?:begin|end)","(?:part|chapter|(?:sub){0,2}section|(?:sub)?paragraph)","caption","(?:label|(?:eq|page|name)?ref|(?:paren|foot|super)?cite)","(?:alpha|beta|[Gg]amma|[Dd]elta|(?:var)?epsilon|zeta|eta|[Tt]heta|vartheta)","(?:iota|(?:var)?kappa|[Ll]ambda|mu|nu|[Xx]i|[Pp]i|varpi|(?:var)rho)","(?:[Ss]igma|varsigma|tau|[Uu]psilon|[Pp]hi|varphi|chi|[Pp]si|[Oo]mega)","(?:frac|sum|prod|lim|infty|times|sqrt|leq|geq|left|right|middle|[bB]igg?)","(?:[lr]angle|q?quad|[lcvdi]?dots|d?dot|hat|tilde|bar)"].map(U=>U+"(?![a-zA-Z@:_])")),s=new RegExp(["(?:__)?[a-zA-Z]{2,}_[a-zA-Z](?:_?[a-zA-Z])+:[a-zA-Z]*","[lgc]__?[a-zA-Z](?:_?[a-zA-Z])*_[a-zA-Z]{2,}","[qs]__?[a-zA-Z](?:_?[a-zA-Z])+","use(?:_i)?:[a-zA-Z]*","(?:else|fi|or):","(?:if|cs|exp):w","(?:hbox|vbox):n","::[a-zA-Z]_unbraced","::[a-zA-Z:]"].map(U=>U+"(?![a-zA-Z:_])").join("|")),r=[{begin:/[a-zA-Z@]+/},{begin:/[^a-zA-Z@]?/}],o=[{begin:/\^{6}[0-9a-f]{6}/},{begin:/\^{5}[0-9a-f]{5}/},{begin:/\^{4}[0-9a-f]{4}/},{begin:/\^{3}[0-9a-f]{3}/},{begin:/\^{2}[0-9a-f]{2}/},{begin:/\^{2}[\u0000-\u007f]/}],a={className:"keyword",begin:/\\/,relevance:0,contains:[{endsParent:!0,begin:i},{endsParent:!0,begin:s},{endsParent:!0,variants:o},{endsParent:!0,relevance:0,variants:r}]},l={className:"params",relevance:0,begin:/#+\d?/},d={variants:o},c={className:"built_in",relevance:0,begin:/[$&^_]/},_={className:"meta",begin:/% ?!(T[eE]X|tex|BIB|bib)/,end:"$",relevance:10},f=e.COMMENT("%","$",{relevance:0}),m=[a,l,d,c,_,f],h={begin:/\{/,end:/\}/,relevance:0,contains:["self",...m]},E=e.inherit(h,{relevance:0,endsParent:!0,contains:[h,...m]}),b={begin:/\[/,end:/\]/,endsParent:!0,relevance:0,contains:[h,...m]},g={begin:/\s+/,relevance:0},v=[E],y=[b],T=function(U,F){return{contains:[g],starts:{relevance:0,contains:U,starts:F}}},C=function(U,F){return{begin:"\\\\"+U+"(?![a-zA-Z@:_])",keywords:{$pattern:/\\[a-zA-Z]+/,keyword:"\\"+U},relevance:0,contains:[g],starts:F}},x=function(U,F){return e.inherit({begin:"\\\\begin(?=[ ]*(\\r?\\n[ ]*)?\\{"+U+"\\})",keywords:{$pattern:/\\[a-zA-Z]+/,keyword:"\\begin"},relevance:0},T(v,F))},O=(U="string")=>e.END_SAME_AS_BEGIN({className:U,begin:/(.|\r?\n)/,end:/(.|\r?\n)/,excludeBegin:!0,excludeEnd:!0,endsParent:!0}),R=function(U){return{className:"string",end:"(?=\\\\end\\{"+U+"\\})"}},S=(U="string")=>({relevance:0,begin:/\{/,starts:{endsParent:!0,contains:[{className:U,end:/(?=\})/,endsParent:!0,contains:[{begin:/\{/,end:/\}/,relevance:0,contains:["self"]}]}]}}),A=[...["verb","lstinline"].map(U=>C(U,{contains:[O()]})),C("mint",T(v,{contains:[O()]})),C("mintinline",T(v,{contains:[S(),O()]})),C("url",{contains:[S("link"),S("link")]}),C("hyperref",{contains:[S("link")]}),C("href",T(y,{contains:[S("link")]})),...[].concat(...["","\\*"].map(U=>[x("verbatim"+U,R("verbatim"+U)),x("filecontents"+U,T(v,R("filecontents"+U))),...["","B","L"].map(F=>x(F+"Verbatim"+U,T(y,R(F+"Verbatim"+U))))])),x("minted",T(y,T(v,R("minted"))))];return{name:"LaTeX",aliases:["tex"],contains:[...A,...m]}}return Gh=n,Gh}var zh,TT;function YYe(){if(TT)return zh;TT=1;function n(e){return{name:"LDIF",contains:[{className:"attribute",match:"^dn(?=:)",relevance:10},{className:"attribute",match:"^\\w+(?=:)"},{className:"literal",match:"^-"},e.HASH_COMMENT_MODE]}}return zh=n,zh}var Vh,xT;function $Ye(){if(xT)return Vh;xT=1;function n(e){const t=/([A-Za-z_][A-Za-z_0-9]*)?/,s={scope:"params",begin:/\(/,end:/\)(?=\:?)/,endsParent:!0,relevance:7,contains:[{scope:"string",begin:'"',end:'"'},{scope:"keyword",match:["true","false","in"].join("|")},{scope:"variable",match:/[A-Za-z_][A-Za-z_0-9]*/},{scope:"operator",match:/\+|\-|\*|\/|\%|\=\=|\=|\!|\>|\<|\&\&|\|\|/}]},r={match:[t,/(?=\()/],scope:{1:"keyword"},contains:[s]};return s.contains.unshift(r),{name:"Leaf",contains:[{match:[/#+/,t,/(?=\()/],scope:{1:"punctuation",2:"keyword"},starts:{contains:[{match:/\:/,scope:"punctuation"}]},contains:[s]},{match:[/#+/,t,/:?/],scope:{1:"punctuation",2:"keyword",3:"punctuation"}}]}}return Vh=n,Vh}var Hh,CT;function WYe(){if(CT)return Hh;CT=1;const n=l=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:l.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[l.APOS_STRING_MODE,l.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:l.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),e=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],t=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"],i=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"],s=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"],r=["align-content","align-items","align-self","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","isolation","justify-content","left","letter-spacing","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","resize","rest","rest-after","rest-before","right","row-gap","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","speak","speak-as","src","tab-size","table-layout","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","z-index"].reverse(),o=i.concat(s);function a(l){const d=n(l),c=o,_="and or not only",f="[\\w-]+",m="("+f+"|@\\{"+f+"\\})",h=[],E=[],b=function(U){return{className:"string",begin:"~?"+U+".*?"+U}},g=function(U,F,K){return{className:U,begin:F,relevance:K}},v={$pattern:/[a-z-]+/,keyword:_,attribute:t.join(" ")},y={begin:"\\(",end:"\\)",contains:E,keywords:v,relevance:0};E.push(l.C_LINE_COMMENT_MODE,l.C_BLOCK_COMMENT_MODE,b("'"),b('"'),d.CSS_NUMBER_MODE,{begin:"(url|data-uri)\\(",starts:{className:"string",end:"[\\)\\n]",excludeEnd:!0}},d.HEXCOLOR,y,g("variable","@@?"+f,10),g("variable","@\\{"+f+"\\}"),g("built_in","~?`[^`]*?`"),{className:"attribute",begin:f+"\\s*:",end:":",returnBegin:!0,excludeEnd:!0},d.IMPORTANT,{beginKeywords:"and not"},d.FUNCTION_DISPATCH);const T=E.concat({begin:/\{/,end:/\}/,contains:h}),C={beginKeywords:"when",endsWithParent:!0,contains:[{beginKeywords:"and not"}].concat(E)},x={begin:m+"\\s*:",returnBegin:!0,end:/[;}]/,relevance:0,contains:[{begin:/-(webkit|moz|ms|o)-/},d.CSS_VARIABLE,{className:"attribute",begin:"\\b("+r.join("|")+")\\b",end:/(?=:)/,starts:{endsWithParent:!0,illegal:"[<=$]",relevance:0,contains:E}}]},O={className:"keyword",begin:"@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\b",starts:{end:"[;{}]",keywords:v,returnEnd:!0,contains:E,relevance:0}},R={className:"variable",variants:[{begin:"@"+f+"\\s*:",relevance:15},{begin:"@"+f}],starts:{end:"[;}]",returnEnd:!0,contains:T}},S={variants:[{begin:"[\\.#:&\\[>]",end:"[;{}]"},{begin:m,end:/\{/}],returnBegin:!0,returnEnd:!0,illegal:`[<='$"]`,relevance:0,contains:[l.C_LINE_COMMENT_MODE,l.C_BLOCK_COMMENT_MODE,C,g("keyword","all\\b"),g("variable","@\\{"+f+"\\}"),{begin:"\\b("+e.join("|")+")\\b",className:"selector-tag"},d.CSS_NUMBER_MODE,g("selector-tag",m,0),g("selector-id","#"+m),g("selector-class","\\."+m,0),g("selector-tag","&",0),d.ATTRIBUTE_SELECTOR_MODE,{className:"selector-pseudo",begin:":("+i.join("|")+")"},{className:"selector-pseudo",begin:":(:)?("+s.join("|")+")"},{begin:/\(/,end:/\)/,relevance:0,contains:T},{begin:"!important"},d.FUNCTION_DISPATCH]},A={begin:f+`:(:)?(${c.join("|")})`,returnBegin:!0,contains:[S]};return h.push(l.C_LINE_COMMENT_MODE,l.C_BLOCK_COMMENT_MODE,O,R,A,x,S,C,d.FUNCTION_DISPATCH),{name:"Less",case_insensitive:!0,illegal:`[=>'/<($"]`,contains:h}}return Hh=a,Hh}var qh,RT;function KYe(){if(RT)return qh;RT=1;function n(e){const t="[a-zA-Z_\\-+\\*\\/<=>&#][a-zA-Z0-9_\\-+*\\/<=>&#!]*",i="\\|[^]*?\\|",s="(-|\\+)?\\d+(\\.\\d+|\\/\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\+|-)?\\d+)?",r={className:"literal",begin:"\\b(t{1}|nil)\\b"},o={className:"number",variants:[{begin:s,relevance:0},{begin:"#(b|B)[0-1]+(/[0-1]+)?"},{begin:"#(o|O)[0-7]+(/[0-7]+)?"},{begin:"#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?"},{begin:"#(c|C)\\("+s+" +"+s,end:"\\)"}]},a=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),l=e.COMMENT(";","$",{relevance:0}),d={begin:"\\*",end:"\\*"},c={className:"symbol",begin:"[:&]"+t},_={begin:t,relevance:0},f={begin:i},h={contains:[o,a,d,c,{begin:"\\(",end:"\\)",contains:["self",r,a,o,_]},_],variants:[{begin:"['`]\\(",end:"\\)"},{begin:"\\(quote ",end:"\\)",keywords:{name:"quote"}},{begin:"'"+i}]},E={variants:[{begin:"'"+t},{begin:"#'"+t+"(::"+t+")*"}]},b={begin:"\\(\\s*",end:"\\)"},g={endsWithParent:!0,relevance:0};return b.contains=[{className:"name",variants:[{begin:t,relevance:0},{begin:i}]},g],g.contains=[h,E,b,r,o,a,l,d,c,f,_],{name:"Lisp",illegal:/\S/,contains:[o,e.SHEBANG(),r,a,l,h,E,b,_]}}return qh=n,qh}var Yh,AT;function jYe(){if(AT)return Yh;AT=1;function n(e){const t={className:"variable",variants:[{begin:"\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\[.+\\])?(?:\\s*?)"},{begin:"\\$_[A-Z]+"}],relevance:0},i=[e.C_BLOCK_COMMENT_MODE,e.HASH_COMMENT_MODE,e.COMMENT("--","$"),e.COMMENT("[^:]//","$")],s=e.inherit(e.TITLE_MODE,{variants:[{begin:"\\b_*rig[A-Z][A-Za-z0-9_\\-]*"},{begin:"\\b_[a-z0-9\\-]+"}]}),r=e.inherit(e.TITLE_MODE,{begin:"\\b([A-Za-z0-9_\\-]+)\\b"});return{name:"LiveCode",case_insensitive:!1,keywords:{keyword:"$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph after byte bytes english the until http forever descending using line real8 with seventh for stdout finally element word words fourth before black ninth sixth characters chars stderr uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat end repeat URL in try into switch to words https token binfile each tenth as ticks tick system real4 by dateItems without char character ascending eighth whole dateTime numeric short first ftp integer abbreviated abbr abbrev private case while if div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within contains ends with begins the keys of keys",literal:"SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five quote empty one true return cr linefeed right backslash null seven tab three two RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK",built_in:"put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress constantNames cos date dateFormat decompress difference directories diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process combine constant convert create new alias folder directory decrypt delete variable word line folder directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop subtract symmetric union unload vectorDotProduct wait write"},contains:[t,{className:"keyword",begin:"\\bend\\sif\\b"},{className:"function",beginKeywords:"function",end:"$",contains:[t,r,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,s]},{className:"function",begin:"\\bend\\s+",end:"$",keywords:"end",contains:[r,s],relevance:0},{beginKeywords:"command on",end:"$",contains:[t,r,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,s]},{className:"meta",variants:[{begin:"<\\?(rev|lc|livecode)",relevance:10},{begin:"<\\?"},{begin:"\\?>"}]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE,s].concat(i),illegal:";$|^\\[|^=|&|\\{"}}return Yh=n,Yh}var $h,wT;function QYe(){if(wT)return $h;wT=1;const n=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],e=["true","false","null","undefined","NaN","Infinity"],t=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],i=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],s=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],r=[].concat(s,t,i);function o(a){const l=["npm","print"],d=["yes","no","on","off","it","that","void"],c=["then","unless","until","loop","of","by","when","and","or","is","isnt","not","it","that","otherwise","from","to","til","fallthrough","case","enum","native","list","map","__hasProp","__extends","__slice","__bind","__indexOf"],_={keyword:n.concat(c),literal:e.concat(d),built_in:r.concat(l)},f="[A-Za-z$_](?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*",m=a.inherit(a.TITLE_MODE,{begin:f}),h={className:"subst",begin:/#\{/,end:/\}/,keywords:_},E={className:"subst",begin:/#[A-Za-z$_]/,end:/(?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,keywords:_},b=[a.BINARY_NUMBER_MODE,{className:"number",begin:"(\\b0[xX][a-fA-F0-9_]+)|(\\b\\d(\\d|_\\d)*(\\.(\\d(\\d|_\\d)*)?)?(_*[eE]([-+]\\d(_\\d|\\d)*)?)?[_a-z]*)",relevance:0,starts:{end:"(\\s*/)?",relevance:0}},{className:"string",variants:[{begin:/'''/,end:/'''/,contains:[a.BACKSLASH_ESCAPE]},{begin:/'/,end:/'/,contains:[a.BACKSLASH_ESCAPE]},{begin:/"""/,end:/"""/,contains:[a.BACKSLASH_ESCAPE,h,E]},{begin:/"/,end:/"/,contains:[a.BACKSLASH_ESCAPE,h,E]},{begin:/\\/,end:/(\s|$)/,excludeEnd:!0}]},{className:"regexp",variants:[{begin:"//",end:"//[gim]*",contains:[h,a.HASH_COMMENT_MODE]},{begin:/\/(?![ *])(\\.|[^\\\n])*?\/[gim]*(?=\W)/}]},{begin:"@"+f},{begin:"``",end:"``",excludeBegin:!0,excludeEnd:!0,subLanguage:"javascript"}];h.contains=b;const g={className:"params",begin:"\\(",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:_,contains:["self"].concat(b)}]},v={begin:"(#=>|=>|\\|>>|-?->|!->)"},y={variants:[{match:[/class\s+/,f,/\s+extends\s+/,f]},{match:[/class\s+/,f]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:_};return{name:"LiveScript",aliases:["ls"],keywords:_,illegal:/\/\*/,contains:b.concat([a.COMMENT("\\/\\*","\\*\\/"),a.HASH_COMMENT_MODE,v,{className:"function",contains:[m,g],returnBegin:!0,variants:[{begin:"("+f+"\\s*(?:=|:=)\\s*)?(\\(.*\\)\\s*)?\\B->\\*?",end:"->\\*?"},{begin:"("+f+"\\s*(?:=|:=)\\s*)?!?(\\(.*\\)\\s*)?\\B[-~]{1,2}>\\*?",end:"[-~]{1,2}>\\*?"},{begin:"("+f+"\\s*(?:=|:=)\\s*)?(\\(.*\\)\\s*)?\\B!?[-~]{1,2}>\\*?",end:"!?[-~]{1,2}>\\*?"}]},y,{begin:f+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}return $h=o,$h}var Wh,NT;function XYe(){if(NT)return Wh;NT=1;function n(e){const t=e.regex,i=/([-a-zA-Z$._][\w$.-]*)/,s={className:"type",begin:/\bi\d+(?=\s|\b)/},r={className:"operator",relevance:0,begin:/=/},o={className:"punctuation",relevance:0,begin:/,/},a={className:"number",variants:[{begin:/[su]?0[xX][KMLHR]?[a-fA-F0-9]+/},{begin:/[-+]?\d+(?:[.]\d+)?(?:[eE][-+]?\d+(?:[.]\d+)?)?/}],relevance:0},l={className:"symbol",variants:[{begin:/^\s*[a-z]+:/}],relevance:0},d={className:"variable",variants:[{begin:t.concat(/%/,i)},{begin:/%\d+/},{begin:/#\d+/}]},c={className:"title",variants:[{begin:t.concat(/@/,i)},{begin:/@\d+/},{begin:t.concat(/!/,i)},{begin:t.concat(/!\d+/,i)},{begin:/!\d+/}]};return{name:"LLVM IR",keywords:"begin end true false declare define global constant private linker_private internal available_externally linkonce linkonce_odr weak weak_odr appending dllimport dllexport common default hidden protected extern_weak external thread_local zeroinitializer undef null to tail target triple datalayout volatile nuw nsw nnan ninf nsz arcp fast exact inbounds align addrspace section alias module asm sideeffect gc dbg linker_private_weak attributes blockaddress initialexec localdynamic localexec prefix unnamed_addr ccc fastcc coldcc x86_stdcallcc x86_fastcallcc arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ptx_kernel intel_ocl_bicc msp430_intrcc spir_func spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc cc c signext zeroext inreg sret nounwind noreturn noalias nocapture byval nest readnone readonly inlinehint noinline alwaysinline optsize ssp sspreq noredzone noimplicitfloat naked builtin cold nobuiltin noduplicate nonlazybind optnone returns_twice sanitize_address sanitize_memory sanitize_thread sspstrong uwtable returned type opaque eq ne slt sgt sle sge ult ugt ule uge oeq one olt ogt ole oge ord uno ueq une x acq_rel acquire alignstack atomic catch cleanup filter inteldialect max min monotonic nand personality release seq_cst singlethread umax umin unordered xchg add fadd sub fsub mul fmul udiv sdiv fdiv urem srem frem shl lshr ashr and or xor icmp fcmp phi call trunc zext sext fptrunc fpext uitofp sitofp fptoui fptosi inttoptr ptrtoint bitcast addrspacecast select va_arg ret br switch invoke unwind unreachable indirectbr landingpad resume malloc alloca free load store getelementptr extractelement insertelement shufflevector getresult extractvalue insertvalue atomicrmw cmpxchg fence argmemonly double",contains:[s,e.COMMENT(/;\s*$/,null,{relevance:0}),e.COMMENT(/;/,/$/),{className:"string",begin:/"/,end:/"/,contains:[{className:"char.escape",match:/\\\d\d/}]},c,o,r,d,l,a]}}return Wh=n,Wh}var Kh,OT;function ZYe(){if(OT)return Kh;OT=1;function n(e){const i={className:"string",begin:'"',end:'"',contains:[{className:"subst",begin:/\\[tn"\\]/}]},s={className:"number",relevance:0,begin:e.C_NUMBER_RE},r={className:"literal",variants:[{begin:"\\b(PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\b"},{begin:"\\b(XP_ERROR_(EXPERIENCES_DISABLED|EXPERIENCE_(DISABLED|SUSPENDED)|INVALID_(EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(FOUND|PERMITTED(_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(_OBJECT)?|(DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(_(BY_(LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(PARCEL(_OWNER)?|REGION)))?|CAMERA_(PITCH|DISTANCE|BEHINDNESS_(ANGLE|LAG)|(FOCUS|POSITION)(_(THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(ROOT|SET|ALL_(OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(IVE|_(ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(FWD|BACK|(ROT_)?(LEFT|RIGHT)|UP|DOWN|(ML_)?LBUTTON)|PERMISSION_(RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(_START)?|TELEPORT|MEDIA)|OBJECT_(CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(COUNT|EQUIVALENCE)|RETURN_(PARCEL(_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(_TAG)?|CREATOR|ATTACHED_(POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(BODY_SHAPE|PATHFINDING)_TYPE|(RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(MEMORY|TIME))|TYPE_(INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(DEBUG|PUBLIC)_CHANNEL|ATTACH_(AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](SHOULDER|HAND|FOOT|EAR|EYE|[UL](ARM|LEG)|HIP)|(LEFT|RIGHT)_PEC|HUD_(CENTER_[12]|TOP_(RIGHT|CENTER|LEFT)|BOTTOM(_(RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(BASE|TIP)|[LR]WING|FACE_(JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(ONLINE|NAME|BORN|SIM_(POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(ON_FILE|USED)|REMOTE_DATA_(CHANNEL|REQUEST|REPLY)|PSYS_(PART_(BF_(ZERO|ONE(_MINUS_(DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(START|END)_(COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(RIBBON|WIND|INTERP_(COLOR|SCALE)|BOUNCE|FOLLOW_(SRC|VELOCITY)|TARGET_(POS|LINEAR)|EMISSIVE)_MASK)|SRC_(MAX_AGE|PATTERN|ANGLE_(BEGIN|END)|BURST_(RATE|PART_COUNT|RADIUS|SPEED_(MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(DROP|EXPLODE|ANGLE(_CONE(_EMPTY)?)?)))|VEHICLE_(REFERENCE_FRAME|TYPE_(NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(LINEAR|ANGULAR)_(FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(LINEAR|ANGULAR)_(DEFLECTION_(EFFICIENCY|TIMESCALE)|MOTOR_(DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(EFFICIENCY|TIMESCALE)|BANKING_(EFFICIENCY|MIX|TIMESCALE)|FLAG_(NO_DEFLECTION_UP|LIMIT_(ROLL_ONLY|MOTOR_UP)|HOVER_((WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(ALLOW_UNSIT|ALPHA_MODE(_(BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(_(BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(_(STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(NONE|LOW|MEDIUM|HIGH)|BUMP_(NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(TYPE_(SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(MIRROR|INVERT))|PHYSICS(_(SHAPE_(CONVEX|NONE|PRIM|TYPE)))?|(POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(ALT_IMAGE_ENABLE|CONTROLS|(CURRENT|HOME)_URL|AUTO_(LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(WIDTH|HEIGHT)_PIXELS|WHITELIST(_ENABLE)?|PERMS_(INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(STANDARD|MINI)|PERM_(NONE|OWNER|GROUP|ANYONE)|MAX_(URL_LENGTH|WHITELIST_(SIZE|COUNT)|(WIDTH|HEIGHT)_PIXELS)))|MASK_(BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(MEDIA_COMMAND_(STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(ALLOW_(FLY|(GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(GROUP_)?OBJECTS)|USE_(ACCESS_(GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(GROUP|ALL)_OBJECT_ENTRY)|COUNT_(TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(HIDE|DEFAULT)|REGION_FLAG_(ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(METHOD|MIMETYPE|BODY_(MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(INVALID_(AGENT|LINK_OBJECT)|NO(T_EXPERIENCE|_(ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(TRIM(_(HEAD|TAIL))?)|CLICK_ACTION_(NONE|TOUCH|SIT|BUY|PAY|OPEN(_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(NONE|SCRIPT_MEMORY)|RC_(DATA_FLAGS|DETECT_PHANTOM|GET_(LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(TYPES|AGENTS|(NON)?PHYSICAL|LAND))|RCERR_(CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(ALLOWED_(AGENT|GROUP)_(ADD|REMOVE)|BANNED_AGENT_(ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(COMMAND|CMD_(PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(CMD_((SMOOTH_)?STOP|JUMP)|DESIRED_(TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(_([ABCD]|NONE))?|MAX_(DECEL|TURN_RADIUS|(ACCEL|SPEED)))|PURSUIT_(OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(EVADE_(HIDDEN|SPOTTED)|FAILURE_(DYNAMIC_PATHFINDING_DISABLED|INVALID_(GOAL|START)|NO_(NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(PARCEL_)?UNREACHABLE)|(GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(_(FAST|NONE|SLOW))?|CONTENT_TYPE_(ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(RADIUS|STATIC)|(PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\b"},{begin:"\\b(FALSE|TRUE)\\b"},{begin:"\\b(ZERO_ROTATION)\\b"},{begin:"\\b(EOF|JSON_(ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(GRANTED|DENIED))\\b"},{begin:"\\b(ZERO_VECTOR|TOUCH_INVALID_(TEXCOORD|VECTOR))\\b"}]},o={className:"built_in",begin:"\\b(ll(AgentInExperience|(Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(Details|ErrorMessage)|ReturnObjectsBy(ID|Owner)|Json(2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(Mag|Norm|Dist)|Rot(Between|2(Euler|Fwd|Left|Up))|(Euler|Axes)2Rot|Whisper|(Region|Owner)?Say|Shout|Listen(Control|Remove)?|Sensor(Repeat|Remove)?|Detected(Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|([GS]et)(AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(Scale|Offset|Rotate)Texture|(Rot)?Target(Remove)?|(Stop)?MoveToTarget|Apply(Rotational)?Impulse|Set(KeyframedMotion|ContentType|RegionPos|(Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(Queueing|Radius)|Vehicle(Type|(Float|Vector|Rotation)Param)|(Touch|Sit)?Text|Camera(Eye|At)Offset|PrimitiveParams|ClickAction|Link(Alpha|Color|PrimitiveParams(Fast)?|Texture(Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get((Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(PrimitiveParams|Number(OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(Details|PermMask|PrimCount)|Parcel(MaxPrims|Details|Prim(Count|Owners))|Attached(List)?|(SPMax|Free|Used)Memory|Region(Name|TimeDilation|FPS|Corner|AgentCount)|Root(Position|Rotation)|UnixTime|(Parcel|Region)Flags|(Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(Prims|NotecardLines|Sides)|Animation(List)?|(Camera|Local)(Pos|Rot)|Vel|Accel|Omega|Time(stamp|OfDay)|(Object|CenterOf)?Mass|MassMKS|Energy|Owner|(Owner)?Key|SunDirection|Texture(Offset|Scale|Rot)|Inventory(Number|Name|Key|Type|Creator|PermMask)|Permissions(Key)?|StartParameter|List(Length|EntryType)|Date|Agent(Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(Name|State))|(Get|Reset|GetAndReset)Time|PlaySound(Slave)?|LoopSound(Master|Slave)?|(Trigger|Stop|Preload)Sound|((Get|Delete)Sub|Insert)String|To(Upper|Lower)|Give(InventoryList|Money)|RezObject|(Stop)?LookAt|Sleep|CollisionFilter|(Take|Release)Controls|DetachFromAvatar|AttachToAvatar(Temp)?|InstantMessage|(GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(Length|Trim)|(Start|Stop)Animation|TargetOmega|Request(Experience)?Permissions|(Create|Break)Link|BreakAllLinks|(Give|Remove)Inventory|Water|PassTouches|Request(Agent|Inventory)Data|TeleportAgent(Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(Axis|Angle)|A(cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(CSV|Integer|Json|Float|String|Key|Vector|Rot|List(Strided)?)|DeleteSubList|List(Statistics|Sort|Randomize|(Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(Slope|Normal|Contour)|GroundRepel|(Set|Remove)VehicleFlags|SitOnLink|(AvatarOn)?(Link)?SitTarget|Script(Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(Integer|String)ToBase64|XorBase64|Log(10)?|Base64To(String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(Load|Release|(E|Une)scape)URL|ParcelMedia(CommandList|Query)|ModPow|MapDestination|(RemoveFrom|AddTo|Reset)Land(Pass|Ban)List|(Set|Clear)CameraParams|HTTP(Request|Response)|TextBox|DetectedTouch(UV|Face|Pos|(N|Bin)ormal|ST)|(MD5|SHA1|DumpList2)String|Request(Secure)?URL|Clear(Prim|Link)Media|(Link)?ParticleSystem|(Get|Request)(Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\b"};return{name:"LSL (Linden Scripting Language)",illegal:":",contains:[i,{className:"comment",variants:[e.COMMENT("//","$"),e.COMMENT("/\\*","\\*/")],relevance:0},s,{className:"section",variants:[{begin:"\\b(state|default)\\b"},{begin:"\\b(state_(entry|exit)|touch(_(start|end))?|(land_)?collision(_(start|end))?|timer|listen|(no_)?sensor|control|(not_)?at_(rot_)?target|money|email|experience_permissions(_denied)?|run_time_permissions|changed|attach|dataserver|moving_(start|end)|link_message|(on|object)_rez|remote_data|http_re(sponse|quest)|path_update|transaction_result)\\b"}]},o,r,{className:"type",begin:"\\b(integer|float|string|key|vector|quaternion|rotation|list)\\b"}]}}return Kh=n,Kh}var jh,IT;function JYe(){if(IT)return jh;IT=1;function n(e){const t="\\[=*\\[",i="\\]=*\\]",s={begin:t,end:i,contains:["self"]},r=[e.COMMENT("--(?!"+t+")","$"),e.COMMENT("--"+t,i,{contains:[s],relevance:10})];return{name:"Lua",keywords:{$pattern:e.UNDERSCORE_IDENT_RE,literal:"true false nil",keyword:"and break do else elseif end for goto if in local not or repeat return then until while",built_in:"_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len __gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall arg self coroutine resume yield status wrap create running debug getupvalue debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv io lines write close flush open output type read stderr stdin input stdout popen tmpfile math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower table setn insert getn foreachi maxn foreach concat sort remove"},contains:r.concat([{className:"function",beginKeywords:"function",end:"\\)",contains:[e.inherit(e.TITLE_MODE,{begin:"([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*"}),{className:"params",begin:"\\(",endsWithParent:!0,contains:r}].concat(r)},e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:t,end:i,contains:[s],relevance:5}])}}return jh=n,jh}var Qh,MT;function e$e(){if(MT)return Qh;MT=1;function n(e){const t={className:"variable",variants:[{begin:"\\$\\("+e.UNDERSCORE_IDENT_RE+"\\)",contains:[e.BACKSLASH_ESCAPE]},{begin:/\$[@%<?\^\+\*]/}]},i={className:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,t]},s={className:"variable",begin:/\$\([\w-]+\s/,end:/\)/,keywords:{built_in:"subst patsubst strip findstring filter filter-out sort word wordlist firstword lastword dir notdir suffix basename addsuffix addprefix join wildcard realpath abspath error warning shell origin flavor foreach if or and call eval file value"},contains:[t]},r={begin:"^"+e.UNDERSCORE_IDENT_RE+"\\s*(?=[:+?]?=)"},o={className:"meta",begin:/^\.PHONY:/,end:/$/,keywords:{$pattern:/[\.\w]+/,keyword:".PHONY"}},a={className:"section",begin:/^[^\s]+:/,end:/$/,contains:[t]};return{name:"Makefile",aliases:["mk","mak","make"],keywords:{$pattern:/[\w-]+/,keyword:"define endef undefine ifdef ifndef ifeq ifneq else endif include -include sinclude override export unexport private vpath"},contains:[e.HASH_COMMENT_MODE,t,i,s,r,o,a]}}return Qh=n,Qh}var Xh,DT;function t$e(){if(DT)return Xh;DT=1;const n=["AASTriangle","AbelianGroup","Abort","AbortKernels","AbortProtect","AbortScheduledTask","Above","Abs","AbsArg","AbsArgPlot","Absolute","AbsoluteCorrelation","AbsoluteCorrelationFunction","AbsoluteCurrentValue","AbsoluteDashing","AbsoluteFileName","AbsoluteOptions","AbsolutePointSize","AbsoluteThickness","AbsoluteTime","AbsoluteTiming","AcceptanceThreshold","AccountingForm","Accumulate","Accuracy","AccuracyGoal","AcousticAbsorbingValue","AcousticImpedanceValue","AcousticNormalVelocityValue","AcousticPDEComponent","AcousticPressureCondition","AcousticRadiationValue","AcousticSoundHardValue","AcousticSoundSoftCondition","ActionDelay","ActionMenu","ActionMenuBox","ActionMenuBoxOptions","Activate","Active","ActiveClassification","ActiveClassificationObject","ActiveItem","ActivePrediction","ActivePredictionObject","ActiveStyle","AcyclicGraphQ","AddOnHelpPath","AddSides","AddTo","AddToSearchIndex","AddUsers","AdjacencyGraph","AdjacencyList","AdjacencyMatrix","AdjacentMeshCells","Adjugate","AdjustmentBox","AdjustmentBoxOptions","AdjustTimeSeriesForecast","AdministrativeDivisionData","AffineHalfSpace","AffineSpace","AffineStateSpaceModel","AffineTransform","After","AggregatedEntityClass","AggregationLayer","AircraftData","AirportData","AirPressureData","AirSoundAttenuation","AirTemperatureData","AiryAi","AiryAiPrime","AiryAiZero","AiryBi","AiryBiPrime","AiryBiZero","AlgebraicIntegerQ","AlgebraicNumber","AlgebraicNumberDenominator","AlgebraicNumberNorm","AlgebraicNumberPolynomial","AlgebraicNumberTrace","AlgebraicRules","AlgebraicRulesData","Algebraics","AlgebraicUnitQ","Alignment","AlignmentMarker","AlignmentPoint","All","AllowAdultContent","AllowChatServices","AllowedCloudExtraParameters","AllowedCloudParameterExtensions","AllowedDimensions","AllowedFrequencyRange","AllowedHeads","AllowGroupClose","AllowIncomplete","AllowInlineCells","AllowKernelInitialization","AllowLooseGrammar","AllowReverseGroupClose","AllowScriptLevelChange","AllowVersionUpdate","AllTrue","Alphabet","AlphabeticOrder","AlphabeticSort","AlphaChannel","AlternateImage","AlternatingFactorial","AlternatingGroup","AlternativeHypothesis","Alternatives","AltitudeMethod","AmbientLight","AmbiguityFunction","AmbiguityList","Analytic","AnatomyData","AnatomyForm","AnatomyPlot3D","AnatomySkinStyle","AnatomyStyling","AnchoredSearch","And","AndersonDarlingTest","AngerJ","AngleBisector","AngleBracket","AnglePath","AnglePath3D","AngleVector","AngularGauge","Animate","AnimatedImage","AnimationCycleOffset","AnimationCycleRepetitions","AnimationDirection","AnimationDisplayTime","AnimationRate","AnimationRepetitions","AnimationRunning","AnimationRunTime","AnimationTimeIndex","AnimationVideo","Animator","AnimatorBox","AnimatorBoxOptions","AnimatorElements","Annotate","Annotation","AnnotationDelete","AnnotationKeys","AnnotationRules","AnnotationValue","Annuity","AnnuityDue","Annulus","AnomalyDetection","AnomalyDetector","AnomalyDetectorFunction","Anonymous","Antialiasing","Antihermitian","AntihermitianMatrixQ","Antisymmetric","AntisymmetricMatrixQ","Antonyms","AnyOrder","AnySubset","AnyTrue","Apart","ApartSquareFree","APIFunction","Appearance","AppearanceElements","AppearanceRules","AppellF1","Append","AppendCheck","AppendLayer","AppendTo","Application","Apply","ApplyReaction","ApplySides","ApplyTo","ArcCos","ArcCosh","ArcCot","ArcCoth","ArcCsc","ArcCsch","ArcCurvature","ARCHProcess","ArcLength","ArcSec","ArcSech","ArcSin","ArcSinDistribution","ArcSinh","ArcTan","ArcTanh","Area","Arg","ArgMax","ArgMin","ArgumentCountQ","ArgumentsOptions","ARIMAProcess","ArithmeticGeometricMean","ARMAProcess","Around","AroundReplace","ARProcess","Array","ArrayComponents","ArrayDepth","ArrayFilter","ArrayFlatten","ArrayMesh","ArrayPad","ArrayPlot","ArrayPlot3D","ArrayQ","ArrayReduce","ArrayResample","ArrayReshape","ArrayRules","Arrays","Arrow","Arrow3DBox","ArrowBox","Arrowheads","ASATriangle","Ask","AskAppend","AskConfirm","AskDisplay","AskedQ","AskedValue","AskFunction","AskState","AskTemplateDisplay","AspectRatio","AspectRatioFixed","Assert","AssessmentFunction","AssessmentResultObject","AssociateTo","Association","AssociationFormat","AssociationMap","AssociationQ","AssociationThread","AssumeDeterministic","Assuming","Assumptions","AstroAngularSeparation","AstroBackground","AstroCenter","AstroDistance","AstroGraphics","AstroGridLines","AstroGridLinesStyle","AstronomicalData","AstroPosition","AstroProjection","AstroRange","AstroRangePadding","AstroReferenceFrame","AstroStyling","AstroZoomLevel","Asymptotic","AsymptoticDSolveValue","AsymptoticEqual","AsymptoticEquivalent","AsymptoticExpectation","AsymptoticGreater","AsymptoticGreaterEqual","AsymptoticIntegrate","AsymptoticLess","AsymptoticLessEqual","AsymptoticOutputTracker","AsymptoticProbability","AsymptoticProduct","AsymptoticRSolveValue","AsymptoticSolve","AsymptoticSum","Asynchronous","AsynchronousTaskObject","AsynchronousTasks","Atom","AtomCoordinates","AtomCount","AtomDiagramCoordinates","AtomLabels","AtomLabelStyle","AtomList","AtomQ","AttachCell","AttachedCell","AttentionLayer","Attributes","Audio","AudioAmplify","AudioAnnotate","AudioAnnotationLookup","AudioBlockMap","AudioCapture","AudioChannelAssignment","AudioChannelCombine","AudioChannelMix","AudioChannels","AudioChannelSeparate","AudioData","AudioDelay","AudioDelete","AudioDevice","AudioDistance","AudioEncoding","AudioFade","AudioFrequencyShift","AudioGenerator","AudioIdentify","AudioInputDevice","AudioInsert","AudioInstanceQ","AudioIntervals","AudioJoin","AudioLabel","AudioLength","AudioLocalMeasurements","AudioLooping","AudioLoudness","AudioMeasurements","AudioNormalize","AudioOutputDevice","AudioOverlay","AudioPad","AudioPan","AudioPartition","AudioPause","AudioPitchShift","AudioPlay","AudioPlot","AudioQ","AudioRecord","AudioReplace","AudioResample","AudioReverb","AudioReverse","AudioSampleRate","AudioSpectralMap","AudioSpectralTransformation","AudioSplit","AudioStop","AudioStream","AudioStreams","AudioTimeStretch","AudioTrackApply","AudioTrackSelection","AudioTrim","AudioType","AugmentedPolyhedron","AugmentedSymmetricPolynomial","Authenticate","Authentication","AuthenticationDialog","AutoAction","Autocomplete","AutocompletionFunction","AutoCopy","AutocorrelationTest","AutoDelete","AutoEvaluateEvents","AutoGeneratedPackage","AutoIndent","AutoIndentSpacings","AutoItalicWords","AutoloadPath","AutoMatch","Automatic","AutomaticImageSize","AutoMultiplicationSymbol","AutoNumberFormatting","AutoOpenNotebooks","AutoOpenPalettes","AutoOperatorRenderings","AutoQuoteCharacters","AutoRefreshed","AutoRemove","AutorunSequencing","AutoScaling","AutoScroll","AutoSpacing","AutoStyleOptions","AutoStyleWords","AutoSubmitting","Axes","AxesEdge","AxesLabel","AxesOrigin","AxesStyle","AxiomaticTheory","Axis","Axis3DBox","Axis3DBoxOptions","AxisBox","AxisBoxOptions","AxisLabel","AxisObject","AxisStyle","BabyMonsterGroupB","Back","BackFaceColor","BackFaceGlowColor","BackFaceOpacity","BackFaceSpecularColor","BackFaceSpecularExponent","BackFaceSurfaceAppearance","BackFaceTexture","Background","BackgroundAppearance","BackgroundTasksSettings","Backslash","Backsubstitution","Backward","Ball","Band","BandpassFilter","BandstopFilter","BarabasiAlbertGraphDistribution","BarChart","BarChart3D","BarcodeImage","BarcodeRecognize","BaringhausHenzeTest","BarLegend","BarlowProschanImportance","BarnesG","BarOrigin","BarSpacing","BartlettHannWindow","BartlettWindow","BaseDecode","BaseEncode","BaseForm","Baseline","BaselinePosition","BaseStyle","BasicRecurrentLayer","BatchNormalizationLayer","BatchSize","BatesDistribution","BattleLemarieWavelet","BayesianMaximization","BayesianMaximizationObject","BayesianMinimization","BayesianMinimizationObject","Because","BeckmannDistribution","Beep","Before","Begin","BeginDialogPacket","BeginPackage","BellB","BellY","Below","BenfordDistribution","BeniniDistribution","BenktanderGibratDistribution","BenktanderWeibullDistribution","BernoulliB","BernoulliDistribution","BernoulliGraphDistribution","BernoulliProcess","BernsteinBasis","BesagL","BesselFilterModel","BesselI","BesselJ","BesselJZero","BesselK","BesselY","BesselYZero","Beta","BetaBinomialDistribution","BetaDistribution","BetaNegativeBinomialDistribution","BetaPrimeDistribution","BetaRegularized","Between","BetweennessCentrality","Beveled","BeveledPolyhedron","BezierCurve","BezierCurve3DBox","BezierCurve3DBoxOptions","BezierCurveBox","BezierCurveBoxOptions","BezierFunction","BilateralFilter","BilateralLaplaceTransform","BilateralZTransform","Binarize","BinaryDeserialize","BinaryDistance","BinaryFormat","BinaryImageQ","BinaryRead","BinaryReadList","BinarySerialize","BinaryWrite","BinCounts","BinLists","BinnedVariogramList","Binomial","BinomialDistribution","BinomialPointProcess","BinomialProcess","BinormalDistribution","BiorthogonalSplineWavelet","BioSequence","BioSequenceBackTranslateList","BioSequenceComplement","BioSequenceInstances","BioSequenceModify","BioSequencePlot","BioSequenceQ","BioSequenceReverseComplement","BioSequenceTranscribe","BioSequenceTranslate","BipartiteGraphQ","BiquadraticFilterModel","BirnbaumImportance","BirnbaumSaundersDistribution","BitAnd","BitClear","BitGet","BitLength","BitNot","BitOr","BitRate","BitSet","BitShiftLeft","BitShiftRight","BitXor","BiweightLocation","BiweightMidvariance","Black","BlackmanHarrisWindow","BlackmanNuttallWindow","BlackmanWindow","Blank","BlankForm","BlankNullSequence","BlankSequence","Blend","Block","BlockchainAddressData","BlockchainBase","BlockchainBlockData","BlockchainContractValue","BlockchainData","BlockchainGet","BlockchainKeyEncode","BlockchainPut","BlockchainTokenData","BlockchainTransaction","BlockchainTransactionData","BlockchainTransactionSign","BlockchainTransactionSubmit","BlockDiagonalMatrix","BlockLowerTriangularMatrix","BlockMap","BlockRandom","BlockUpperTriangularMatrix","BlomqvistBeta","BlomqvistBetaTest","Blue","Blur","Blurring","BodePlot","BohmanWindow","Bold","Bond","BondCount","BondLabels","BondLabelStyle","BondList","BondQ","Bookmarks","Boole","BooleanConsecutiveFunction","BooleanConvert","BooleanCountingFunction","BooleanFunction","BooleanGraph","BooleanMaxterms","BooleanMinimize","BooleanMinterms","BooleanQ","BooleanRegion","Booleans","BooleanStrings","BooleanTable","BooleanVariables","BorderDimensions","BorelTannerDistribution","Bottom","BottomHatTransform","BoundaryDiscretizeGraphics","BoundaryDiscretizeRegion","BoundaryMesh","BoundaryMeshRegion","BoundaryMeshRegionQ","BoundaryStyle","BoundedRegionQ","BoundingRegion","Bounds","Box","BoxBaselineShift","BoxData","BoxDimensions","Boxed","Boxes","BoxForm","BoxFormFormatTypes","BoxFrame","BoxID","BoxMargins","BoxMatrix","BoxObject","BoxRatios","BoxRotation","BoxRotationPoint","BoxStyle","BoxWhiskerChart","Bra","BracketingBar","BraKet","BrayCurtisDistance","BreadthFirstScan","Break","BridgeData","BrightnessEqualize","BroadcastStationData","Brown","BrownForsytheTest","BrownianBridgeProcess","BrowserCategory","BSplineBasis","BSplineCurve","BSplineCurve3DBox","BSplineCurve3DBoxOptions","BSplineCurveBox","BSplineCurveBoxOptions","BSplineFunction","BSplineSurface","BSplineSurface3DBox","BSplineSurface3DBoxOptions","BubbleChart","BubbleChart3D","BubbleScale","BubbleSizes","BuckyballGraph","BuildCompiledComponent","BuildingData","BulletGauge","BusinessDayQ","ButterflyGraph","ButterworthFilterModel","Button","ButtonBar","ButtonBox","ButtonBoxOptions","ButtonCell","ButtonContents","ButtonData","ButtonEvaluator","ButtonExpandable","ButtonFrame","ButtonFunction","ButtonMargins","ButtonMinHeight","ButtonNote","ButtonNotebook","ButtonSource","ButtonStyle","ButtonStyleMenuListing","Byte","ByteArray","ByteArrayFormat","ByteArrayFormatQ","ByteArrayQ","ByteArrayToString","ByteCount","ByteOrdering","C","CachedValue","CacheGraphics","CachePersistence","CalendarConvert","CalendarData","CalendarType","Callout","CalloutMarker","CalloutStyle","CallPacket","CanberraDistance","Cancel","CancelButton","CandlestickChart","CanonicalGraph","CanonicalizePolygon","CanonicalizePolyhedron","CanonicalizeRegion","CanonicalName","CanonicalWarpingCorrespondence","CanonicalWarpingDistance","CantorMesh","CantorStaircase","Canvas","Cap","CapForm","CapitalDifferentialD","Capitalize","CapsuleShape","CaptureRunning","CaputoD","CardinalBSplineBasis","CarlemanLinearize","CarlsonRC","CarlsonRD","CarlsonRE","CarlsonRF","CarlsonRG","CarlsonRJ","CarlsonRK","CarlsonRM","CarmichaelLambda","CaseOrdering","Cases","CaseSensitive","Cashflow","Casoratian","Cast","Catalan","CatalanNumber","Catch","CategoricalDistribution","Catenate","CatenateLayer","CauchyDistribution","CauchyMatrix","CauchyPointProcess","CauchyWindow","CayleyGraph","CDF","CDFDeploy","CDFInformation","CDFWavelet","Ceiling","CelestialSystem","Cell","CellAutoOverwrite","CellBaseline","CellBoundingBox","CellBracketOptions","CellChangeTimes","CellContents","CellContext","CellDingbat","CellDingbatMargin","CellDynamicExpression","CellEditDuplicate","CellElementsBoundingBox","CellElementSpacings","CellEpilog","CellEvaluationDuplicate","CellEvaluationFunction","CellEvaluationLanguage","CellEventActions","CellFrame","CellFrameColor","CellFrameLabelMargins","CellFrameLabels","CellFrameMargins","CellFrameStyle","CellGroup","CellGroupData","CellGrouping","CellGroupingRules","CellHorizontalScrolling","CellID","CellInsertionPointCell","CellLabel","CellLabelAutoDelete","CellLabelMargins","CellLabelPositioning","CellLabelStyle","CellLabelTemplate","CellMargins","CellObject","CellOpen","CellPrint","CellProlog","Cells","CellSize","CellStyle","CellTags","CellTrayPosition","CellTrayWidgets","CellularAutomaton","CensoredDistribution","Censoring","Center","CenterArray","CenterDot","CenteredInterval","CentralFeature","CentralMoment","CentralMomentGeneratingFunction","Cepstrogram","CepstrogramArray","CepstrumArray","CForm","ChampernowneNumber","ChangeOptions","ChannelBase","ChannelBrokerAction","ChannelDatabin","ChannelHistoryLength","ChannelListen","ChannelListener","ChannelListeners","ChannelListenerWait","ChannelObject","ChannelPreSendFunction","ChannelReceiverFunction","ChannelSend","ChannelSubscribers","ChanVeseBinarize","Character","CharacterCounts","CharacterEncoding","CharacterEncodingsPath","CharacteristicFunction","CharacteristicPolynomial","CharacterName","CharacterNormalize","CharacterRange","Characters","ChartBaseStyle","ChartElementData","ChartElementDataFunction","ChartElementFunction","ChartElements","ChartLabels","ChartLayout","ChartLegends","ChartStyle","Chebyshev1FilterModel","Chebyshev2FilterModel","ChebyshevDistance","ChebyshevT","ChebyshevU","Check","CheckAbort","CheckAll","CheckArguments","Checkbox","CheckboxBar","CheckboxBox","CheckboxBoxOptions","ChemicalConvert","ChemicalData","ChemicalFormula","ChemicalInstance","ChemicalReaction","ChessboardDistance","ChiDistribution","ChineseRemainder","ChiSquareDistribution","ChoiceButtons","ChoiceDialog","CholeskyDecomposition","Chop","ChromaticityPlot","ChromaticityPlot3D","ChromaticPolynomial","Circle","CircleBox","CircleDot","CircleMinus","CirclePlus","CirclePoints","CircleThrough","CircleTimes","CirculantGraph","CircularArcThrough","CircularOrthogonalMatrixDistribution","CircularQuaternionMatrixDistribution","CircularRealMatrixDistribution","CircularSymplecticMatrixDistribution","CircularUnitaryMatrixDistribution","Circumsphere","CityData","ClassifierFunction","ClassifierInformation","ClassifierMeasurements","ClassifierMeasurementsObject","Classify","ClassPriors","Clear","ClearAll","ClearAttributes","ClearCookies","ClearPermissions","ClearSystemCache","ClebschGordan","ClickPane","ClickToCopy","ClickToCopyEnabled","Clip","ClipboardNotebook","ClipFill","ClippingStyle","ClipPlanes","ClipPlanesStyle","ClipRange","Clock","ClockGauge","ClockwiseContourIntegral","Close","Closed","CloseKernels","ClosenessCentrality","Closing","ClosingAutoSave","ClosingEvent","CloudAccountData","CloudBase","CloudConnect","CloudConnections","CloudDeploy","CloudDirectory","CloudDisconnect","CloudEvaluate","CloudExport","CloudExpression","CloudExpressions","CloudFunction","CloudGet","CloudImport","CloudLoggingData","CloudObject","CloudObjectInformation","CloudObjectInformationData","CloudObjectNameFormat","CloudObjects","CloudObjectURLType","CloudPublish","CloudPut","CloudRenderingMethod","CloudSave","CloudShare","CloudSubmit","CloudSymbol","CloudUnshare","CloudUserID","ClusterClassify","ClusterDissimilarityFunction","ClusteringComponents","ClusteringMeasurements","ClusteringTree","CMYKColor","Coarse","CodeAssistOptions","Coefficient","CoefficientArrays","CoefficientDomain","CoefficientList","CoefficientRules","CoifletWavelet","Collect","CollinearPoints","Colon","ColonForm","ColorBalance","ColorCombine","ColorConvert","ColorCoverage","ColorData","ColorDataFunction","ColorDetect","ColorDistance","ColorFunction","ColorFunctionBinning","ColorFunctionScaling","Colorize","ColorNegate","ColorOutput","ColorProfileData","ColorQ","ColorQuantize","ColorReplace","ColorRules","ColorSelectorSettings","ColorSeparate","ColorSetter","ColorSetterBox","ColorSetterBoxOptions","ColorSlider","ColorsNear","ColorSpace","ColorToneMapping","Column","ColumnAlignments","ColumnBackgrounds","ColumnForm","ColumnLines","ColumnsEqual","ColumnSpacings","ColumnWidths","CombinatorB","CombinatorC","CombinatorI","CombinatorK","CombinatorS","CombinatorW","CombinatorY","CombinedEntityClass","CombinerFunction","CometData","CommonDefaultFormatTypes","Commonest","CommonestFilter","CommonName","CommonUnits","CommunityBoundaryStyle","CommunityGraphPlot","CommunityLabels","CommunityRegionStyle","CompanyData","CompatibleUnitQ","CompilationOptions","CompilationTarget","Compile","Compiled","CompiledCodeFunction","CompiledComponent","CompiledExpressionDeclaration","CompiledFunction","CompiledLayer","CompilerCallback","CompilerEnvironment","CompilerEnvironmentAppend","CompilerEnvironmentAppendTo","CompilerEnvironmentObject","CompilerOptions","Complement","ComplementedEntityClass","CompleteGraph","CompleteGraphQ","CompleteIntegral","CompleteKaryTree","CompletionsListPacket","Complex","ComplexArrayPlot","ComplexContourPlot","Complexes","ComplexExpand","ComplexInfinity","ComplexityFunction","ComplexListPlot","ComplexPlot","ComplexPlot3D","ComplexRegionPlot","ComplexStreamPlot","ComplexVectorPlot","ComponentMeasurements","ComponentwiseContextMenu","Compose","ComposeList","ComposeSeries","CompositeQ","Composition","CompoundElement","CompoundExpression","CompoundPoissonDistribution","CompoundPoissonProcess","CompoundRenewalProcess","Compress","CompressedData","CompressionLevel","ComputeUncertainty","ConcaveHullMesh","Condition","ConditionalExpression","Conditioned","Cone","ConeBox","ConfidenceLevel","ConfidenceRange","ConfidenceTransform","ConfigurationPath","Confirm","ConfirmAssert","ConfirmBy","ConfirmMatch","ConfirmQuiet","ConformationMethod","ConformAudio","ConformImages","Congruent","ConicGradientFilling","ConicHullRegion","ConicHullRegion3DBox","ConicHullRegion3DBoxOptions","ConicHullRegionBox","ConicHullRegionBoxOptions","ConicOptimization","Conjugate","ConjugateTranspose","Conjunction","Connect","ConnectedComponents","ConnectedGraphComponents","ConnectedGraphQ","ConnectedMeshComponents","ConnectedMoleculeComponents","ConnectedMoleculeQ","ConnectionSettings","ConnectLibraryCallbackFunction","ConnectSystemModelComponents","ConnectSystemModelController","ConnesWindow","ConoverTest","ConservativeConvectionPDETerm","ConsoleMessage","Constant","ConstantArray","ConstantArrayLayer","ConstantImage","ConstantPlusLayer","ConstantRegionQ","Constants","ConstantTimesLayer","ConstellationData","ConstrainedMax","ConstrainedMin","Construct","Containing","ContainsAll","ContainsAny","ContainsExactly","ContainsNone","ContainsOnly","ContentDetectorFunction","ContentFieldOptions","ContentLocationFunction","ContentObject","ContentPadding","ContentsBoundingBox","ContentSelectable","ContentSize","Context","ContextMenu","Contexts","ContextToFileName","Continuation","Continue","ContinuedFraction","ContinuedFractionK","ContinuousAction","ContinuousMarkovProcess","ContinuousTask","ContinuousTimeModelQ","ContinuousWaveletData","ContinuousWaveletTransform","ContourDetect","ContourGraphics","ContourIntegral","ContourLabels","ContourLines","ContourPlot","ContourPlot3D","Contours","ContourShading","ContourSmoothing","ContourStyle","ContraharmonicMean","ContrastiveLossLayer","Control","ControlActive","ControlAlignment","ControlGroupContentsBox","ControllabilityGramian","ControllabilityMatrix","ControllableDecomposition","ControllableModelQ","ControllerDuration","ControllerInformation","ControllerInformationData","ControllerLinking","ControllerManipulate","ControllerMethod","ControllerPath","ControllerState","ControlPlacement","ControlsRendering","ControlType","ConvectionPDETerm","Convergents","ConversionOptions","ConversionRules","ConvertToPostScript","ConvertToPostScriptPacket","ConvexHullMesh","ConvexHullRegion","ConvexOptimization","ConvexPolygonQ","ConvexPolyhedronQ","ConvexRegionQ","ConvolutionLayer","Convolve","ConwayGroupCo1","ConwayGroupCo2","ConwayGroupCo3","CookieFunction","Cookies","CoordinateBoundingBox","CoordinateBoundingBoxArray","CoordinateBounds","CoordinateBoundsArray","CoordinateChartData","CoordinatesToolOptions","CoordinateTransform","CoordinateTransformData","CoplanarPoints","CoprimeQ","Coproduct","CopulaDistribution","Copyable","CopyDatabin","CopyDirectory","CopyFile","CopyFunction","CopyTag","CopyToClipboard","CoreNilpotentDecomposition","CornerFilter","CornerNeighbors","Correlation","CorrelationDistance","CorrelationFunction","CorrelationTest","Cos","Cosh","CoshIntegral","CosineDistance","CosineWindow","CosIntegral","Cot","Coth","CoulombF","CoulombG","CoulombH1","CoulombH2","Count","CountDistinct","CountDistinctBy","CounterAssignments","CounterBox","CounterBoxOptions","CounterClockwiseContourIntegral","CounterEvaluator","CounterFunction","CounterIncrements","CounterStyle","CounterStyleMenuListing","CountRoots","CountryData","Counts","CountsBy","Covariance","CovarianceEstimatorFunction","CovarianceFunction","CoxianDistribution","CoxIngersollRossProcess","CoxModel","CoxModelFit","CramerVonMisesTest","CreateArchive","CreateCellID","CreateChannel","CreateCloudExpression","CreateCompilerEnvironment","CreateDatabin","CreateDataStructure","CreateDataSystemModel","CreateDialog","CreateDirectory","CreateDocument","CreateFile","CreateIntermediateDirectories","CreateLicenseEntitlement","CreateManagedLibraryExpression","CreateNotebook","CreatePacletArchive","CreatePalette","CreatePermissionsGroup","CreateScheduledTask","CreateSearchIndex","CreateSystemModel","CreateTemporary","CreateTypeInstance","CreateUUID","CreateWindow","CriterionFunction","CriticalityFailureImportance","CriticalitySuccessImportance","CriticalSection","Cross","CrossEntropyLossLayer","CrossingCount","CrossingDetect","CrossingPolygon","CrossMatrix","Csc","Csch","CSGRegion","CSGRegionQ","CSGRegionTree","CTCLossLayer","Cube","CubeRoot","Cubics","Cuboid","CuboidBox","CuboidBoxOptions","Cumulant","CumulantGeneratingFunction","CumulativeFeatureImpactPlot","Cup","CupCap","Curl","CurlyDoubleQuote","CurlyQuote","CurrencyConvert","CurrentDate","CurrentImage","CurrentNotebookImage","CurrentScreenImage","CurrentValue","Curry","CurryApplied","CurvatureFlowFilter","CurveClosed","Cyan","CycleGraph","CycleIndexPolynomial","Cycles","CyclicGroup","Cyclotomic","Cylinder","CylinderBox","CylinderBoxOptions","CylindricalDecomposition","CylindricalDecompositionFunction","D","DagumDistribution","DamData","DamerauLevenshteinDistance","DampingFactor","Darker","Dashed","Dashing","DatabaseConnect","DatabaseDisconnect","DatabaseReference","Databin","DatabinAdd","DatabinRemove","Databins","DatabinSubmit","DatabinUpload","DataCompression","DataDistribution","DataRange","DataReversed","Dataset","DatasetDisplayPanel","DatasetTheme","DataStructure","DataStructureQ","Date","DateBounds","Dated","DateDelimiters","DateDifference","DatedUnit","DateFormat","DateFunction","DateGranularity","DateHistogram","DateInterval","DateList","DateListLogPlot","DateListPlot","DateListStepPlot","DateObject","DateObjectQ","DateOverlapsQ","DatePattern","DatePlus","DateRange","DateReduction","DateScale","DateSelect","DateString","DateTicksFormat","DateValue","DateWithinQ","DaubechiesWavelet","DavisDistribution","DawsonF","DayCount","DayCountConvention","DayHemisphere","DaylightQ","DayMatchQ","DayName","DayNightTerminator","DayPlus","DayRange","DayRound","DeBruijnGraph","DeBruijnSequence","Debug","DebugTag","Decapitalize","Decimal","DecimalForm","DeclareCompiledComponent","DeclareKnownSymbols","DeclarePackage","Decompose","DeconvolutionLayer","Decrement","Decrypt","DecryptFile","DedekindEta","DeepSpaceProbeData","Default","Default2DTool","Default3DTool","DefaultAttachedCellStyle","DefaultAxesStyle","DefaultBaseStyle","DefaultBoxStyle","DefaultButton","DefaultColor","DefaultControlPlacement","DefaultDockedCellStyle","DefaultDuplicateCellStyle","DefaultDuration","DefaultElement","DefaultFaceGridsStyle","DefaultFieldHintStyle","DefaultFont","DefaultFontProperties","DefaultFormatType","DefaultFrameStyle","DefaultFrameTicksStyle","DefaultGridLinesStyle","DefaultInlineFormatType","DefaultInputFormatType","DefaultLabelStyle","DefaultMenuStyle","DefaultNaturalLanguage","DefaultNewCellStyle","DefaultNewInlineCellStyle","DefaultNotebook","DefaultOptions","DefaultOutputFormatType","DefaultPrintPrecision","DefaultStyle","DefaultStyleDefinitions","DefaultTextFormatType","DefaultTextInlineFormatType","DefaultTicksStyle","DefaultTooltipStyle","DefaultValue","DefaultValues","Defer","DefineExternal","DefineInputStreamMethod","DefineOutputStreamMethod","DefineResourceFunction","Definition","Degree","DegreeCentrality","DegreeGraphDistribution","DegreeLexicographic","DegreeReverseLexicographic","DEigensystem","DEigenvalues","Deinitialization","Del","DelaunayMesh","Delayed","Deletable","Delete","DeleteAdjacentDuplicates","DeleteAnomalies","DeleteBorderComponents","DeleteCases","DeleteChannel","DeleteCloudExpression","DeleteContents","DeleteDirectory","DeleteDuplicates","DeleteDuplicatesBy","DeleteElements","DeleteFile","DeleteMissing","DeleteObject","DeletePermissionsKey","DeleteSearchIndex","DeleteSmallComponents","DeleteStopwords","DeleteWithContents","DeletionWarning","DelimitedArray","DelimitedSequence","Delimiter","DelimiterAutoMatching","DelimiterFlashTime","DelimiterMatching","Delimiters","DeliveryFunction","Dendrogram","Denominator","DensityGraphics","DensityHistogram","DensityPlot","DensityPlot3D","DependentVariables","Deploy","Deployed","Depth","DepthFirstScan","Derivative","DerivativeFilter","DerivativePDETerm","DerivedKey","DescriptorStateSpace","DesignMatrix","DestroyAfterEvaluation","Det","DeviceClose","DeviceConfigure","DeviceExecute","DeviceExecuteAsynchronous","DeviceObject","DeviceOpen","DeviceOpenQ","DeviceRead","DeviceReadBuffer","DeviceReadLatest","DeviceReadList","DeviceReadTimeSeries","Devices","DeviceStreams","DeviceWrite","DeviceWriteBuffer","DGaussianWavelet","DiacriticalPositioning","Diagonal","DiagonalizableMatrixQ","DiagonalMatrix","DiagonalMatrixQ","Dialog","DialogIndent","DialogInput","DialogLevel","DialogNotebook","DialogProlog","DialogReturn","DialogSymbols","Diamond","DiamondMatrix","DiceDissimilarity","DictionaryLookup","DictionaryWordQ","DifferenceDelta","DifferenceOrder","DifferenceQuotient","DifferenceRoot","DifferenceRootReduce","Differences","DifferentialD","DifferentialRoot","DifferentialRootReduce","DifferentiatorFilter","DiffusionPDETerm","DiggleGatesPointProcess","DiggleGrattonPointProcess","DigitalSignature","DigitBlock","DigitBlockMinimum","DigitCharacter","DigitCount","DigitQ","DihedralAngle","DihedralGroup","Dilation","DimensionalCombinations","DimensionalMeshComponents","DimensionReduce","DimensionReducerFunction","DimensionReduction","Dimensions","DiracComb","DiracDelta","DirectedEdge","DirectedEdges","DirectedGraph","DirectedGraphQ","DirectedInfinity","Direction","DirectionalLight","Directive","Directory","DirectoryName","DirectoryQ","DirectoryStack","DirichletBeta","DirichletCharacter","DirichletCondition","DirichletConvolve","DirichletDistribution","DirichletEta","DirichletL","DirichletLambda","DirichletTransform","DirichletWindow","DisableConsolePrintPacket","DisableFormatting","DiscreteAsymptotic","DiscreteChirpZTransform","DiscreteConvolve","DiscreteDelta","DiscreteHadamardTransform","DiscreteIndicator","DiscreteInputOutputModel","DiscreteLimit","DiscreteLQEstimatorGains","DiscreteLQRegulatorGains","DiscreteLyapunovSolve","DiscreteMarkovProcess","DiscreteMaxLimit","DiscreteMinLimit","DiscretePlot","DiscretePlot3D","DiscreteRatio","DiscreteRiccatiSolve","DiscreteShift","DiscreteTimeModelQ","DiscreteUniformDistribution","DiscreteVariables","DiscreteWaveletData","DiscreteWaveletPacketTransform","DiscreteWaveletTransform","DiscretizeGraphics","DiscretizeRegion","Discriminant","DisjointQ","Disjunction","Disk","DiskBox","DiskBoxOptions","DiskMatrix","DiskSegment","Dispatch","DispatchQ","DispersionEstimatorFunction","Display","DisplayAllSteps","DisplayEndPacket","DisplayForm","DisplayFunction","DisplayPacket","DisplayRules","DisplayString","DisplayTemporary","DisplayWith","DisplayWithRef","DisplayWithVariable","DistanceFunction","DistanceMatrix","DistanceTransform","Distribute","Distributed","DistributedContexts","DistributeDefinitions","DistributionChart","DistributionDomain","DistributionFitTest","DistributionParameterAssumptions","DistributionParameterQ","Dithering","Div","Divergence","Divide","DivideBy","Dividers","DivideSides","Divisible","Divisors","DivisorSigma","DivisorSum","DMSList","DMSString","Do","DockedCell","DockedCells","DocumentGenerator","DocumentGeneratorInformation","DocumentGeneratorInformationData","DocumentGenerators","DocumentNotebook","DocumentWeightingRules","Dodecahedron","DomainRegistrationInformation","DominantColors","DominatorTreeGraph","DominatorVertexList","DOSTextFormat","Dot","DotDashed","DotEqual","DotLayer","DotPlusLayer","Dotted","DoubleBracketingBar","DoubleContourIntegral","DoubleDownArrow","DoubleLeftArrow","DoubleLeftRightArrow","DoubleLeftTee","DoubleLongLeftArrow","DoubleLongLeftRightArrow","DoubleLongRightArrow","DoubleRightArrow","DoubleRightTee","DoubleUpArrow","DoubleUpDownArrow","DoubleVerticalBar","DoublyInfinite","Down","DownArrow","DownArrowBar","DownArrowUpArrow","DownLeftRightVector","DownLeftTeeVector","DownLeftVector","DownLeftVectorBar","DownRightTeeVector","DownRightVector","DownRightVectorBar","Downsample","DownTee","DownTeeArrow","DownValues","DownValuesFunction","DragAndDrop","DrawBackFaces","DrawEdges","DrawFrontFaces","DrawHighlighted","DrazinInverse","Drop","DropoutLayer","DropShadowing","DSolve","DSolveChangeVariables","DSolveValue","Dt","DualLinearProgramming","DualPlanarGraph","DualPolyhedron","DualSystemsModel","DumpGet","DumpSave","DuplicateFreeQ","Duration","Dynamic","DynamicBox","DynamicBoxOptions","DynamicEvaluationTimeout","DynamicGeoGraphics","DynamicImage","DynamicLocation","DynamicModule","DynamicModuleBox","DynamicModuleBoxOptions","DynamicModuleParent","DynamicModuleValues","DynamicName","DynamicNamespace","DynamicReference","DynamicSetting","DynamicUpdating","DynamicWrapper","DynamicWrapperBox","DynamicWrapperBoxOptions","E","EarthImpactData","EarthquakeData","EccentricityCentrality","Echo","EchoEvaluation","EchoFunction","EchoLabel","EchoTiming","EclipseType","EdgeAdd","EdgeBetweennessCentrality","EdgeCapacity","EdgeCapForm","EdgeChromaticNumber","EdgeColor","EdgeConnectivity","EdgeContract","EdgeCost","EdgeCount","EdgeCoverQ","EdgeCycleMatrix","EdgeDashing","EdgeDelete","EdgeDetect","EdgeForm","EdgeIndex","EdgeJoinForm","EdgeLabeling","EdgeLabels","EdgeLabelStyle","EdgeList","EdgeOpacity","EdgeQ","EdgeRenderingFunction","EdgeRules","EdgeShapeFunction","EdgeStyle","EdgeTaggedGraph","EdgeTaggedGraphQ","EdgeTags","EdgeThickness","EdgeTransitiveGraphQ","EdgeValueRange","EdgeValueSizes","EdgeWeight","EdgeWeightedGraphQ","Editable","EditButtonSettings","EditCellTagsSettings","EditDistance","EffectiveInterest","Eigensystem","Eigenvalues","EigenvectorCentrality","Eigenvectors","Element","ElementData","ElementwiseLayer","ElidedForms","Eliminate","EliminationOrder","Ellipsoid","EllipticE","EllipticExp","EllipticExpPrime","EllipticF","EllipticFilterModel","EllipticK","EllipticLog","EllipticNomeQ","EllipticPi","EllipticReducedHalfPeriods","EllipticTheta","EllipticThetaPrime","EmbedCode","EmbeddedHTML","EmbeddedService","EmbeddedSQLEntityClass","EmbeddedSQLExpression","EmbeddingLayer","EmbeddingObject","EmitSound","EmphasizeSyntaxErrors","EmpiricalDistribution","Empty","EmptyGraphQ","EmptyRegion","EmptySpaceF","EnableConsolePrintPacket","Enabled","Enclose","Encode","Encrypt","EncryptedObject","EncryptFile","End","EndAdd","EndDialogPacket","EndOfBuffer","EndOfFile","EndOfLine","EndOfString","EndPackage","EngineEnvironment","EngineeringForm","Enter","EnterExpressionPacket","EnterTextPacket","Entity","EntityClass","EntityClassList","EntityCopies","EntityFunction","EntityGroup","EntityInstance","EntityList","EntityPrefetch","EntityProperties","EntityProperty","EntityPropertyClass","EntityRegister","EntityStore","EntityStores","EntityTypeName","EntityUnregister","EntityValue","Entropy","EntropyFilter","Environment","Epilog","EpilogFunction","Equal","EqualColumns","EqualRows","EqualTilde","EqualTo","EquatedTo","Equilibrium","EquirippleFilterKernel","Equivalent","Erf","Erfc","Erfi","ErlangB","ErlangC","ErlangDistribution","Erosion","ErrorBox","ErrorBoxOptions","ErrorNorm","ErrorPacket","ErrorsDialogSettings","EscapeRadius","EstimatedBackground","EstimatedDistribution","EstimatedPointNormals","EstimatedPointProcess","EstimatedProcess","EstimatedVariogramModel","EstimatorGains","EstimatorRegulator","EuclideanDistance","EulerAngles","EulerCharacteristic","EulerE","EulerGamma","EulerianGraphQ","EulerMatrix","EulerPhi","Evaluatable","Evaluate","Evaluated","EvaluatePacket","EvaluateScheduledTask","EvaluationBox","EvaluationCell","EvaluationCompletionAction","EvaluationData","EvaluationElements","EvaluationEnvironment","EvaluationMode","EvaluationMonitor","EvaluationNotebook","EvaluationObject","EvaluationOrder","EvaluationPrivileges","EvaluationRateLimit","Evaluator","EvaluatorNames","EvenQ","EventData","EventEvaluator","EventHandler","EventHandlerTag","EventLabels","EventSeries","ExactBlackmanWindow","ExactNumberQ","ExactRootIsolation","ExampleData","Except","ExcludedContexts","ExcludedForms","ExcludedLines","ExcludedPhysicalQuantities","ExcludePods","Exclusions","ExclusionsStyle","Exists","Exit","ExitDialog","ExoplanetData","Exp","Expand","ExpandAll","ExpandDenominator","ExpandFileName","ExpandNumerator","Expectation","ExpectationE","ExpectedValue","ExpGammaDistribution","ExpIntegralE","ExpIntegralEi","ExpirationDate","Exponent","ExponentFunction","ExponentialDistribution","ExponentialFamily","ExponentialGeneratingFunction","ExponentialMovingAverage","ExponentialPowerDistribution","ExponentPosition","ExponentStep","Export","ExportAutoReplacements","ExportByteArray","ExportForm","ExportPacket","ExportString","Expression","ExpressionCell","ExpressionGraph","ExpressionPacket","ExpressionTree","ExpressionUUID","ExpToTrig","ExtendedEntityClass","ExtendedGCD","Extension","ExtentElementFunction","ExtentMarkers","ExtentSize","ExternalBundle","ExternalCall","ExternalDataCharacterEncoding","ExternalEvaluate","ExternalFunction","ExternalFunctionName","ExternalIdentifier","ExternalObject","ExternalOptions","ExternalSessionObject","ExternalSessions","ExternalStorageBase","ExternalStorageDownload","ExternalStorageGet","ExternalStorageObject","ExternalStoragePut","ExternalStorageUpload","ExternalTypeSignature","ExternalValue","Extract","ExtractArchive","ExtractLayer","ExtractPacletArchive","ExtremeValueDistribution","FaceAlign","FaceForm","FaceGrids","FaceGridsStyle","FaceRecognize","FacialFeatures","Factor","FactorComplete","Factorial","Factorial2","FactorialMoment","FactorialMomentGeneratingFunction","FactorialPower","FactorInteger","FactorList","FactorSquareFree","FactorSquareFreeList","FactorTerms","FactorTermsList","Fail","Failure","FailureAction","FailureDistribution","FailureQ","False","FareySequence","FARIMAProcess","FeatureDistance","FeatureExtract","FeatureExtraction","FeatureExtractor","FeatureExtractorFunction","FeatureImpactPlot","FeatureNames","FeatureNearest","FeatureSpacePlot","FeatureSpacePlot3D","FeatureTypes","FeatureValueDependencyPlot","FeatureValueImpactPlot","FEDisableConsolePrintPacket","FeedbackLinearize","FeedbackSector","FeedbackSectorStyle","FeedbackType","FEEnableConsolePrintPacket","FetalGrowthData","Fibonacci","Fibonorial","FieldCompletionFunction","FieldHint","FieldHintStyle","FieldMasked","FieldSize","File","FileBaseName","FileByteCount","FileConvert","FileDate","FileExistsQ","FileExtension","FileFormat","FileFormatProperties","FileFormatQ","FileHandler","FileHash","FileInformation","FileName","FileNameDepth","FileNameDialogSettings","FileNameDrop","FileNameForms","FileNameJoin","FileNames","FileNameSetter","FileNameSplit","FileNameTake","FileNameToFormatList","FilePrint","FileSize","FileSystemMap","FileSystemScan","FileSystemTree","FileTemplate","FileTemplateApply","FileType","FilledCurve","FilledCurveBox","FilledCurveBoxOptions","FilledTorus","FillForm","Filling","FillingStyle","FillingTransform","FilteredEntityClass","FilterRules","FinancialBond","FinancialData","FinancialDerivative","FinancialIndicator","Find","FindAnomalies","FindArgMax","FindArgMin","FindChannels","FindClique","FindClusters","FindCookies","FindCurvePath","FindCycle","FindDevices","FindDistribution","FindDistributionParameters","FindDivisions","FindEdgeColoring","FindEdgeCover","FindEdgeCut","FindEdgeIndependentPaths","FindEquationalProof","FindEulerianCycle","FindExternalEvaluators","FindFaces","FindFile","FindFit","FindFormula","FindFundamentalCycles","FindGeneratingFunction","FindGeoLocation","FindGeometricConjectures","FindGeometricTransform","FindGraphCommunities","FindGraphIsomorphism","FindGraphPartition","FindHamiltonianCycle","FindHamiltonianPath","FindHiddenMarkovStates","FindImageText","FindIndependentEdgeSet","FindIndependentVertexSet","FindInstance","FindIntegerNullVector","FindIsomers","FindIsomorphicSubgraph","FindKClan","FindKClique","FindKClub","FindKPlex","FindLibrary","FindLinearRecurrence","FindList","FindMatchingColor","FindMaximum","FindMaximumCut","FindMaximumFlow","FindMaxValue","FindMeshDefects","FindMinimum","FindMinimumCostFlow","FindMinimumCut","FindMinValue","FindMoleculeSubstructure","FindPath","FindPeaks","FindPermutation","FindPlanarColoring","FindPointProcessParameters","FindPostmanTour","FindProcessParameters","FindRegionTransform","FindRepeat","FindRoot","FindSequenceFunction","FindSettings","FindShortestPath","FindShortestTour","FindSpanningTree","FindSubgraphIsomorphism","FindSystemModelEquilibrium","FindTextualAnswer","FindThreshold","FindTransientRepeat","FindVertexColoring","FindVertexCover","FindVertexCut","FindVertexIndependentPaths","Fine","FinishDynamic","FiniteAbelianGroupCount","FiniteGroupCount","FiniteGroupData","First","FirstCase","FirstPassageTimeDistribution","FirstPosition","FischerGroupFi22","FischerGroupFi23","FischerGroupFi24Prime","FisherHypergeometricDistribution","FisherRatioTest","FisherZDistribution","Fit","FitAll","FitRegularization","FittedModel","FixedOrder","FixedPoint","FixedPointList","FlashSelection","Flat","FlatShading","Flatten","FlattenAt","FlattenLayer","FlatTopWindow","FlightData","FlipView","Floor","FlowPolynomial","Fold","FoldList","FoldPair","FoldPairList","FoldWhile","FoldWhileList","FollowRedirects","Font","FontColor","FontFamily","FontForm","FontName","FontOpacity","FontPostScriptName","FontProperties","FontReencoding","FontSize","FontSlant","FontSubstitutions","FontTracking","FontVariations","FontWeight","For","ForAll","ForAllType","ForceVersionInstall","Format","FormatRules","FormatType","FormatTypeAutoConvert","FormatValues","FormBox","FormBoxOptions","FormControl","FormFunction","FormLayoutFunction","FormObject","FormPage","FormProtectionMethod","FormTheme","FormulaData","FormulaLookup","FortranForm","Forward","ForwardBackward","ForwardCloudCredentials","Fourier","FourierCoefficient","FourierCosCoefficient","FourierCosSeries","FourierCosTransform","FourierDCT","FourierDCTFilter","FourierDCTMatrix","FourierDST","FourierDSTMatrix","FourierMatrix","FourierParameters","FourierSequenceTransform","FourierSeries","FourierSinCoefficient","FourierSinSeries","FourierSinTransform","FourierTransform","FourierTrigSeries","FoxH","FoxHReduce","FractionalBrownianMotionProcess","FractionalD","FractionalGaussianNoiseProcess","FractionalPart","FractionBox","FractionBoxOptions","FractionLine","Frame","FrameBox","FrameBoxOptions","Framed","FrameInset","FrameLabel","Frameless","FrameListVideo","FrameMargins","FrameRate","FrameStyle","FrameTicks","FrameTicksStyle","FRatioDistribution","FrechetDistribution","FreeQ","FrenetSerretSystem","FrequencySamplingFilterKernel","FresnelC","FresnelF","FresnelG","FresnelS","Friday","FrobeniusNumber","FrobeniusSolve","FromAbsoluteTime","FromCharacterCode","FromCoefficientRules","FromContinuedFraction","FromDate","FromDateString","FromDigits","FromDMS","FromEntity","FromJulianDate","FromLetterNumber","FromPolarCoordinates","FromRawPointer","FromRomanNumeral","FromSphericalCoordinates","FromUnixTime","Front","FrontEndDynamicExpression","FrontEndEventActions","FrontEndExecute","FrontEndObject","FrontEndResource","FrontEndResourceString","FrontEndStackSize","FrontEndToken","FrontEndTokenExecute","FrontEndValueCache","FrontEndVersion","FrontFaceColor","FrontFaceGlowColor","FrontFaceOpacity","FrontFaceSpecularColor","FrontFaceSpecularExponent","FrontFaceSurfaceAppearance","FrontFaceTexture","Full","FullAxes","FullDefinition","FullForm","FullGraphics","FullInformationOutputRegulator","FullOptions","FullRegion","FullSimplify","Function","FunctionAnalytic","FunctionBijective","FunctionCompile","FunctionCompileExport","FunctionCompileExportByteArray","FunctionCompileExportLibrary","FunctionCompileExportString","FunctionContinuous","FunctionConvexity","FunctionDeclaration","FunctionDiscontinuities","FunctionDomain","FunctionExpand","FunctionInjective","FunctionInterpolation","FunctionLayer","FunctionMeromorphic","FunctionMonotonicity","FunctionPeriod","FunctionPoles","FunctionRange","FunctionSign","FunctionSingularities","FunctionSpace","FunctionSurjective","FussellVeselyImportance","GaborFilter","GaborMatrix","GaborWavelet","GainMargins","GainPhaseMargins","GalaxyData","GalleryView","Gamma","GammaDistribution","GammaRegularized","GapPenalty","GARCHProcess","GatedRecurrentLayer","Gather","GatherBy","GaugeFaceElementFunction","GaugeFaceStyle","GaugeFrameElementFunction","GaugeFrameSize","GaugeFrameStyle","GaugeLabels","GaugeMarkers","GaugeStyle","GaussianFilter","GaussianIntegers","GaussianMatrix","GaussianOrthogonalMatrixDistribution","GaussianSymplecticMatrixDistribution","GaussianUnitaryMatrixDistribution","GaussianWindow","GCD","GegenbauerC","General","GeneralizedLinearModelFit","GenerateAsymmetricKeyPair","GenerateConditions","GeneratedAssetFormat","GeneratedAssetLocation","GeneratedCell","GeneratedCellStyles","GeneratedDocumentBinding","GenerateDerivedKey","GenerateDigitalSignature","GenerateDocument","GeneratedParameters","GeneratedQuantityMagnitudes","GenerateFileSignature","GenerateHTTPResponse","GenerateSecuredAuthenticationKey","GenerateSymmetricKey","GeneratingFunction","GeneratorDescription","GeneratorHistoryLength","GeneratorOutputType","Generic","GenericCylindricalDecomposition","GenomeData","GenomeLookup","GeoAntipode","GeoArea","GeoArraySize","GeoBackground","GeoBoundary","GeoBoundingBox","GeoBounds","GeoBoundsRegion","GeoBoundsRegionBoundary","GeoBubbleChart","GeoCenter","GeoCircle","GeoContourPlot","GeoDensityPlot","GeodesicClosing","GeodesicDilation","GeodesicErosion","GeodesicOpening","GeodesicPolyhedron","GeoDestination","GeodesyData","GeoDirection","GeoDisk","GeoDisplacement","GeoDistance","GeoDistanceList","GeoElevationData","GeoEntities","GeoGraphics","GeoGraphPlot","GeoGraphValuePlot","GeogravityModelData","GeoGridDirectionDifference","GeoGridLines","GeoGridLinesStyle","GeoGridPosition","GeoGridRange","GeoGridRangePadding","GeoGridUnitArea","GeoGridUnitDistance","GeoGridVector","GeoGroup","GeoHemisphere","GeoHemisphereBoundary","GeoHistogram","GeoIdentify","GeoImage","GeoLabels","GeoLength","GeoListPlot","GeoLocation","GeologicalPeriodData","GeomagneticModelData","GeoMarker","GeometricAssertion","GeometricBrownianMotionProcess","GeometricDistribution","GeometricMean","GeometricMeanFilter","GeometricOptimization","GeometricScene","GeometricStep","GeometricStylingRules","GeometricTest","GeometricTransformation","GeometricTransformation3DBox","GeometricTransformation3DBoxOptions","GeometricTransformationBox","GeometricTransformationBoxOptions","GeoModel","GeoNearest","GeoOrientationData","GeoPath","GeoPolygon","GeoPosition","GeoPositionENU","GeoPositionXYZ","GeoProjection","GeoProjectionData","GeoRange","GeoRangePadding","GeoRegionValuePlot","GeoResolution","GeoScaleBar","GeoServer","GeoSmoothHistogram","GeoStreamPlot","GeoStyling","GeoStylingImageFunction","GeoVariant","GeoVector","GeoVectorENU","GeoVectorPlot","GeoVectorXYZ","GeoVisibleRegion","GeoVisibleRegionBoundary","GeoWithinQ","GeoZoomLevel","GestureHandler","GestureHandlerTag","Get","GetContext","GetEnvironment","GetFileName","GetLinebreakInformationPacket","GibbsPointProcess","Glaisher","GlobalClusteringCoefficient","GlobalPreferences","GlobalSession","Glow","GoldenAngle","GoldenRatio","GompertzMakehamDistribution","GoochShading","GoodmanKruskalGamma","GoodmanKruskalGammaTest","Goto","GouraudShading","Grad","Gradient","GradientFilter","GradientFittedMesh","GradientOrientationFilter","GrammarApply","GrammarRules","GrammarToken","Graph","Graph3D","GraphAssortativity","GraphAutomorphismGroup","GraphCenter","GraphComplement","GraphData","GraphDensity","GraphDiameter","GraphDifference","GraphDisjointUnion","GraphDistance","GraphDistanceMatrix","GraphEmbedding","GraphHighlight","GraphHighlightStyle","GraphHub","Graphics","Graphics3D","Graphics3DBox","Graphics3DBoxOptions","GraphicsArray","GraphicsBaseline","GraphicsBox","GraphicsBoxOptions","GraphicsColor","GraphicsColumn","GraphicsComplex","GraphicsComplex3DBox","GraphicsComplex3DBoxOptions","GraphicsComplexBox","GraphicsComplexBoxOptions","GraphicsContents","GraphicsData","GraphicsGrid","GraphicsGridBox","GraphicsGroup","GraphicsGroup3DBox","GraphicsGroup3DBoxOptions","GraphicsGroupBox","GraphicsGroupBoxOptions","GraphicsGrouping","GraphicsHighlightColor","GraphicsRow","GraphicsSpacing","GraphicsStyle","GraphIntersection","GraphJoin","GraphLayerLabels","GraphLayers","GraphLayerStyle","GraphLayout","GraphLinkEfficiency","GraphPeriphery","GraphPlot","GraphPlot3D","GraphPower","GraphProduct","GraphPropertyDistribution","GraphQ","GraphRadius","GraphReciprocity","GraphRoot","GraphStyle","GraphSum","GraphTree","GraphUnion","Gray","GrayLevel","Greater","GreaterEqual","GreaterEqualLess","GreaterEqualThan","GreaterFullEqual","GreaterGreater","GreaterLess","GreaterSlantEqual","GreaterThan","GreaterTilde","GreekStyle","Green","GreenFunction","Grid","GridBaseline","GridBox","GridBoxAlignment","GridBoxBackground","GridBoxDividers","GridBoxFrame","GridBoxItemSize","GridBoxItemStyle","GridBoxOptions","GridBoxSpacings","GridCreationSettings","GridDefaultElement","GridElementStyleOptions","GridFrame","GridFrameMargins","GridGraph","GridLines","GridLinesStyle","GridVideo","GroebnerBasis","GroupActionBase","GroupBy","GroupCentralizer","GroupElementFromWord","GroupElementPosition","GroupElementQ","GroupElements","GroupElementToWord","GroupGenerators","Groupings","GroupMultiplicationTable","GroupOpenerColor","GroupOpenerInsideFrame","GroupOrbits","GroupOrder","GroupPageBreakWithin","GroupSetwiseStabilizer","GroupStabilizer","GroupStabilizerChain","GroupTogetherGrouping","GroupTogetherNestedGrouping","GrowCutComponents","Gudermannian","GuidedFilter","GumbelDistribution","HaarWavelet","HadamardMatrix","HalfLine","HalfNormalDistribution","HalfPlane","HalfSpace","HalftoneShading","HamiltonianGraphQ","HammingDistance","HammingWindow","HandlerFunctions","HandlerFunctionsKeys","HankelH1","HankelH2","HankelMatrix","HankelTransform","HannPoissonWindow","HannWindow","HaradaNortonGroupHN","HararyGraph","HardcorePointProcess","HarmonicMean","HarmonicMeanFilter","HarmonicNumber","Hash","HatchFilling","HatchShading","Haversine","HazardFunction","Head","HeadCompose","HeaderAlignment","HeaderBackground","HeaderDisplayFunction","HeaderLines","Headers","HeaderSize","HeaderStyle","Heads","HeatFluxValue","HeatInsulationValue","HeatOutflowValue","HeatRadiationValue","HeatSymmetryValue","HeatTemperatureCondition","HeatTransferPDEComponent","HeatTransferValue","HeavisideLambda","HeavisidePi","HeavisideTheta","HeldGroupHe","HeldPart","HelmholtzPDEComponent","HelpBrowserLookup","HelpBrowserNotebook","HelpBrowserSettings","HelpViewerSettings","Here","HermiteDecomposition","HermiteH","Hermitian","HermitianMatrixQ","HessenbergDecomposition","Hessian","HeunB","HeunBPrime","HeunC","HeunCPrime","HeunD","HeunDPrime","HeunG","HeunGPrime","HeunT","HeunTPrime","HexadecimalCharacter","Hexahedron","HexahedronBox","HexahedronBoxOptions","HiddenItems","HiddenMarkovProcess","HiddenSurface","Highlighted","HighlightGraph","HighlightImage","HighlightMesh","HighlightString","HighpassFilter","HigmanSimsGroupHS","HilbertCurve","HilbertFilter","HilbertMatrix","Histogram","Histogram3D","HistogramDistribution","HistogramList","HistogramPointDensity","HistogramTransform","HistogramTransformInterpolation","HistoricalPeriodData","HitMissTransform","HITSCentrality","HjorthDistribution","HodgeDual","HoeffdingD","HoeffdingDTest","Hold","HoldAll","HoldAllComplete","HoldComplete","HoldFirst","HoldForm","HoldPattern","HoldRest","HolidayCalendar","HomeDirectory","HomePage","Horizontal","HorizontalForm","HorizontalGauge","HorizontalScrollPosition","HornerForm","HostLookup","HotellingTSquareDistribution","HoytDistribution","HTMLSave","HTTPErrorResponse","HTTPRedirect","HTTPRequest","HTTPRequestData","HTTPResponse","Hue","HumanGrowthData","HumpDownHump","HumpEqual","HurwitzLerchPhi","HurwitzZeta","HyperbolicDistribution","HypercubeGraph","HyperexponentialDistribution","Hyperfactorial","Hypergeometric0F1","Hypergeometric0F1Regularized","Hypergeometric1F1","Hypergeometric1F1Regularized","Hypergeometric2F1","Hypergeometric2F1Regularized","HypergeometricDistribution","HypergeometricPFQ","HypergeometricPFQRegularized","HypergeometricU","Hyperlink","HyperlinkAction","HyperlinkCreationSettings","Hyperplane","Hyphenation","HyphenationOptions","HypoexponentialDistribution","HypothesisTestData","I","IconData","Iconize","IconizedObject","IconRules","Icosahedron","Identity","IdentityMatrix","If","IfCompiled","IgnoreCase","IgnoreDiacritics","IgnoreIsotopes","IgnorePunctuation","IgnoreSpellCheck","IgnoreStereochemistry","IgnoringInactive","Im","Image","Image3D","Image3DProjection","Image3DSlices","ImageAccumulate","ImageAdd","ImageAdjust","ImageAlign","ImageApply","ImageApplyIndexed","ImageAspectRatio","ImageAssemble","ImageAugmentationLayer","ImageBoundingBoxes","ImageCache","ImageCacheValid","ImageCapture","ImageCaptureFunction","ImageCases","ImageChannels","ImageClip","ImageCollage","ImageColorSpace","ImageCompose","ImageContainsQ","ImageContents","ImageConvolve","ImageCooccurrence","ImageCorners","ImageCorrelate","ImageCorrespondingPoints","ImageCrop","ImageData","ImageDeconvolve","ImageDemosaic","ImageDifference","ImageDimensions","ImageDisplacements","ImageDistance","ImageEditMode","ImageEffect","ImageExposureCombine","ImageFeatureTrack","ImageFileApply","ImageFileFilter","ImageFileScan","ImageFilter","ImageFocusCombine","ImageForestingComponents","ImageFormattingWidth","ImageForwardTransformation","ImageGraphics","ImageHistogram","ImageIdentify","ImageInstanceQ","ImageKeypoints","ImageLabels","ImageLegends","ImageLevels","ImageLines","ImageMargins","ImageMarker","ImageMarkers","ImageMeasurements","ImageMesh","ImageMultiply","ImageOffset","ImagePad","ImagePadding","ImagePartition","ImagePeriodogram","ImagePerspectiveTransformation","ImagePosition","ImagePreviewFunction","ImagePyramid","ImagePyramidApply","ImageQ","ImageRangeCache","ImageRecolor","ImageReflect","ImageRegion","ImageResize","ImageResolution","ImageRestyle","ImageRotate","ImageRotated","ImageSaliencyFilter","ImageScaled","ImageScan","ImageSize","ImageSizeAction","ImageSizeCache","ImageSizeMultipliers","ImageSizeRaw","ImageStitch","ImageSubtract","ImageTake","ImageTransformation","ImageTrim","ImageType","ImageValue","ImageValuePositions","ImageVectorscopePlot","ImageWaveformPlot","ImagingDevice","ImplicitD","ImplicitRegion","Implies","Import","ImportAutoReplacements","ImportByteArray","ImportedObject","ImportOptions","ImportString","ImprovementImportance","In","Inactivate","Inactive","InactiveStyle","IncidenceGraph","IncidenceList","IncidenceMatrix","IncludeAromaticBonds","IncludeConstantBasis","IncludedContexts","IncludeDefinitions","IncludeDirectories","IncludeFileExtension","IncludeGeneratorTasks","IncludeHydrogens","IncludeInflections","IncludeMetaInformation","IncludePods","IncludeQuantities","IncludeRelatedTables","IncludeSingularSolutions","IncludeSingularTerm","IncludeWindowTimes","Increment","IndefiniteMatrixQ","Indent","IndentingNewlineSpacings","IndentMaxFraction","IndependenceTest","IndependentEdgeSetQ","IndependentPhysicalQuantity","IndependentUnit","IndependentUnitDimension","IndependentVertexSetQ","Indeterminate","IndeterminateThreshold","IndexCreationOptions","Indexed","IndexEdgeTaggedGraph","IndexGraph","IndexTag","Inequality","InertEvaluate","InertExpression","InexactNumberQ","InexactNumbers","InfiniteFuture","InfiniteLine","InfiniteLineThrough","InfinitePast","InfinitePlane","Infinity","Infix","InflationAdjust","InflationMethod","Information","InformationData","InformationDataGrid","Inherited","InheritScope","InhomogeneousPoissonPointProcess","InhomogeneousPoissonProcess","InitialEvaluationHistory","Initialization","InitializationCell","InitializationCellEvaluation","InitializationCellWarning","InitializationObject","InitializationObjects","InitializationValue","Initialize","InitialSeeding","InlineCounterAssignments","InlineCounterIncrements","InlineRules","Inner","InnerPolygon","InnerPolyhedron","Inpaint","Input","InputAliases","InputAssumptions","InputAutoReplacements","InputField","InputFieldBox","InputFieldBoxOptions","InputForm","InputGrouping","InputNamePacket","InputNotebook","InputPacket","InputPorts","InputSettings","InputStream","InputString","InputStringPacket","InputToBoxFormPacket","Insert","InsertionFunction","InsertionPointObject","InsertLinebreaks","InsertResults","Inset","Inset3DBox","Inset3DBoxOptions","InsetBox","InsetBoxOptions","Insphere","Install","InstallService","InstanceNormalizationLayer","InString","Integer","IntegerDigits","IntegerExponent","IntegerLength","IntegerName","IntegerPart","IntegerPartitions","IntegerQ","IntegerReverse","Integers","IntegerString","Integral","Integrate","IntegrateChangeVariables","Interactive","InteractiveTradingChart","InterfaceSwitched","Interlaced","Interleaving","InternallyBalancedDecomposition","InterpolatingFunction","InterpolatingPolynomial","Interpolation","InterpolationOrder","InterpolationPoints","InterpolationPrecision","Interpretation","InterpretationBox","InterpretationBoxOptions","InterpretationFunction","Interpreter","InterpretTemplate","InterquartileRange","Interrupt","InterruptSettings","IntersectedEntityClass","IntersectingQ","Intersection","Interval","IntervalIntersection","IntervalMarkers","IntervalMarkersStyle","IntervalMemberQ","IntervalSlider","IntervalUnion","Into","Inverse","InverseBetaRegularized","InverseBilateralLaplaceTransform","InverseBilateralZTransform","InverseCDF","InverseChiSquareDistribution","InverseContinuousWaveletTransform","InverseDistanceTransform","InverseEllipticNomeQ","InverseErf","InverseErfc","InverseFourier","InverseFourierCosTransform","InverseFourierSequenceTransform","InverseFourierSinTransform","InverseFourierTransform","InverseFunction","InverseFunctions","InverseGammaDistribution","InverseGammaRegularized","InverseGaussianDistribution","InverseGudermannian","InverseHankelTransform","InverseHaversine","InverseImagePyramid","InverseJacobiCD","InverseJacobiCN","InverseJacobiCS","InverseJacobiDC","InverseJacobiDN","InverseJacobiDS","InverseJacobiNC","InverseJacobiND","InverseJacobiNS","InverseJacobiSC","InverseJacobiSD","InverseJacobiSN","InverseLaplaceTransform","InverseMellinTransform","InversePermutation","InverseRadon","InverseRadonTransform","InverseSeries","InverseShortTimeFourier","InverseSpectrogram","InverseSurvivalFunction","InverseTransformedRegion","InverseWaveletTransform","InverseWeierstrassP","InverseWishartMatrixDistribution","InverseZTransform","Invisible","InvisibleApplication","InvisibleTimes","IPAddress","IrreduciblePolynomialQ","IslandData","IsolatingInterval","IsomorphicGraphQ","IsomorphicSubgraphQ","IsotopeData","Italic","Item","ItemAspectRatio","ItemBox","ItemBoxOptions","ItemDisplayFunction","ItemSize","ItemStyle","ItoProcess","JaccardDissimilarity","JacobiAmplitude","Jacobian","JacobiCD","JacobiCN","JacobiCS","JacobiDC","JacobiDN","JacobiDS","JacobiEpsilon","JacobiNC","JacobiND","JacobiNS","JacobiP","JacobiSC","JacobiSD","JacobiSN","JacobiSymbol","JacobiZeta","JacobiZN","JankoGroupJ1","JankoGroupJ2","JankoGroupJ3","JankoGroupJ4","JarqueBeraALMTest","JohnsonDistribution","Join","JoinAcross","Joined","JoinedCurve","JoinedCurveBox","JoinedCurveBoxOptions","JoinForm","JordanDecomposition","JordanModelDecomposition","JulianDate","JuliaSetBoettcher","JuliaSetIterationCount","JuliaSetPlot","JuliaSetPoints","K","KagiChart","KaiserBesselWindow","KaiserWindow","KalmanEstimator","KalmanFilter","KarhunenLoeveDecomposition","KaryTree","KatzCentrality","KCoreComponents","KDistribution","KEdgeConnectedComponents","KEdgeConnectedGraphQ","KeepExistingVersion","KelvinBei","KelvinBer","KelvinKei","KelvinKer","KendallTau","KendallTauTest","KernelConfiguration","KernelExecute","KernelFunction","KernelMixtureDistribution","KernelObject","Kernels","Ket","Key","KeyCollisionFunction","KeyComplement","KeyDrop","KeyDropFrom","KeyExistsQ","KeyFreeQ","KeyIntersection","KeyMap","KeyMemberQ","KeypointStrength","Keys","KeySelect","KeySort","KeySortBy","KeyTake","KeyUnion","KeyValueMap","KeyValuePattern","Khinchin","KillProcess","KirchhoffGraph","KirchhoffMatrix","KleinInvariantJ","KnapsackSolve","KnightTourGraph","KnotData","KnownUnitQ","KochCurve","KolmogorovSmirnovTest","KroneckerDelta","KroneckerModelDecomposition","KroneckerProduct","KroneckerSymbol","KuiperTest","KumaraswamyDistribution","Kurtosis","KuwaharaFilter","KVertexConnectedComponents","KVertexConnectedGraphQ","LABColor","Label","Labeled","LabeledSlider","LabelingFunction","LabelingSize","LabelStyle","LabelVisibility","LaguerreL","LakeData","LambdaComponents","LambertW","LameC","LameCPrime","LameEigenvalueA","LameEigenvalueB","LameS","LameSPrime","LaminaData","LanczosWindow","LandauDistribution","Language","LanguageCategory","LanguageData","LanguageIdentify","LanguageOptions","LaplaceDistribution","LaplaceTransform","Laplacian","LaplacianFilter","LaplacianGaussianFilter","LaplacianPDETerm","Large","Larger","Last","Latitude","LatitudeLongitude","LatticeData","LatticeReduce","Launch","LaunchKernels","LayeredGraphPlot","LayeredGraphPlot3D","LayerSizeFunction","LayoutInformation","LCHColor","LCM","LeaderSize","LeafCount","LeapVariant","LeapYearQ","LearnDistribution","LearnedDistribution","LearningRate","LearningRateMultipliers","LeastSquares","LeastSquaresFilterKernel","Left","LeftArrow","LeftArrowBar","LeftArrowRightArrow","LeftDownTeeVector","LeftDownVector","LeftDownVectorBar","LeftRightArrow","LeftRightVector","LeftTee","LeftTeeArrow","LeftTeeVector","LeftTriangle","LeftTriangleBar","LeftTriangleEqual","LeftUpDownVector","LeftUpTeeVector","LeftUpVector","LeftUpVectorBar","LeftVector","LeftVectorBar","LegendAppearance","Legended","LegendFunction","LegendLabel","LegendLayout","LegendMargins","LegendMarkers","LegendMarkerSize","LegendreP","LegendreQ","LegendreType","Length","LengthWhile","LerchPhi","Less","LessEqual","LessEqualGreater","LessEqualThan","LessFullEqual","LessGreater","LessLess","LessSlantEqual","LessThan","LessTilde","LetterCharacter","LetterCounts","LetterNumber","LetterQ","Level","LeveneTest","LeviCivitaTensor","LevyDistribution","Lexicographic","LexicographicOrder","LexicographicSort","LibraryDataType","LibraryFunction","LibraryFunctionDeclaration","LibraryFunctionError","LibraryFunctionInformation","LibraryFunctionLoad","LibraryFunctionUnload","LibraryLoad","LibraryUnload","LicenseEntitlementObject","LicenseEntitlements","LicenseID","LicensingSettings","LiftingFilterData","LiftingWaveletTransform","LightBlue","LightBrown","LightCyan","Lighter","LightGray","LightGreen","Lighting","LightingAngle","LightMagenta","LightOrange","LightPink","LightPurple","LightRed","LightSources","LightYellow","Likelihood","Limit","LimitsPositioning","LimitsPositioningTokens","LindleyDistribution","Line","Line3DBox","Line3DBoxOptions","LinearFilter","LinearFractionalOptimization","LinearFractionalTransform","LinearGradientFilling","LinearGradientImage","LinearizingTransformationData","LinearLayer","LinearModelFit","LinearOffsetFunction","LinearOptimization","LinearProgramming","LinearRecurrence","LinearSolve","LinearSolveFunction","LineBox","LineBoxOptions","LineBreak","LinebreakAdjustments","LineBreakChart","LinebreakSemicolonWeighting","LineBreakWithin","LineColor","LineGraph","LineIndent","LineIndentMaxFraction","LineIntegralConvolutionPlot","LineIntegralConvolutionScale","LineLegend","LineOpacity","LineSpacing","LineWrapParts","LinkActivate","LinkClose","LinkConnect","LinkConnectedQ","LinkCreate","LinkError","LinkFlush","LinkFunction","LinkHost","LinkInterrupt","LinkLaunch","LinkMode","LinkObject","LinkOpen","LinkOptions","LinkPatterns","LinkProtocol","LinkRankCentrality","LinkRead","LinkReadHeld","LinkReadyQ","Links","LinkService","LinkWrite","LinkWriteHeld","LiouvilleLambda","List","Listable","ListAnimate","ListContourPlot","ListContourPlot3D","ListConvolve","ListCorrelate","ListCurvePathPlot","ListDeconvolve","ListDensityPlot","ListDensityPlot3D","Listen","ListFormat","ListFourierSequenceTransform","ListInterpolation","ListLineIntegralConvolutionPlot","ListLinePlot","ListLinePlot3D","ListLogLinearPlot","ListLogLogPlot","ListLogPlot","ListPicker","ListPickerBox","ListPickerBoxBackground","ListPickerBoxOptions","ListPlay","ListPlot","ListPlot3D","ListPointPlot3D","ListPolarPlot","ListQ","ListSliceContourPlot3D","ListSliceDensityPlot3D","ListSliceVectorPlot3D","ListStepPlot","ListStreamDensityPlot","ListStreamPlot","ListStreamPlot3D","ListSurfacePlot3D","ListVectorDensityPlot","ListVectorDisplacementPlot","ListVectorDisplacementPlot3D","ListVectorPlot","ListVectorPlot3D","ListZTransform","Literal","LiteralSearch","LiteralType","LoadCompiledComponent","LocalAdaptiveBinarize","LocalCache","LocalClusteringCoefficient","LocalEvaluate","LocalizeDefinitions","LocalizeVariables","LocalObject","LocalObjects","LocalResponseNormalizationLayer","LocalSubmit","LocalSymbol","LocalTime","LocalTimeZone","LocationEquivalenceTest","LocationTest","Locator","LocatorAutoCreate","LocatorBox","LocatorBoxOptions","LocatorCentering","LocatorPane","LocatorPaneBox","LocatorPaneBoxOptions","LocatorRegion","Locked","Log","Log10","Log2","LogBarnesG","LogGamma","LogGammaDistribution","LogicalExpand","LogIntegral","LogisticDistribution","LogisticSigmoid","LogitModelFit","LogLikelihood","LogLinearPlot","LogLogisticDistribution","LogLogPlot","LogMultinormalDistribution","LogNormalDistribution","LogPlot","LogRankTest","LogSeriesDistribution","LongEqual","Longest","LongestCommonSequence","LongestCommonSequencePositions","LongestCommonSubsequence","LongestCommonSubsequencePositions","LongestMatch","LongestOrderedSequence","LongForm","Longitude","LongLeftArrow","LongLeftRightArrow","LongRightArrow","LongShortTermMemoryLayer","Lookup","Loopback","LoopFreeGraphQ","Looping","LossFunction","LowerCaseQ","LowerLeftArrow","LowerRightArrow","LowerTriangularize","LowerTriangularMatrix","LowerTriangularMatrixQ","LowpassFilter","LQEstimatorGains","LQGRegulator","LQOutputRegulatorGains","LQRegulatorGains","LUBackSubstitution","LucasL","LuccioSamiComponents","LUDecomposition","LunarEclipse","LUVColor","LyapunovSolve","LyonsGroupLy","MachineID","MachineName","MachineNumberQ","MachinePrecision","MacintoshSystemPageSetup","Magenta","Magnification","Magnify","MailAddressValidation","MailExecute","MailFolder","MailItem","MailReceiverFunction","MailResponseFunction","MailSearch","MailServerConnect","MailServerConnection","MailSettings","MainSolve","MaintainDynamicCaches","Majority","MakeBoxes","MakeExpression","MakeRules","ManagedLibraryExpressionID","ManagedLibraryExpressionQ","MandelbrotSetBoettcher","MandelbrotSetDistance","MandelbrotSetIterationCount","MandelbrotSetMemberQ","MandelbrotSetPlot","MangoldtLambda","ManhattanDistance","Manipulate","Manipulator","MannedSpaceMissionData","MannWhitneyTest","MantissaExponent","Manual","Map","MapAll","MapApply","MapAt","MapIndexed","MAProcess","MapThread","MarchenkoPasturDistribution","MarcumQ","MardiaCombinedTest","MardiaKurtosisTest","MardiaSkewnessTest","MarginalDistribution","MarkovProcessProperties","Masking","MassConcentrationCondition","MassFluxValue","MassImpermeableBoundaryValue","MassOutflowValue","MassSymmetryValue","MassTransferValue","MassTransportPDEComponent","MatchingDissimilarity","MatchLocalNameQ","MatchLocalNames","MatchQ","Material","MaterialShading","MaternPointProcess","MathematicalFunctionData","MathematicaNotation","MathieuC","MathieuCharacteristicA","MathieuCharacteristicB","MathieuCharacteristicExponent","MathieuCPrime","MathieuGroupM11","MathieuGroupM12","MathieuGroupM22","MathieuGroupM23","MathieuGroupM24","MathieuS","MathieuSPrime","MathMLForm","MathMLText","Matrices","MatrixExp","MatrixForm","MatrixFunction","MatrixLog","MatrixNormalDistribution","MatrixPlot","MatrixPower","MatrixPropertyDistribution","MatrixQ","MatrixRank","MatrixTDistribution","Max","MaxBend","MaxCellMeasure","MaxColorDistance","MaxDate","MaxDetect","MaxDisplayedChildren","MaxDuration","MaxExtraBandwidths","MaxExtraConditions","MaxFeatureDisplacement","MaxFeatures","MaxFilter","MaximalBy","Maximize","MaxItems","MaxIterations","MaxLimit","MaxMemoryUsed","MaxMixtureKernels","MaxOverlapFraction","MaxPlotPoints","MaxPoints","MaxRecursion","MaxStableDistribution","MaxStepFraction","MaxSteps","MaxStepSize","MaxTrainingRounds","MaxValue","MaxwellDistribution","MaxWordGap","McLaughlinGroupMcL","Mean","MeanAbsoluteLossLayer","MeanAround","MeanClusteringCoefficient","MeanDegreeConnectivity","MeanDeviation","MeanFilter","MeanGraphDistance","MeanNeighborDegree","MeanPointDensity","MeanShift","MeanShiftFilter","MeanSquaredLossLayer","Median","MedianDeviation","MedianFilter","MedicalTestData","Medium","MeijerG","MeijerGReduce","MeixnerDistribution","MellinConvolve","MellinTransform","MemberQ","MemoryAvailable","MemoryConstrained","MemoryConstraint","MemoryInUse","MengerMesh","Menu","MenuAppearance","MenuCommandKey","MenuEvaluator","MenuItem","MenuList","MenuPacket","MenuSortingValue","MenuStyle","MenuView","Merge","MergeDifferences","MergingFunction","MersennePrimeExponent","MersennePrimeExponentQ","Mesh","MeshCellCentroid","MeshCellCount","MeshCellHighlight","MeshCellIndex","MeshCellLabel","MeshCellMarker","MeshCellMeasure","MeshCellQuality","MeshCells","MeshCellShapeFunction","MeshCellStyle","MeshConnectivityGraph","MeshCoordinates","MeshFunctions","MeshPrimitives","MeshQualityGoal","MeshRange","MeshRefinementFunction","MeshRegion","MeshRegionQ","MeshShading","MeshStyle","Message","MessageDialog","MessageList","MessageName","MessageObject","MessageOptions","MessagePacket","Messages","MessagesNotebook","MetaCharacters","MetaInformation","MeteorShowerData","Method","MethodOptions","MexicanHatWavelet","MeyerWavelet","Midpoint","MIMETypeToFormatList","Min","MinColorDistance","MinDate","MinDetect","MineralData","MinFilter","MinimalBy","MinimalPolynomial","MinimalStateSpaceModel","Minimize","MinimumTimeIncrement","MinIntervalSize","MinkowskiQuestionMark","MinLimit","MinMax","MinorPlanetData","Minors","MinPointSeparation","MinRecursion","MinSize","MinStableDistribution","Minus","MinusPlus","MinValue","Missing","MissingBehavior","MissingDataMethod","MissingDataRules","MissingQ","MissingString","MissingStyle","MissingValuePattern","MissingValueSynthesis","MittagLefflerE","MixedFractionParts","MixedGraphQ","MixedMagnitude","MixedRadix","MixedRadixQuantity","MixedUnit","MixtureDistribution","Mod","Modal","Mode","ModelPredictiveController","Modular","ModularInverse","ModularLambda","Module","Modulus","MoebiusMu","Molecule","MoleculeAlign","MoleculeContainsQ","MoleculeDraw","MoleculeEquivalentQ","MoleculeFreeQ","MoleculeGraph","MoleculeMatchQ","MoleculeMaximumCommonSubstructure","MoleculeModify","MoleculeName","MoleculePattern","MoleculePlot","MoleculePlot3D","MoleculeProperty","MoleculeQ","MoleculeRecognize","MoleculeSubstructureCount","MoleculeValue","Moment","MomentConvert","MomentEvaluate","MomentGeneratingFunction","MomentOfInertia","Monday","Monitor","MonomialList","MonomialOrder","MonsterGroupM","MoonPhase","MoonPosition","MorletWavelet","MorphologicalBinarize","MorphologicalBranchPoints","MorphologicalComponents","MorphologicalEulerNumber","MorphologicalGraph","MorphologicalPerimeter","MorphologicalTransform","MortalityData","Most","MountainData","MouseAnnotation","MouseAppearance","MouseAppearanceTag","MouseButtons","Mouseover","MousePointerNote","MousePosition","MovieData","MovingAverage","MovingMap","MovingMedian","MoyalDistribution","MultiaxisArrangement","Multicolumn","MultiedgeStyle","MultigraphQ","MultilaunchWarning","MultiLetterItalics","MultiLetterStyle","MultilineFunction","Multinomial","MultinomialDistribution","MultinormalDistribution","MultiplicativeOrder","Multiplicity","MultiplySides","MultiscriptBoxOptions","Multiselection","MultivariateHypergeometricDistribution","MultivariatePoissonDistribution","MultivariateTDistribution","N","NakagamiDistribution","NameQ","Names","NamespaceBox","NamespaceBoxOptions","Nand","NArgMax","NArgMin","NBernoulliB","NBodySimulation","NBodySimulationData","NCache","NCaputoD","NDEigensystem","NDEigenvalues","NDSolve","NDSolveValue","Nearest","NearestFunction","NearestMeshCells","NearestNeighborG","NearestNeighborGraph","NearestTo","NebulaData","NeedlemanWunschSimilarity","Needs","Negative","NegativeBinomialDistribution","NegativeDefiniteMatrixQ","NegativeIntegers","NegativelyOrientedPoints","NegativeMultinomialDistribution","NegativeRationals","NegativeReals","NegativeSemidefiniteMatrixQ","NeighborhoodData","NeighborhoodGraph","Nest","NestedGreaterGreater","NestedLessLess","NestedScriptRules","NestGraph","NestList","NestTree","NestWhile","NestWhileList","NetAppend","NetArray","NetArrayLayer","NetBidirectionalOperator","NetChain","NetDecoder","NetDelete","NetDrop","NetEncoder","NetEvaluationMode","NetExternalObject","NetExtract","NetFlatten","NetFoldOperator","NetGANOperator","NetGraph","NetInformation","NetInitialize","NetInsert","NetInsertSharedArrays","NetJoin","NetMapOperator","NetMapThreadOperator","NetMeasurements","NetModel","NetNestOperator","NetPairEmbeddingOperator","NetPort","NetPortGradient","NetPrepend","NetRename","NetReplace","NetReplacePart","NetSharedArray","NetStateObject","NetTake","NetTrain","NetTrainResultsObject","NetUnfold","NetworkPacketCapture","NetworkPacketRecording","NetworkPacketRecordingDuring","NetworkPacketTrace","NeumannValue","NevilleThetaC","NevilleThetaD","NevilleThetaN","NevilleThetaS","NewPrimitiveStyle","NExpectation","Next","NextCell","NextDate","NextPrime","NextScheduledTaskTime","NeymanScottPointProcess","NFractionalD","NHoldAll","NHoldFirst","NHoldRest","NicholsGridLines","NicholsPlot","NightHemisphere","NIntegrate","NMaximize","NMaxValue","NMinimize","NMinValue","NominalScale","NominalVariables","NonAssociative","NoncentralBetaDistribution","NoncentralChiSquareDistribution","NoncentralFRatioDistribution","NoncentralStudentTDistribution","NonCommutativeMultiply","NonConstants","NondimensionalizationTransform","None","NoneTrue","NonlinearModelFit","NonlinearStateSpaceModel","NonlocalMeansFilter","NonNegative","NonNegativeIntegers","NonNegativeRationals","NonNegativeReals","NonPositive","NonPositiveIntegers","NonPositiveRationals","NonPositiveReals","Nor","NorlundB","Norm","Normal","NormalDistribution","NormalGrouping","NormalizationLayer","Normalize","Normalized","NormalizedSquaredEuclideanDistance","NormalMatrixQ","NormalsFunction","NormFunction","Not","NotCongruent","NotCupCap","NotDoubleVerticalBar","Notebook","NotebookApply","NotebookAutoSave","NotebookBrowseDirectory","NotebookClose","NotebookConvertSettings","NotebookCreate","NotebookDefault","NotebookDelete","NotebookDirectory","NotebookDynamicExpression","NotebookEvaluate","NotebookEventActions","NotebookFileName","NotebookFind","NotebookGet","NotebookImport","NotebookInformation","NotebookInterfaceObject","NotebookLocate","NotebookObject","NotebookOpen","NotebookPath","NotebookPrint","NotebookPut","NotebookRead","Notebooks","NotebookSave","NotebookSelection","NotebooksMenu","NotebookTemplate","NotebookWrite","NotElement","NotEqualTilde","NotExists","NotGreater","NotGreaterEqual","NotGreaterFullEqual","NotGreaterGreater","NotGreaterLess","NotGreaterSlantEqual","NotGreaterTilde","Nothing","NotHumpDownHump","NotHumpEqual","NotificationFunction","NotLeftTriangle","NotLeftTriangleBar","NotLeftTriangleEqual","NotLess","NotLessEqual","NotLessFullEqual","NotLessGreater","NotLessLess","NotLessSlantEqual","NotLessTilde","NotNestedGreaterGreater","NotNestedLessLess","NotPrecedes","NotPrecedesEqual","NotPrecedesSlantEqual","NotPrecedesTilde","NotReverseElement","NotRightTriangle","NotRightTriangleBar","NotRightTriangleEqual","NotSquareSubset","NotSquareSubsetEqual","NotSquareSuperset","NotSquareSupersetEqual","NotSubset","NotSubsetEqual","NotSucceeds","NotSucceedsEqual","NotSucceedsSlantEqual","NotSucceedsTilde","NotSuperset","NotSupersetEqual","NotTilde","NotTildeEqual","NotTildeFullEqual","NotTildeTilde","NotVerticalBar","Now","NoWhitespace","NProbability","NProduct","NProductFactors","NRoots","NSolve","NSolveValues","NSum","NSumTerms","NuclearExplosionData","NuclearReactorData","Null","NullRecords","NullSpace","NullWords","Number","NumberCompose","NumberDecompose","NumberDigit","NumberExpand","NumberFieldClassNumber","NumberFieldDiscriminant","NumberFieldFundamentalUnits","NumberFieldIntegralBasis","NumberFieldNormRepresentatives","NumberFieldRegulator","NumberFieldRootsOfUnity","NumberFieldSignature","NumberForm","NumberFormat","NumberLinePlot","NumberMarks","NumberMultiplier","NumberPadding","NumberPoint","NumberQ","NumberSeparator","NumberSigns","NumberString","Numerator","NumeratorDenominator","NumericalOrder","NumericalSort","NumericArray","NumericArrayQ","NumericArrayType","NumericFunction","NumericQ","NuttallWindow","NValues","NyquistGridLines","NyquistPlot","O","ObjectExistsQ","ObservabilityGramian","ObservabilityMatrix","ObservableDecomposition","ObservableModelQ","OceanData","Octahedron","OddQ","Off","Offset","OLEData","On","ONanGroupON","Once","OneIdentity","Opacity","OpacityFunction","OpacityFunctionScaling","Open","OpenAppend","Opener","OpenerBox","OpenerBoxOptions","OpenerView","OpenFunctionInspectorPacket","Opening","OpenRead","OpenSpecialOptions","OpenTemporary","OpenWrite","Operate","OperatingSystem","OperatorApplied","OptimumFlowData","Optional","OptionalElement","OptionInspectorSettings","OptionQ","Options","OptionsPacket","OptionsPattern","OptionValue","OptionValueBox","OptionValueBoxOptions","Or","Orange","Order","OrderDistribution","OrderedQ","Ordering","OrderingBy","OrderingLayer","Orderless","OrderlessPatternSequence","OrdinalScale","OrnsteinUhlenbeckProcess","Orthogonalize","OrthogonalMatrixQ","Out","Outer","OuterPolygon","OuterPolyhedron","OutputAutoOverwrite","OutputControllabilityMatrix","OutputControllableModelQ","OutputForm","OutputFormData","OutputGrouping","OutputMathEditExpression","OutputNamePacket","OutputPorts","OutputResponse","OutputSizeLimit","OutputStream","Over","OverBar","OverDot","Overflow","OverHat","Overlaps","Overlay","OverlayBox","OverlayBoxOptions","OverlayVideo","Overscript","OverscriptBox","OverscriptBoxOptions","OverTilde","OverVector","OverwriteTarget","OwenT","OwnValues","Package","PackingMethod","PackPaclet","PacletDataRebuild","PacletDirectoryAdd","PacletDirectoryLoad","PacletDirectoryRemove","PacletDirectoryUnload","PacletDisable","PacletEnable","PacletFind","PacletFindRemote","PacletInformation","PacletInstall","PacletInstallSubmit","PacletNewerQ","PacletObject","PacletObjectQ","PacletSite","PacletSiteObject","PacletSiteRegister","PacletSites","PacletSiteUnregister","PacletSiteUpdate","PacletSymbol","PacletUninstall","PacletUpdate","PaddedForm","Padding","PaddingLayer","PaddingSize","PadeApproximant","PadLeft","PadRight","PageBreakAbove","PageBreakBelow","PageBreakWithin","PageFooterLines","PageFooters","PageHeaderLines","PageHeaders","PageHeight","PageRankCentrality","PageTheme","PageWidth","Pagination","PairCorrelationG","PairedBarChart","PairedHistogram","PairedSmoothHistogram","PairedTTest","PairedZTest","PaletteNotebook","PalettePath","PalettesMenuSettings","PalindromeQ","Pane","PaneBox","PaneBoxOptions","Panel","PanelBox","PanelBoxOptions","Paneled","PaneSelector","PaneSelectorBox","PaneSelectorBoxOptions","PaperWidth","ParabolicCylinderD","ParagraphIndent","ParagraphSpacing","ParallelArray","ParallelAxisPlot","ParallelCombine","ParallelDo","Parallelepiped","ParallelEvaluate","Parallelization","Parallelize","ParallelKernels","ParallelMap","ParallelNeeds","Parallelogram","ParallelProduct","ParallelSubmit","ParallelSum","ParallelTable","ParallelTry","Parameter","ParameterEstimator","ParameterMixtureDistribution","ParameterVariables","ParametricConvexOptimization","ParametricFunction","ParametricNDSolve","ParametricNDSolveValue","ParametricPlot","ParametricPlot3D","ParametricRampLayer","ParametricRegion","ParentBox","ParentCell","ParentConnect","ParentDirectory","ParentEdgeLabel","ParentEdgeLabelFunction","ParentEdgeLabelStyle","ParentEdgeShapeFunction","ParentEdgeStyle","ParentEdgeStyleFunction","ParentForm","Parenthesize","ParentList","ParentNotebook","ParetoDistribution","ParetoPickandsDistribution","ParkData","Part","PartBehavior","PartialCorrelationFunction","PartialD","ParticleAcceleratorData","ParticleData","Partition","PartitionGranularity","PartitionsP","PartitionsQ","PartLayer","PartOfSpeech","PartProtection","ParzenWindow","PascalDistribution","PassEventsDown","PassEventsUp","Paste","PasteAutoQuoteCharacters","PasteBoxFormInlineCells","PasteButton","Path","PathGraph","PathGraphQ","Pattern","PatternFilling","PatternReaction","PatternSequence","PatternTest","PauliMatrix","PaulWavelet","Pause","PausedTime","PDF","PeakDetect","PeanoCurve","PearsonChiSquareTest","PearsonCorrelationTest","PearsonDistribution","PenttinenPointProcess","PercentForm","PerfectNumber","PerfectNumberQ","PerformanceGoal","Perimeter","PeriodicBoundaryCondition","PeriodicInterpolation","Periodogram","PeriodogramArray","Permanent","Permissions","PermissionsGroup","PermissionsGroupMemberQ","PermissionsGroups","PermissionsKey","PermissionsKeys","PermutationCycles","PermutationCyclesQ","PermutationGroup","PermutationLength","PermutationList","PermutationListQ","PermutationMatrix","PermutationMax","PermutationMin","PermutationOrder","PermutationPower","PermutationProduct","PermutationReplace","Permutations","PermutationSupport","Permute","PeronaMalikFilter","Perpendicular","PerpendicularBisector","PersistenceLocation","PersistenceTime","PersistentObject","PersistentObjects","PersistentSymbol","PersistentValue","PersonData","PERTDistribution","PetersenGraph","PhaseMargins","PhaseRange","PhongShading","PhysicalSystemData","Pi","Pick","PickedElements","PickMode","PIDData","PIDDerivativeFilter","PIDFeedforward","PIDTune","Piecewise","PiecewiseExpand","PieChart","PieChart3D","PillaiTrace","PillaiTraceTest","PingTime","Pink","PitchRecognize","Pivoting","PixelConstrained","PixelValue","PixelValuePositions","Placed","Placeholder","PlaceholderLayer","PlaceholderReplace","Plain","PlanarAngle","PlanarFaceList","PlanarGraph","PlanarGraphQ","PlanckRadiationLaw","PlaneCurveData","PlanetaryMoonData","PlanetData","PlantData","Play","PlaybackSettings","PlayRange","Plot","Plot3D","Plot3Matrix","PlotDivision","PlotJoined","PlotLabel","PlotLabels","PlotLayout","PlotLegends","PlotMarkers","PlotPoints","PlotRange","PlotRangeClipping","PlotRangeClipPlanesStyle","PlotRangePadding","PlotRegion","PlotStyle","PlotTheme","Pluralize","Plus","PlusMinus","Pochhammer","PodStates","PodWidth","Point","Point3DBox","Point3DBoxOptions","PointBox","PointBoxOptions","PointCountDistribution","PointDensity","PointDensityFunction","PointFigureChart","PointLegend","PointLight","PointProcessEstimator","PointProcessFitTest","PointProcessParameterAssumptions","PointProcessParameterQ","PointSize","PointStatisticFunction","PointValuePlot","PoissonConsulDistribution","PoissonDistribution","PoissonPDEComponent","PoissonPointProcess","PoissonProcess","PoissonWindow","PolarAxes","PolarAxesOrigin","PolarGridLines","PolarPlot","PolarTicks","PoleZeroMarkers","PolyaAeppliDistribution","PolyGamma","Polygon","Polygon3DBox","Polygon3DBoxOptions","PolygonalNumber","PolygonAngle","PolygonBox","PolygonBoxOptions","PolygonCoordinates","PolygonDecomposition","PolygonHoleScale","PolygonIntersections","PolygonScale","Polyhedron","PolyhedronAngle","PolyhedronBox","PolyhedronBoxOptions","PolyhedronCoordinates","PolyhedronData","PolyhedronDecomposition","PolyhedronGenus","PolyLog","PolynomialExpressionQ","PolynomialExtendedGCD","PolynomialForm","PolynomialGCD","PolynomialLCM","PolynomialMod","PolynomialQ","PolynomialQuotient","PolynomialQuotientRemainder","PolynomialReduce","PolynomialRemainder","Polynomials","PolynomialSumOfSquaresList","PoolingLayer","PopupMenu","PopupMenuBox","PopupMenuBoxOptions","PopupView","PopupWindow","Position","PositionIndex","PositionLargest","PositionSmallest","Positive","PositiveDefiniteMatrixQ","PositiveIntegers","PositivelyOrientedPoints","PositiveRationals","PositiveReals","PositiveSemidefiniteMatrixQ","PossibleZeroQ","Postfix","PostScript","Power","PowerDistribution","PowerExpand","PowerMod","PowerModList","PowerRange","PowerSpectralDensity","PowersRepresentations","PowerSymmetricPolynomial","Precedence","PrecedenceForm","Precedes","PrecedesEqual","PrecedesSlantEqual","PrecedesTilde","Precision","PrecisionGoal","PreDecrement","Predict","PredictionRoot","PredictorFunction","PredictorInformation","PredictorMeasurements","PredictorMeasurementsObject","PreemptProtect","PreferencesPath","PreferencesSettings","Prefix","PreIncrement","Prepend","PrependLayer","PrependTo","PreprocessingRules","PreserveColor","PreserveImageOptions","Previous","PreviousCell","PreviousDate","PriceGraphDistribution","PrimaryPlaceholder","Prime","PrimeNu","PrimeOmega","PrimePi","PrimePowerQ","PrimeQ","Primes","PrimeZetaP","PrimitivePolynomialQ","PrimitiveRoot","PrimitiveRootList","PrincipalComponents","PrincipalValue","Print","PrintableASCIIQ","PrintAction","PrintForm","PrintingCopies","PrintingOptions","PrintingPageRange","PrintingStartingPageNumber","PrintingStyleEnvironment","Printout3D","Printout3DPreviewer","PrintPrecision","PrintTemporary","Prism","PrismBox","PrismBoxOptions","PrivateCellOptions","PrivateEvaluationOptions","PrivateFontOptions","PrivateFrontEndOptions","PrivateKey","PrivateNotebookOptions","PrivatePaths","Probability","ProbabilityDistribution","ProbabilityPlot","ProbabilityPr","ProbabilityScalePlot","ProbitModelFit","ProcessConnection","ProcessDirectory","ProcessEnvironment","Processes","ProcessEstimator","ProcessInformation","ProcessObject","ProcessParameterAssumptions","ProcessParameterQ","ProcessStateDomain","ProcessStatus","ProcessTimeDomain","Product","ProductDistribution","ProductLog","ProgressIndicator","ProgressIndicatorBox","ProgressIndicatorBoxOptions","ProgressReporting","Projection","Prolog","PromptForm","ProofObject","PropagateAborts","Properties","Property","PropertyList","PropertyValue","Proportion","Proportional","Protect","Protected","ProteinData","Pruning","PseudoInverse","PsychrometricPropertyData","PublicKey","PublisherID","PulsarData","PunctuationCharacter","Purple","Put","PutAppend","Pyramid","PyramidBox","PyramidBoxOptions","QBinomial","QFactorial","QGamma","QHypergeometricPFQ","QnDispersion","QPochhammer","QPolyGamma","QRDecomposition","QuadraticIrrationalQ","QuadraticOptimization","Quantile","QuantilePlot","Quantity","QuantityArray","QuantityDistribution","QuantityForm","QuantityMagnitude","QuantityQ","QuantityUnit","QuantityVariable","QuantityVariableCanonicalUnit","QuantityVariableDimensions","QuantityVariableIdentifier","QuantityVariablePhysicalQuantity","Quartics","QuartileDeviation","Quartiles","QuartileSkewness","Query","QuestionGenerator","QuestionInterface","QuestionObject","QuestionSelector","QueueingNetworkProcess","QueueingProcess","QueueProperties","Quiet","QuietEcho","Quit","Quotient","QuotientRemainder","RadialAxisPlot","RadialGradientFilling","RadialGradientImage","RadialityCentrality","RadicalBox","RadicalBoxOptions","RadioButton","RadioButtonBar","RadioButtonBox","RadioButtonBoxOptions","Radon","RadonTransform","RamanujanTau","RamanujanTauL","RamanujanTauTheta","RamanujanTauZ","Ramp","Random","RandomArrayLayer","RandomChoice","RandomColor","RandomComplex","RandomDate","RandomEntity","RandomFunction","RandomGeneratorState","RandomGeoPosition","RandomGraph","RandomImage","RandomInstance","RandomInteger","RandomPermutation","RandomPoint","RandomPointConfiguration","RandomPolygon","RandomPolyhedron","RandomPrime","RandomReal","RandomSample","RandomSeed","RandomSeeding","RandomTime","RandomTree","RandomVariate","RandomWalkProcess","RandomWord","Range","RangeFilter","RangeSpecification","RankedMax","RankedMin","RarerProbability","Raster","Raster3D","Raster3DBox","Raster3DBoxOptions","RasterArray","RasterBox","RasterBoxOptions","Rasterize","RasterSize","Rational","RationalExpressionQ","RationalFunctions","Rationalize","Rationals","Ratios","RawArray","RawBoxes","RawData","RawMedium","RayleighDistribution","Re","ReactionBalance","ReactionBalancedQ","ReactionPDETerm","Read","ReadByteArray","ReadLine","ReadList","ReadProtected","ReadString","Real","RealAbs","RealBlockDiagonalForm","RealDigits","RealExponent","Reals","RealSign","Reap","RebuildPacletData","RecalibrationFunction","RecognitionPrior","RecognitionThreshold","ReconstructionMesh","Record","RecordLists","RecordSeparators","Rectangle","RectangleBox","RectangleBoxOptions","RectangleChart","RectangleChart3D","RectangularRepeatingElement","RecurrenceFilter","RecurrenceTable","RecurringDigitsForm","Red","Reduce","RefBox","ReferenceLineStyle","ReferenceMarkers","ReferenceMarkerStyle","Refine","ReflectionMatrix","ReflectionTransform","Refresh","RefreshRate","Region","RegionBinarize","RegionBoundary","RegionBoundaryStyle","RegionBounds","RegionCentroid","RegionCongruent","RegionConvert","RegionDifference","RegionDilation","RegionDimension","RegionDisjoint","RegionDistance","RegionDistanceFunction","RegionEmbeddingDimension","RegionEqual","RegionErosion","RegionFillingStyle","RegionFit","RegionFunction","RegionImage","RegionIntersection","RegionMeasure","RegionMember","RegionMemberFunction","RegionMoment","RegionNearest","RegionNearestFunction","RegionPlot","RegionPlot3D","RegionProduct","RegionQ","RegionResize","RegionSimilar","RegionSize","RegionSymmetricDifference","RegionUnion","RegionWithin","RegisterExternalEvaluator","RegularExpression","Regularization","RegularlySampledQ","RegularPolygon","ReIm","ReImLabels","ReImPlot","ReImStyle","Reinstall","RelationalDatabase","RelationGraph","Release","ReleaseHold","ReliabilityDistribution","ReliefImage","ReliefPlot","RemoteAuthorizationCaching","RemoteBatchJobAbort","RemoteBatchJobObject","RemoteBatchJobs","RemoteBatchMapSubmit","RemoteBatchSubmissionEnvironment","RemoteBatchSubmit","RemoteConnect","RemoteConnectionObject","RemoteEvaluate","RemoteFile","RemoteInputFiles","RemoteKernelObject","RemoteProviderSettings","RemoteRun","RemoteRunProcess","RemovalConditions","Remove","RemoveAlphaChannel","RemoveAsynchronousTask","RemoveAudioStream","RemoveBackground","RemoveChannelListener","RemoveChannelSubscribers","Removed","RemoveDiacritics","RemoveInputStreamMethod","RemoveOutputStreamMethod","RemoveProperty","RemoveScheduledTask","RemoveUsers","RemoveVideoStream","RenameDirectory","RenameFile","RenderAll","RenderingOptions","RenewalProcess","RenkoChart","RepairMesh","Repeated","RepeatedNull","RepeatedString","RepeatedTiming","RepeatingElement","Replace","ReplaceAll","ReplaceAt","ReplaceHeldPart","ReplaceImageValue","ReplaceList","ReplacePart","ReplacePixelValue","ReplaceRepeated","ReplicateLayer","RequiredPhysicalQuantities","Resampling","ResamplingAlgorithmData","ResamplingMethod","Rescale","RescalingTransform","ResetDirectory","ResetScheduledTask","ReshapeLayer","Residue","ResidueSum","ResizeLayer","Resolve","ResolveContextAliases","ResourceAcquire","ResourceData","ResourceFunction","ResourceObject","ResourceRegister","ResourceRemove","ResourceSearch","ResourceSubmissionObject","ResourceSubmit","ResourceSystemBase","ResourceSystemPath","ResourceUpdate","ResourceVersion","ResponseForm","Rest","RestartInterval","Restricted","Resultant","ResumePacket","Return","ReturnCreatesNewCell","ReturnEntersInput","ReturnExpressionPacket","ReturnInputFormPacket","ReturnPacket","ReturnReceiptFunction","ReturnTextPacket","Reverse","ReverseApplied","ReverseBiorthogonalSplineWavelet","ReverseElement","ReverseEquilibrium","ReverseGraph","ReverseSort","ReverseSortBy","ReverseUpEquilibrium","RevolutionAxis","RevolutionPlot3D","RGBColor","RiccatiSolve","RiceDistribution","RidgeFilter","RiemannR","RiemannSiegelTheta","RiemannSiegelZ","RiemannXi","Riffle","Right","RightArrow","RightArrowBar","RightArrowLeftArrow","RightComposition","RightCosetRepresentative","RightDownTeeVector","RightDownVector","RightDownVectorBar","RightTee","RightTeeArrow","RightTeeVector","RightTriangle","RightTriangleBar","RightTriangleEqual","RightUpDownVector","RightUpTeeVector","RightUpVector","RightUpVectorBar","RightVector","RightVectorBar","RipleyK","RipleyRassonRegion","RiskAchievementImportance","RiskReductionImportance","RobustConvexOptimization","RogersTanimotoDissimilarity","RollPitchYawAngles","RollPitchYawMatrix","RomanNumeral","Root","RootApproximant","RootIntervals","RootLocusPlot","RootMeanSquare","RootOfUnityQ","RootReduce","Roots","RootSum","RootTree","Rotate","RotateLabel","RotateLeft","RotateRight","RotationAction","RotationBox","RotationBoxOptions","RotationMatrix","RotationTransform","Round","RoundImplies","RoundingRadius","Row","RowAlignments","RowBackgrounds","RowBox","RowHeights","RowLines","RowMinHeight","RowReduce","RowsEqual","RowSpacings","RSolve","RSolveValue","RudinShapiro","RudvalisGroupRu","Rule","RuleCondition","RuleDelayed","RuleForm","RulePlot","RulerUnits","RulesTree","Run","RunProcess","RunScheduledTask","RunThrough","RuntimeAttributes","RuntimeOptions","RussellRaoDissimilarity","SameAs","SameQ","SameTest","SameTestProperties","SampledEntityClass","SampleDepth","SampledSoundFunction","SampledSoundList","SampleRate","SamplingPeriod","SARIMAProcess","SARMAProcess","SASTriangle","SatelliteData","SatisfiabilityCount","SatisfiabilityInstances","SatisfiableQ","Saturday","Save","Saveable","SaveAutoDelete","SaveConnection","SaveDefinitions","SavitzkyGolayMatrix","SawtoothWave","Scale","Scaled","ScaleDivisions","ScaledMousePosition","ScaleOrigin","ScalePadding","ScaleRanges","ScaleRangeStyle","ScalingFunctions","ScalingMatrix","ScalingTransform","Scan","ScheduledTask","ScheduledTaskActiveQ","ScheduledTaskInformation","ScheduledTaskInformationData","ScheduledTaskObject","ScheduledTasks","SchurDecomposition","ScientificForm","ScientificNotationThreshold","ScorerGi","ScorerGiPrime","ScorerHi","ScorerHiPrime","ScreenRectangle","ScreenStyleEnvironment","ScriptBaselineShifts","ScriptForm","ScriptLevel","ScriptMinSize","ScriptRules","ScriptSizeMultipliers","Scrollbars","ScrollingOptions","ScrollPosition","SearchAdjustment","SearchIndexObject","SearchIndices","SearchQueryString","SearchResultObject","Sec","Sech","SechDistribution","SecondOrderConeOptimization","SectionGrouping","SectorChart","SectorChart3D","SectorOrigin","SectorSpacing","SecuredAuthenticationKey","SecuredAuthenticationKeys","SecurityCertificate","SeedRandom","Select","Selectable","SelectComponents","SelectedCells","SelectedNotebook","SelectFirst","Selection","SelectionAnimate","SelectionCell","SelectionCellCreateCell","SelectionCellDefaultStyle","SelectionCellParentStyle","SelectionCreateCell","SelectionDebuggerTag","SelectionEvaluate","SelectionEvaluateCreateCell","SelectionMove","SelectionPlaceholder","SelectWithContents","SelfLoops","SelfLoopStyle","SemanticImport","SemanticImportString","SemanticInterpretation","SemialgebraicComponentInstances","SemidefiniteOptimization","SendMail","SendMessage","Sequence","SequenceAlignment","SequenceAttentionLayer","SequenceCases","SequenceCount","SequenceFold","SequenceFoldList","SequenceForm","SequenceHold","SequenceIndicesLayer","SequenceLastLayer","SequenceMostLayer","SequencePosition","SequencePredict","SequencePredictorFunction","SequenceReplace","SequenceRestLayer","SequenceReverseLayer","SequenceSplit","Series","SeriesCoefficient","SeriesData","SeriesTermGoal","ServiceConnect","ServiceDisconnect","ServiceExecute","ServiceObject","ServiceRequest","ServiceResponse","ServiceSubmit","SessionSubmit","SessionTime","Set","SetAccuracy","SetAlphaChannel","SetAttributes","Setbacks","SetCloudDirectory","SetCookies","SetDelayed","SetDirectory","SetEnvironment","SetFileDate","SetFileFormatProperties","SetOptions","SetOptionsPacket","SetPermissions","SetPrecision","SetProperty","SetSecuredAuthenticationKey","SetSelectedNotebook","SetSharedFunction","SetSharedVariable","SetStreamPosition","SetSystemModel","SetSystemOptions","Setter","SetterBar","SetterBox","SetterBoxOptions","Setting","SetUsers","Shading","Shallow","ShannonWavelet","ShapiroWilkTest","Share","SharingList","Sharpen","ShearingMatrix","ShearingTransform","ShellRegion","ShenCastanMatrix","ShiftedGompertzDistribution","ShiftRegisterSequence","Short","ShortDownArrow","Shortest","ShortestMatch","ShortestPathFunction","ShortLeftArrow","ShortRightArrow","ShortTimeFourier","ShortTimeFourierData","ShortUpArrow","Show","ShowAutoConvert","ShowAutoSpellCheck","ShowAutoStyles","ShowCellBracket","ShowCellLabel","ShowCellTags","ShowClosedCellArea","ShowCodeAssist","ShowContents","ShowControls","ShowCursorTracker","ShowGroupOpenCloseIcon","ShowGroupOpener","ShowInvisibleCharacters","ShowPageBreaks","ShowPredictiveInterface","ShowSelection","ShowShortBoxForm","ShowSpecialCharacters","ShowStringCharacters","ShowSyntaxStyles","ShrinkingDelay","ShrinkWrapBoundingBox","SiderealTime","SiegelTheta","SiegelTukeyTest","SierpinskiCurve","SierpinskiMesh","Sign","Signature","SignedRankTest","SignedRegionDistance","SignificanceLevel","SignPadding","SignTest","SimilarityRules","SimpleGraph","SimpleGraphQ","SimplePolygonQ","SimplePolyhedronQ","Simplex","Simplify","Sin","Sinc","SinghMaddalaDistribution","SingleEvaluation","SingleLetterItalics","SingleLetterStyle","SingularValueDecomposition","SingularValueList","SingularValuePlot","SingularValues","Sinh","SinhIntegral","SinIntegral","SixJSymbol","Skeleton","SkeletonTransform","SkellamDistribution","Skewness","SkewNormalDistribution","SkinStyle","Skip","SliceContourPlot3D","SliceDensityPlot3D","SliceDistribution","SliceVectorPlot3D","Slider","Slider2D","Slider2DBox","Slider2DBoxOptions","SliderBox","SliderBoxOptions","SlideShowVideo","SlideView","Slot","SlotSequence","Small","SmallCircle","Smaller","SmithDecomposition","SmithDelayCompensator","SmithWatermanSimilarity","SmoothDensityHistogram","SmoothHistogram","SmoothHistogram3D","SmoothKernelDistribution","SmoothPointDensity","SnDispersion","Snippet","SnippetsVideo","SnubPolyhedron","SocialMediaData","Socket","SocketConnect","SocketListen","SocketListener","SocketObject","SocketOpen","SocketReadMessage","SocketReadyQ","Sockets","SocketWaitAll","SocketWaitNext","SoftmaxLayer","SokalSneathDissimilarity","SolarEclipse","SolarSystemFeatureData","SolarTime","SolidAngle","SolidBoundaryLoadValue","SolidData","SolidDisplacementCondition","SolidFixedCondition","SolidMechanicsPDEComponent","SolidMechanicsStrain","SolidMechanicsStress","SolidRegionQ","Solve","SolveAlways","SolveDelayed","SolveValues","Sort","SortBy","SortedBy","SortedEntityClass","Sound","SoundAndGraphics","SoundNote","SoundVolume","SourceLink","SourcePDETerm","Sow","Space","SpaceCurveData","SpaceForm","Spacer","Spacings","Span","SpanAdjustments","SpanCharacterRounding","SpanFromAbove","SpanFromBoth","SpanFromLeft","SpanLineThickness","SpanMaxSize","SpanMinSize","SpanningCharacters","SpanSymmetric","SparseArray","SparseArrayQ","SpatialBinnedPointData","SpatialBoundaryCorrection","SpatialEstimate","SpatialEstimatorFunction","SpatialGraphDistribution","SpatialJ","SpatialMedian","SpatialNoiseLevel","SpatialObservationRegionQ","SpatialPointData","SpatialPointSelect","SpatialRandomnessTest","SpatialTransformationLayer","SpatialTrendFunction","Speak","SpeakerMatchQ","SpearmanRankTest","SpearmanRho","SpeciesData","SpecificityGoal","SpectralLineData","Spectrogram","SpectrogramArray","Specularity","SpeechCases","SpeechInterpreter","SpeechRecognize","SpeechSynthesize","SpellingCorrection","SpellingCorrectionList","SpellingDictionaries","SpellingDictionariesPath","SpellingOptions","Sphere","SphereBox","SphereBoxOptions","SpherePoints","SphericalBesselJ","SphericalBesselY","SphericalHankelH1","SphericalHankelH2","SphericalHarmonicY","SphericalPlot3D","SphericalRegion","SphericalShell","SpheroidalEigenvalue","SpheroidalJoiningFactor","SpheroidalPS","SpheroidalPSPrime","SpheroidalQS","SpheroidalQSPrime","SpheroidalRadialFactor","SpheroidalS1","SpheroidalS1Prime","SpheroidalS2","SpheroidalS2Prime","Splice","SplicedDistribution","SplineClosed","SplineDegree","SplineKnots","SplineWeights","Split","SplitBy","SpokenString","SpotLight","Sqrt","SqrtBox","SqrtBoxOptions","Square","SquaredEuclideanDistance","SquareFreeQ","SquareIntersection","SquareMatrixQ","SquareRepeatingElement","SquaresR","SquareSubset","SquareSubsetEqual","SquareSuperset","SquareSupersetEqual","SquareUnion","SquareWave","SSSTriangle","StabilityMargins","StabilityMarginsStyle","StableDistribution","Stack","StackBegin","StackComplete","StackedDateListPlot","StackedListPlot","StackInhibit","StadiumShape","StandardAtmosphereData","StandardDeviation","StandardDeviationFilter","StandardForm","Standardize","Standardized","StandardOceanData","StandbyDistribution","Star","StarClusterData","StarData","StarGraph","StartAsynchronousTask","StartExternalSession","StartingStepSize","StartOfLine","StartOfString","StartProcess","StartScheduledTask","StartupSound","StartWebSession","StateDimensions","StateFeedbackGains","StateOutputEstimator","StateResponse","StateSpaceModel","StateSpaceRealization","StateSpaceTransform","StateTransformationLinearize","StationaryDistribution","StationaryWaveletPacketTransform","StationaryWaveletTransform","StatusArea","StatusCentrality","StepMonitor","StereochemistryElements","StieltjesGamma","StippleShading","StirlingS1","StirlingS2","StopAsynchronousTask","StoppingPowerData","StopScheduledTask","StrataVariables","StratonovichProcess","StraussHardcorePointProcess","StraussPointProcess","StreamColorFunction","StreamColorFunctionScaling","StreamDensityPlot","StreamMarkers","StreamPlot","StreamPlot3D","StreamPoints","StreamPosition","Streams","StreamScale","StreamStyle","StrictInequalities","String","StringBreak","StringByteCount","StringCases","StringContainsQ","StringCount","StringDelete","StringDrop","StringEndsQ","StringExpression","StringExtract","StringForm","StringFormat","StringFormatQ","StringFreeQ","StringInsert","StringJoin","StringLength","StringMatchQ","StringPadLeft","StringPadRight","StringPart","StringPartition","StringPosition","StringQ","StringRepeat","StringReplace","StringReplaceList","StringReplacePart","StringReverse","StringRiffle","StringRotateLeft","StringRotateRight","StringSkeleton","StringSplit","StringStartsQ","StringTake","StringTakeDrop","StringTemplate","StringToByteArray","StringToStream","StringTrim","StripBoxes","StripOnInput","StripStyleOnPaste","StripWrapperBoxes","StrokeForm","Struckthrough","StructuralImportance","StructuredArray","StructuredArrayHeadQ","StructuredSelection","StruveH","StruveL","Stub","StudentTDistribution","Style","StyleBox","StyleBoxAutoDelete","StyleData","StyleDefinitions","StyleForm","StyleHints","StyleKeyMapping","StyleMenuListing","StyleNameDialogSettings","StyleNames","StylePrint","StyleSheetPath","Subdivide","Subfactorial","Subgraph","SubMinus","SubPlus","SubresultantPolynomialRemainders","SubresultantPolynomials","Subresultants","Subscript","SubscriptBox","SubscriptBoxOptions","Subscripted","Subsequences","Subset","SubsetCases","SubsetCount","SubsetEqual","SubsetMap","SubsetPosition","SubsetQ","SubsetReplace","Subsets","SubStar","SubstitutionSystem","Subsuperscript","SubsuperscriptBox","SubsuperscriptBoxOptions","SubtitleEncoding","SubtitleTrackSelection","Subtract","SubtractFrom","SubtractSides","SubValues","Succeeds","SucceedsEqual","SucceedsSlantEqual","SucceedsTilde","Success","SuchThat","Sum","SumConvergence","SummationLayer","Sunday","SunPosition","Sunrise","Sunset","SuperDagger","SuperMinus","SupernovaData","SuperPlus","Superscript","SuperscriptBox","SuperscriptBoxOptions","Superset","SupersetEqual","SuperStar","Surd","SurdForm","SurfaceAppearance","SurfaceArea","SurfaceColor","SurfaceData","SurfaceGraphics","SurvivalDistribution","SurvivalFunction","SurvivalModel","SurvivalModelFit","SuspendPacket","SuzukiDistribution","SuzukiGroupSuz","SwatchLegend","Switch","Symbol","SymbolName","SymletWavelet","Symmetric","SymmetricDifference","SymmetricGroup","SymmetricKey","SymmetricMatrixQ","SymmetricPolynomial","SymmetricReduction","Symmetrize","SymmetrizedArray","SymmetrizedArrayRules","SymmetrizedDependentComponents","SymmetrizedIndependentComponents","SymmetrizedReplacePart","SynchronousInitialization","SynchronousUpdating","Synonyms","Syntax","SyntaxForm","SyntaxInformation","SyntaxLength","SyntaxPacket","SyntaxQ","SynthesizeMissingValues","SystemCredential","SystemCredentialData","SystemCredentialKey","SystemCredentialKeys","SystemCredentialStoreObject","SystemDialogInput","SystemException","SystemGet","SystemHelpPath","SystemInformation","SystemInformationData","SystemInstall","SystemModel","SystemModeler","SystemModelExamples","SystemModelLinearize","SystemModelMeasurements","SystemModelParametricSimulate","SystemModelPlot","SystemModelProgressReporting","SystemModelReliability","SystemModels","SystemModelSimulate","SystemModelSimulateSensitivity","SystemModelSimulationData","SystemOpen","SystemOptions","SystemProcessData","SystemProcesses","SystemsConnectionsModel","SystemsModelControllerData","SystemsModelDelay","SystemsModelDelayApproximate","SystemsModelDelete","SystemsModelDimensions","SystemsModelExtract","SystemsModelFeedbackConnect","SystemsModelLabels","SystemsModelLinearity","SystemsModelMerge","SystemsModelOrder","SystemsModelParallelConnect","SystemsModelSeriesConnect","SystemsModelStateFeedbackConnect","SystemsModelVectorRelativeOrders","SystemStub","SystemTest","Tab","TabFilling","Table","TableAlignments","TableDepth","TableDirections","TableForm","TableHeadings","TableSpacing","TableView","TableViewBox","TableViewBoxAlignment","TableViewBoxBackground","TableViewBoxHeaders","TableViewBoxItemSize","TableViewBoxItemStyle","TableViewBoxOptions","TabSpacings","TabView","TabViewBox","TabViewBoxOptions","TagBox","TagBoxNote","TagBoxOptions","TaggingRules","TagSet","TagSetDelayed","TagStyle","TagUnset","Take","TakeDrop","TakeLargest","TakeLargestBy","TakeList","TakeSmallest","TakeSmallestBy","TakeWhile","Tally","Tan","Tanh","TargetDevice","TargetFunctions","TargetSystem","TargetUnits","TaskAbort","TaskExecute","TaskObject","TaskRemove","TaskResume","Tasks","TaskSuspend","TaskWait","TautologyQ","TelegraphProcess","TemplateApply","TemplateArgBox","TemplateBox","TemplateBoxOptions","TemplateEvaluate","TemplateExpression","TemplateIf","TemplateObject","TemplateSequence","TemplateSlot","TemplateSlotSequence","TemplateUnevaluated","TemplateVerbatim","TemplateWith","TemporalData","TemporalRegularity","Temporary","TemporaryVariable","TensorContract","TensorDimensions","TensorExpand","TensorProduct","TensorQ","TensorRank","TensorReduce","TensorSymmetry","TensorTranspose","TensorWedge","TerminatedEvaluation","TernaryListPlot","TernaryPlotCorners","TestID","TestReport","TestReportObject","TestResultObject","Tetrahedron","TetrahedronBox","TetrahedronBoxOptions","TeXForm","TeXSave","Text","Text3DBox","Text3DBoxOptions","TextAlignment","TextBand","TextBoundingBox","TextBox","TextCases","TextCell","TextClipboardType","TextContents","TextData","TextElement","TextForm","TextGrid","TextJustification","TextLine","TextPacket","TextParagraph","TextPosition","TextRecognize","TextSearch","TextSearchReport","TextSentences","TextString","TextStructure","TextStyle","TextTranslation","Texture","TextureCoordinateFunction","TextureCoordinateScaling","TextWords","Therefore","ThermodynamicData","ThermometerGauge","Thick","Thickness","Thin","Thinning","ThisLink","ThomasPointProcess","ThompsonGroupTh","Thread","Threaded","ThreadingLayer","ThreeJSymbol","Threshold","Through","Throw","ThueMorse","Thumbnail","Thursday","TickDirection","TickLabelOrientation","TickLabelPositioning","TickLabels","TickLengths","TickPositions","Ticks","TicksStyle","TideData","Tilde","TildeEqual","TildeFullEqual","TildeTilde","TimeConstrained","TimeConstraint","TimeDirection","TimeFormat","TimeGoal","TimelinePlot","TimeObject","TimeObjectQ","TimeRemaining","Times","TimesBy","TimeSeries","TimeSeriesAggregate","TimeSeriesForecast","TimeSeriesInsert","TimeSeriesInvertibility","TimeSeriesMap","TimeSeriesMapThread","TimeSeriesModel","TimeSeriesModelFit","TimeSeriesResample","TimeSeriesRescale","TimeSeriesShift","TimeSeriesThread","TimeSeriesWindow","TimeSystem","TimeSystemConvert","TimeUsed","TimeValue","TimeWarpingCorrespondence","TimeWarpingDistance","TimeZone","TimeZoneConvert","TimeZoneOffset","Timing","Tiny","TitleGrouping","TitsGroupT","ToBoxes","ToCharacterCode","ToColor","ToContinuousTimeModel","ToDate","Today","ToDiscreteTimeModel","ToEntity","ToeplitzMatrix","ToExpression","ToFileName","Together","Toggle","ToggleFalse","Toggler","TogglerBar","TogglerBox","TogglerBoxOptions","ToHeldExpression","ToInvertibleTimeSeries","TokenWords","Tolerance","ToLowerCase","Tomorrow","ToNumberField","TooBig","Tooltip","TooltipBox","TooltipBoxOptions","TooltipDelay","TooltipStyle","ToonShading","Top","TopHatTransform","ToPolarCoordinates","TopologicalSort","ToRadicals","ToRawPointer","ToRules","Torus","TorusGraph","ToSphericalCoordinates","ToString","Total","TotalHeight","TotalLayer","TotalVariationFilter","TotalWidth","TouchPosition","TouchscreenAutoZoom","TouchscreenControlPlacement","ToUpperCase","TourVideo","Tr","Trace","TraceAbove","TraceAction","TraceBackward","TraceDepth","TraceDialog","TraceForward","TraceInternal","TraceLevel","TraceOff","TraceOn","TraceOriginal","TracePrint","TraceScan","TrackCellChangeTimes","TrackedSymbols","TrackingFunction","TracyWidomDistribution","TradingChart","TraditionalForm","TraditionalFunctionNotation","TraditionalNotation","TraditionalOrder","TrainImageContentDetector","TrainingProgressCheckpointing","TrainingProgressFunction","TrainingProgressMeasurements","TrainingProgressReporting","TrainingStoppingCriterion","TrainingUpdateSchedule","TrainTextContentDetector","TransferFunctionCancel","TransferFunctionExpand","TransferFunctionFactor","TransferFunctionModel","TransferFunctionPoles","TransferFunctionTransform","TransferFunctionZeros","TransformationClass","TransformationFunction","TransformationFunctions","TransformationMatrix","TransformedDistribution","TransformedField","TransformedProcess","TransformedRegion","TransitionDirection","TransitionDuration","TransitionEffect","TransitiveClosureGraph","TransitiveReductionGraph","Translate","TranslationOptions","TranslationTransform","Transliterate","Transparent","TransparentColor","Transpose","TransposeLayer","TrapEnterKey","TrapSelection","TravelDirections","TravelDirectionsData","TravelDistance","TravelDistanceList","TravelMethod","TravelTime","Tree","TreeCases","TreeChildren","TreeCount","TreeData","TreeDelete","TreeDepth","TreeElementCoordinates","TreeElementLabel","TreeElementLabelFunction","TreeElementLabelStyle","TreeElementShape","TreeElementShapeFunction","TreeElementSize","TreeElementSizeFunction","TreeElementStyle","TreeElementStyleFunction","TreeExpression","TreeExtract","TreeFold","TreeForm","TreeGraph","TreeGraphQ","TreeInsert","TreeLayout","TreeLeafCount","TreeLeafQ","TreeLeaves","TreeLevel","TreeMap","TreeMapAt","TreeOutline","TreePlot","TreePosition","TreeQ","TreeReplacePart","TreeRules","TreeScan","TreeSelect","TreeSize","TreeTraversalOrder","TrendStyle","Triangle","TriangleCenter","TriangleConstruct","TriangleMeasurement","TriangleWave","TriangularDistribution","TriangulateMesh","Trig","TrigExpand","TrigFactor","TrigFactorList","Trigger","TrigReduce","TrigToExp","TrimmedMean","TrimmedVariance","TropicalStormData","True","TrueQ","TruncatedDistribution","TruncatedPolyhedron","TsallisQExponentialDistribution","TsallisQGaussianDistribution","TTest","Tube","TubeBezierCurveBox","TubeBezierCurveBoxOptions","TubeBox","TubeBoxOptions","TubeBSplineCurveBox","TubeBSplineCurveBoxOptions","Tuesday","TukeyLambdaDistribution","TukeyWindow","TunnelData","Tuples","TuranGraph","TuringMachine","TuttePolynomial","TwoWayRule","Typed","TypeDeclaration","TypeEvaluate","TypeHint","TypeOf","TypeSpecifier","UnateQ","Uncompress","UnconstrainedParameters","Undefined","UnderBar","Underflow","Underlined","Underoverscript","UnderoverscriptBox","UnderoverscriptBoxOptions","Underscript","UnderscriptBox","UnderscriptBoxOptions","UnderseaFeatureData","UndirectedEdge","UndirectedGraph","UndirectedGraphQ","UndoOptions","UndoTrackedVariables","Unequal","UnequalTo","Unevaluated","UniformDistribution","UniformGraphDistribution","UniformPolyhedron","UniformSumDistribution","Uninstall","Union","UnionedEntityClass","UnionPlus","Unique","UniqueElements","UnitaryMatrixQ","UnitBox","UnitConvert","UnitDimensions","Unitize","UnitRootTest","UnitSimplify","UnitStep","UnitSystem","UnitTriangle","UnitVector","UnitVectorLayer","UnityDimensions","UniverseModelData","UniversityData","UnixTime","UnlabeledTree","UnmanageObject","Unprotect","UnregisterExternalEvaluator","UnsameQ","UnsavedVariables","Unset","UnsetShared","Until","UntrackedVariables","Up","UpArrow","UpArrowBar","UpArrowDownArrow","Update","UpdateDynamicObjects","UpdateDynamicObjectsSynchronous","UpdateInterval","UpdatePacletSites","UpdateSearchIndex","UpDownArrow","UpEquilibrium","UpperCaseQ","UpperLeftArrow","UpperRightArrow","UpperTriangularize","UpperTriangularMatrix","UpperTriangularMatrixQ","Upsample","UpSet","UpSetDelayed","UpTee","UpTeeArrow","UpTo","UpValues","URL","URLBuild","URLDecode","URLDispatcher","URLDownload","URLDownloadSubmit","URLEncode","URLExecute","URLExpand","URLFetch","URLFetchAsynchronous","URLParse","URLQueryDecode","URLQueryEncode","URLRead","URLResponseTime","URLSave","URLSaveAsynchronous","URLShorten","URLSubmit","UseEmbeddedLibrary","UseGraphicsRange","UserDefinedWavelet","Using","UsingFrontEnd","UtilityFunction","V2Get","ValenceErrorHandling","ValenceFilling","ValidationLength","ValidationSet","ValueBox","ValueBoxOptions","ValueDimensions","ValueForm","ValuePreprocessingFunction","ValueQ","Values","ValuesData","VandermondeMatrix","Variables","Variance","VarianceEquivalenceTest","VarianceEstimatorFunction","VarianceGammaDistribution","VarianceGammaPointProcess","VarianceTest","VariogramFunction","VariogramModel","VectorAngle","VectorAround","VectorAspectRatio","VectorColorFunction","VectorColorFunctionScaling","VectorDensityPlot","VectorDisplacementPlot","VectorDisplacementPlot3D","VectorGlyphData","VectorGreater","VectorGreaterEqual","VectorLess","VectorLessEqual","VectorMarkers","VectorPlot","VectorPlot3D","VectorPoints","VectorQ","VectorRange","Vectors","VectorScale","VectorScaling","VectorSizes","VectorStyle","Vee","Verbatim","Verbose","VerificationTest","VerifyConvergence","VerifyDerivedKey","VerifyDigitalSignature","VerifyFileSignature","VerifyInterpretation","VerifySecurityCertificates","VerifySolutions","VerifyTestAssumptions","VersionedPreferences","VertexAdd","VertexCapacity","VertexChromaticNumber","VertexColors","VertexComponent","VertexConnectivity","VertexContract","VertexCoordinateRules","VertexCoordinates","VertexCorrelationSimilarity","VertexCosineSimilarity","VertexCount","VertexCoverQ","VertexDataCoordinates","VertexDegree","VertexDelete","VertexDiceSimilarity","VertexEccentricity","VertexInComponent","VertexInComponentGraph","VertexInDegree","VertexIndex","VertexJaccardSimilarity","VertexLabeling","VertexLabels","VertexLabelStyle","VertexList","VertexNormals","VertexOutComponent","VertexOutComponentGraph","VertexOutDegree","VertexQ","VertexRenderingFunction","VertexReplace","VertexShape","VertexShapeFunction","VertexSize","VertexStyle","VertexTextureCoordinates","VertexTransitiveGraphQ","VertexWeight","VertexWeightedGraphQ","Vertical","VerticalBar","VerticalForm","VerticalGauge","VerticalSeparator","VerticalSlider","VerticalTilde","Video","VideoCapture","VideoCombine","VideoDelete","VideoEncoding","VideoExtractFrames","VideoFrameList","VideoFrameMap","VideoGenerator","VideoInsert","VideoIntervals","VideoJoin","VideoMap","VideoMapList","VideoMapTimeSeries","VideoPadding","VideoPause","VideoPlay","VideoQ","VideoRecord","VideoReplace","VideoScreenCapture","VideoSplit","VideoStop","VideoStream","VideoStreams","VideoTimeStretch","VideoTrackSelection","VideoTranscode","VideoTransparency","VideoTrim","ViewAngle","ViewCenter","ViewMatrix","ViewPoint","ViewPointSelectorSettings","ViewPort","ViewProjection","ViewRange","ViewVector","ViewVertical","VirtualGroupData","Visible","VisibleCell","VoiceStyleData","VoigtDistribution","VolcanoData","Volume","VonMisesDistribution","VoronoiMesh","WaitAll","WaitAsynchronousTask","WaitNext","WaitUntil","WakebyDistribution","WalleniusHypergeometricDistribution","WaringYuleDistribution","WarpingCorrespondence","WarpingDistance","WatershedComponents","WatsonUSquareTest","WattsStrogatzGraphDistribution","WaveletBestBasis","WaveletFilterCoefficients","WaveletImagePlot","WaveletListPlot","WaveletMapIndexed","WaveletMatrixPlot","WaveletPhi","WaveletPsi","WaveletScale","WaveletScalogram","WaveletThreshold","WavePDEComponent","WeaklyConnectedComponents","WeaklyConnectedGraphComponents","WeaklyConnectedGraphQ","WeakStationarity","WeatherData","WeatherForecastData","WebAudioSearch","WebColumn","WebElementObject","WeberE","WebExecute","WebImage","WebImageSearch","WebItem","WebPageMetaInformation","WebRow","WebSearch","WebSessionObject","WebSessions","WebWindowObject","Wedge","Wednesday","WeibullDistribution","WeierstrassE1","WeierstrassE2","WeierstrassE3","WeierstrassEta1","WeierstrassEta2","WeierstrassEta3","WeierstrassHalfPeriods","WeierstrassHalfPeriodW1","WeierstrassHalfPeriodW2","WeierstrassHalfPeriodW3","WeierstrassInvariantG2","WeierstrassInvariantG3","WeierstrassInvariants","WeierstrassP","WeierstrassPPrime","WeierstrassSigma","WeierstrassZeta","WeightedAdjacencyGraph","WeightedAdjacencyMatrix","WeightedData","WeightedGraphQ","Weights","WelchWindow","WheelGraph","WhenEvent","Which","While","White","WhiteNoiseProcess","WhitePoint","Whitespace","WhitespaceCharacter","WhittakerM","WhittakerW","WholeCellGroupOpener","WienerFilter","WienerProcess","WignerD","WignerSemicircleDistribution","WikidataData","WikidataSearch","WikipediaData","WikipediaSearch","WilksW","WilksWTest","WindDirectionData","WindingCount","WindingPolygon","WindowClickSelect","WindowElements","WindowFloating","WindowFrame","WindowFrameElements","WindowMargins","WindowMovable","WindowOpacity","WindowPersistentStyles","WindowSelected","WindowSize","WindowStatusArea","WindowTitle","WindowToolbars","WindowWidth","WindSpeedData","WindVectorData","WinsorizedMean","WinsorizedVariance","WishartMatrixDistribution","With","WithCleanup","WithLock","WolframAlpha","WolframAlphaDate","WolframAlphaQuantity","WolframAlphaResult","WolframCloudSettings","WolframLanguageData","Word","WordBoundary","WordCharacter","WordCloud","WordCount","WordCounts","WordData","WordDefinition","WordFrequency","WordFrequencyData","WordList","WordOrientation","WordSearch","WordSelectionFunction","WordSeparators","WordSpacings","WordStem","WordTranslation","WorkingPrecision","WrapAround","Write","WriteLine","WriteString","Wronskian","XMLElement","XMLObject","XMLTemplate","Xnor","Xor","XYZColor","Yellow","Yesterday","YuleDissimilarity","ZernikeR","ZeroSymmetric","ZeroTest","ZeroWidthTimes","Zeta","ZetaZero","ZIPCodeData","ZipfDistribution","ZoomCenter","ZoomFactor","ZTest","ZTransform","$Aborted","$ActivationGroupID","$ActivationKey","$ActivationUserRegistered","$AddOnsDirectory","$AllowDataUpdates","$AllowExternalChannelFunctions","$AllowInternet","$AssertFunction","$Assumptions","$AsynchronousTask","$AudioDecoders","$AudioEncoders","$AudioInputDevices","$AudioOutputDevices","$BaseDirectory","$BasePacletsDirectory","$BatchInput","$BatchOutput","$BlockchainBase","$BoxForms","$ByteOrdering","$CacheBaseDirectory","$Canceled","$ChannelBase","$CharacterEncoding","$CharacterEncodings","$CloudAccountName","$CloudBase","$CloudConnected","$CloudConnection","$CloudCreditsAvailable","$CloudEvaluation","$CloudExpressionBase","$CloudObjectNameFormat","$CloudObjectURLType","$CloudRootDirectory","$CloudSymbolBase","$CloudUserID","$CloudUserUUID","$CloudVersion","$CloudVersionNumber","$CloudWolframEngineVersionNumber","$CommandLine","$CompilationTarget","$CompilerEnvironment","$ConditionHold","$ConfiguredKernels","$Context","$ContextAliases","$ContextPath","$ControlActiveSetting","$Cookies","$CookieStore","$CreationDate","$CryptographicEllipticCurveNames","$CurrentLink","$CurrentTask","$CurrentWebSession","$DataStructures","$DateStringFormat","$DefaultAudioInputDevice","$DefaultAudioOutputDevice","$DefaultFont","$DefaultFrontEnd","$DefaultImagingDevice","$DefaultKernels","$DefaultLocalBase","$DefaultLocalKernel","$DefaultMailbox","$DefaultNetworkInterface","$DefaultPath","$DefaultProxyRules","$DefaultRemoteBatchSubmissionEnvironment","$DefaultRemoteKernel","$DefaultSystemCredentialStore","$Display","$DisplayFunction","$DistributedContexts","$DynamicEvaluation","$Echo","$EmbedCodeEnvironments","$EmbeddableServices","$EntityStores","$Epilog","$EvaluationCloudBase","$EvaluationCloudObject","$EvaluationEnvironment","$ExportFormats","$ExternalIdentifierTypes","$ExternalStorageBase","$Failed","$FinancialDataSource","$FontFamilies","$FormatType","$FrontEnd","$FrontEndSession","$GeneratedAssetLocation","$GeoEntityTypes","$GeoLocation","$GeoLocationCity","$GeoLocationCountry","$GeoLocationPrecision","$GeoLocationSource","$HistoryLength","$HomeDirectory","$HTMLExportRules","$HTTPCookies","$HTTPRequest","$IgnoreEOF","$ImageFormattingWidth","$ImageResolution","$ImagingDevice","$ImagingDevices","$ImportFormats","$IncomingMailSettings","$InitialDirectory","$Initialization","$InitializationContexts","$Input","$InputFileName","$InputStreamMethods","$Inspector","$InstallationDate","$InstallationDirectory","$InterfaceEnvironment","$InterpreterTypes","$IterationLimit","$KernelCount","$KernelID","$Language","$LaunchDirectory","$LibraryPath","$LicenseExpirationDate","$LicenseID","$LicenseProcesses","$LicenseServer","$LicenseSubprocesses","$LicenseType","$Line","$Linked","$LinkSupported","$LoadedFiles","$LocalBase","$LocalSymbolBase","$MachineAddresses","$MachineDomain","$MachineDomains","$MachineEpsilon","$MachineID","$MachineName","$MachinePrecision","$MachineType","$MaxDisplayedChildren","$MaxExtraPrecision","$MaxLicenseProcesses","$MaxLicenseSubprocesses","$MaxMachineNumber","$MaxNumber","$MaxPiecewiseCases","$MaxPrecision","$MaxRootDegree","$MessageGroups","$MessageList","$MessagePrePrint","$Messages","$MinMachineNumber","$MinNumber","$MinorReleaseNumber","$MinPrecision","$MobilePhone","$ModuleNumber","$NetworkConnected","$NetworkInterfaces","$NetworkLicense","$NewMessage","$NewSymbol","$NotebookInlineStorageLimit","$Notebooks","$NoValue","$NumberMarks","$Off","$OperatingSystem","$Output","$OutputForms","$OutputSizeLimit","$OutputStreamMethods","$Packages","$ParentLink","$ParentProcessID","$PasswordFile","$PatchLevelID","$Path","$PathnameSeparator","$PerformanceGoal","$Permissions","$PermissionsGroupBase","$PersistenceBase","$PersistencePath","$PipeSupported","$PlotTheme","$Post","$Pre","$PreferencesDirectory","$PreInitialization","$PrePrint","$PreRead","$PrintForms","$PrintLiteral","$Printout3DPreviewer","$ProcessID","$ProcessorCount","$ProcessorType","$ProductInformation","$ProgramName","$ProgressReporting","$PublisherID","$RandomGeneratorState","$RandomState","$RecursionLimit","$RegisteredDeviceClasses","$RegisteredUserName","$ReleaseNumber","$RequesterAddress","$RequesterCloudUserID","$RequesterCloudUserUUID","$RequesterWolframID","$RequesterWolframUUID","$ResourceSystemBase","$ResourceSystemPath","$RootDirectory","$ScheduledTask","$ScriptCommandLine","$ScriptInputString","$SecuredAuthenticationKeyTokens","$ServiceCreditsAvailable","$Services","$SessionID","$SetParentLink","$SharedFunctions","$SharedVariables","$SoundDisplay","$SoundDisplayFunction","$SourceLink","$SSHAuthentication","$SubtitleDecoders","$SubtitleEncoders","$SummaryBoxDataSizeLimit","$SuppressInputFormHeads","$SynchronousEvaluation","$SyntaxHandler","$System","$SystemCharacterEncoding","$SystemCredentialStore","$SystemID","$SystemMemory","$SystemShell","$SystemTimeZone","$SystemWordLength","$TargetSystems","$TemplatePath","$TemporaryDirectory","$TemporaryPrefix","$TestFileName","$TextStyle","$TimedOut","$TimeUnit","$TimeZone","$TimeZoneEntity","$TopDirectory","$TraceOff","$TraceOn","$TracePattern","$TracePostAction","$TracePreAction","$UnitSystem","$Urgent","$UserAddOnsDirectory","$UserAgentLanguages","$UserAgentMachine","$UserAgentName","$UserAgentOperatingSystem","$UserAgentString","$UserAgentVersion","$UserBaseDirectory","$UserBasePacletsDirectory","$UserDocumentsDirectory","$Username","$UserName","$UserURLBase","$Version","$VersionNumber","$VideoDecoders","$VideoEncoders","$VoiceStyles","$WolframDocumentsDirectory","$WolframID","$WolframUUID"];function e(t){const i=t.regex,s=/([2-9]|[1-2]\d|[3][0-5])\^\^/,r=/(\w*\.\w+|\w+\.\w*|\w+)/,o=/(\d*\.\d+|\d+\.\d*|\d+)/,a=i.either(i.concat(s,r),o),l=/``[+-]?(\d*\.\d+|\d+\.\d*|\d+)/,d=/`([+-]?(\d*\.\d+|\d+\.\d*|\d+))?/,c=i.either(l,d),_=/\*\^[+-]?\d+/,m={className:"number",relevance:0,begin:i.concat(a,i.optional(c),i.optional(_))},h=/[a-zA-Z$][a-zA-Z0-9$]*/,E=new Set(n),b={variants:[{className:"builtin-symbol",begin:h,"on:begin":(O,R)=>{E.has(O[0])||R.ignoreMatch()}},{className:"symbol",relevance:0,begin:h}]},g={className:"named-character",begin:/\\\[[$a-zA-Z][$a-zA-Z0-9]+\]/},v={className:"operator",relevance:0,begin:/[+\-*/,;.:@~=><&|_`'^?!%]+/},y={className:"pattern",relevance:0,begin:/([a-zA-Z$][a-zA-Z0-9$]*)?_+([a-zA-Z$][a-zA-Z0-9$]*)?/},T={className:"slot",relevance:0,begin:/#[a-zA-Z$][a-zA-Z0-9$]*|#+[0-9]?/},C={className:"brace",relevance:0,begin:/[[\](){}]/},x={className:"message-name",relevance:0,begin:i.concat("::",h)};return{name:"Mathematica",aliases:["mma","wl"],classNameAliases:{brace:"punctuation",pattern:"type",slot:"type",symbol:"variable","named-character":"variable","builtin-symbol":"built_in","message-name":"string"},contains:[t.COMMENT(/\(\*/,/\*\)/,{contains:["self"]}),y,T,x,b,g,t.QUOTE_STRING_MODE,m,v,C]}}return Xh=e,Xh}var Zh,kT;function n$e(){if(kT)return Zh;kT=1;function n(e){const t="('|\\.')+",i={relevance:0,contains:[{begin:t}]};return{name:"Matlab",keywords:{keyword:"arguments break case catch classdef continue else elseif end enumeration events for function global if methods otherwise parfor persistent properties return spmd switch try while",built_in:"sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i|0 inf nan isnan isinf isfinite j|0 why compan gallery hadamard hankel hilb invhilb magic pascal rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun legend intersect ismember procrustes hold num2cell "},illegal:'(//|"|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}]}]},{className:"built_in",begin:/true|false/,relevance:0,starts:i},{begin:"[a-zA-Z][a-zA-Z_0-9]*"+t,relevance:0},{className:"number",begin:e.C_NUMBER_RE,relevance:0,starts:i},{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{begin:/\]|\}|\)/,relevance:0,starts:i},{className:"string",begin:'"',end:'"',contains:[{begin:'""'}],starts:i},e.COMMENT("^\\s*%\\{\\s*$","^\\s*%\\}\\s*$"),e.COMMENT("%","$")]}}return Zh=n,Zh}var Jh,LT;function i$e(){if(LT)return Jh;LT=1;function n(e){return{name:"Maxima",keywords:{$pattern:"[A-Za-z_%][0-9A-Za-z_%]*",keyword:"if then else elseif for thru do while unless step in and or not",literal:"true false unknown inf minf ind und %e %i %pi %phi %gamma",built_in:" abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type alias allroots alphacharp alphanumericp amortization %and annuity_fv annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2 applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method av average_degree backtrace bars barsplot barsplot_description base64 base64_decode bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description break bug_report build_info|10 buildq build_sample burn cabs canform canten cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2 charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps chinese cholesky christof chromatic_index chromatic_number cint circulant_graph clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse collectterms columnop columnspace columnswap columnvector combination combine comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph complete_graph complex_number_p components compose_functions concan concat conjugate conmetderiv connected_components connect_vertices cons constant constantp constituent constvalue cont2part content continuous_freq contortion contour_plot contract contract_edge contragrad contrib_ode convert coord copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1 covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate declare declare_constvalue declare_dimensions declare_fundamental_dimensions declare_fundamental_units declare_qty declare_translated declare_unit_conversion declare_units declare_weights decsym defcon define define_alt_display define_variable defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten delta demo demoivre denom depends derivdegree derivlist describe desolve determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export dimacs_import dimension dimensionless dimensions dimensions_as_list direct directory discrete_freq disjoin disjointp disolate disp dispcon dispform dispfun dispJordan display disprule dispterms distrib divide divisors divsum dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors euler ev eval_string evenp every evolution evolution2d evundiff example exp expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li expintegral_shi expintegral_si explicit explose exponentialize express expt exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge file_search file_type fillarray findde find_root find_root_abs find_root_error find_root_rel first fix flatten flength float floatnump floor flower_snark flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string get_pixel get_plot_option get_tex_environment get_tex_environment_default get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart imetric implicit implicit_derivative implicit_plot indexed_tensor indices induced_subgraph inferencep inference_result infix info_display init_atensor init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions integrate intersect intersection intervalp intopois intosum invariant1 invariant2 inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2 kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit Lindstedt linear linearinterpol linear_program linear_regression line_graph linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country make_polygon make_random_state make_rgb_picture makeset make_string_input_stream make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker max max_clique max_degree max_flow maximize_lp max_independent_set max_matching maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext newdet new_graph newline newton new_variable next_prime nicedummies niceindices ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst nthroot nullity nullspace num numbered_boundaries numberp number_to_octets num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin oid_to_octets op opena opena_binary openr openr_binary openw openw_binary operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface parg parGosper parse_string parse_timedate part part2cont partfrac partition partition_set partpol path_digraph path_graph pathname_directory pathname_name pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod powerseries powerset prefix prev_prime primep primes principal_components print printf printfile print_graph printpois printprops prodrac product properties propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2 quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan radius random random_bernoulli random_beta random_binomial random_bipartite_graph random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform random_exp random_f random_gamma random_general_finite_discrete random_geometric random_graph random_graph1 random_gumbel random_hypergeometric random_laplace random_logistic random_lognormal random_negative_binomial random_network random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto random_permutation random_poisson random_rayleigh random_regular_graph random_student_t random_tournament random_tree random_weibull range rank rat ratcoef ratdenom ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus rem remainder remarray rembox remcomps remcon remcoord remfun remfunction remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions remove_fundamental_units remove_plot_option remove_vertex rempart remrule remsym remvalue rename rename_file reset reset_displays residue resolvante resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann rinvariant risch rk rmdir rncombine romberg room rootscontract round row rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1 spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot starplot_description status std std1 std_bernoulli std_beta std_binomial std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull stemplot stirling stirling1 stirling2 strim striml strimr string stringout stringp strong_components struve_h struve_l sublis sublist sublist_indices submatrix subsample subset subsetp subst substinpart subst_parallel substpart substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext symbolp symmdifference symmetricp system take_channel take_inference tan tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference test_normality test_proportion test_proportions_difference test_rank_sum test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep totalfourier totient tpartpol trace tracematrix trace_options transform_sample translate translate_file transpose treefale tree_reduce treillis treinat triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget ultraspherical underlying_graph undiff union unique uniteigenvectors unitp units unit_step unitvector unorder unsum untellrat untimer untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table absboxchar activecontexts adapt_depth additive adim aform algebraic algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top azimuth background background_color backsubst berlefact bernstein_explicit besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest border boundaries_array box boxchar breakup %c capping cauchysum cbrange cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics colorbox columns commutative complex cone context contexts contour contour_levels cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp cube current_let_rule_package cylinder data_file_name debugmode decreasing default_let_rule_package delay dependencies derivabbrev derivsubst detout diagmetric diff dim dimensions dispflag display2d|10 display_format_internal distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart edge_color edge_coloring edge_partition edge_type edge_width %edispflag elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine factlim factorflag factorial_expand factors_only fb feature features file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10 file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color fill_density filled_func fixed_vertices flipflag float2bf font font_size fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both head_length head_type height hypergeometric_representation %iargs ibase icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued integrate_use_rootsof integration_constant integration_constant_counter interpolate_color intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10 maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties opsubst optimprefix optionset orientation origin orthopoly_returns_intervals outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart png_file pochhammer_max_index points pointsize point_size points_joined point_type poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list poly_secondary_elimination_order poly_top_reduction_only posfun position powerdisp pred prederror primep_number_of_tests product_use_gamma program programmode promote_float_to_bigfloat prompt proportional_axes props psexpand ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type show_vertices show_weight simp simplified_output simplify_products simpproduct simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch tr track transcompile transform transform_xy translate_fast_arrays transparent transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest",symbol:"_ __ %|0 %%|0"},contains:[{className:"comment",begin:"/\\*",end:"\\*/",contains:["self"]},e.QUOTE_STRING_MODE,{className:"number",relevance:0,variants:[{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Ee][-+]?\\d+\\b"},{begin:"\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Bb][-+]?\\d+\\b",relevance:10},{begin:"\\b(\\.\\d+|\\d+\\.\\d+)\\b"},{begin:"\\b(\\d+|0[0-9A-Za-z]+)\\.?\\b"}]}],illegal:/@/}}return Jh=n,Jh}var ef,PT;function s$e(){if(PT)return ef;PT=1;function n(e){return{name:"MEL",keywords:"int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform",illegal:"</",contains:[e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},{begin:/[$%@](\^\w\b|#\w+|[^\s\w{]|\{\w+\}|\w+)/},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}return ef=n,ef}var tf,UT;function r$e(){if(UT)return tf;UT=1;function n(e){const t={keyword:"module use_module import_module include_module end_module initialise mutable initialize finalize finalise interface implementation pred mode func type inst solver any_pred any_func is semidet det nondet multi erroneous failure cc_nondet cc_multi typeclass instance where pragma promise external trace atomic or_else require_complete_switch require_det require_semidet require_multi require_nondet require_cc_multi require_cc_nondet require_erroneous require_failure",meta:"inline no_inline type_spec source_file fact_table obsolete memo loop_check minimal_model terminates does_not_terminate check_termination promise_equivalent_clauses foreign_proc foreign_decl foreign_code foreign_type foreign_import_module foreign_export_enum foreign_export foreign_enum may_call_mercury will_not_call_mercury thread_safe not_thread_safe maybe_thread_safe promise_pure promise_semipure tabled_for_io local untrailed trailed attach_to_io_state can_pass_as_mercury_type stable will_not_throw_exception may_modify_trail will_not_modify_trail may_duplicate may_not_duplicate affects_liveness does_not_affect_liveness doesnt_affect_liveness no_sharing unknown_sharing sharing",built_in:"some all not if then else true fail false try catch catch_any semidet_true semidet_false semidet_fail impure_true impure semipure"},i=e.COMMENT("%","$"),s={className:"number",begin:"0'.\\|0[box][0-9a-fA-F]*"},r=e.inherit(e.APOS_STRING_MODE,{relevance:0}),o=e.inherit(e.QUOTE_STRING_MODE,{relevance:0}),a={className:"subst",begin:"\\\\[abfnrtv]\\|\\\\x[0-9a-fA-F]*\\\\\\|%[-+# *.0-9]*[dioxXucsfeEgGp]",relevance:0};return o.contains=o.contains.slice(),o.contains.push(a),{name:"Mercury",aliases:["m","moo"],keywords:t,contains:[{className:"built_in",variants:[{begin:"<=>"},{begin:"<=",relevance:0},{begin:"=>",relevance:0},{begin:"/\\\\"},{begin:"\\\\/"}]},{className:"built_in",variants:[{begin:":-\\|-->"},{begin:"=",relevance:0}]},i,e.C_BLOCK_COMMENT_MODE,s,e.NUMBER_MODE,r,o,{begin:/:-/},{begin:/\.$/}]}}return tf=n,tf}var nf,FT;function o$e(){if(FT)return nf;FT=1;function n(e){return{name:"MIPS Assembly",case_insensitive:!0,aliases:["mips"],keywords:{$pattern:"\\.?"+e.IDENT_RE,meta:".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg ",built_in:"$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 $16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 t0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 k0 k1 gp sp fp ra $f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 $f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt "},contains:[{className:"keyword",begin:"\\b(addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(\\.hb)?|jr(\\.hb)?|lbu?|lhu?|ll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|srlv?|subu?|sw[lr]?|xori?|wsbh|abs\\.[sd]|add\\.[sd]|alnv.ps|bc1[ft]l?|c\\.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et])\\.[sd]|(ceil|floor|round|trunc)\\.[lw]\\.[sd]|cfc1|cvt\\.d\\.[lsw]|cvt\\.l\\.[dsw]|cvt\\.ps\\.s|cvt\\.s\\.[dlw]|cvt\\.s\\.p[lu]|cvt\\.w\\.[dls]|div\\.[ds]|ldx?c1|luxc1|lwx?c1|madd\\.[sd]|mfc1|mov[fntz]?\\.[ds]|msub\\.[sd]|mth?c1|mul\\.[ds]|neg\\.[ds]|nmadd\\.[ds]|nmsub\\.[ds]|p[lu][lu]\\.ps|recip\\.fmt|r?sqrt\\.[ds]|sdx?c1|sub\\.[ds]|suxc1|swx?c1|break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|tlti?u?|tnei?|wait|wrpgpr)",end:"\\s"},e.COMMENT("[;#](?!\\s*$)","$"),e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"[^\\\\]'",relevance:0},{className:"title",begin:"\\|",end:"\\|",illegal:"\\n",relevance:0},{className:"number",variants:[{begin:"0x[0-9a-f]+"},{begin:"\\b-?\\d+"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"},{begin:"^\\s*[0-9]+:"},{begin:"[0-9]+[bf]"}],relevance:0}],illegal:/\//}}return nf=n,nf}var sf,BT;function a$e(){if(BT)return sf;BT=1;function n(e){return{name:"Mizar",keywords:"environ vocabularies notations constructors definitions registrations theorems schemes requirements begin end definition registration cluster existence pred func defpred deffunc theorem proof let take assume then thus hence ex for st holds consider reconsider such that and in provided of as from be being by means equals implies iff redefine define now not or attr is mode suppose per cases set thesis contradiction scheme reserve struct correctness compatibility coherence symmetry assymetry reflexivity irreflexivity connectedness uniqueness commutativity idempotence involutiveness projectivity",contains:[e.COMMENT("::","$")]}}return sf=n,sf}var rf,GT;function l$e(){if(GT)return rf;GT=1;function n(e){const t=e.regex,i=["abs","accept","alarm","and","atan2","bind","binmode","bless","break","caller","chdir","chmod","chomp","chop","chown","chr","chroot","close","closedir","connect","continue","cos","crypt","dbmclose","dbmopen","defined","delete","die","do","dump","each","else","elsif","endgrent","endhostent","endnetent","endprotoent","endpwent","endservent","eof","eval","exec","exists","exit","exp","fcntl","fileno","flock","for","foreach","fork","format","formline","getc","getgrent","getgrgid","getgrnam","gethostbyaddr","gethostbyname","gethostent","getlogin","getnetbyaddr","getnetbyname","getnetent","getpeername","getpgrp","getpriority","getprotobyname","getprotobynumber","getprotoent","getpwent","getpwnam","getpwuid","getservbyname","getservbyport","getservent","getsockname","getsockopt","given","glob","gmtime","goto","grep","gt","hex","if","index","int","ioctl","join","keys","kill","last","lc","lcfirst","length","link","listen","local","localtime","log","lstat","lt","ma","map","mkdir","msgctl","msgget","msgrcv","msgsnd","my","ne","next","no","not","oct","open","opendir","or","ord","our","pack","package","pipe","pop","pos","print","printf","prototype","push","q|0","qq","quotemeta","qw","qx","rand","read","readdir","readline","readlink","readpipe","recv","redo","ref","rename","require","reset","return","reverse","rewinddir","rindex","rmdir","say","scalar","seek","seekdir","select","semctl","semget","semop","send","setgrent","sethostent","setnetent","setpgrp","setpriority","setprotoent","setpwent","setservent","setsockopt","shift","shmctl","shmget","shmread","shmwrite","shutdown","sin","sleep","socket","socketpair","sort","splice","split","sprintf","sqrt","srand","stat","state","study","sub","substr","symlink","syscall","sysopen","sysread","sysseek","system","syswrite","tell","telldir","tie","tied","time","times","tr","truncate","uc","ucfirst","umask","undef","unless","unlink","unpack","unshift","untie","until","use","utime","values","vec","wait","waitpid","wantarray","warn","when","while","write","x|0","xor","y|0"],s=/[dualxmsipngr]{0,12}/,r={$pattern:/[\w.]+/,keyword:i.join(" ")},o={className:"subst",begin:"[$@]\\{",end:"\\}",keywords:r},a={begin:/->\{/,end:/\}/},l={variants:[{begin:/\$\d/},{begin:t.concat(/[$%@](\^\w\b|#\w+(::\w+)*|\{\w+\}|\w+(::\w*)*)/,"(?![A-Za-z])(?![@$%])")},{begin:/[$%@][^\s\w{]/,relevance:0}]},d=[e.BACKSLASH_ESCAPE,o,l],c=[/!/,/\//,/\|/,/\?/,/'/,/"/,/#/],_=(h,E,b="\\1")=>{const g=b==="\\1"?b:t.concat(b,E);return t.concat(t.concat("(?:",h,")"),E,/(?:\\.|[^\\\/])*?/,g,/(?:\\.|[^\\\/])*?/,b,s)},f=(h,E,b)=>t.concat(t.concat("(?:",h,")"),E,/(?:\\.|[^\\\/])*?/,b,s),m=[l,e.HASH_COMMENT_MODE,e.COMMENT(/^=\w/,/=cut/,{endsWithParent:!0}),a,{className:"string",contains:d,variants:[{begin:"q[qwxr]?\\s*\\(",end:"\\)",relevance:5},{begin:"q[qwxr]?\\s*\\[",end:"\\]",relevance:5},{begin:"q[qwxr]?\\s*\\{",end:"\\}",relevance:5},{begin:"q[qwxr]?\\s*\\|",end:"\\|",relevance:5},{begin:"q[qwxr]?\\s*<",end:">",relevance:5},{begin:"qw\\s+q",end:"q",relevance:5},{begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE]},{begin:'"',end:'"'},{begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},{begin:/\{\w+\}/,relevance:0},{begin:"-?\\w+\\s*=>",relevance:0}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},{begin:"(\\/\\/|"+e.RE_STARTERS_RE+"|\\b(split|return|print|reverse|grep)\\b)\\s*",keywords:"split return print reverse grep",relevance:0,contains:[e.HASH_COMMENT_MODE,{className:"regexp",variants:[{begin:_("s|tr|y",t.either(...c,{capture:!0}))},{begin:_("s|tr|y","\\(","\\)")},{begin:_("s|tr|y","\\[","\\]")},{begin:_("s|tr|y","\\{","\\}")}],relevance:2},{className:"regexp",variants:[{begin:/(m|qr)\/\//,relevance:0},{begin:f("(?:m|qr)?",/\//,/\//)},{begin:f("m|qr",t.either(...c,{capture:!0}),/\1/)},{begin:f("m|qr",/\(/,/\)/)},{begin:f("m|qr",/\[/,/\]/)},{begin:f("m|qr",/\{/,/\}/)}]}]},{className:"function",beginKeywords:"sub",end:"(\\s*\\(.*?\\))?[;{]",excludeEnd:!0,relevance:5,contains:[e.TITLE_MODE]},{begin:"-\\w\\b",relevance:0},{begin:"^__DATA__$",end:"^__END__$",subLanguage:"mojolicious",contains:[{begin:"^@@.*",end:"$",className:"comment"}]}];return o.contains=m,a.contains=m,{name:"Perl",aliases:["pl","pm"],keywords:r,contains:m}}return rf=n,rf}var of,zT;function c$e(){if(zT)return of;zT=1;function n(e){return{name:"Mojolicious",subLanguage:"xml",contains:[{className:"meta",begin:"^__(END|DATA)__$"},{begin:"^\\s*%{1,2}={0,2}",end:"$",subLanguage:"perl"},{begin:"<%{1,2}={0,2}",end:"={0,1}%>",subLanguage:"perl",excludeBegin:!0,excludeEnd:!0}]}}return of=n,of}var af,VT;function d$e(){if(VT)return af;VT=1;function n(e){const t={className:"number",relevance:0,variants:[{begin:"[$][a-fA-F0-9]+"},e.NUMBER_MODE]},i={variants:[{match:[/(function|method)/,/\s+/,e.UNDERSCORE_IDENT_RE]}],scope:{1:"keyword",3:"title.function"}},s={variants:[{match:[/(class|interface|extends|implements)/,/\s+/,e.UNDERSCORE_IDENT_RE]}],scope:{1:"keyword",3:"title.class"}};return{name:"Monkey",case_insensitive:!0,keywords:{keyword:["public","private","property","continue","exit","extern","new","try","catch","eachin","not","abstract","final","select","case","default","const","local","global","field","end","if","then","else","elseif","endif","while","wend","repeat","until","forever","for","to","step","next","return","module","inline","throw","import","and","or","shl","shr","mod"],built_in:["DebugLog","DebugStop","Error","Print","ACos","ACosr","ASin","ASinr","ATan","ATan2","ATan2r","ATanr","Abs","Abs","Ceil","Clamp","Clamp","Cos","Cosr","Exp","Floor","Log","Max","Max","Min","Min","Pow","Sgn","Sgn","Sin","Sinr","Sqrt","Tan","Tanr","Seed","PI","HALFPI","TWOPI"],literal:["true","false","null"]},illegal:/\/\*/,contains:[e.COMMENT("#rem","#end"),e.COMMENT("'","$",{relevance:0}),i,s,{className:"variable.language",begin:/\b(self|super)\b/},{className:"meta",begin:/\s*#/,end:"$",keywords:{keyword:"if else elseif endif end then"}},{match:[/^\s*/,/strict\b/],scope:{2:"meta"}},{beginKeywords:"alias",end:"=",contains:[e.UNDERSCORE_TITLE_MODE]},e.QUOTE_STRING_MODE,t]}}return af=n,af}var lf,HT;function u$e(){if(HT)return lf;HT=1;function n(e){const t={keyword:"if then not for in while do return else elseif break continue switch and or unless when class extends super local import export from using",literal:"true false nil",built_in:"_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug io math os package string table"},i="[A-Za-z$_][0-9A-Za-z$_]*",s={className:"subst",begin:/#\{/,end:/\}/,keywords:t},r=[e.inherit(e.C_NUMBER_MODE,{starts:{end:"(\\s*/)?",relevance:0}}),{className:"string",variants:[{begin:/'/,end:/'/,contains:[e.BACKSLASH_ESCAPE]},{begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,s]}]},{className:"built_in",begin:"@__"+e.IDENT_RE},{begin:"@"+e.IDENT_RE},{begin:e.IDENT_RE+"\\\\"+e.IDENT_RE}];s.contains=r;const o=e.inherit(e.TITLE_MODE,{begin:i}),a="(\\(.*\\)\\s*)?\\B[-=]>",l={className:"params",begin:"\\([^\\(]",returnBegin:!0,contains:[{begin:/\(/,end:/\)/,keywords:t,contains:["self"].concat(r)}]};return{name:"MoonScript",aliases:["moon"],keywords:t,illegal:/\/\*/,contains:r.concat([e.COMMENT("--","$"),{className:"function",begin:"^\\s*"+i+"\\s*=\\s*"+a,end:"[-=]>",returnBegin:!0,contains:[o,l]},{begin:/[\(,:=]\s*/,relevance:0,contains:[{className:"function",begin:a,end:"[-=]>",returnBegin:!0,contains:[l]}]},{className:"class",beginKeywords:"class",end:"$",illegal:/[:="\[\]]/,contains:[{beginKeywords:"extends",endsWithParent:!0,illegal:/[:="\[\]]/,contains:[o]},o]},{className:"name",begin:i+":",end:":",returnBegin:!0,returnEnd:!0,relevance:0}])}}return lf=n,lf}var cf,qT;function p$e(){if(qT)return cf;qT=1;function n(e){return{name:"N1QL",case_insensitive:!0,contains:[{beginKeywords:"build create index delete drop explain infer|10 insert merge prepare select update upsert|10",end:/;/,keywords:{keyword:["all","alter","analyze","and","any","array","as","asc","begin","between","binary","boolean","break","bucket","build","by","call","case","cast","cluster","collate","collection","commit","connect","continue","correlate","cover","create","database","dataset","datastore","declare","decrement","delete","derived","desc","describe","distinct","do","drop","each","element","else","end","every","except","exclude","execute","exists","explain","fetch","first","flatten","for","force","from","function","grant","group","gsi","having","if","ignore","ilike","in","include","increment","index","infer","inline","inner","insert","intersect","into","is","join","key","keys","keyspace","known","last","left","let","letting","like","limit","lsm","map","mapping","matched","materialized","merge","minus","namespace","nest","not","number","object","offset","on","option","or","order","outer","over","parse","partition","password","path","pool","prepare","primary","private","privilege","procedure","public","raw","realm","reduce","rename","return","returning","revoke","right","role","rollback","satisfies","schema","select","self","semi","set","show","some","start","statistics","string","system","then","to","transaction","trigger","truncate","under","union","unique","unknown","unnest","unset","update","upsert","use","user","using","validate","value","valued","values","via","view","when","where","while","with","within","work","xor"],literal:["true","false","null","missing|5"],built_in:["array_agg","array_append","array_concat","array_contains","array_count","array_distinct","array_ifnull","array_length","array_max","array_min","array_position","array_prepend","array_put","array_range","array_remove","array_repeat","array_replace","array_reverse","array_sort","array_sum","avg","count","max","min","sum","greatest","least","ifmissing","ifmissingornull","ifnull","missingif","nullif","ifinf","ifnan","ifnanorinf","naninf","neginfif","posinfif","clock_millis","clock_str","date_add_millis","date_add_str","date_diff_millis","date_diff_str","date_part_millis","date_part_str","date_trunc_millis","date_trunc_str","duration_to_str","millis","str_to_millis","millis_to_str","millis_to_utc","millis_to_zone_name","now_millis","now_str","str_to_duration","str_to_utc","str_to_zone_name","decode_json","encode_json","encoded_size","poly_length","base64","base64_encode","base64_decode","meta","uuid","abs","acos","asin","atan","atan2","ceil","cos","degrees","e","exp","ln","log","floor","pi","power","radians","random","round","sign","sin","sqrt","tan","trunc","object_length","object_names","object_pairs","object_inner_pairs","object_values","object_inner_values","object_add","object_put","object_remove","object_unwrap","regexp_contains","regexp_like","regexp_position","regexp_replace","contains","initcap","length","lower","ltrim","position","repeat","replace","rtrim","split","substr","title","trim","upper","isarray","isatom","isboolean","isnumber","isobject","isstring","type","toarray","toatom","toboolean","tonumber","toobject","tostring"]},contains:[{className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE]},{className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE]},{className:"symbol",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE]},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE]},e.C_BLOCK_COMMENT_MODE]}}return cf=n,cf}var df,YT;function _$e(){if(YT)return df;YT=1;function n(e){const t={match:[/^\s*(?=\S)/,/[^:]+/,/:\s*/,/$/],className:{2:"attribute",3:"punctuation"}},i={match:[/^\s*(?=\S)/,/[^:]*[^: ]/,/[ ]*:/,/[ ]/,/.*$/],className:{2:"attribute",3:"punctuation",5:"string"}},s={match:[/^\s*/,/>/,/[ ]/,/.*$/],className:{2:"punctuation",4:"string"}},r={variants:[{match:[/^\s*/,/-/,/[ ]/,/.*$/]},{match:[/^\s*/,/-$/]}],className:{2:"bullet",4:"string"}};return{name:"Nested Text",aliases:["nt"],contains:[e.inherit(e.HASH_COMMENT_MODE,{begin:/^\s*(?=#)/,excludeBegin:!0}),r,s,t,i]}}return df=n,df}var uf,$T;function h$e(){if($T)return uf;$T=1;function n(e){const t=e.regex,i={className:"variable",variants:[{begin:/\$\d+/},{begin:/\$\{\w+\}/},{begin:t.concat(/[$@]/,e.UNDERSCORE_IDENT_RE)}]},r={endsWithParent:!0,keywords:{$pattern:/[a-z_]{2,}|\/dev\/poll/,literal:["on","off","yes","no","true","false","none","blocked","debug","info","notice","warn","error","crit","select","break","last","permanent","redirect","kqueue","rtsig","epoll","poll","/dev/poll"]},relevance:0,illegal:"=>",contains:[e.HASH_COMMENT_MODE,{className:"string",contains:[e.BACKSLASH_ESCAPE,i],variants:[{begin:/"/,end:/"/},{begin:/'/,end:/'/}]},{begin:"([a-z]+):/",end:"\\s",endsWithParent:!0,excludeEnd:!0,contains:[i]},{className:"regexp",contains:[e.BACKSLASH_ESCAPE,i],variants:[{begin:"\\s\\^",end:"\\s|\\{|;",returnEnd:!0},{begin:"~\\*?\\s+",end:"\\s|\\{|;",returnEnd:!0},{begin:"\\*(\\.[a-z\\-]+)+"},{begin:"([a-z\\-]+\\.)+\\*"}]},{className:"number",begin:"\\b\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b"},{className:"number",begin:"\\b\\d+[kKmMgGdshdwy]?\\b",relevance:0},i]};return{name:"Nginx config",aliases:["nginxconf"],contains:[e.HASH_COMMENT_MODE,{beginKeywords:"upstream location",end:/;|\{/,contains:r.contains,keywords:{section:"upstream location"}},{className:"section",begin:t.concat(e.UNDERSCORE_IDENT_RE+t.lookahead(/\s+\{/)),relevance:0},{begin:t.lookahead(e.UNDERSCORE_IDENT_RE+"\\s"),end:";|\\{",contains:[{className:"attribute",begin:e.UNDERSCORE_IDENT_RE,starts:r}],relevance:0}],illegal:"[^\\s\\}\\{]"}}return uf=n,uf}var pf,WT;function f$e(){if(WT)return pf;WT=1;function n(e){return{name:"Nim",keywords:{keyword:["addr","and","as","asm","bind","block","break","case","cast","const","continue","converter","discard","distinct","div","do","elif","else","end","enum","except","export","finally","for","from","func","generic","guarded","if","import","in","include","interface","is","isnot","iterator","let","macro","method","mixin","mod","nil","not","notin","object","of","or","out","proc","ptr","raise","ref","return","shared","shl","shr","static","template","try","tuple","type","using","var","when","while","with","without","xor","yield"],literal:["true","false"],type:["int","int8","int16","int32","int64","uint","uint8","uint16","uint32","uint64","float","float32","float64","bool","char","string","cstring","pointer","expr","stmt","void","auto","any","range","array","openarray","varargs","seq","set","clong","culong","cchar","cschar","cshort","cint","csize","clonglong","cfloat","cdouble","clongdouble","cuchar","cushort","cuint","culonglong","cstringarray","semistatic"],built_in:["stdin","stdout","stderr","result"]},contains:[{className:"meta",begin:/\{\./,end:/\.\}/,relevance:10},{className:"string",begin:/[a-zA-Z]\w*"/,end:/"/,contains:[{begin:/""/}]},{className:"string",begin:/([a-zA-Z]\w*)?"""/,end:/"""/},e.QUOTE_STRING_MODE,{className:"type",begin:/\b[A-Z]\w+\b/,relevance:0},{className:"number",relevance:0,variants:[{begin:/\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/},{begin:/\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/},{begin:/\b(\d[_\d]*)('?[iIuUfF](8|16|32|64))?/}]},e.HASH_COMMENT_MODE]}}return pf=n,pf}var _f,KT;function m$e(){if(KT)return _f;KT=1;function n(e){const t={keyword:["rec","with","let","in","inherit","assert","if","else","then"],literal:["true","false","or","and","null"],built_in:["import","abort","baseNameOf","dirOf","isNull","builtins","map","removeAttrs","throw","toString","derivation"]},i={className:"subst",begin:/\$\{/,end:/\}/,keywords:t},s={className:"char.escape",begin:/''\$/},r={begin:/[a-zA-Z0-9-_]+(\s*=)/,returnBegin:!0,relevance:0,contains:[{className:"attr",begin:/\S+/,relevance:.2}]},o={className:"string",contains:[s,i],variants:[{begin:"''",end:"''"},{begin:'"',end:'"'}]},a=[e.NUMBER_MODE,e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,o,r];return i.contains=a,{name:"Nix",aliases:["nixos"],keywords:t,contains:a}}return _f=n,_f}var hf,jT;function g$e(){if(jT)return hf;jT=1;function n(e){return{name:"Node REPL",contains:[{className:"meta.prompt",starts:{end:/ |$/,starts:{end:"$",subLanguage:"javascript"}},variants:[{begin:/^>(?=[ ]|$)/},{begin:/^\.\.\.(?=[ ]|$)/}]}]}}return hf=n,hf}var ff,QT;function b$e(){if(QT)return ff;QT=1;function n(e){const t=e.regex,i=["ADMINTOOLS","APPDATA","CDBURN_AREA","CMDLINE","COMMONFILES32","COMMONFILES64","COMMONFILES","COOKIES","DESKTOP","DOCUMENTS","EXEDIR","EXEFILE","EXEPATH","FAVORITES","FONTS","HISTORY","HWNDPARENT","INSTDIR","INTERNET_CACHE","LANGUAGE","LOCALAPPDATA","MUSIC","NETHOOD","OUTDIR","PICTURES","PLUGINSDIR","PRINTHOOD","PROFILE","PROGRAMFILES32","PROGRAMFILES64","PROGRAMFILES","QUICKLAUNCH","RECENT","RESOURCES_LOCALIZED","RESOURCES","SENDTO","SMPROGRAMS","SMSTARTUP","STARTMENU","SYSDIR","TEMP","TEMPLATES","VIDEOS","WINDIR"],s=["ARCHIVE","FILE_ATTRIBUTE_ARCHIVE","FILE_ATTRIBUTE_NORMAL","FILE_ATTRIBUTE_OFFLINE","FILE_ATTRIBUTE_READONLY","FILE_ATTRIBUTE_SYSTEM","FILE_ATTRIBUTE_TEMPORARY","HKCR","HKCU","HKDD","HKEY_CLASSES_ROOT","HKEY_CURRENT_CONFIG","HKEY_CURRENT_USER","HKEY_DYN_DATA","HKEY_LOCAL_MACHINE","HKEY_PERFORMANCE_DATA","HKEY_USERS","HKLM","HKPD","HKU","IDABORT","IDCANCEL","IDIGNORE","IDNO","IDOK","IDRETRY","IDYES","MB_ABORTRETRYIGNORE","MB_DEFBUTTON1","MB_DEFBUTTON2","MB_DEFBUTTON3","MB_DEFBUTTON4","MB_ICONEXCLAMATION","MB_ICONINFORMATION","MB_ICONQUESTION","MB_ICONSTOP","MB_OK","MB_OKCANCEL","MB_RETRYCANCEL","MB_RIGHT","MB_RTLREADING","MB_SETFOREGROUND","MB_TOPMOST","MB_USERICON","MB_YESNO","NORMAL","OFFLINE","READONLY","SHCTX","SHELL_CONTEXT","SYSTEM|TEMPORARY"],r=["addincludedir","addplugindir","appendfile","assert","cd","define","delfile","echo","else","endif","error","execute","finalize","getdllversion","gettlbversion","if","ifdef","ifmacrodef","ifmacrondef","ifndef","include","insertmacro","macro","macroend","makensis","packhdr","searchparse","searchreplace","system","tempfile","undef","uninstfinalize","verbose","warning"],o={className:"variable.constant",begin:t.concat(/\$/,t.either(...i))},a={className:"variable",begin:/\$+\{[\!\w.:-]+\}/},l={className:"variable",begin:/\$+\w[\w\.]*/,illegal:/\(\)\{\}/},d={className:"variable",begin:/\$+\([\w^.:!-]+\)/},c={className:"params",begin:t.either(...s)},_={className:"keyword",begin:t.concat(/!/,t.either(...r))},f={className:"char.escape",begin:/\$(\\[nrt]|\$)/},m={className:"title.function",begin:/\w+::\w+/},h={className:"string",variants:[{begin:'"',end:'"'},{begin:"'",end:"'"},{begin:"`",end:"`"}],illegal:/\n/,contains:[f,o,a,l,d]},E=["Abort","AddBrandingImage","AddSize","AllowRootDirInstall","AllowSkipFiles","AutoCloseWindow","BGFont","BGGradient","BrandingText","BringToFront","Call","CallInstDLL","Caption","ChangeUI","CheckBitmap","ClearErrors","CompletedText","ComponentText","CopyFiles","CRCCheck","CreateDirectory","CreateFont","CreateShortCut","Delete","DeleteINISec","DeleteINIStr","DeleteRegKey","DeleteRegValue","DetailPrint","DetailsButtonText","DirText","DirVar","DirVerify","EnableWindow","EnumRegKey","EnumRegValue","Exch","Exec","ExecShell","ExecShellWait","ExecWait","ExpandEnvStrings","File","FileBufSize","FileClose","FileErrorText","FileOpen","FileRead","FileReadByte","FileReadUTF16LE","FileReadWord","FileWriteUTF16LE","FileSeek","FileWrite","FileWriteByte","FileWriteWord","FindClose","FindFirst","FindNext","FindWindow","FlushINI","GetCurInstType","GetCurrentAddress","GetDlgItem","GetDLLVersion","GetDLLVersionLocal","GetErrorLevel","GetFileTime","GetFileTimeLocal","GetFullPathName","GetFunctionAddress","GetInstDirError","GetKnownFolderPath","GetLabelAddress","GetTempFileName","GetWinVer","Goto","HideWindow","Icon","IfAbort","IfErrors","IfFileExists","IfRebootFlag","IfRtlLanguage","IfShellVarContextAll","IfSilent","InitPluginsDir","InstallButtonText","InstallColors","InstallDir","InstallDirRegKey","InstProgressFlags","InstType","InstTypeGetText","InstTypeSetText","Int64Cmp","Int64CmpU","Int64Fmt","IntCmp","IntCmpU","IntFmt","IntOp","IntPtrCmp","IntPtrCmpU","IntPtrOp","IsWindow","LangString","LicenseBkColor","LicenseData","LicenseForceSelection","LicenseLangString","LicenseText","LoadAndSetImage","LoadLanguageFile","LockWindow","LogSet","LogText","ManifestDPIAware","ManifestLongPathAware","ManifestMaxVersionTested","ManifestSupportedOS","MessageBox","MiscButtonText","Name|0","Nop","OutFile","Page","PageCallbacks","PEAddResource","PEDllCharacteristics","PERemoveResource","PESubsysVer","Pop","Push","Quit","ReadEnvStr","ReadINIStr","ReadRegDWORD","ReadRegStr","Reboot","RegDLL","Rename","RequestExecutionLevel","ReserveFile","Return","RMDir","SearchPath","SectionGetFlags","SectionGetInstTypes","SectionGetSize","SectionGetText","SectionIn","SectionSetFlags","SectionSetInstTypes","SectionSetSize","SectionSetText","SendMessage","SetAutoClose","SetBrandingImage","SetCompress","SetCompressor","SetCompressorDictSize","SetCtlColors","SetCurInstType","SetDatablockOptimize","SetDateSave","SetDetailsPrint","SetDetailsView","SetErrorLevel","SetErrors","SetFileAttributes","SetFont","SetOutPath","SetOverwrite","SetRebootFlag","SetRegView","SetShellVarContext","SetSilent","ShowInstDetails","ShowUninstDetails","ShowWindow","SilentInstall","SilentUnInstall","Sleep","SpaceTexts","StrCmp","StrCmpS","StrCpy","StrLen","SubCaption","Unicode","UninstallButtonText","UninstallCaption","UninstallIcon","UninstallSubCaption","UninstallText","UninstPage","UnRegDLL","Var","VIAddVersionKey","VIFileVersion","VIProductVersion","WindowIcon","WriteINIStr","WriteRegBin","WriteRegDWORD","WriteRegExpandStr","WriteRegMultiStr","WriteRegNone","WriteRegStr","WriteUninstaller","XPStyle"],b=["admin","all","auto","both","bottom","bzip2","colored","components","current","custom","directory","false","force","hide","highest","ifdiff","ifnewer","instfiles","lastused","leave","left","license","listonly","lzma","nevershow","none","normal","notset","off","on","open","print","right","show","silent","silentlog","smooth","textonly","top","true","try","un.components","un.custom","un.directory","un.instfiles","un.license","uninstConfirm","user","Win10","Win7","Win8","WinVista","zlib"],g={match:[/Function/,/\s+/,t.concat(/(\.)?/,e.IDENT_RE)],scope:{1:"keyword",3:"title.function"}},y={match:[/Var/,/\s+/,/(?:\/GLOBAL\s+)?/,/[A-Za-z][\w.]*/],scope:{1:"keyword",3:"params",4:"variable"}};return{name:"NSIS",case_insensitive:!0,keywords:{keyword:E,literal:b},contains:[e.HASH_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT(";","$",{relevance:0}),y,g,{beginKeywords:"Function PageEx Section SectionGroup FunctionEnd SectionEnd"},h,_,a,l,d,c,m,e.NUMBER_MODE]}}return ff=n,ff}var mf,XT;function E$e(){if(XT)return mf;XT=1;function n(e){const t={className:"built_in",begin:"\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\w+"},i=/[a-zA-Z@][a-zA-Z0-9_]*/,l={"variable.language":["this","super"],$pattern:i,keyword:["while","export","sizeof","typedef","const","struct","for","union","volatile","static","mutable","if","do","return","goto","enum","else","break","extern","asm","case","default","register","explicit","typename","switch","continue","inline","readonly","assign","readwrite","self","@synchronized","id","typeof","nonatomic","IBOutlet","IBAction","strong","weak","copy","in","out","inout","bycopy","byref","oneway","__strong","__weak","__block","__autoreleasing","@private","@protected","@public","@try","@property","@end","@throw","@catch","@finally","@autoreleasepool","@synthesize","@dynamic","@selector","@optional","@required","@encode","@package","@import","@defs","@compatibility_alias","__bridge","__bridge_transfer","__bridge_retained","__bridge_retain","__covariant","__contravariant","__kindof","_Nonnull","_Nullable","_Null_unspecified","__FUNCTION__","__PRETTY_FUNCTION__","__attribute__","getter","setter","retain","unsafe_unretained","nonnull","nullable","null_unspecified","null_resettable","class","instancetype","NS_DESIGNATED_INITIALIZER","NS_UNAVAILABLE","NS_REQUIRES_SUPER","NS_RETURNS_INNER_POINTER","NS_INLINE","NS_AVAILABLE","NS_DEPRECATED","NS_ENUM","NS_OPTIONS","NS_SWIFT_UNAVAILABLE","NS_ASSUME_NONNULL_BEGIN","NS_ASSUME_NONNULL_END","NS_REFINED_FOR_SWIFT","NS_SWIFT_NAME","NS_SWIFT_NOTHROW","NS_DURING","NS_HANDLER","NS_ENDHANDLER","NS_VALUERETURN","NS_VOIDRETURN"],literal:["false","true","FALSE","TRUE","nil","YES","NO","NULL"],built_in:["dispatch_once_t","dispatch_queue_t","dispatch_sync","dispatch_async","dispatch_once"],type:["int","float","char","unsigned","signed","short","long","double","wchar_t","unichar","void","bool","BOOL","id|0","_Bool"]},d={$pattern:i,keyword:["@interface","@class","@protocol","@implementation"]};return{name:"Objective-C",aliases:["mm","objc","obj-c","obj-c++","objective-c++"],keywords:l,illegal:"</",contains:[t,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.C_NUMBER_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,{className:"string",variants:[{begin:'@"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]}]},{className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:{keyword:"if else elif endif define undef warning error line pragma ifdef ifndef include"},contains:[{begin:/\\\n/,relevance:0},e.inherit(e.QUOTE_STRING_MODE,{className:"string"}),{className:"string",begin:/<.*?>/,end:/$/,illegal:"\\n"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"class",begin:"("+d.keyword.join("|")+")\\b",end:/(\{|$)/,excludeEnd:!0,keywords:d,contains:[e.UNDERSCORE_TITLE_MODE]},{begin:"\\."+e.UNDERSCORE_IDENT_RE,relevance:0}]}}return mf=n,mf}var gf,ZT;function v$e(){if(ZT)return gf;ZT=1;function n(e){return{name:"OCaml",aliases:["ml"],keywords:{$pattern:"[a-z_]\\w*!?",keyword:"and as assert asr begin class constraint do done downto else end exception external for fun function functor if in include inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method mod module mutable new object of open! open or private rec sig struct then to try type val! val virtual when while with parser value",built_in:"array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit in_channel out_channel ref",literal:"true false"},illegal:/\/\/|>>/,contains:[{className:"literal",begin:"\\[(\\|\\|)?\\]|\\(\\)",relevance:0},e.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*",relevance:0},e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/->/}]}}return gf=n,gf}var bf,JT;function y$e(){if(JT)return bf;JT=1;function n(e){const t={className:"keyword",begin:"\\$(f[asn]|t|vp[rtd]|children)"},i={className:"literal",begin:"false|true|PI|undef"},s={className:"number",begin:"\\b\\d+(\\.\\d+)?(e-?\\d+)?",relevance:0},r=e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),o={className:"meta",keywords:{keyword:"include use"},begin:"include|use <",end:">"},a={className:"params",begin:"\\(",end:"\\)",contains:["self",s,r,t,i]},l={begin:"[*!#%]",relevance:0},d={className:"function",beginKeywords:"module function",end:/=|\{/,contains:[a,e.UNDERSCORE_TITLE_MODE]};return{name:"OpenSCAD",aliases:["scad"],keywords:{keyword:"function module include use for intersection_for if else \\%",literal:"false true PI undef",built_in:"circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,s,o,r,t,l,d]}}return bf=n,bf}var Ef,ex;function S$e(){if(ex)return Ef;ex=1;function n(e){const t={$pattern:/\.?\w+/,keyword:"abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained"},i=e.COMMENT(/\{/,/\}/,{relevance:0}),s=e.COMMENT("\\(\\*","\\*\\)",{relevance:10}),r={className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},o={className:"string",begin:"(#\\d+)+"},a={beginKeywords:"function constructor destructor procedure method",end:"[:;]",keywords:"function constructor|10 destructor|10 procedure|10 method|10",contains:[e.inherit(e.TITLE_MODE,{scope:"title.function"}),{className:"params",begin:"\\(",end:"\\)",keywords:t,contains:[r,o]},i,s]},l={scope:"punctuation",match:/;/,relevance:0};return{name:"Oxygene",case_insensitive:!0,keywords:t,illegal:'("|\\$[G-Zg-z]|\\/\\*|</|=>|->)',contains:[i,s,e.C_LINE_COMMENT_MODE,r,o,e.NUMBER_MODE,a,l]}}return Ef=n,Ef}var vf,tx;function T$e(){if(tx)return vf;tx=1;function n(e){const t=e.COMMENT(/\{/,/\}/,{contains:["self"]});return{name:"Parser3",subLanguage:"xml",relevance:0,contains:[e.COMMENT("^#","$"),e.COMMENT(/\^rem\{/,/\}/,{relevance:10,contains:[t]}),{className:"meta",begin:"^@(?:BASE|USE|CLASS|OPTIONS)$",relevance:10},{className:"title",begin:"@[\\w\\-]+\\[[\\w^;\\-]*\\](?:\\[[\\w^;\\-]*\\])?(?:.*)$"},{className:"variable",begin:/\$\{?[\w\-.:]+\}?/},{className:"keyword",begin:/\^[\w\-.:]+/},{className:"number",begin:"\\^#[0-9a-fA-F]+"},e.C_NUMBER_MODE]}}return vf=n,vf}var yf,nx;function x$e(){if(nx)return yf;nx=1;function n(e){const t={className:"variable",begin:/\$[\w\d#@][\w\d_]*/,relevance:0},i={className:"variable",begin:/<(?!\/)/,end:/>/};return{name:"Packet Filter config",aliases:["pf.conf"],keywords:{$pattern:/[a-z0-9_<>-]+/,built_in:"block match pass load anchor|5 antispoof|10 set table",keyword:"in out log quick on rdomain inet inet6 proto from port os to route allow-opts divert-packet divert-reply divert-to flags group icmp-type icmp6-type label once probability recieved-on rtable prio queue tos tag tagged user keep fragment for os drop af-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robin source-hash static-port dup-to reply-to route-to parent bandwidth default min max qlimit block-policy debug fingerprints hostid limit loginterface optimization reassemble ruleset-optimization basic none profile skip state-defaults state-policy timeout const counters persist no modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppy source-track global rule max-src-nodes max-src-states max-src-conn max-src-conn-rate overload flush scrub|5 max-mss min-ttl no-df|10 random-id",literal:"all any no-route self urpf-failed egress|5 unknown"},contains:[e.HASH_COMMENT_MODE,e.NUMBER_MODE,e.QUOTE_STRING_MODE,t,i]}}return yf=n,yf}var Sf,ix;function C$e(){if(ix)return Sf;ix=1;function n(e){const t=e.COMMENT("--","$"),i="[a-zA-Z_][a-zA-Z_0-9$]*",s="\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\$",r="<<\\s*"+i+"\\s*>>",o="ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION INDEX PROCEDURE ASSERTION ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS DEFERRABLE RANGE DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED OF NOTHING NONE EXCLUDE ATTRIBUTE USAGE ROUTINES TRUE FALSE NAN INFINITY ",a="SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS ",l="ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT OPEN ",d="BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR NAME OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 ",c=d.trim().split(" ").map(function(b){return b.split("|")[0]}).join("|"),_="CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC ",f="FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 ",m="SQLSTATE SQLERRM|10 SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED INDEX_CORRUPTED ",E="ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP PERCENTILE_CONT PERCENTILE_DISC ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE NUM_NONNULLS NUM_NULLS ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT TRUNC WIDTH_BUCKET RANDOM SETSEED ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAP LEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR TO_ASCII TO_HEX TRANSLATE OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 TIMEOFDAY TRANSACTION_TIMESTAMP|10 ENUM_FIRST ENUM_LAST ENUM_RANGE AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILY INET_MERGE MACADDR8_SET7BIT ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA CURSOR_TO_XML CURSOR_TO_XMLSCHEMA SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA XMLATTRIBUTES TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY CURRVAL LASTVAL NEXTVAL SETVAL COALESCE NULLIF GREATEST LEAST ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY STRING_TO_ARRAY UNNEST ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE GENERATE_SERIES GENERATE_SUBSCRIPTS CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE GIN_CLEAN_PENDING_LIST SUPPRESS_REDUNDANT_UPDATES_TRIGGER LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE GROUPING CAST ".trim().split(" ").map(function(b){return b.split("|")[0]}).join("|");return{name:"PostgreSQL",aliases:["postgres","postgresql"],supersetOf:"sql",case_insensitive:!0,keywords:{keyword:o+l+a,built_in:_+f+m},illegal:/:==|\W\s*\(\*|(^|\s)\$[a-z]|\{\{|[a-z]:\s*$|\.\.\.|TO:|DO:/,contains:[{className:"keyword",variants:[{begin:/\bTEXT\s*SEARCH\b/},{begin:/\b(PRIMARY|FOREIGN|FOR(\s+NO)?)\s+KEY\b/},{begin:/\bPARALLEL\s+(UNSAFE|RESTRICTED|SAFE)\b/},{begin:/\bSTORAGE\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\b/},{begin:/\bMATCH\s+(FULL|PARTIAL|SIMPLE)\b/},{begin:/\bNULLS\s+(FIRST|LAST)\b/},{begin:/\bEVENT\s+TRIGGER\b/},{begin:/\b(MAPPING|OR)\s+REPLACE\b/},{begin:/\b(FROM|TO)\s+(PROGRAM|STDIN|STDOUT)\b/},{begin:/\b(SHARE|EXCLUSIVE)\s+MODE\b/},{begin:/\b(LEFT|RIGHT)\s+(OUTER\s+)?JOIN\b/},{begin:/\b(FETCH|MOVE)\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\b/},{begin:/\bPRESERVE\s+ROWS\b/},{begin:/\bDISCARD\s+PLANS\b/},{begin:/\bREFERENCING\s+(OLD|NEW)\b/},{begin:/\bSKIP\s+LOCKED\b/},{begin:/\bGROUPING\s+SETS\b/},{begin:/\b(BINARY|INSENSITIVE|SCROLL|NO\s+SCROLL)\s+(CURSOR|FOR)\b/},{begin:/\b(WITH|WITHOUT)\s+HOLD\b/},{begin:/\bWITH\s+(CASCADED|LOCAL)\s+CHECK\s+OPTION\b/},{begin:/\bEXCLUDE\s+(TIES|NO\s+OTHERS)\b/},{begin:/\bFORMAT\s+(TEXT|XML|JSON|YAML)\b/},{begin:/\bSET\s+((SESSION|LOCAL)\s+)?NAMES\b/},{begin:/\bIS\s+(NOT\s+)?UNKNOWN\b/},{begin:/\bSECURITY\s+LABEL\b/},{begin:/\bSTANDALONE\s+(YES|NO|NO\s+VALUE)\b/},{begin:/\bWITH\s+(NO\s+)?DATA\b/},{begin:/\b(FOREIGN|SET)\s+DATA\b/},{begin:/\bSET\s+(CATALOG|CONSTRAINTS)\b/},{begin:/\b(WITH|FOR)\s+ORDINALITY\b/},{begin:/\bIS\s+(NOT\s+)?DOCUMENT\b/},{begin:/\bXML\s+OPTION\s+(DOCUMENT|CONTENT)\b/},{begin:/\b(STRIP|PRESERVE)\s+WHITESPACE\b/},{begin:/\bNO\s+(ACTION|MAXVALUE|MINVALUE)\b/},{begin:/\bPARTITION\s+BY\s+(RANGE|LIST|HASH)\b/},{begin:/\bAT\s+TIME\s+ZONE\b/},{begin:/\bGRANTED\s+BY\b/},{begin:/\bRETURN\s+(QUERY|NEXT)\b/},{begin:/\b(ATTACH|DETACH)\s+PARTITION\b/},{begin:/\bFORCE\s+ROW\s+LEVEL\s+SECURITY\b/},{begin:/\b(INCLUDING|EXCLUDING)\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\b/},{begin:/\bAS\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\b/}]},{begin:/\b(FORMAT|FAMILY|VERSION)\s*\(/},{begin:/\bINCLUDE\s*\(/,keywords:"INCLUDE"},{begin:/\bRANGE(?!\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/},{begin:/\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\s*=/},{begin:/\b(PG_\w+?|HAS_[A-Z_]+_PRIVILEGE)\b/,relevance:10},{begin:/\bEXTRACT\s*\(/,end:/\bFROM\b/,returnEnd:!0,keywords:{type:"CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR TIMEZONE_MINUTE WEEK YEAR"}},{begin:/\b(XMLELEMENT|XMLPI)\s*\(\s*NAME/,keywords:{keyword:"NAME"}},{begin:/\b(XMLPARSE|XMLSERIALIZE)\s*\(\s*(DOCUMENT|CONTENT)/,keywords:{keyword:"DOCUMENT CONTENT"}},{beginKeywords:"CACHE INCREMENT MAXVALUE MINVALUE",end:e.C_NUMBER_RE,returnEnd:!0,keywords:"BY CACHE INCREMENT MAXVALUE MINVALUE"},{className:"type",begin:/\b(WITH|WITHOUT)\s+TIME\s+ZONE\b/},{className:"type",begin:/\bINTERVAL\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\s+TO\s+(MONTH|HOUR|MINUTE|SECOND))?\b/},{begin:/\bRETURNS\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\b/,keywords:{keyword:"RETURNS",type:"LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER"}},{begin:"\\b("+E+")\\s*\\("},{begin:"\\.("+c+")\\b"},{begin:"\\b("+c+")\\s+PATH\\b",keywords:{keyword:"PATH",type:d.replace("PATH ","")}},{className:"type",begin:"\\b("+c+")\\b"},{className:"string",begin:"'",end:"'",contains:[{begin:"''"}]},{className:"string",begin:"(e|E|u&|U&)'",end:"'",contains:[{begin:"\\\\."}],relevance:10},e.END_SAME_AS_BEGIN({begin:s,end:s,contains:[{subLanguage:["pgsql","perl","python","tcl","r","lua","java","php","ruby","bash","scheme","xml","json"],endsWithParent:!0}]}),{begin:'"',end:'"',contains:[{begin:'""'}]},e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,t,{className:"meta",variants:[{begin:"%(ROW)?TYPE",relevance:10},{begin:"\\$\\d+"},{begin:"^#\\w",end:"$"}]},{className:"symbol",begin:r,relevance:10}]}}return Sf=n,Sf}var Tf,sx;function R$e(){if(sx)return Tf;sx=1;function n(e){const t=e.regex,i=/(?![A-Za-z0-9])(?![$])/,s=t.concat(/[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/,i),r=t.concat(/(\\?[A-Z][a-z0-9_\x7f-\xff]+|\\?[A-Z]+(?=[A-Z][a-z0-9_\x7f-\xff])){1,}/,i),o={scope:"variable",match:"\\$+"+s},a={scope:"meta",variants:[{begin:/<\?php/,relevance:10},{begin:/<\?=/},{begin:/<\?/,relevance:.1},{begin:/\?>/}]},l={scope:"subst",variants:[{begin:/\$\w+/},{begin:/\{\$/,end:/\}/}]},d=e.inherit(e.APOS_STRING_MODE,{illegal:null}),c=e.inherit(e.QUOTE_STRING_MODE,{illegal:null,contains:e.QUOTE_STRING_MODE.contains.concat(l)}),_={begin:/<<<[ \t]*(?:(\w+)|"(\w+)")\n/,end:/[ \t]*(\w+)\b/,contains:e.QUOTE_STRING_MODE.contains.concat(l),"on:begin":(L,H)=>{H.data._beginMatch=L[1]||L[2]},"on:end":(L,H)=>{H.data._beginMatch!==L[1]&&H.ignoreMatch()}},f=e.END_SAME_AS_BEGIN({begin:/<<<[ \t]*'(\w+)'\n/,end:/[ \t]*(\w+)\b/}),m=`[
]`,h={scope:"string",variants:[c,d,_,f]},E={scope:"number",variants:[{begin:"\\b0[bB][01]+(?:_[01]+)*\\b"},{begin:"\\b0[oO][0-7]+(?:_[0-7]+)*\\b"},{begin:"\\b0[xX][\\da-fA-F]+(?:_[\\da-fA-F]+)*\\b"},{begin:"(?:\\b\\d+(?:_\\d+)*(\\.(?:\\d+(?:_\\d+)*))?|\\B\\.\\d+)(?:[eE][+-]?\\d+)?"}],relevance:0},b=["false","null","true"],g=["__CLASS__","__DIR__","__FILE__","__FUNCTION__","__COMPILER_HALT_OFFSET__","__LINE__","__METHOD__","__NAMESPACE__","__TRAIT__","die","echo","exit","include","include_once","print","require","require_once","array","abstract","and","as","binary","bool","boolean","break","callable","case","catch","class","clone","const","continue","declare","default","do","double","else","elseif","empty","enddeclare","endfor","endforeach","endif","endswitch","endwhile","enum","eval","extends","final","finally","float","for","foreach","from","global","goto","if","implements","instanceof","insteadof","int","integer","interface","isset","iterable","list","match|0","mixed","new","never","object","or","private","protected","public","readonly","real","return","string","switch","throw","trait","try","unset","use","var","void","while","xor","yield"],v=["Error|0","AppendIterator","ArgumentCountError","ArithmeticError","ArrayIterator","ArrayObject","AssertionError","BadFunctionCallException","BadMethodCallException","CachingIterator","CallbackFilterIterator","CompileError","Countable","DirectoryIterator","DivisionByZeroError","DomainException","EmptyIterator","ErrorException","Exception","FilesystemIterator","FilterIterator","GlobIterator","InfiniteIterator","InvalidArgumentException","IteratorIterator","LengthException","LimitIterator","LogicException","MultipleIterator","NoRewindIterator","OutOfBoundsException","OutOfRangeException","OuterIterator","OverflowException","ParentIterator","ParseError","RangeException","RecursiveArrayIterator","RecursiveCachingIterator","RecursiveCallbackFilterIterator","RecursiveDirectoryIterator","RecursiveFilterIterator","RecursiveIterator","RecursiveIteratorIterator","RecursiveRegexIterator","RecursiveTreeIterator","RegexIterator","RuntimeException","SeekableIterator","SplDoublyLinkedList","SplFileInfo","SplFileObject","SplFixedArray","SplHeap","SplMaxHeap","SplMinHeap","SplObjectStorage","SplObserver","SplPriorityQueue","SplQueue","SplStack","SplSubject","SplTempFileObject","TypeError","UnderflowException","UnexpectedValueException","UnhandledMatchError","ArrayAccess","BackedEnum","Closure","Fiber","Generator","Iterator","IteratorAggregate","Serializable","Stringable","Throwable","Traversable","UnitEnum","WeakReference","WeakMap","Directory","__PHP_Incomplete_Class","parent","php_user_filter","self","static","stdClass"],T={keyword:g,literal:(L=>{const H=[];return L.forEach(G=>{H.push(G),G.toLowerCase()===G?H.push(G.toUpperCase()):H.push(G.toLowerCase())}),H})(b),built_in:v},C=L=>L.map(H=>H.replace(/\|\d+$/,"")),x={variants:[{match:[/new/,t.concat(m,"+"),t.concat("(?!",C(v).join("\\b|"),"\\b)"),r],scope:{1:"keyword",4:"title.class"}}]},O=t.concat(s,"\\b(?!\\()"),R={variants:[{match:[t.concat(/::/,t.lookahead(/(?!class\b)/)),O],scope:{2:"variable.constant"}},{match:[/::/,/class/],scope:{2:"variable.language"}},{match:[r,t.concat(/::/,t.lookahead(/(?!class\b)/)),O],scope:{1:"title.class",3:"variable.constant"}},{match:[r,t.concat("::",t.lookahead(/(?!class\b)/))],scope:{1:"title.class"}},{match:[r,/::/,/class/],scope:{1:"title.class",3:"variable.language"}}]},S={scope:"attr",match:t.concat(s,t.lookahead(":"),t.lookahead(/(?!::)/))},A={relevance:0,begin:/\(/,end:/\)/,keywords:T,contains:[S,o,R,e.C_BLOCK_COMMENT_MODE,h,E,x]},U={relevance:0,match:[/\b/,t.concat("(?!fn\\b|function\\b|",C(g).join("\\b|"),"|",C(v).join("\\b|"),"\\b)"),s,t.concat(m,"*"),t.lookahead(/(?=\()/)],scope:{3:"title.function.invoke"},contains:[A]};A.contains.push(U);const F=[S,R,e.C_BLOCK_COMMENT_MODE,h,E,x],K={begin:t.concat(/#\[\s*/,r),beginScope:"meta",end:/]/,endScope:"meta",keywords:{literal:b,keyword:["new","array"]},contains:[{begin:/\[/,end:/]/,keywords:{literal:b,keyword:["new","array"]},contains:["self",...F]},...F,{scope:"meta",match:r}]};return{case_insensitive:!1,keywords:T,contains:[K,e.HASH_COMMENT_MODE,e.COMMENT("//","$"),e.COMMENT("/\\*","\\*/",{contains:[{scope:"doctag",match:"@[A-Za-z]+"}]}),{match:/__halt_compiler\(\);/,keywords:"__halt_compiler",starts:{scope:"comment",end:e.MATCH_NOTHING_RE,contains:[{match:/\?>/,scope:"meta",endsParent:!0}]}},a,{scope:"variable.language",match:/\$this\b/},o,U,R,{match:[/const/,/\s/,s],scope:{1:"keyword",3:"variable.constant"}},x,{scope:"function",relevance:0,beginKeywords:"fn function",end:/[;{]/,excludeEnd:!0,illegal:"[$%\\[]",contains:[{beginKeywords:"use"},e.UNDERSCORE_TITLE_MODE,{begin:"=>",endsParent:!0},{scope:"params",begin:"\\(",end:"\\)",excludeBegin:!0,excludeEnd:!0,keywords:T,contains:["self",o,R,e.C_BLOCK_COMMENT_MODE,h,E]}]},{scope:"class",variants:[{beginKeywords:"enum",illegal:/[($"]/},{beginKeywords:"class interface trait",illegal:/[:($"]/}],relevance:0,end:/\{/,excludeEnd:!0,contains:[{beginKeywords:"extends implements"},e.UNDERSCORE_TITLE_MODE]},{beginKeywords:"namespace",relevance:0,end:";",illegal:/[.']/,contains:[e.inherit(e.UNDERSCORE_TITLE_MODE,{scope:"title.class"})]},{beginKeywords:"use",relevance:0,end:";",contains:[{match:/\b(as|const|function)\b/,scope:"keyword"},e.UNDERSCORE_TITLE_MODE]},h,E]}}return Tf=n,Tf}var xf,rx;function A$e(){if(rx)return xf;rx=1;function n(e){return{name:"PHP template",subLanguage:"xml",contains:[{begin:/<\?(php|=)?/,end:/\?>/,subLanguage:"php",contains:[{begin:"/\\*",end:"\\*/",skip:!0},{begin:'b"',end:'"',skip:!0},{begin:"b'",end:"'",skip:!0},e.inherit(e.APOS_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null,className:null,contains:null,skip:!0})]}]}}return xf=n,xf}var Cf,ox;function w$e(){if(ox)return Cf;ox=1;function n(e){return{name:"Plain text",aliases:["text","txt"],disableAutodetect:!0}}return Cf=n,Cf}var Rf,ax;function N$e(){if(ax)return Rf;ax=1;function n(e){const t={keyword:"actor addressof and as be break class compile_error compile_intrinsic consume continue delegate digestof do else elseif embed end error for fun if ifdef in interface is isnt lambda let match new not object or primitive recover repeat return struct then trait try type until use var where while with xor",meta:"iso val tag trn box ref",literal:"this false true"},i={className:"string",begin:'"""',end:'"""',relevance:10},s={className:"string",begin:'"',end:'"',contains:[e.BACKSLASH_ESCAPE]},r={className:"string",begin:"'",end:"'",contains:[e.BACKSLASH_ESCAPE],relevance:0},o={className:"type",begin:"\\b_?[A-Z][\\w]*",relevance:0},a={begin:e.IDENT_RE+"'",relevance:0};return{name:"Pony",keywords:t,contains:[o,i,s,r,a,{className:"number",begin:"(-?)(\\b0[xX][a-fA-F0-9]+|\\b0[bB][01]+|(\\b\\d+(_\\d+)?(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",relevance:0},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}return Rf=n,Rf}var Af,lx;function O$e(){if(lx)return Af;lx=1;function n(e){const t=["string","char","byte","int","long","bool","decimal","single","double","DateTime","xml","array","hashtable","void"],i="Add|Clear|Close|Copy|Enter|Exit|Find|Format|Get|Hide|Join|Lock|Move|New|Open|Optimize|Pop|Push|Redo|Remove|Rename|Reset|Resize|Search|Select|Set|Show|Skip|Split|Step|Switch|Undo|Unlock|Watch|Backup|Checkpoint|Compare|Compress|Convert|ConvertFrom|ConvertTo|Dismount|Edit|Expand|Export|Group|Import|Initialize|Limit|Merge|Mount|Out|Publish|Restore|Save|Sync|Unpublish|Update|Approve|Assert|Build|Complete|Confirm|Deny|Deploy|Disable|Enable|Install|Invoke|Register|Request|Restart|Resume|Start|Stop|Submit|Suspend|Uninstall|Unregister|Wait|Debug|Measure|Ping|Repair|Resolve|Test|Trace|Connect|Disconnect|Read|Receive|Send|Write|Block|Grant|Protect|Revoke|Unblock|Unprotect|Use|ForEach|Sort|Tee|Where",s="-and|-as|-band|-bnot|-bor|-bxor|-casesensitive|-ccontains|-ceq|-cge|-cgt|-cle|-clike|-clt|-cmatch|-cne|-cnotcontains|-cnotlike|-cnotmatch|-contains|-creplace|-csplit|-eq|-exact|-f|-file|-ge|-gt|-icontains|-ieq|-ige|-igt|-ile|-ilike|-ilt|-imatch|-in|-ine|-inotcontains|-inotlike|-inotmatch|-ireplace|-is|-isnot|-isplit|-join|-le|-like|-lt|-match|-ne|-not|-notcontains|-notin|-notlike|-notmatch|-or|-regex|-replace|-shl|-shr|-split|-wildcard|-xor",r={$pattern:/-?[A-z\.\-]+\b/,keyword:"if else foreach return do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catch hidden static parameter",built_in:"ac asnp cat cd CFS chdir clc clear clhy cli clp cls clv cnsn compare copy cp cpi cpp curl cvpa dbp del diff dir dnsn ebp echo|0 epal epcsv epsn erase etsn exsn fc fhx fl ft fw gal gbp gc gcb gci gcm gcs gdr gerr ghy gi gin gjb gl gm gmo gp gps gpv group gsn gsnp gsv gtz gu gv gwmi h history icm iex ihy ii ipal ipcsv ipmo ipsn irm ise iwmi iwr kill lp ls man md measure mi mount move mp mv nal ndr ni nmo npssc nsn nv ogv oh popd ps pushd pwd r rbp rcjb rcsn rd rdr ren ri rjb rm rmdir rmo rni rnp rp rsn rsnp rujb rv rvpa rwmi sajb sal saps sasv sbp sc scb select set shcm si sl sleep sls sort sp spjb spps spsv start stz sujb sv swmi tee trcm type wget where wjb write"},o=/\w[\w\d]*((-)[\w\d]+)*/,a={begin:"`[\\s\\S]",relevance:0},l={className:"variable",variants:[{begin:/\$\B/},{className:"keyword",begin:/\$this/},{begin:/\$[\w\d][\w\d_:]*/}]},d={className:"literal",begin:/\$(null|true|false)\b/},c={className:"string",variants:[{begin:/"/,end:/"/},{begin:/@"/,end:/^"@/}],contains:[a,l,{className:"variable",begin:/\$[A-z]/,end:/[^A-z]/}]},_={className:"string",variants:[{begin:/'/,end:/'/},{begin:/@'/,end:/^'@/}]},f={className:"doctag",variants:[{begin:/\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/},{begin:/\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\s+\S+/}]},m=e.inherit(e.COMMENT(null,null),{variants:[{begin:/#/,end:/$/},{begin:/<#/,end:/#>/}],contains:[f]}),h={className:"built_in",variants:[{begin:"(".concat(i,")+(-)[\\w\\d]+")}]},E={className:"class",beginKeywords:"class enum",end:/\s*[{]/,excludeEnd:!0,relevance:0,contains:[e.TITLE_MODE]},b={className:"function",begin:/function\s+/,end:/\s*\{|$/,excludeEnd:!0,returnBegin:!0,relevance:0,contains:[{begin:"function",relevance:0,className:"keyword"},{className:"title",begin:o,relevance:0},{begin:/\(/,end:/\)/,className:"params",relevance:0,contains:[l]}]},g={begin:/using\s/,end:/$/,returnBegin:!0,contains:[c,_,{className:"keyword",begin:/(using|assembly|command|module|namespace|type)/}]},v={variants:[{className:"operator",begin:"(".concat(s,")\\b")},{className:"literal",begin:/(-){1,2}[\w\d-]+/,relevance:0}]},y={className:"selector-tag",begin:/@\B/,relevance:0},T={className:"function",begin:/\[.*\]\s*[\w]+[ ]??\(/,end:/$/,returnBegin:!0,relevance:0,contains:[{className:"keyword",begin:"(".concat(r.keyword.toString().replace(/\s/g,"|"),")\\b"),endsParent:!0,relevance:0},e.inherit(e.TITLE_MODE,{endsParent:!0})]},C=[T,m,a,e.NUMBER_MODE,c,_,h,l,d,y],x={begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[].concat("self",C,{begin:"("+t.join("|")+")",className:"built_in",relevance:0},{className:"type",begin:/[\.\w\d]+/,relevance:0})};return T.contains.unshift(x),{name:"PowerShell",aliases:["pwsh","ps","ps1"],case_insensitive:!0,keywords:r,contains:C.concat(E,b,g,v,x)}}return Af=n,Af}var wf,cx;function I$e(){if(cx)return wf;cx=1;function n(e){const t=e.regex,i=["displayHeight","displayWidth","mouseY","mouseX","mousePressed","pmouseX","pmouseY","key","keyCode","pixels","focused","frameCount","frameRate","height","width","size","createGraphics","beginDraw","createShape","loadShape","PShape","arc","ellipse","line","point","quad","rect","triangle","bezier","bezierDetail","bezierPoint","bezierTangent","curve","curveDetail","curvePoint","curveTangent","curveTightness","shape","shapeMode","beginContour","beginShape","bezierVertex","curveVertex","endContour","endShape","quadraticVertex","vertex","ellipseMode","noSmooth","rectMode","smooth","strokeCap","strokeJoin","strokeWeight","mouseClicked","mouseDragged","mouseMoved","mousePressed","mouseReleased","mouseWheel","keyPressed","keyPressedkeyReleased","keyTyped","print","println","save","saveFrame","day","hour","millis","minute","month","second","year","background","clear","colorMode","fill","noFill","noStroke","stroke","alpha","blue","brightness","color","green","hue","lerpColor","red","saturation","modelX","modelY","modelZ","screenX","screenY","screenZ","ambient","emissive","shininess","specular","add","createImage","beginCamera","camera","endCamera","frustum","ortho","perspective","printCamera","printProjection","cursor","frameRate","noCursor","exit","loop","noLoop","popStyle","pushStyle","redraw","binary","boolean","byte","char","float","hex","int","str","unbinary","unhex","join","match","matchAll","nf","nfc","nfp","nfs","split","splitTokens","trim","append","arrayCopy","concat","expand","reverse","shorten","sort","splice","subset","box","sphere","sphereDetail","createInput","createReader","loadBytes","loadJSONArray","loadJSONObject","loadStrings","loadTable","loadXML","open","parseXML","saveTable","selectFolder","selectInput","beginRaw","beginRecord","createOutput","createWriter","endRaw","endRecord","PrintWritersaveBytes","saveJSONArray","saveJSONObject","saveStream","saveStrings","saveXML","selectOutput","popMatrix","printMatrix","pushMatrix","resetMatrix","rotate","rotateX","rotateY","rotateZ","scale","shearX","shearY","translate","ambientLight","directionalLight","lightFalloff","lights","lightSpecular","noLights","normal","pointLight","spotLight","image","imageMode","loadImage","noTint","requestImage","tint","texture","textureMode","textureWrap","blend","copy","filter","get","loadPixels","set","updatePixels","blendMode","loadShader","PShaderresetShader","shader","createFont","loadFont","text","textFont","textAlign","textLeading","textMode","textSize","textWidth","textAscent","textDescent","abs","ceil","constrain","dist","exp","floor","lerp","log","mag","map","max","min","norm","pow","round","sq","sqrt","acos","asin","atan","atan2","cos","degrees","radians","sin","tan","noise","noiseDetail","noiseSeed","random","randomGaussian","randomSeed"],s=e.IDENT_RE,r={variants:[{match:t.concat(t.either(...i),t.lookahead(/\s*\(/)),className:"built_in"},{relevance:0,match:t.concat(/\b(?!for|if|while)/,s,t.lookahead(/\s*\(/)),className:"title.function"}]},o={match:[/new\s+/,s],className:{1:"keyword",2:"class.title"}},a={relevance:0,match:[/\./,s],className:{2:"property"}},l={variants:[{match:[/class/,/\s+/,s,/\s+/,/extends/,/\s+/,s]},{match:[/class/,/\s+/,s]}],className:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},d=["boolean","byte","char","color","double","float","int","long","short"],c=["BufferedReader","PVector","PFont","PImage","PGraphics","HashMap","String","Array","FloatDict","ArrayList","FloatList","IntDict","IntList","JSONArray","JSONObject","Object","StringDict","StringList","Table","TableRow","XML"];return{name:"Processing",aliases:["pde"],keywords:{keyword:[...["abstract","assert","break","case","catch","const","continue","default","else","enum","final","finally","for","if","import","instanceof","long","native","new","package","private","private","protected","protected","public","public","return","static","strictfp","switch","synchronized","throw","throws","transient","try","void","volatile","while"]],literal:"P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI null true false",title:"setup draw",variable:"super this",built_in:[...i,...c],type:d},contains:[l,o,r,a,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}return wf=n,wf}var Nf,dx;function M$e(){if(dx)return Nf;dx=1;function n(e){return{name:"Python profiler",contains:[e.C_NUMBER_MODE,{begin:"[a-zA-Z_][\\da-zA-Z_]+\\.[\\da-zA-Z_]{1,3}",end:":",excludeEnd:!0},{begin:"(ncalls|tottime|cumtime)",end:"$",keywords:"ncalls tottime|10 cumtime|10 filename",relevance:10},{begin:"function calls",end:"$",contains:[e.C_NUMBER_MODE],relevance:10},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"\\(",end:"\\)$",excludeBegin:!0,excludeEnd:!0,relevance:0}]}}return Nf=n,Nf}var Of,ux;function D$e(){if(ux)return Of;ux=1;function n(e){const t={begin:/[a-z][A-Za-z0-9_]*/,relevance:0},i={className:"symbol",variants:[{begin:/[A-Z][a-zA-Z0-9_]*/},{begin:/_[A-Za-z0-9_]*/}],relevance:0},s={begin:/\(/,end:/\)/,relevance:0},r={begin:/\[/,end:/\]/},o={className:"comment",begin:/%/,end:/$/,contains:[e.PHRASAL_WORDS_MODE]},a={className:"string",begin:/`/,end:/`/,contains:[e.BACKSLASH_ESCAPE]},l={className:"string",begin:/0'(\\'|.)/},d={className:"string",begin:/0'\\s/},_=[t,i,s,{begin:/:-/},r,o,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,a,l,d,e.C_NUMBER_MODE];return s.contains=_,r.contains=_,{name:"Prolog",contains:_.concat([{begin:/\.$/}])}}return Of=n,Of}var If,px;function k$e(){if(px)return If;px=1;function n(e){const t="[ \\t\\f]*",i="[ \\t\\f]+",s=t+"[:=]"+t,r=i,o="("+s+"|"+r+")",a="([^\\\\:= \\t\\f\\n]|\\\\.)+",l={end:o,relevance:0,starts:{className:"string",end:/$/,relevance:0,contains:[{begin:"\\\\\\\\"},{begin:"\\\\\\n"}]}};return{name:".properties",disableAutodetect:!0,case_insensitive:!0,illegal:/\S/,contains:[e.COMMENT("^\\s*[!#]","$"),{returnBegin:!0,variants:[{begin:a+s},{begin:a+r}],contains:[{className:"attr",begin:a,endsParent:!0}],starts:l},{className:"attr",begin:a+t+"$"}]}}return If=n,If}var Mf,_x;function L$e(){if(_x)return Mf;_x=1;function n(e){const t=["package","import","option","optional","required","repeated","group","oneof"],i=["double","float","int32","int64","uint32","uint64","sint32","sint64","fixed32","fixed64","sfixed32","sfixed64","bool","string","bytes"],s={match:[/(message|enum|service)\s+/,e.IDENT_RE],scope:{1:"keyword",2:"title.class"}};return{name:"Protocol Buffers",aliases:["proto"],keywords:{keyword:t,type:i,literal:["true","false"]},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,s,{className:"function",beginKeywords:"rpc",end:/[{;]/,excludeEnd:!0,keywords:"rpc returns"},{begin:/^\s*[A-Z_]+(?=\s*=[^\n]+;$)/}]}}return Mf=n,Mf}var Df,hx;function P$e(){if(hx)return Df;hx=1;function n(e){const t={keyword:"and case default else elsif false if in import enherits node or true undef unless main settings $string ",literal:"alias audit before loglevel noop require subscribe tag owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check en_address ip_address realname command environment hour monute month monthday special target weekday creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey sslverify mounted",built_in:"architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version"},i=e.COMMENT("#","$"),s="([A-Za-z_]|::)(\\w|::)*",r=e.inherit(e.TITLE_MODE,{begin:s}),o={className:"variable",begin:"\\$"+s},a={className:"string",contains:[e.BACKSLASH_ESCAPE,o],variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]};return{name:"Puppet",aliases:["pp"],contains:[i,o,a,{beginKeywords:"class",end:"\\{|;",illegal:/=/,contains:[r,i]},{beginKeywords:"define",end:/\{/,contains:[{className:"section",begin:e.IDENT_RE,endsParent:!0}]},{begin:e.IDENT_RE+"\\s+\\{",returnBegin:!0,end:/\S/,contains:[{className:"keyword",begin:e.IDENT_RE,relevance:.2},{begin:/\{/,end:/\}/,keywords:t,relevance:0,contains:[a,i,{begin:"[a-zA-Z_]+\\s*=>",returnBegin:!0,end:"=>",contains:[{className:"attr",begin:e.IDENT_RE}]},{className:"number",begin:"(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",relevance:0},o]}],relevance:0}]}}return Df=n,Df}var kf,fx;function U$e(){if(fx)return kf;fx=1;function n(e){const t={className:"string",begin:'(~)?"',end:'"',illegal:"\\n"},i={className:"symbol",begin:"#[a-zA-Z_]\\w*\\$?"};return{name:"PureBASIC",aliases:["pb","pbi"],keywords:"Align And Array As Break CallDebugger Case CompilerCase CompilerDefault CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount Map Module NewList NewMap Next Not Or Procedure ProcedureC ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim Read Repeat Restore Return Runtime Select Shared Static Step Structure StructureUnion Swap Threaded To UndefineMacro Until Until UnuseModule UseModule Wend While With XIncludeFile XOr",contains:[e.COMMENT(";","$",{relevance:0}),{className:"function",begin:"\\b(Procedure|Declare)(C|CDLL|DLL)?\\b",end:"\\(",excludeEnd:!0,returnBegin:!0,contains:[{className:"keyword",begin:"(Procedure|Declare)(C|CDLL|DLL)?",excludeEnd:!0},{className:"type",begin:"\\.\\w*"},e.UNDERSCORE_TITLE_MODE]},t,i]}}return kf=n,kf}var Lf,mx;function F$e(){if(mx)return Lf;mx=1;function n(e){const t=e.regex,i=/[\p{XID_Start}_]\p{XID_Continue}*/u,s=["and","as","assert","async","await","break","case","class","continue","def","del","elif","else","except","finally","for","from","global","if","import","in","is","lambda","match","nonlocal|10","not","or","pass","raise","return","try","while","with","yield"],l={$pattern:/[A-Za-z]\w+|__\w+__/,keyword:s,built_in:["__import__","abs","all","any","ascii","bin","bool","breakpoint","bytearray","bytes","callable","chr","classmethod","compile","complex","delattr","dict","dir","divmod","enumerate","eval","exec","filter","float","format","frozenset","getattr","globals","hasattr","hash","help","hex","id","input","int","isinstance","issubclass","iter","len","list","locals","map","max","memoryview","min","next","object","oct","open","ord","pow","print","property","range","repr","reversed","round","set","setattr","slice","sorted","staticmethod","str","sum","super","tuple","type","vars","zip"],literal:["__debug__","Ellipsis","False","None","NotImplemented","True"],type:["Any","Callable","Coroutine","Dict","List","Literal","Generic","Optional","Sequence","Set","Tuple","Type","Union"]},d={className:"meta",begin:/^(>>>|\.\.\.) /},c={className:"subst",begin:/\{/,end:/\}/,keywords:l,illegal:/#/},_={begin:/\{\{/,relevance:0},f={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE,d],relevance:10},{begin:/([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,d],relevance:10},{begin:/([fF][rR]|[rR][fF]|[fF])'''/,end:/'''/,contains:[e.BACKSLASH_ESCAPE,d,_,c]},{begin:/([fF][rR]|[rR][fF]|[fF])"""/,end:/"""/,contains:[e.BACKSLASH_ESCAPE,d,_,c]},{begin:/([uU]|[rR])'/,end:/'/,relevance:10},{begin:/([uU]|[rR])"/,end:/"/,relevance:10},{begin:/([bB]|[bB][rR]|[rR][bB])'/,end:/'/},{begin:/([bB]|[bB][rR]|[rR][bB])"/,end:/"/},{begin:/([fF][rR]|[rR][fF]|[fF])'/,end:/'/,contains:[e.BACKSLASH_ESCAPE,_,c]},{begin:/([fF][rR]|[rR][fF]|[fF])"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,_,c]},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},m="[0-9](_?[0-9])*",h=`(\\b(${m}))?\\.(${m})|\\b(${m})\\.`,E=`\\b|${s.join("|")}`,b={className:"number",relevance:0,variants:[{begin:`(\\b(${m})|(${h}))[eE][+-]?(${m})[jJ]?(?=${E})`},{begin:`(${h})[jJ]?`},{begin:`\\b([1-9](_?[0-9])*|0+(_?0)*)[lLjJ]?(?=${E})`},{begin:`\\b0[bB](_?[01])+[lL]?(?=${E})`},{begin:`\\b0[oO](_?[0-7])+[lL]?(?=${E})`},{begin:`\\b0[xX](_?[0-9a-fA-F])+[lL]?(?=${E})`},{begin:`\\b(${m})[jJ](?=${E})`}]},g={className:"comment",begin:t.lookahead(/# type:/),end:/$/,keywords:l,contains:[{begin:/# type:/},{begin:/#/,end:/\b\B/,endsWithParent:!0}]},v={className:"params",variants:[{className:"",begin:/\(\s*\)/,skip:!0},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:l,contains:["self",d,b,f,e.HASH_COMMENT_MODE]}]};return c.contains=[f,b,d],{name:"Python",aliases:["py","gyp","ipython"],unicodeRegex:!0,keywords:l,illegal:/(<\/|\?)|=>/,contains:[d,b,{begin:/\bself\b/},{beginKeywords:"if",relevance:0},f,g,e.HASH_COMMENT_MODE,{match:[/\bdef/,/\s+/,i],scope:{1:"keyword",3:"title.function"},contains:[v]},{variants:[{match:[/\bclass/,/\s+/,i,/\s*/,/\(\s*/,i,/\s*\)/]},{match:[/\bclass/,/\s+/,i]}],scope:{1:"keyword",3:"title.class",6:"title.class.inherited"}},{className:"meta",begin:/^[\t ]*@/,end:/(?=#)|$/,contains:[b,v,f]}]}}return Lf=n,Lf}var Pf,gx;function B$e(){if(gx)return Pf;gx=1;function n(e){return{aliases:["pycon"],contains:[{className:"meta.prompt",starts:{end:/ |$/,starts:{end:"$",subLanguage:"python"}},variants:[{begin:/^>>>(?=[ ]|$)/},{begin:/^\.\.\.(?=[ ]|$)/}]}]}}return Pf=n,Pf}var Uf,bx;function G$e(){if(bx)return Uf;bx=1;function n(e){return{name:"Q",aliases:["k","kdb"],keywords:{$pattern:/(`?)[A-Za-z0-9_]+\b/,keyword:"do while select delete by update from",literal:"0b 1b",built_in:"neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum",type:"`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid"},contains:[e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE]}}return Uf=n,Uf}var Ff,Ex;function z$e(){if(Ex)return Ff;Ex=1;function n(e){const t=e.regex,i={keyword:"in of on if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await import",literal:"true false null undefined NaN Infinity",built_in:"eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Behavior bool color coordinate date double enumeration font geocircle georectangle geoshape int list matrix4x4 parent point quaternion real rect size string url variant vector2d vector3d vector4d Promise"},s="[a-zA-Z_][a-zA-Z0-9\\._]*",r={className:"keyword",begin:"\\bproperty\\b",starts:{className:"string",end:"(:|=|;|,|//|/\\*|$)",returnEnd:!0}},o={className:"keyword",begin:"\\bsignal\\b",starts:{className:"string",end:"(\\(|:|=|;|,|//|/\\*|$)",returnEnd:!0}},a={className:"attribute",begin:"\\bid\\s*:",starts:{className:"string",end:s,returnEnd:!1}},l={begin:s+"\\s*:",returnBegin:!0,contains:[{className:"attribute",begin:s,end:"\\s*:",excludeEnd:!0,relevance:0}],relevance:0},d={begin:t.concat(s,/\s*\{/),end:/\{/,returnBegin:!0,relevance:0,contains:[e.inherit(e.TITLE_MODE,{begin:s})]};return{name:"QML",aliases:["qt"],case_insensitive:!1,keywords:i,contains:[{className:"meta",begin:/^\s*['"]use (strict|asm)['"]/},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,{className:"string",begin:"`",end:"`",contains:[e.BACKSLASH_ESCAPE,{className:"subst",begin:"\\$\\{",end:"\\}"}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"number",variants:[{begin:"\\b(0[bB][01]+)"},{begin:"\\b(0[oO][0-7]+)"},{begin:e.C_NUMBER_RE}],relevance:0},{begin:"("+e.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.REGEXP_MODE,{begin:/</,end:/>\s*[);\]]/,relevance:0,subLanguage:"xml"}],relevance:0},o,r,{className:"function",beginKeywords:"function",end:/\{/,excludeEnd:!0,contains:[e.inherit(e.TITLE_MODE,{begin:/[A-Za-z$_][0-9A-Za-z$_]*/}),{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}],illegal:/\[|%/},{begin:"\\."+e.IDENT_RE,relevance:0},a,l,d],illegal:/#/}}return Ff=n,Ff}var Bf,vx;function V$e(){if(vx)return Bf;vx=1;function n(e){const t=e.regex,i=/(?:(?:[a-zA-Z]|\.[._a-zA-Z])[._a-zA-Z0-9]*)|\.(?!\d)/,s=t.either(/0[xX][0-9a-fA-F]+\.[0-9a-fA-F]*[pP][+-]?\d+i?/,/0[xX][0-9a-fA-F]+(?:[pP][+-]?\d+)?[Li]?/,/(?:\d+(?:\.\d*)?|\.\d+)(?:[eE][+-]?\d+)?[Li]?/),r=/[=!<>:]=|\|\||&&|:::?|<-|<<-|->>|->|\|>|[-+*\/?!$&|:<=>@^~]|\*\*/,o=t.either(/[()]/,/[{}]/,/\[\[/,/[[\]]/,/\\/,/,/);return{name:"R",keywords:{$pattern:i,keyword:"function if in break next repeat else for while",literal:"NULL NA TRUE FALSE Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 NA_complex_|10",built_in:"LETTERS letters month.abb month.name pi T F abs acos acosh all any anyNA Arg as.call as.character as.complex as.double as.environment as.integer as.logical as.null.default as.numeric as.raw asin asinh atan atanh attr attributes baseenv browser c call ceiling class Conj cos cosh cospi cummax cummin cumprod cumsum digamma dim dimnames emptyenv exp expression floor forceAndCall gamma gc.time globalenv Im interactive invisible is.array is.atomic is.call is.character is.complex is.double is.environment is.expression is.finite is.function is.infinite is.integer is.language is.list is.logical is.matrix is.na is.name is.nan is.null is.numeric is.object is.pairlist is.raw is.recursive is.single is.symbol lazyLoadDBfetch length lgamma list log max min missing Mod names nargs nzchar oldClass on.exit pos.to.env proc.time prod quote range Re rep retracemem return round seq_along seq_len seq.int sign signif sin sinh sinpi sqrt standardGeneric substitute sum switch tan tanh tanpi tracemem trigamma trunc unclass untracemem UseMethod xtfrm"},contains:[e.COMMENT(/#'/,/$/,{contains:[{scope:"doctag",match:/@examples/,starts:{end:t.lookahead(t.either(/\n^#'\s*(?=@[a-zA-Z]+)/,/\n^(?!#')/)),endsParent:!0}},{scope:"doctag",begin:"@param",end:/$/,contains:[{scope:"variable",variants:[{match:i},{match:/`(?:\\.|[^`\\])+`/}],endsParent:!0}]},{scope:"doctag",match:/@[a-zA-Z]+/},{scope:"keyword",match:/\\[a-zA-Z]+/}]}),e.HASH_COMMENT_MODE,{scope:"string",contains:[e.BACKSLASH_ESCAPE],variants:[e.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\(/,end:/\)(-*)"/}),e.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\{/,end:/\}(-*)"/}),e.END_SAME_AS_BEGIN({begin:/[rR]"(-*)\[/,end:/\](-*)"/}),e.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\(/,end:/\)(-*)'/}),e.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\{/,end:/\}(-*)'/}),e.END_SAME_AS_BEGIN({begin:/[rR]'(-*)\[/,end:/\](-*)'/}),{begin:'"',end:'"',relevance:0},{begin:"'",end:"'",relevance:0}]},{relevance:0,variants:[{scope:{1:"operator",2:"number"},match:[r,s]},{scope:{1:"operator",2:"number"},match:[/%[^%]*%/,s]},{scope:{1:"punctuation",2:"number"},match:[o,s]},{scope:{2:"number"},match:[/[^a-zA-Z0-9._]|^/,s]}]},{scope:{3:"operator"},match:[i,/\s+/,/<-/,/\s+/]},{scope:"operator",relevance:0,variants:[{match:r},{match:/%[^%]*%/}]},{scope:"punctuation",relevance:0,match:o},{begin:"`",end:"`",contains:[{begin:/\\./}]}]}}return Bf=n,Bf}var Gf,yx;function H$e(){if(yx)return Gf;yx=1;function n(e){return{name:"ReasonML",aliases:["re"],keywords:{$pattern:/[a-z_]\w*!?/,keyword:["and","as","asr","assert","begin","class","constraint","do","done","downto","else","end","esfun","exception","external","for","fun","function","functor","if","in","include","inherit","initializer","land","lazy","let","lor","lsl","lsr","lxor","mod","module","mutable","new","nonrec","object","of","open","or","pri","pub","rec","sig","struct","switch","then","to","try","type","val","virtual","when","while","with"],built_in:["array","bool","bytes","char","exn|5","float","int","int32","int64","list","lazy_t|5","nativeint|5","ref","string","unit"],literal:["true","false"]},illegal:/(:-|:=|\$\{|\+=)/,contains:[{scope:"literal",match:/\[(\|\|)?\]|\(\)/,relevance:0},e.C_LINE_COMMENT_MODE,e.COMMENT(/\/\*/,/\*\//,{illegal:/^(#,\/\/)/}),{scope:"symbol",match:/\'[A-Za-z_](?!\')[\w\']*/},{scope:"type",match:/`[A-Z][\w\']*/},{scope:"type",match:/\b[A-Z][\w\']*/,relevance:0},{match:/[a-z_]\w*\'[\w\']*/,relevance:0},{scope:"operator",match:/\s+(\|\||\+[\+\.]?|\*[\*\/\.]?|\/[\.]?|\.\.\.|\|>|&&|===?)\s+/,relevance:0},e.inherit(e.APOS_STRING_MODE,{scope:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{scope:"number",variants:[{match:/\b0[xX][a-fA-F0-9_]+[Lln]?/},{match:/\b0[oO][0-7_]+[Lln]?/},{match:/\b0[bB][01_]+[Lln]?/},{match:/\b[0-9][0-9_]*([Lln]|(\.[0-9_]*)?([eE][-+]?[0-9_]+)?)/}],relevance:0}]}}return Gf=n,Gf}var zf,Sx;function q$e(){if(Sx)return zf;Sx=1;function n(e){return{name:"RenderMan RIB",keywords:"ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry Hider Hyperboloid Identity Illuminate Imager Interior LightSource MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd TransformPoints Translate TrimCurve WorldBegin WorldEnd",illegal:"</",contains:[e.HASH_COMMENT_MODE,e.C_NUMBER_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]}}return zf=n,zf}var Vf,Tx;function Y$e(){if(Tx)return Vf;Tx=1;function n(e){const t="[a-zA-Z-_][^\\n{]+\\{",i={className:"attribute",begin:/[a-zA-Z-_]+/,end:/\s*:/,excludeEnd:!0,starts:{end:";",relevance:0,contains:[{className:"variable",begin:/\.[a-zA-Z-_]+/},{className:"keyword",begin:/\(optional\)/}]}};return{name:"Roboconf",aliases:["graph","instances"],case_insensitive:!0,keywords:"import",contains:[{begin:"^facet "+t,end:/\}/,keywords:"facet",contains:[i,e.HASH_COMMENT_MODE]},{begin:"^\\s*instance of "+t,end:/\}/,keywords:"name count channels instance-data instance-state instance of",illegal:/\S/,contains:["self",i,e.HASH_COMMENT_MODE]},{begin:"^"+t,end:/\}/,contains:[i,e.HASH_COMMENT_MODE]},e.HASH_COMMENT_MODE]}}return Vf=n,Vf}var Hf,xx;function $$e(){if(xx)return Hf;xx=1;function n(e){const t="foreach do while for if from to step else on-error and or not in",i="global local beep delay put len typeof pick log time set find environment terminal error execute parse resolve toarray tobool toid toip toip6 tonum tostr totime",s="add remove enable disable set get print export edit find run debug error info warning",r="true false yes no nothing nil null",o="traffic-flow traffic-generator firewall scheduler aaa accounting address-list address align area bandwidth-server bfd bgp bridge client clock community config connection console customer default dhcp-client dhcp-server discovery dns e-mail ethernet filter firmware gps graphing group hardware health hotspot identity igmp-proxy incoming instance interface ip ipsec ipv6 irq l2tp-server lcd ldp logging mac-server mac-winbox mangle manual mirror mme mpls nat nd neighbor network note ntp ospf ospf-v3 ovpn-server page peer pim ping policy pool port ppp pppoe-client pptp-server prefix profile proposal proxy queue radius resource rip ripng route routing screen script security-profiles server service service-port settings shares smb sms sniffer snmp snooper socks sstp-server system tool tracking type upgrade upnp user-manager users user vlan secret vrrp watchdog web-access wireless pptp pppoe lan wan layer7-protocol lease simple raw",a={className:"variable",variants:[{begin:/\$[\w\d#@][\w\d_]*/},{begin:/\$\{(.*?)\}/}]},l={className:"string",begin:/"/,end:/"/,contains:[e.BACKSLASH_ESCAPE,a,{className:"variable",begin:/\$\(/,end:/\)/,contains:[e.BACKSLASH_ESCAPE]}]},d={className:"string",begin:/'/,end:/'/};return{name:"MikroTik RouterOS script",aliases:["mikrotik"],case_insensitive:!0,keywords:{$pattern:/:?[\w-]+/,literal:r,keyword:t+" :"+t.split(" ").join(" :")+" :"+i.split(" ").join(" :")},contains:[{variants:[{begin:/\/\*/,end:/\*\//},{begin:/\/\//,end:/$/},{begin:/<\//,end:/>/}],illegal:/./},e.COMMENT("^#","$"),l,d,a,{begin:/[\w-]+=([^\s{}[\]()>]+)/,relevance:0,returnBegin:!0,contains:[{className:"attribute",begin:/[^=]+/},{begin:/=/,endsWithParent:!0,relevance:0,contains:[l,d,a,{className:"literal",begin:"\\b("+r.split(" ").join("|")+")\\b"},{begin:/("[^"]*"|[^\s{}[\]]+)/}]}]},{className:"number",begin:/\*[0-9a-fA-F]+/},{begin:"\\b("+s.split(" ").join("|")+")([\\s[(\\]|])",returnBegin:!0,contains:[{className:"built_in",begin:/\w+/}]},{className:"built_in",variants:[{begin:"(\\.\\./|/|\\s)(("+o.split(" ").join("|")+");?\\s)+"},{begin:/\.\./,relevance:0}]}]}}return Hf=n,Hf}var qf,Cx;function W$e(){if(Cx)return qf;Cx=1;function n(e){const t=["abs","acos","ambient","area","asin","atan","atmosphere","attribute","calculatenormal","ceil","cellnoise","clamp","comp","concat","cos","degrees","depth","Deriv","diffuse","distance","Du","Dv","environment","exp","faceforward","filterstep","floor","format","fresnel","incident","length","lightsource","log","match","max","min","mod","noise","normalize","ntransform","opposite","option","phong","pnoise","pow","printf","ptlined","radians","random","reflect","refract","renderinfo","round","setcomp","setxcomp","setycomp","setzcomp","shadow","sign","sin","smoothstep","specular","specularbrdf","spline","sqrt","step","tan","texture","textureinfo","trace","transform","vtransform","xcomp","ycomp","zcomp"],i=["matrix","float","color","point","normal","vector"],s=["while","for","if","do","return","else","break","extern","continue"],r={match:[/(surface|displacement|light|volume|imager)/,/\s+/,e.IDENT_RE],scope:{1:"keyword",3:"title.class"}};return{name:"RenderMan RSL",keywords:{keyword:s,built_in:t,type:i},illegal:"</",contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.QUOTE_STRING_MODE,e.APOS_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"#",end:"$"},r,{beginKeywords:"illuminate illuminance gather",end:"\\("}]}}return qf=n,qf}var Yf,Rx;function K$e(){if(Rx)return Yf;Rx=1;function n(e){return{name:"Oracle Rules Language",keywords:{keyword:"BILL_PERIOD BILL_START BILL_STOP RS_EFFECTIVE_START RS_EFFECTIVE_STOP RS_JURIS_CODE RS_OPCO_CODE INTDADDATTRIBUTE|5 INTDADDVMSG|5 INTDBLOCKOP|5 INTDBLOCKOPNA|5 INTDCLOSE|5 INTDCOUNT|5 INTDCOUNTSTATUSCODE|5 INTDCREATEMASK|5 INTDCREATEDAYMASK|5 INTDCREATEFACTORMASK|5 INTDCREATEHANDLE|5 INTDCREATEOVERRIDEDAYMASK|5 INTDCREATEOVERRIDEMASK|5 INTDCREATESTATUSCODEMASK|5 INTDCREATETOUPERIOD|5 INTDDELETE|5 INTDDIPTEST|5 INTDEXPORT|5 INTDGETERRORCODE|5 INTDGETERRORMESSAGE|5 INTDISEQUAL|5 INTDJOIN|5 INTDLOAD|5 INTDLOADACTUALCUT|5 INTDLOADDATES|5 INTDLOADHIST|5 INTDLOADLIST|5 INTDLOADLISTDATES|5 INTDLOADLISTENERGY|5 INTDLOADLISTHIST|5 INTDLOADRELATEDCHANNEL|5 INTDLOADSP|5 INTDLOADSTAGING|5 INTDLOADUOM|5 INTDLOADUOMDATES|5 INTDLOADUOMHIST|5 INTDLOADVERSION|5 INTDOPEN|5 INTDREADFIRST|5 INTDREADNEXT|5 INTDRECCOUNT|5 INTDRELEASE|5 INTDREPLACE|5 INTDROLLAVG|5 INTDROLLPEAK|5 INTDSCALAROP|5 INTDSCALE|5 INTDSETATTRIBUTE|5 INTDSETDSTPARTICIPANT|5 INTDSETSTRING|5 INTDSETVALUE|5 INTDSETVALUESTATUS|5 INTDSHIFTSTARTTIME|5 INTDSMOOTH|5 INTDSORT|5 INTDSPIKETEST|5 INTDSUBSET|5 INTDTOU|5 INTDTOURELEASE|5 INTDTOUVALUE|5 INTDUPDATESTATS|5 INTDVALUE|5 STDEV INTDDELETEEX|5 INTDLOADEXACTUAL|5 INTDLOADEXCUT|5 INTDLOADEXDATES|5 INTDLOADEX|5 INTDLOADEXRELATEDCHANNEL|5 INTDSAVEEX|5 MVLOAD|5 MVLOADACCT|5 MVLOADACCTDATES|5 MVLOADACCTHIST|5 MVLOADDATES|5 MVLOADHIST|5 MVLOADLIST|5 MVLOADLISTDATES|5 MVLOADLISTHIST|5 IF FOR NEXT DONE SELECT END CALL ABORT CLEAR CHANNEL FACTOR LIST NUMBER OVERRIDE SET WEEK DISTRIBUTIONNODE ELSE WHEN THEN OTHERWISE IENUM CSV INCLUDE LEAVE RIDER SAVE DELETE NOVALUE SECTION WARN SAVE_UPDATE DETERMINANT LABEL REPORT REVENUE EACH IN FROM TOTAL CHARGE BLOCK AND OR CSV_FILE RATE_CODE AUXILIARY_DEMAND UIDACCOUNT RS BILL_PERIOD_SELECT HOURS_PER_MONTH INTD_ERROR_STOP SEASON_SCHEDULE_NAME ACCOUNTFACTOR ARRAYUPPERBOUND CALLSTOREDPROC GETADOCONNECTION GETCONNECT GETDATASOURCE GETQUALIFIER GETUSERID HASVALUE LISTCOUNT LISTOP LISTUPDATE LISTVALUE PRORATEFACTOR RSPRORATE SETBINPATH SETDBMONITOR WQ_OPEN BILLINGHOURS DATE DATEFROMFLOAT DATETIMEFROMSTRING DATETIMETOSTRING DATETOFLOAT DAY DAYDIFF DAYNAME DBDATETIME HOUR MINUTE MONTH MONTHDIFF MONTHHOURS MONTHNAME ROUNDDATE SAMEWEEKDAYLASTYEAR SECOND WEEKDAY WEEKDIFF YEAR YEARDAY YEARSTR COMPSUM HISTCOUNT HISTMAX HISTMIN HISTMINNZ HISTVALUE MAXNRANGE MAXRANGE MINRANGE COMPIKVA COMPKVA COMPKVARFROMKQKW COMPLF IDATTR FLAG LF2KW LF2KWH MAXKW POWERFACTOR READING2USAGE AVGSEASON MAXSEASON MONTHLYMERGE SEASONVALUE SUMSEASON ACCTREADDATES ACCTTABLELOAD CONFIGADD CONFIGGET CREATEOBJECT CREATEREPORT EMAILCLIENT EXPBLKMDMUSAGE EXPMDMUSAGE EXPORT_USAGE FACTORINEFFECT GETUSERSPECIFIEDSTOP INEFFECT ISHOLIDAY RUNRATE SAVE_PROFILE SETREPORTTITLE USEREXIT WATFORRUNRATE TO TABLE ACOS ASIN ATAN ATAN2 BITAND CEIL COS COSECANT COSH COTANGENT DIVQUOT DIVREM EXP FABS FLOOR FMOD FREPM FREXPN LOG LOG10 MAX MAXN MIN MINNZ MODF POW ROUND ROUND2VALUE ROUNDINT SECANT SIN SINH SQROOT TAN TANH FLOAT2STRING FLOAT2STRINGNC INSTR LEFT LEN LTRIM MID RIGHT RTRIM STRING STRINGNC TOLOWER TOUPPER TRIM NUMDAYS READ_DATE STAGING",built_in:"IDENTIFIER OPTIONS XML_ELEMENT XML_OP XML_ELEMENT_OF DOMDOCCREATE DOMDOCLOADFILE DOMDOCLOADXML DOMDOCSAVEFILE DOMDOCGETROOT DOMDOCADDPI DOMNODEGETNAME DOMNODEGETTYPE DOMNODEGETVALUE DOMNODEGETCHILDCT DOMNODEGETFIRSTCHILD DOMNODEGETSIBLING DOMNODECREATECHILDELEMENT DOMNODESETATTRIBUTE DOMNODEGETCHILDELEMENTCT DOMNODEGETFIRSTCHILDELEMENT DOMNODEGETSIBLINGELEMENT DOMNODEGETATTRIBUTECT DOMNODEGETATTRIBUTEI DOMNODEGETATTRIBUTEBYNAME DOMNODEGETBYNAME"},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"literal",variants:[{begin:"#\\s+",relevance:0},{begin:"#[a-zA-Z .]+"}]}]}}return Yf=n,Yf}var $f,Ax;function j$e(){if(Ax)return $f;Ax=1;function n(e){const t=e.regex,i={className:"title.function.invoke",relevance:0,begin:t.concat(/\b/,/(?!let|for|while|if|else|match\b)/,e.IDENT_RE,t.lookahead(/\s*\(/))},s="([ui](8|16|32|64|128|size)|f(32|64))?",r=["abstract","as","async","await","become","box","break","const","continue","crate","do","dyn","else","enum","extern","false","final","fn","for","if","impl","in","let","loop","macro","match","mod","move","mut","override","priv","pub","ref","return","self","Self","static","struct","super","trait","true","try","type","typeof","unsafe","unsized","use","virtual","where","while","yield"],o=["true","false","Some","None","Ok","Err"],a=["drop ","Copy","Send","Sized","Sync","Drop","Fn","FnMut","FnOnce","ToOwned","Clone","Debug","PartialEq","PartialOrd","Eq","Ord","AsRef","AsMut","Into","From","Default","Iterator","Extend","IntoIterator","DoubleEndedIterator","ExactSizeIterator","SliceConcatExt","ToString","assert!","assert_eq!","bitflags!","bytes!","cfg!","col!","concat!","concat_idents!","debug_assert!","debug_assert_eq!","env!","eprintln!","panic!","file!","format!","format_args!","include_bytes!","include_str!","line!","local_data_key!","module_path!","option_env!","print!","println!","select!","stringify!","try!","unimplemented!","unreachable!","vec!","write!","writeln!","macro_rules!","assert_ne!","debug_assert_ne!"],l=["i8","i16","i32","i64","i128","isize","u8","u16","u32","u64","u128","usize","f32","f64","str","char","bool","Box","Option","Result","String","Vec"];return{name:"Rust",aliases:["rs"],keywords:{$pattern:e.IDENT_RE+"!?",type:l,keyword:r,literal:o,built_in:a},illegal:"</",contains:[e.C_LINE_COMMENT_MODE,e.COMMENT("/\\*","\\*/",{contains:["self"]}),e.inherit(e.QUOTE_STRING_MODE,{begin:/b?"/,illegal:null}),{className:"string",variants:[{begin:/b?r(#*)"(.|\n)*?"\1(?!#)/},{begin:/b?'\\?(x\w{2}|u\w{4}|U\w{8}|.)'/}]},{className:"symbol",begin:/'[a-zA-Z_][a-zA-Z0-9_]*/},{className:"number",variants:[{begin:"\\b0b([01_]+)"+s},{begin:"\\b0o([0-7_]+)"+s},{begin:"\\b0x([A-Fa-f0-9_]+)"+s},{begin:"\\b(\\d[\\d_]*(\\.[0-9_]+)?([eE][+-]?[0-9_]+)?)"+s}],relevance:0},{begin:[/fn/,/\s+/,e.UNDERSCORE_IDENT_RE],className:{1:"keyword",3:"title.function"}},{className:"meta",begin:"#!?\\[",end:"\\]",contains:[{className:"string",begin:/"/,end:/"/}]},{begin:[/let/,/\s+/,/(?:mut\s+)?/,e.UNDERSCORE_IDENT_RE],className:{1:"keyword",3:"keyword",4:"variable"}},{begin:[/for/,/\s+/,e.UNDERSCORE_IDENT_RE,/\s+/,/in/],className:{1:"keyword",3:"variable",5:"keyword"}},{begin:[/type/,/\s+/,e.UNDERSCORE_IDENT_RE],className:{1:"keyword",3:"title.class"}},{begin:[/(?:trait|enum|struct|union|impl|for)/,/\s+/,e.UNDERSCORE_IDENT_RE],className:{1:"keyword",3:"title.class"}},{begin:e.IDENT_RE+"::",keywords:{keyword:"Self",built_in:a,type:l}},{className:"punctuation",begin:"->"},i]}}return $f=n,$f}var Wf,wx;function Q$e(){if(wx)return Wf;wx=1;function n(e){const t=e.regex,i=["do","if","then","else","end","until","while","abort","array","attrib","by","call","cards","cards4","catname","continue","datalines","datalines4","delete","delim","delimiter","display","dm","drop","endsas","error","file","filename","footnote","format","goto","in","infile","informat","input","keep","label","leave","length","libname","link","list","lostcard","merge","missing","modify","options","output","out","page","put","redirect","remove","rename","replace","retain","return","select","set","skip","startsas","stop","title","update","waitsas","where","window","x|0","systask","add","and","alter","as","cascade","check","create","delete","describe","distinct","drop","foreign","from","group","having","index","insert","into","in","key","like","message","modify","msgtype","not","null","on","or","order","primary","references","reset","restrict","select","set","table","unique","update","validate","view","where"],s=["abs","addr","airy","arcos","arsin","atan","attrc","attrn","band","betainv","blshift","bnot","bor","brshift","bxor","byte","cdf","ceil","cexist","cinv","close","cnonct","collate","compbl","compound","compress","cos","cosh","css","curobs","cv","daccdb","daccdbsl","daccsl","daccsyd","dacctab","dairy","date","datejul","datepart","datetime","day","dclose","depdb","depdbsl","depdbsl","depsl","depsl","depsyd","depsyd","deptab","deptab","dequote","dhms","dif","digamma","dim","dinfo","dnum","dopen","doptname","doptnum","dread","dropnote","dsname","erf","erfc","exist","exp","fappend","fclose","fcol","fdelete","fetch","fetchobs","fexist","fget","fileexist","filename","fileref","finfo","finv","fipname","fipnamel","fipstate","floor","fnonct","fnote","fopen","foptname","foptnum","fpoint","fpos","fput","fread","frewind","frlen","fsep","fuzz","fwrite","gaminv","gamma","getoption","getvarc","getvarn","hbound","hms","hosthelp","hour","ibessel","index","indexc","indexw","input","inputc","inputn","int","intck","intnx","intrr","irr","jbessel","juldate","kurtosis","lag","lbound","left","length","lgamma","libname","libref","log","log10","log2","logpdf","logpmf","logsdf","lowcase","max","mdy","mean","min","minute","mod","month","mopen","mort","n","netpv","nmiss","normal","note","npv","open","ordinal","pathname","pdf","peek","peekc","pmf","point","poisson","poke","probbeta","probbnml","probchi","probf","probgam","probhypr","probit","probnegb","probnorm","probt","put","putc","putn","qtr","quote","ranbin","rancau","ranexp","rangam","range","rank","rannor","ranpoi","rantbl","rantri","ranuni","repeat","resolve","reverse","rewind","right","round","saving","scan","sdf","second","sign","sin","sinh","skewness","soundex","spedis","sqrt","std","stderr","stfips","stname","stnamel","substr","sum","symget","sysget","sysmsg","sysprod","sysrc","system","tan","tanh","time","timepart","tinv","tnonct","today","translate","tranwrd","trigamma","trim","trimn","trunc","uniform","upcase","uss","var","varfmt","varinfmt","varlabel","varlen","varname","varnum","varray","varrayx","vartype","verify","vformat","vformatd","vformatdx","vformatn","vformatnx","vformatw","vformatwx","vformatx","vinarray","vinarrayx","vinformat","vinformatd","vinformatdx","vinformatn","vinformatnx","vinformatw","vinformatwx","vinformatx","vlabel","vlabelx","vlength","vlengthx","vname","vnamex","vtype","vtypex","weekday","year","yyq","zipfips","zipname","zipnamel","zipstate"],r=["bquote","nrbquote","cmpres","qcmpres","compstor","datatyp","display","do","else","end","eval","global","goto","if","index","input","keydef","label","left","length","let","local","lowcase","macro","mend","nrbquote","nrquote","nrstr","put","qcmpres","qleft","qlowcase","qscan","qsubstr","qsysfunc","qtrim","quote","qupcase","scan","str","substr","superq","syscall","sysevalf","sysexec","sysfunc","sysget","syslput","sysprod","sysrc","sysrput","then","to","trim","unquote","until","upcase","verify","while","window"];return{name:"SAS",case_insensitive:!0,keywords:{literal:["null","missing","_all_","_automatic_","_character_","_infile_","_n_","_name_","_null_","_numeric_","_user_","_webout_"],keyword:i},contains:[{className:"keyword",begin:/^\s*(proc [\w\d_]+|data|run|quit)[\s;]/},{className:"variable",begin:/&[a-zA-Z_&][a-zA-Z0-9_]*\.?/},{begin:[/^\s*/,/datalines;|cards;/,/(?:.*\n)+/,/^\s*;\s*$/],className:{2:"keyword",3:"string"}},{begin:[/%mend|%macro/,/\s+/,/[a-zA-Z_&][a-zA-Z0-9_]*/],className:{1:"built_in",3:"title.function"}},{className:"built_in",begin:"%"+t.either(...r)},{className:"title.function",begin:/%[a-zA-Z_][a-zA-Z_0-9]*/},{className:"meta",begin:t.either(...s)+"(?=\\()"},{className:"string",variants:[e.APOS_STRING_MODE,e.QUOTE_STRING_MODE]},e.COMMENT("\\*",";"),e.C_BLOCK_COMMENT_MODE]}}return Wf=n,Wf}var Kf,Nx;function X$e(){if(Nx)return Kf;Nx=1;function n(e){const t=e.regex,i={className:"meta",begin:"@[A-Za-z]+"},s={className:"subst",variants:[{begin:"\\$[A-Za-z0-9_]+"},{begin:/\$\{/,end:/\}/}]},r={className:"string",variants:[{begin:'"""',end:'"""'},{begin:'"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE]},{begin:'[a-z]+"',end:'"',illegal:"\\n",contains:[e.BACKSLASH_ESCAPE,s]},{className:"string",begin:'[a-z]+"""',end:'"""',contains:[s],relevance:10}]},o={className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},a={className:"title",begin:/[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/,relevance:0},l={className:"class",beginKeywords:"class object trait type",end:/[:={\[\n;]/,excludeEnd:!0,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{beginKeywords:"extends with",relevance:10},{begin:/\[/,end:/\]/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[o,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},{className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,relevance:0,contains:[o,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]},a]},d={className:"function",beginKeywords:"def",end:t.lookahead(/[:={\[(\n;]/),contains:[a]},c={begin:[/^\s*/,"extension",/\s+(?=[[(])/],beginScope:{2:"keyword"}},_={begin:[/^\s*/,/end/,/\s+/,/(extension\b)?/],beginScope:{2:"keyword",4:"keyword"}},f=[{match:/\.inline\b/},{begin:/\binline(?=\s)/,keywords:"inline"}],m={begin:[/\(\s*/,/using/,/\s+(?!\))/],beginScope:{2:"keyword"}};return{name:"Scala",keywords:{literal:"true false null",keyword:"type yield lazy override def with val var sealed abstract private trait object if then forSome for while do throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit export enum given transparent"},contains:[{begin:["//>",/\s+/,/using/,/\s+/,/\S+/],beginScope:{1:"comment",3:"keyword",5:"type"},end:/$/,contains:[{className:"string",begin:/\S+/}]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,r,o,d,l,e.C_NUMBER_MODE,c,_,...f,m,i]}}return Kf=n,Kf}var jf,Ox;function Z$e(){if(Ox)return jf;Ox=1;function n(e){const t="[^\\(\\)\\[\\]\\{\\}\",'`;#|\\\\\\s]+",i="(-|\\+)?\\d+([./]\\d+)?",s=i+"[+\\-]"+i+"i",r={$pattern:t,built_in:"case-lambda call/cc class define-class exit-handler field import inherit init-field interface let*-values let-values let/ec mixin opt-lambda override protect provide public rename require require-for-syntax syntax syntax-case syntax-error unit/sig unless when with-syntax and begin call-with-current-continuation call-with-input-file call-with-output-file case cond define define-syntax delay do dynamic-wind else for-each if lambda let let* let-syntax letrec letrec-syntax map or syntax-rules ' * + , ,@ - ... / ; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan boolean? caar cadr call-with-input-file call-with-output-file call-with-values car cdddar cddddr cdr ceiling char->integer char-alphabetic? char-ci<=? char-ci<? char-ci=? char-ci>=? char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase char-upper-case? char-whitespace? char<=? char<? char=? char>=? char>? char? close-input-port close-output-port complex? cons cos current-input-port current-output-port denominator display eof-object? eq? equal? eqv? eval even? exact->inexact exact? exp expt floor force gcd imag-part inexact->exact inexact? input-port? integer->char integer? interaction-environment lcm length list list->string list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular make-string make-vector max member memq memv min modulo negative? newline not null-environment null? number->string number? numerator odd? open-input-file open-output-file output-port? pair? peek-char port? positive? procedure? quasiquote quote quotient rational? rationalize read read-char real-part real? remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt string string->list string->number string->symbol string-append string-ci<=? string-ci<? string-ci=? string-ci>=? string-ci>? string-copy string-fill! string-length string-ref string-set! string<=? string<? string=? string>=? string>? string? substring symbol->string symbol? tan transcript-off transcript-on truncate values vector vector->list vector-fill! vector-length vector-ref vector-set! with-input-from-file with-output-to-file write write-char zero?"},o={className:"literal",begin:"(#t|#f|#\\\\"+t+"|#\\\\.)"},a={className:"number",variants:[{begin:i,relevance:0},{begin:s,relevance:0},{begin:"#b[0-1]+(/[0-1]+)?"},{begin:"#o[0-7]+(/[0-7]+)?"},{begin:"#x[0-9a-f]+(/[0-9a-f]+)?"}]},l=e.QUOTE_STRING_MODE,d=[e.COMMENT(";","$",{relevance:0}),e.COMMENT("#\\|","\\|#")],c={begin:t,relevance:0},_={className:"symbol",begin:"'"+t},f={endsWithParent:!0,relevance:0},m={variants:[{begin:/'/},{begin:"`"}],contains:[{begin:"\\(",end:"\\)",contains:["self",o,l,a,c,_]}]},h={className:"name",relevance:0,begin:t,keywords:r},b={variants:[{begin:"\\(",end:"\\)"},{begin:"\\[",end:"\\]"}],contains:[{begin:/lambda/,endsWithParent:!0,returnBegin:!0,contains:[h,{endsParent:!0,variants:[{begin:/\(/,end:/\)/},{begin:/\[/,end:/\]/}],contains:[c]}]},h,f]};return f.contains=[o,a,l,c,_,m,b].concat(d),{name:"Scheme",aliases:["scm"],illegal:/\S/,contains:[e.SHEBANG(),a,l,_,m,b].concat(d)}}return jf=n,jf}var Qf,Ix;function J$e(){if(Ix)return Qf;Ix=1;function n(e){const t=[e.C_NUMBER_MODE,{className:"string",begin:`'|"`,end:`'|"`,contains:[e.BACKSLASH_ESCAPE,{begin:"''"}]}];return{name:"Scilab",aliases:["sci"],keywords:{$pattern:/%?\w+/,keyword:"abort break case clear catch continue do elseif else endfunction end for function global if pause return resume select try then while",literal:"%f %F %t %T %pi %eps %inf %nan %e %i %z %s",built_in:"abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan type typename warning zeros matrix"},illegal:'("|#|/\\*|\\s+/\\w+)',contains:[{className:"function",beginKeywords:"function",end:"$",contains:[e.UNDERSCORE_TITLE_MODE,{className:"params",begin:"\\(",end:"\\)"}]},{begin:"[a-zA-Z_][a-zA-Z_0-9]*[\\.']+",relevance:0},{begin:"\\[",end:"\\][\\.']*",relevance:0,contains:t},e.COMMENT("//","$")].concat(t)}}return Qf=n,Qf}var Xf,Mx;function eWe(){if(Mx)return Xf;Mx=1;const n=a=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:a.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:a.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),e=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],t=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"],i=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"],s=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"],r=["align-content","align-items","align-self","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","isolation","justify-content","left","letter-spacing","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","resize","rest","rest-after","rest-before","right","row-gap","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","speak","speak-as","src","tab-size","table-layout","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","z-index"].reverse();function o(a){const l=n(a),d=s,c=i,_="@[a-z-]+",f="and or not only",h={className:"variable",begin:"(\\$"+"[a-zA-Z-][a-zA-Z0-9_-]*"+")\\b",relevance:0};return{name:"SCSS",case_insensitive:!0,illegal:"[=/|']",contains:[a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,l.CSS_NUMBER_MODE,{className:"selector-id",begin:"#[A-Za-z0-9_-]+",relevance:0},{className:"selector-class",begin:"\\.[A-Za-z0-9_-]+",relevance:0},l.ATTRIBUTE_SELECTOR_MODE,{className:"selector-tag",begin:"\\b("+e.join("|")+")\\b",relevance:0},{className:"selector-pseudo",begin:":("+c.join("|")+")"},{className:"selector-pseudo",begin:":(:)?("+d.join("|")+")"},h,{begin:/\(/,end:/\)/,contains:[l.CSS_NUMBER_MODE]},l.CSS_VARIABLE,{className:"attribute",begin:"\\b("+r.join("|")+")\\b"},{begin:"\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\b"},{begin:/:/,end:/[;}{]/,relevance:0,contains:[l.BLOCK_COMMENT,h,l.HEXCOLOR,l.CSS_NUMBER_MODE,a.QUOTE_STRING_MODE,a.APOS_STRING_MODE,l.IMPORTANT,l.FUNCTION_DISPATCH]},{begin:"@(page|font-face)",keywords:{$pattern:_,keyword:"@page @font-face"}},{begin:"@",end:"[{;]",returnBegin:!0,keywords:{$pattern:/[a-z-]+/,keyword:f,attribute:t.join(" ")},contains:[{begin:_,className:"keyword"},{begin:/[a-z-]+(?=:)/,className:"attribute"},h,a.QUOTE_STRING_MODE,a.APOS_STRING_MODE,l.HEXCOLOR,l.CSS_NUMBER_MODE]},l.FUNCTION_DISPATCH]}}return Xf=o,Xf}var Zf,Dx;function tWe(){if(Dx)return Zf;Dx=1;function n(e){return{name:"Shell Session",aliases:["console","shellsession"],contains:[{className:"meta.prompt",begin:/^\s{0,3}[/~\w\d[\]()@-]*[>%$#][ ]?/,starts:{end:/[^\\](?=\s*$)/,subLanguage:"bash"}}]}}return Zf=n,Zf}var Jf,kx;function nWe(){if(kx)return Jf;kx=1;function n(e){const t=["add","and","cmp","cmpg","cmpl","const","div","double","float","goto","if","int","long","move","mul","neg","new","nop","not","or","rem","return","shl","shr","sput","sub","throw","ushr","xor"],i=["aget","aput","array","check","execute","fill","filled","goto/16","goto/32","iget","instance","invoke","iput","monitor","packed","sget","sparse"],s=["transient","constructor","abstract","final","synthetic","public","private","protected","static","bridge","system"];return{name:"Smali",contains:[{className:"string",begin:'"',end:'"',relevance:0},e.COMMENT("#","$",{relevance:0}),{className:"keyword",variants:[{begin:"\\s*\\.end\\s[a-zA-Z0-9]*"},{begin:"^[ ]*\\.[a-zA-Z]*",relevance:0},{begin:"\\s:[a-zA-Z_0-9]*",relevance:0},{begin:"\\s("+s.join("|")+")"}]},{className:"built_in",variants:[{begin:"\\s("+t.join("|")+")\\s"},{begin:"\\s("+t.join("|")+")((-|/)[a-zA-Z0-9]+)+\\s",relevance:10},{begin:"\\s("+i.join("|")+")((-|/)[a-zA-Z0-9]+)*\\s",relevance:10}]},{className:"class",begin:`L[^(;:
]*;`,relevance:0},{begin:"[vp][0-9]+"}]}}return Jf=n,Jf}var em,Lx;function iWe(){if(Lx)return em;Lx=1;function n(e){const t="[a-z][a-zA-Z0-9_]*",i={className:"string",begin:"\\$.{1}"},s={className:"symbol",begin:"#"+e.UNDERSCORE_IDENT_RE};return{name:"Smalltalk",aliases:["st"],keywords:["self","super","nil","true","false","thisContext"],contains:[e.COMMENT('"','"'),e.APOS_STRING_MODE,{className:"type",begin:"\\b[A-Z][A-Za-z0-9_]*",relevance:0},{begin:t+":",relevance:0},e.C_NUMBER_MODE,s,i,{begin:"\\|[ ]*"+t+"([ ]+"+t+")*[ ]*\\|",returnBegin:!0,end:/\|/,illegal:/\S/,contains:[{begin:"(\\|[ ]*)?"+t}]},{begin:"#\\(",end:"\\)",contains:[e.APOS_STRING_MODE,i,e.C_NUMBER_MODE,s]}]}}return em=n,em}var tm,Px;function sWe(){if(Px)return tm;Px=1;function n(e){return{name:"SML (Standard ML)",aliases:["ml"],keywords:{$pattern:"[a-z_]\\w*!?",keyword:"abstype and andalso as case datatype do else end eqtype exception fn fun functor handle if in include infix infixr let local nonfix of op open orelse raise rec sharing sig signature struct structure then type val with withtype where while",built_in:"array bool char exn int list option order real ref string substring vector unit word",literal:"true false NONE SOME LESS EQUAL GREATER nil"},illegal:/\/\/|>>/,contains:[{className:"literal",begin:/\[(\|\|)?\]|\(\)/,relevance:0},e.COMMENT("\\(\\*","\\*\\)",{contains:["self"]}),{className:"symbol",begin:"'[A-Za-z_](?!')[\\w']*"},{className:"type",begin:"`[A-Z][\\w']*"},{className:"type",begin:"\\b[A-Z][\\w']*",relevance:0},{begin:"[a-z_]\\w*'[\\w']*"},e.inherit(e.APOS_STRING_MODE,{className:"string",relevance:0}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"number",begin:"\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",relevance:0},{begin:/[-=]>/}]}}return tm=n,tm}var nm,Ux;function rWe(){if(Ux)return nm;Ux=1;function n(e){const t={className:"variable",begin:/\b_+[a-zA-Z]\w*/},i={className:"title",begin:/[a-zA-Z][a-zA-Z_0-9]*_fnc_[a-zA-Z_0-9]+/},s={className:"string",variants:[{begin:'"',end:'"',contains:[{begin:'""',relevance:0}]},{begin:"'",end:"'",contains:[{begin:"''",relevance:0}]}]},r=["break","breakWith","breakOut","breakTo","case","catch","continue","continueWith","default","do","else","exit","exitWith","for","forEach","from","if","local","private","switch","step","then","throw","to","try","waitUntil","while","with"],o=["blufor","civilian","configNull","controlNull","displayNull","diaryRecordNull","east","endl","false","grpNull","independent","lineBreak","locationNull","nil","objNull","opfor","pi","resistance","scriptNull","sideAmbientLife","sideEmpty","sideEnemy","sideFriendly","sideLogic","sideUnknown","taskNull","teamMemberNull","true","west"],a=["abs","accTime","acos","action","actionIDs","actionKeys","actionKeysEx","actionKeysImages","actionKeysNames","actionKeysNamesArray","actionName","actionParams","activateAddons","activatedAddons","activateKey","activeTitleEffectParams","add3DENConnection","add3DENEventHandler","add3DENLayer","addAction","addBackpack","addBackpackCargo","addBackpackCargoGlobal","addBackpackGlobal","addBinocularItem","addCamShake","addCuratorAddons","addCuratorCameraArea","addCuratorEditableObjects","addCuratorEditingArea","addCuratorPoints","addEditorObject","addEventHandler","addForce","addForceGeneratorRTD","addGoggles","addGroupIcon","addHandgunItem","addHeadgear","addItem","addItemCargo","addItemCargoGlobal","addItemPool","addItemToBackpack","addItemToUniform","addItemToVest","addLiveStats","addMagazine","addMagazineAmmoCargo","addMagazineCargo","addMagazineCargoGlobal","addMagazineGlobal","addMagazinePool","addMagazines","addMagazineTurret","addMenu","addMenuItem","addMissionEventHandler","addMPEventHandler","addMusicEventHandler","addonFiles","addOwnedMine","addPlayerScores","addPrimaryWeaponItem","addPublicVariableEventHandler","addRating","addResources","addScore","addScoreSide","addSecondaryWeaponItem","addSwitchableUnit","addTeamMember","addToRemainsCollector","addTorque","addUniform","addUserActionEventHandler","addVehicle","addVest","addWaypoint","addWeapon","addWeaponCargo","addWeaponCargoGlobal","addWeaponGlobal","addWeaponItem","addWeaponPool","addWeaponTurret","addWeaponWithAttachmentsCargo","addWeaponWithAttachmentsCargoGlobal","admin","agent","agents","AGLToASL","aimedAtTarget","aimPos","airDensityCurveRTD","airDensityRTD","airplaneThrottle","airportSide","AISFinishHeal","alive","all3DENEntities","allActiveTitleEffects","allAddonsInfo","allAirports","allControls","allCurators","allCutLayers","allDead","allDeadMen","allDiaryRecords","allDiarySubjects","allDisplays","allEnv3DSoundSources","allGroups","allLODs","allMapMarkers","allMines","allMissionObjects","allObjects","allow3DMode","allowCrewInImmobile","allowCuratorLogicIgnoreAreas","allowDamage","allowDammage","allowedService","allowFileOperations","allowFleeing","allowGetIn","allowService","allowSprint","allPlayers","allSimpleObjects","allSites","allTurrets","allUnits","allUnitsUAV","allUsers","allVariables","ambientTemperature","ammo","ammoOnPylon","and","animate","animateBay","animateDoor","animatePylon","animateSource","animationNames","animationPhase","animationSourcePhase","animationState","apertureParams","append","apply","armoryPoints","arrayIntersect","asin","ASLToAGL","ASLToATL","assert","assignAsCargo","assignAsCargoIndex","assignAsCommander","assignAsDriver","assignAsGunner","assignAsTurret","assignCurator","assignedCargo","assignedCommander","assignedDriver","assignedGroup","assignedGunner","assignedItems","assignedTarget","assignedTeam","assignedVehicle","assignedVehicleRole","assignedVehicles","assignItem","assignTeam","assignToAirport","atan","atan2","atg","ATLToASL","attachedObject","attachedObjects","attachedTo","attachObject","attachTo","attackEnabled","awake","backpack","backpackCargo","backpackContainer","backpackItems","backpackMagazines","backpackSpaceFor","behaviour","benchmark","bezierInterpolation","binocular","binocularItems","binocularMagazine","boundingBox","boundingBoxReal","boundingCenter","brakesDisabled","briefingName","buildingExit","buildingPos","buldozer_EnableRoadDiag","buldozer_IsEnabledRoadDiag","buldozer_LoadNewRoads","buldozer_reloadOperMap","buttonAction","buttonSetAction","cadetMode","calculatePath","calculatePlayerVisibilityByFriendly","call","callExtension","camCommand","camCommit","camCommitPrepared","camCommitted","camConstuctionSetParams","camCreate","camDestroy","cameraEffect","cameraEffectEnableHUD","cameraInterest","cameraOn","cameraView","campaignConfigFile","camPreload","camPreloaded","camPrepareBank","camPrepareDir","camPrepareDive","camPrepareFocus","camPrepareFov","camPrepareFovRange","camPreparePos","camPrepareRelPos","camPrepareTarget","camSetBank","camSetDir","camSetDive","camSetFocus","camSetFov","camSetFovRange","camSetPos","camSetRelPos","camSetTarget","camTarget","camUseNVG","canAdd","canAddItemToBackpack","canAddItemToUniform","canAddItemToVest","cancelSimpleTaskDestination","canDeployWeapon","canFire","canMove","canSlingLoad","canStand","canSuspend","canTriggerDynamicSimulation","canUnloadInCombat","canVehicleCargo","captive","captiveNum","cbChecked","cbSetChecked","ceil","channelEnabled","cheatsEnabled","checkAIFeature","checkVisibility","className","clear3DENAttribute","clear3DENInventory","clearAllItemsFromBackpack","clearBackpackCargo","clearBackpackCargoGlobal","clearForcesRTD","clearGroupIcons","clearItemCargo","clearItemCargoGlobal","clearItemPool","clearMagazineCargo","clearMagazineCargoGlobal","clearMagazinePool","clearOverlay","clearRadio","clearWeaponCargo","clearWeaponCargoGlobal","clearWeaponPool","clientOwner","closeDialog","closeDisplay","closeOverlay","collapseObjectTree","collect3DENHistory","collectiveRTD","collisionDisabledWith","combatBehaviour","combatMode","commandArtilleryFire","commandChat","commander","commandFire","commandFollow","commandFSM","commandGetOut","commandingMenu","commandMove","commandRadio","commandStop","commandSuppressiveFire","commandTarget","commandWatch","comment","commitOverlay","compatibleItems","compatibleMagazines","compile","compileFinal","compileScript","completedFSM","composeText","configClasses","configFile","configHierarchy","configName","configOf","configProperties","configSourceAddonList","configSourceMod","configSourceModList","confirmSensorTarget","connectTerminalToUAV","connectToServer","controlsGroupCtrl","conversationDisabled","copyFromClipboard","copyToClipboard","copyWaypoints","cos","count","countEnemy","countFriendly","countSide","countType","countUnknown","create3DENComposition","create3DENEntity","createAgent","createCenter","createDialog","createDiaryLink","createDiaryRecord","createDiarySubject","createDisplay","createGearDialog","createGroup","createGuardedPoint","createHashMap","createHashMapFromArray","createLocation","createMarker","createMarkerLocal","createMenu","createMine","createMissionDisplay","createMPCampaignDisplay","createSimpleObject","createSimpleTask","createSite","createSoundSource","createTask","createTeam","createTrigger","createUnit","createVehicle","createVehicleCrew","createVehicleLocal","crew","ctAddHeader","ctAddRow","ctClear","ctCurSel","ctData","ctFindHeaderRows","ctFindRowHeader","ctHeaderControls","ctHeaderCount","ctRemoveHeaders","ctRemoveRows","ctrlActivate","ctrlAddEventHandler","ctrlAngle","ctrlAnimateModel","ctrlAnimationPhaseModel","ctrlAt","ctrlAutoScrollDelay","ctrlAutoScrollRewind","ctrlAutoScrollSpeed","ctrlBackgroundColor","ctrlChecked","ctrlClassName","ctrlCommit","ctrlCommitted","ctrlCreate","ctrlDelete","ctrlEnable","ctrlEnabled","ctrlFade","ctrlFontHeight","ctrlForegroundColor","ctrlHTMLLoaded","ctrlIDC","ctrlIDD","ctrlMapAnimAdd","ctrlMapAnimClear","ctrlMapAnimCommit","ctrlMapAnimDone","ctrlMapCursor","ctrlMapMouseOver","ctrlMapPosition","ctrlMapScale","ctrlMapScreenToWorld","ctrlMapSetPosition","ctrlMapWorldToScreen","ctrlModel","ctrlModelDirAndUp","ctrlModelScale","ctrlMousePosition","ctrlParent","ctrlParentControlsGroup","ctrlPosition","ctrlRemoveAllEventHandlers","ctrlRemoveEventHandler","ctrlScale","ctrlScrollValues","ctrlSetActiveColor","ctrlSetAngle","ctrlSetAutoScrollDelay","ctrlSetAutoScrollRewind","ctrlSetAutoScrollSpeed","ctrlSetBackgroundColor","ctrlSetChecked","ctrlSetDisabledColor","ctrlSetEventHandler","ctrlSetFade","ctrlSetFocus","ctrlSetFont","ctrlSetFontH1","ctrlSetFontH1B","ctrlSetFontH2","ctrlSetFontH2B","ctrlSetFontH3","ctrlSetFontH3B","ctrlSetFontH4","ctrlSetFontH4B","ctrlSetFontH5","ctrlSetFontH5B","ctrlSetFontH6","ctrlSetFontH6B","ctrlSetFontHeight","ctrlSetFontHeightH1","ctrlSetFontHeightH2","ctrlSetFontHeightH3","ctrlSetFontHeightH4","ctrlSetFontHeightH5","ctrlSetFontHeightH6","ctrlSetFontHeightSecondary","ctrlSetFontP","ctrlSetFontPB","ctrlSetFontSecondary","ctrlSetForegroundColor","ctrlSetModel","ctrlSetModelDirAndUp","ctrlSetModelScale","ctrlSetMousePosition","ctrlSetPixelPrecision","ctrlSetPosition","ctrlSetPositionH","ctrlSetPositionW","ctrlSetPositionX","ctrlSetPositionY","ctrlSetScale","ctrlSetScrollValues","ctrlSetShadow","ctrlSetStructuredText","ctrlSetText","ctrlSetTextColor","ctrlSetTextColorSecondary","ctrlSetTextSecondary","ctrlSetTextSelection","ctrlSetTooltip","ctrlSetTooltipColorBox","ctrlSetTooltipColorShade","ctrlSetTooltipColorText","ctrlSetTooltipMaxWidth","ctrlSetURL","ctrlSetURLOverlayMode","ctrlShadow","ctrlShow","ctrlShown","ctrlStyle","ctrlText","ctrlTextColor","ctrlTextHeight","ctrlTextSecondary","ctrlTextSelection","ctrlTextWidth","ctrlTooltip","ctrlType","ctrlURL","ctrlURLOverlayMode","ctrlVisible","ctRowControls","ctRowCount","ctSetCurSel","ctSetData","ctSetHeaderTemplate","ctSetRowTemplate","ctSetValue","ctValue","curatorAddons","curatorCamera","curatorCameraArea","curatorCameraAreaCeiling","curatorCoef","curatorEditableObjects","curatorEditingArea","curatorEditingAreaType","curatorMouseOver","curatorPoints","curatorRegisteredObjects","curatorSelected","curatorWaypointCost","current3DENOperation","currentChannel","currentCommand","currentMagazine","currentMagazineDetail","currentMagazineDetailTurret","currentMagazineTurret","currentMuzzle","currentNamespace","currentPilot","currentTask","currentTasks","currentThrowable","currentVisionMode","currentWaypoint","currentWeapon","currentWeaponMode","currentWeaponTurret","currentZeroing","cursorObject","cursorTarget","customChat","customRadio","customWaypointPosition","cutFadeOut","cutObj","cutRsc","cutText","damage","date","dateToNumber","dayTime","deActivateKey","debriefingText","debugFSM","debugLog","decayGraphValues","deg","delete3DENEntities","deleteAt","deleteCenter","deleteCollection","deleteEditorObject","deleteGroup","deleteGroupWhenEmpty","deleteIdentity","deleteLocation","deleteMarker","deleteMarkerLocal","deleteRange","deleteResources","deleteSite","deleteStatus","deleteTeam","deleteVehicle","deleteVehicleCrew","deleteWaypoint","detach","detectedMines","diag_activeMissionFSMs","diag_activeScripts","diag_activeSQFScripts","diag_activeSQSScripts","diag_allMissionEventHandlers","diag_captureFrame","diag_captureFrameToFile","diag_captureSlowFrame","diag_codePerformance","diag_deltaTime","diag_drawmode","diag_dumpCalltraceToLog","diag_dumpScriptAssembly","diag_dumpTerrainSynth","diag_dynamicSimulationEnd","diag_enable","diag_enabled","diag_exportConfig","diag_exportTerrainSVG","diag_fps","diag_fpsmin","diag_frameno","diag_getTerrainSegmentOffset","diag_lightNewLoad","diag_list","diag_localized","diag_log","diag_logSlowFrame","diag_mergeConfigFile","diag_recordTurretLimits","diag_resetFSM","diag_resetshapes","diag_scope","diag_setLightNew","diag_stacktrace","diag_tickTime","diag_toggle","dialog","diarySubjectExists","didJIP","didJIPOwner","difficulty","difficultyEnabled","difficultyEnabledRTD","difficultyOption","direction","directionStabilizationEnabled","directSay","disableAI","disableBrakes","disableCollisionWith","disableConversation","disableDebriefingStats","disableMapIndicators","disableNVGEquipment","disableRemoteSensors","disableSerialization","disableTIEquipment","disableUAVConnectability","disableUserInput","displayAddEventHandler","displayChild","displayCtrl","displayParent","displayRemoveAllEventHandlers","displayRemoveEventHandler","displaySetEventHandler","displayUniqueName","displayUpdate","dissolveTeam","distance","distance2D","distanceSqr","distributionRegion","do3DENAction","doArtilleryFire","doFire","doFollow","doFSM","doGetOut","doMove","doorPhase","doStop","doSuppressiveFire","doTarget","doWatch","drawArrow","drawEllipse","drawIcon","drawIcon3D","drawLaser","drawLine","drawLine3D","drawLink","drawLocation","drawPolygon","drawRectangle","drawTriangle","driver","drop","dynamicSimulationDistance","dynamicSimulationDistanceCoef","dynamicSimulationEnabled","dynamicSimulationSystemEnabled","echo","edit3DENMissionAttributes","editObject","editorSetEventHandler","effectiveCommander","elevatePeriscope","emptyPositions","enableAI","enableAIFeature","enableAimPrecision","enableAttack","enableAudioFeature","enableAutoStartUpRTD","enableAutoTrimRTD","enableCamShake","enableCaustics","enableChannel","enableCollisionWith","enableCopilot","enableDebriefingStats","enableDiagLegend","enableDirectionStabilization","enableDynamicSimulation","enableDynamicSimulationSystem","enableEndDialog","enableEngineArtillery","enableEnvironment","enableFatigue","enableGunLights","enableInfoPanelComponent","enableIRLasers","enableMimics","enablePersonTurret","enableRadio","enableReload","enableRopeAttach","enableSatNormalOnDetail","enableSaving","enableSentences","enableSimulation","enableSimulationGlobal","enableStamina","enableStressDamage","enableTeamSwitch","enableTraffic","enableUAVConnectability","enableUAVWaypoints","enableVehicleCargo","enableVehicleSensor","enableWeaponDisassembly","endLoadingScreen","endMission","engineOn","enginesIsOnRTD","enginesPowerRTD","enginesRpmRTD","enginesTorqueRTD","entities","environmentEnabled","environmentVolume","equipmentDisabled","estimatedEndServerTime","estimatedTimeLeft","evalObjectArgument","everyBackpack","everyContainer","exec","execEditorScript","execFSM","execVM","exp","expectedDestination","exportJIPMessages","eyeDirection","eyePos","face","faction","fadeEnvironment","fadeMusic","fadeRadio","fadeSound","fadeSpeech","failMission","fileExists","fillWeaponsFromPool","find","findAny","findCover","findDisplay","findEditorObject","findEmptyPosition","findEmptyPositionReady","findIf","findNearestEnemy","finishMissionInit","finite","fire","fireAtTarget","firstBackpack","flag","flagAnimationPhase","flagOwner","flagSide","flagTexture","flatten","fleeing","floor","flyInHeight","flyInHeightASL","focusedCtrl","fog","fogForecast","fogParams","forceAddUniform","forceAtPositionRTD","forceCadetDifficulty","forcedMap","forceEnd","forceFlagTexture","forceFollowRoad","forceGeneratorRTD","forceMap","forceRespawn","forceSpeed","forceUnicode","forceWalk","forceWeaponFire","forceWeatherChange","forEachMember","forEachMemberAgent","forEachMemberTeam","forgetTarget","format","formation","formationDirection","formationLeader","formationMembers","formationPosition","formationTask","formatText","formLeader","freeExtension","freeLook","fromEditor","fuel","fullCrew","gearIDCAmmoCount","gearSlotAmmoCount","gearSlotData","gestureState","get","get3DENActionState","get3DENAttribute","get3DENCamera","get3DENConnections","get3DENEntity","get3DENEntityID","get3DENGrid","get3DENIconsVisible","get3DENLayerEntities","get3DENLinesVisible","get3DENMissionAttribute","get3DENMouseOver","get3DENSelected","getAimingCoef","getAllEnv3DSoundControllers","getAllEnvSoundControllers","getAllHitPointsDamage","getAllOwnedMines","getAllPylonsInfo","getAllSoundControllers","getAllUnitTraits","getAmmoCargo","getAnimAimPrecision","getAnimSpeedCoef","getArray","getArtilleryAmmo","getArtilleryComputerSettings","getArtilleryETA","getAssetDLCInfo","getAssignedCuratorLogic","getAssignedCuratorUnit","getAttackTarget","getAudioOptionVolumes","getBackpackCargo","getBleedingRemaining","getBurningValue","getCalculatePlayerVisibilityByFriendly","getCameraViewDirection","getCargoIndex","getCenterOfMass","getClientState","getClientStateNumber","getCompatiblePylonMagazines","getConnectedUAV","getConnectedUAVUnit","getContainerMaxLoad","getCorpse","getCruiseControl","getCursorObjectParams","getCustomAimCoef","getCustomSoundController","getCustomSoundControllerCount","getDammage","getDebriefingText","getDescription","getDir","getDirVisual","getDiverState","getDLCAssetsUsage","getDLCAssetsUsageByName","getDLCs","getDLCUsageTime","getEditorCamera","getEditorMode","getEditorObjectScope","getElevationOffset","getEngineTargetRPMRTD","getEnv3DSoundController","getEnvSoundController","getEventHandlerInfo","getFatigue","getFieldManualStartPage","getForcedFlagTexture","getForcedSpeed","getFriend","getFSMVariable","getFuelCargo","getGraphValues","getGroupIcon","getGroupIconParams","getGroupIcons","getHideFrom","getHit","getHitIndex","getHitPointDamage","getItemCargo","getLighting","getLightingAt","getLoadedModsInfo","getMagazineCargo","getMarkerColor","getMarkerPos","getMarkerSize","getMarkerType","getMass","getMissionConfig","getMissionConfigValue","getMissionDLCs","getMissionLayerEntities","getMissionLayers","getMissionPath","getModelInfo","getMousePosition","getMusicPlayedTime","getNumber","getObjectArgument","getObjectChildren","getObjectDLC","getObjectFOV","getObjectID","getObjectMaterials","getObjectProxy","getObjectScale","getObjectTextures","getObjectType","getObjectViewDistance","getOpticsMode","getOrDefault","getOrDefaultCall","getOxygenRemaining","getPersonUsedDLCs","getPilotCameraDirection","getPilotCameraPosition","getPilotCameraRotation","getPilotCameraTarget","getPiPViewDistance","getPlateNumber","getPlayerChannel","getPlayerID","getPlayerScores","getPlayerUID","getPlayerVoNVolume","getPos","getPosASL","getPosASLVisual","getPosASLW","getPosATL","getPosATLVisual","getPosVisual","getPosWorld","getPosWorldVisual","getPylonMagazines","getRelDir","getRelPos","getRemoteSensorsDisabled","getRepairCargo","getResolution","getRoadInfo","getRotorBrakeRTD","getSensorTargets","getSensorThreats","getShadowDistance","getShotParents","getSlingLoad","getSoundController","getSoundControllerResult","getSpeed","getStamina","getStatValue","getSteamFriendsServers","getSubtitleOptions","getSuppression","getTerrainGrid","getTerrainHeight","getTerrainHeightASL","getTerrainInfo","getText","getTextRaw","getTextureInfo","getTextWidth","getTiParameters","getTotalDLCUsageTime","getTrimOffsetRTD","getTurretLimits","getTurretOpticsMode","getUnitFreefallInfo","getUnitLoadout","getUnitTrait","getUnloadInCombat","getUserInfo","getUserMFDText","getUserMFDValue","getVariable","getVehicleCargo","getVehicleTiPars","getWeaponCargo","getWeaponSway","getWingsOrientationRTD","getWingsPositionRTD","getWPPos","glanceAt","globalChat","globalRadio","goggles","goto","group","groupChat","groupFromNetId","groupIconSelectable","groupIconsVisible","groupID","groupOwner","groupRadio","groups","groupSelectedUnits","groupSelectUnit","gunner","gusts","halt","handgunItems","handgunMagazine","handgunWeapon","handsHit","hashValue","hasInterface","hasPilotCamera","hasWeapon","hcAllGroups","hcGroupParams","hcLeader","hcRemoveAllGroups","hcRemoveGroup","hcSelected","hcSelectGroup","hcSetGroup","hcShowBar","hcShownBar","headgear","hideBody","hideObject","hideObjectGlobal","hideSelection","hint","hintC","hintCadet","hintSilent","hmd","hostMission","htmlLoad","HUDMovementLevels","humidity","image","importAllGroups","importance","in","inArea","inAreaArray","incapacitatedState","inflame","inflamed","infoPanel","infoPanelComponentEnabled","infoPanelComponents","infoPanels","inGameUISetEventHandler","inheritsFrom","initAmbientLife","inPolygon","inputAction","inputController","inputMouse","inRangeOfArtillery","insert","insertEditorObject","intersect","is3DEN","is3DENMultiplayer","is3DENPreview","isAbleToBreathe","isActionMenuVisible","isAgent","isAimPrecisionEnabled","isAllowedCrewInImmobile","isArray","isAutoHoverOn","isAutonomous","isAutoStartUpEnabledRTD","isAutotest","isAutoTrimOnRTD","isAwake","isBleeding","isBurning","isClass","isCollisionLightOn","isCopilotEnabled","isDamageAllowed","isDedicated","isDLCAvailable","isEngineOn","isEqualRef","isEqualTo","isEqualType","isEqualTypeAll","isEqualTypeAny","isEqualTypeArray","isEqualTypeParams","isFilePatchingEnabled","isFinal","isFlashlightOn","isFlatEmpty","isForcedWalk","isFormationLeader","isGameFocused","isGamePaused","isGroupDeletedWhenEmpty","isHidden","isInRemainsCollector","isInstructorFigureEnabled","isIRLaserOn","isKeyActive","isKindOf","isLaserOn","isLightOn","isLocalized","isManualFire","isMarkedForCollection","isMissionProfileNamespaceLoaded","isMultiplayer","isMultiplayerSolo","isNil","isNotEqualRef","isNotEqualTo","isNull","isNumber","isObjectHidden","isObjectRTD","isOnRoad","isPiPEnabled","isPlayer","isRealTime","isRemoteExecuted","isRemoteExecutedJIP","isSaving","isSensorTargetConfirmed","isServer","isShowing3DIcons","isSimpleObject","isSprintAllowed","isStaminaEnabled","isSteamMission","isSteamOverlayEnabled","isStreamFriendlyUIEnabled","isStressDamageEnabled","isText","isTouchingGround","isTurnedOut","isTutHintsEnabled","isUAVConnectable","isUAVConnected","isUIContext","isUniformAllowed","isVehicleCargo","isVehicleRadarOn","isVehicleSensorEnabled","isWalking","isWeaponDeployed","isWeaponRested","itemCargo","items","itemsWithMagazines","join","joinAs","joinAsSilent","joinSilent","joinString","kbAddDatabase","kbAddDatabaseTargets","kbAddTopic","kbHasTopic","kbReact","kbRemoveTopic","kbTell","kbWasSaid","keyImage","keyName","keys","knowsAbout","land","landAt","landResult","language","laserTarget","lbAdd","lbClear","lbColor","lbColorRight","lbCurSel","lbData","lbDelete","lbIsSelected","lbPicture","lbPictureRight","lbSelection","lbSetColor","lbSetColorRight","lbSetCurSel","lbSetData","lbSetPicture","lbSetPictureColor","lbSetPictureColorDisabled","lbSetPictureColorSelected","lbSetPictureRight","lbSetPictureRightColor","lbSetPictureRightColorDisabled","lbSetPictureRightColorSelected","lbSetSelectColor","lbSetSelectColorRight","lbSetSelected","lbSetText","lbSetTextRight","lbSetTooltip","lbSetValue","lbSize","lbSort","lbSortBy","lbSortByValue","lbText","lbTextRight","lbTooltip","lbValue","leader","leaderboardDeInit","leaderboardGetRows","leaderboardInit","leaderboardRequestRowsFriends","leaderboardRequestRowsGlobal","leaderboardRequestRowsGlobalAroundUser","leaderboardsRequestUploadScore","leaderboardsRequestUploadScoreKeepBest","leaderboardState","leaveVehicle","libraryCredits","libraryDisclaimers","lifeState","lightAttachObject","lightDetachObject","lightIsOn","lightnings","limitSpeed","linearConversion","lineIntersects","lineIntersectsObjs","lineIntersectsSurfaces","lineIntersectsWith","linkItem","list","listObjects","listRemoteTargets","listVehicleSensors","ln","lnbAddArray","lnbAddColumn","lnbAddRow","lnbClear","lnbColor","lnbColorRight","lnbCurSelRow","lnbData","lnbDeleteColumn","lnbDeleteRow","lnbGetColumnsPosition","lnbPicture","lnbPictureRight","lnbSetColor","lnbSetColorRight","lnbSetColumnsPos","lnbSetCurSelRow","lnbSetData","lnbSetPicture","lnbSetPictureColor","lnbSetPictureColorRight","lnbSetPictureColorSelected","lnbSetPictureColorSelectedRight","lnbSetPictureRight","lnbSetText","lnbSetTextRight","lnbSetTooltip","lnbSetValue","lnbSize","lnbSort","lnbSortBy","lnbSortByValue","lnbText","lnbTextRight","lnbValue","load","loadAbs","loadBackpack","loadConfig","loadFile","loadGame","loadIdentity","loadMagazine","loadOverlay","loadStatus","loadUniform","loadVest","localize","localNamespace","locationPosition","lock","lockCameraTo","lockCargo","lockDriver","locked","lockedCameraTo","lockedCargo","lockedDriver","lockedInventory","lockedTurret","lockIdentity","lockInventory","lockTurret","lockWp","log","logEntities","logNetwork","logNetworkTerminate","lookAt","lookAtPos","magazineCargo","magazines","magazinesAllTurrets","magazinesAmmo","magazinesAmmoCargo","magazinesAmmoFull","magazinesDetail","magazinesDetailBackpack","magazinesDetailUniform","magazinesDetailVest","magazinesTurret","magazineTurretAmmo","mapAnimAdd","mapAnimClear","mapAnimCommit","mapAnimDone","mapCenterOnCamera","mapGridPosition","markAsFinishedOnSteam","markerAlpha","markerBrush","markerChannel","markerColor","markerDir","markerPolyline","markerPos","markerShadow","markerShape","markerSize","markerText","markerType","matrixMultiply","matrixTranspose","max","maxLoad","members","menuAction","menuAdd","menuChecked","menuClear","menuCollapse","menuData","menuDelete","menuEnable","menuEnabled","menuExpand","menuHover","menuPicture","menuSetAction","menuSetCheck","menuSetData","menuSetPicture","menuSetShortcut","menuSetText","menuSetURL","menuSetValue","menuShortcut","menuShortcutText","menuSize","menuSort","menuText","menuURL","menuValue","merge","min","mineActive","mineDetectedBy","missileTarget","missileTargetPos","missionConfigFile","missionDifficulty","missionEnd","missionName","missionNameSource","missionNamespace","missionProfileNamespace","missionStart","missionVersion","mod","modelToWorld","modelToWorldVisual","modelToWorldVisualWorld","modelToWorldWorld","modParams","moonIntensity","moonPhase","morale","move","move3DENCamera","moveInAny","moveInCargo","moveInCommander","moveInDriver","moveInGunner","moveInTurret","moveObjectToEnd","moveOut","moveTime","moveTo","moveToCompleted","moveToFailed","musicVolume","name","namedProperties","nameSound","nearEntities","nearestBuilding","nearestLocation","nearestLocations","nearestLocationWithDubbing","nearestMines","nearestObject","nearestObjects","nearestTerrainObjects","nearObjects","nearObjectsReady","nearRoads","nearSupplies","nearTargets","needReload","needService","netId","netObjNull","newOverlay","nextMenuItemIndex","nextWeatherChange","nMenuItems","not","numberOfEnginesRTD","numberToDate","objectCurators","objectFromNetId","objectParent","objStatus","onBriefingGroup","onBriefingNotes","onBriefingPlan","onBriefingTeamSwitch","onCommandModeChanged","onDoubleClick","onEachFrame","onGroupIconClick","onGroupIconOverEnter","onGroupIconOverLeave","onHCGroupSelectionChanged","onMapSingleClick","onPlayerConnected","onPlayerDisconnected","onPreloadFinished","onPreloadStarted","onShowNewObject","onTeamSwitch","openCuratorInterface","openDLCPage","openGPS","openMap","openSteamApp","openYoutubeVideo","or","orderGetIn","overcast","overcastForecast","owner","param","params","parseNumber","parseSimpleArray","parseText","parsingNamespace","particlesQuality","periscopeElevation","pickWeaponPool","pitch","pixelGrid","pixelGridBase","pixelGridNoUIScale","pixelH","pixelW","playableSlotsNumber","playableUnits","playAction","playActionNow","player","playerRespawnTime","playerSide","playersNumber","playGesture","playMission","playMove","playMoveNow","playMusic","playScriptedMission","playSound","playSound3D","playSoundUI","pose","position","positionCameraToWorld","posScreenToWorld","posWorldToScreen","ppEffectAdjust","ppEffectCommit","ppEffectCommitted","ppEffectCreate","ppEffectDestroy","ppEffectEnable","ppEffectEnabled","ppEffectForceInNVG","precision","preloadCamera","preloadObject","preloadSound","preloadTitleObj","preloadTitleRsc","preprocessFile","preprocessFileLineNumbers","primaryWeapon","primaryWeaponItems","primaryWeaponMagazine","priority","processDiaryLink","productVersion","profileName","profileNamespace","profileNameSteam","progressLoadingScreen","progressPosition","progressSetPosition","publicVariable","publicVariableClient","publicVariableServer","pushBack","pushBackUnique","putWeaponPool","queryItemsPool","queryMagazinePool","queryWeaponPool","rad","radioChannelAdd","radioChannelCreate","radioChannelInfo","radioChannelRemove","radioChannelSetCallSign","radioChannelSetLabel","radioEnabled","radioVolume","rain","rainbow","rainParams","random","rank","rankId","rating","rectangular","regexFind","regexMatch","regexReplace","registeredTasks","registerTask","reload","reloadEnabled","remoteControl","remoteExec","remoteExecCall","remoteExecutedOwner","remove3DENConnection","remove3DENEventHandler","remove3DENLayer","removeAction","removeAll3DENEventHandlers","removeAllActions","removeAllAssignedItems","removeAllBinocularItems","removeAllContainers","removeAllCuratorAddons","removeAllCuratorCameraAreas","removeAllCuratorEditingAreas","removeAllEventHandlers","removeAllHandgunItems","removeAllItems","removeAllItemsWithMagazines","removeAllMissionEventHandlers","removeAllMPEventHandlers","removeAllMusicEventHandlers","removeAllOwnedMines","removeAllPrimaryWeaponItems","removeAllSecondaryWeaponItems","removeAllUserActionEventHandlers","removeAllWeapons","removeBackpack","removeBackpackGlobal","removeBinocularItem","removeCuratorAddons","removeCuratorCameraArea","removeCuratorEditableObjects","removeCuratorEditingArea","removeDiaryRecord","removeDiarySubject","removeDrawIcon","removeDrawLinks","removeEventHandler","removeFromRemainsCollector","removeGoggles","removeGroupIcon","removeHandgunItem","removeHeadgear","removeItem","removeItemFromBackpack","removeItemFromUniform","removeItemFromVest","removeItems","removeMagazine","removeMagazineGlobal","removeMagazines","removeMagazinesTurret","removeMagazineTurret","removeMenuItem","removeMissionEventHandler","removeMPEventHandler","removeMusicEventHandler","removeOwnedMine","removePrimaryWeaponItem","removeSecondaryWeaponItem","removeSimpleTask","removeSwitchableUnit","removeTeamMember","removeUniform","removeUserActionEventHandler","removeVest","removeWeapon","removeWeaponAttachmentCargo","removeWeaponCargo","removeWeaponGlobal","removeWeaponTurret","reportRemoteTarget","requiredVersion","resetCamShake","resetSubgroupDirection","resize","resources","respawnVehicle","restartEditorCamera","reveal","revealMine","reverse","reversedMouseY","roadAt","roadsConnectedTo","roleDescription","ropeAttachedObjects","ropeAttachedTo","ropeAttachEnabled","ropeAttachTo","ropeCreate","ropeCut","ropeDestroy","ropeDetach","ropeEndPosition","ropeLength","ropes","ropesAttachedTo","ropeSegments","ropeUnwind","ropeUnwound","rotorsForcesRTD","rotorsRpmRTD","round","runInitScript","safeZoneH","safeZoneW","safeZoneWAbs","safeZoneX","safeZoneXAbs","safeZoneY","save3DENInventory","saveGame","saveIdentity","saveJoysticks","saveMissionProfileNamespace","saveOverlay","saveProfileNamespace","saveStatus","saveVar","savingEnabled","say","say2D","say3D","scopeName","score","scoreSide","screenshot","screenToWorld","scriptDone","scriptName","scudState","secondaryWeapon","secondaryWeaponItems","secondaryWeaponMagazine","select","selectBestPlaces","selectDiarySubject","selectedEditorObjects","selectEditorObject","selectionNames","selectionPosition","selectionVectorDirAndUp","selectLeader","selectMax","selectMin","selectNoPlayer","selectPlayer","selectRandom","selectRandomWeighted","selectWeapon","selectWeaponTurret","sendAUMessage","sendSimpleCommand","sendTask","sendTaskResult","sendUDPMessage","sentencesEnabled","serverCommand","serverCommandAvailable","serverCommandExecutable","serverName","serverNamespace","serverTime","set","set3DENAttribute","set3DENAttributes","set3DENGrid","set3DENIconsVisible","set3DENLayer","set3DENLinesVisible","set3DENLogicType","set3DENMissionAttribute","set3DENMissionAttributes","set3DENModelsVisible","set3DENObjectType","set3DENSelected","setAccTime","setActualCollectiveRTD","setAirplaneThrottle","setAirportSide","setAmmo","setAmmoCargo","setAmmoOnPylon","setAnimSpeedCoef","setAperture","setApertureNew","setArmoryPoints","setAttributes","setAutonomous","setBehaviour","setBehaviourStrong","setBleedingRemaining","setBrakesRTD","setCameraInterest","setCamShakeDefParams","setCamShakeParams","setCamUseTi","setCaptive","setCenterOfMass","setCollisionLight","setCombatBehaviour","setCombatMode","setCompassOscillation","setConvoySeparation","setCruiseControl","setCuratorCameraAreaCeiling","setCuratorCoef","setCuratorEditingAreaType","setCuratorWaypointCost","setCurrentChannel","setCurrentTask","setCurrentWaypoint","setCustomAimCoef","SetCustomMissionData","setCustomSoundController","setCustomWeightRTD","setDamage","setDammage","setDate","setDebriefingText","setDefaultCamera","setDestination","setDetailMapBlendPars","setDiaryRecordText","setDiarySubjectPicture","setDir","setDirection","setDrawIcon","setDriveOnPath","setDropInterval","setDynamicSimulationDistance","setDynamicSimulationDistanceCoef","setEditorMode","setEditorObjectScope","setEffectCondition","setEffectiveCommander","setEngineRpmRTD","setFace","setFaceanimation","setFatigue","setFeatureType","setFlagAnimationPhase","setFlagOwner","setFlagSide","setFlagTexture","setFog","setForceGeneratorRTD","setFormation","setFormationTask","setFormDir","setFriend","setFromEditor","setFSMVariable","setFuel","setFuelCargo","setGroupIcon","setGroupIconParams","setGroupIconsSelectable","setGroupIconsVisible","setGroupid","setGroupIdGlobal","setGroupOwner","setGusts","setHideBehind","setHit","setHitIndex","setHitPointDamage","setHorizonParallaxCoef","setHUDMovementLevels","setHumidity","setIdentity","setImportance","setInfoPanel","setLeader","setLightAmbient","setLightAttenuation","setLightBrightness","setLightColor","setLightConePars","setLightDayLight","setLightFlareMaxDistance","setLightFlareSize","setLightIntensity","setLightIR","setLightnings","setLightUseFlare","setLightVolumeShape","setLocalWindParams","setMagazineTurretAmmo","setMarkerAlpha","setMarkerAlphaLocal","setMarkerBrush","setMarkerBrushLocal","setMarkerColor","setMarkerColorLocal","setMarkerDir","setMarkerDirLocal","setMarkerPolyline","setMarkerPolylineLocal","setMarkerPos","setMarkerPosLocal","setMarkerShadow","setMarkerShadowLocal","setMarkerShape","setMarkerShapeLocal","setMarkerSize","setMarkerSizeLocal","setMarkerText","setMarkerTextLocal","setMarkerType","setMarkerTypeLocal","setMass","setMaxLoad","setMimic","setMissileTarget","setMissileTargetPos","setMousePosition","setMusicEffect","setMusicEventHandler","setName","setNameSound","setObjectArguments","setObjectMaterial","setObjectMaterialGlobal","setObjectProxy","setObjectScale","setObjectTexture","setObjectTextureGlobal","setObjectViewDistance","setOpticsMode","setOvercast","setOwner","setOxygenRemaining","setParticleCircle","setParticleClass","setParticleFire","setParticleParams","setParticleRandom","setPilotCameraDirection","setPilotCameraRotation","setPilotCameraTarget","setPilotLight","setPiPEffect","setPiPViewDistance","setPitch","setPlateNumber","setPlayable","setPlayerRespawnTime","setPlayerVoNVolume","setPos","setPosASL","setPosASL2","setPosASLW","setPosATL","setPosition","setPosWorld","setPylonLoadout","setPylonsPriority","setRadioMsg","setRain","setRainbow","setRandomLip","setRank","setRectangular","setRepairCargo","setRotorBrakeRTD","setShadowDistance","setShotParents","setSide","setSimpleTaskAlwaysVisible","setSimpleTaskCustomData","setSimpleTaskDescription","setSimpleTaskDestination","setSimpleTaskTarget","setSimpleTaskType","setSimulWeatherLayers","setSize","setSkill","setSlingLoad","setSoundEffect","setSpeaker","setSpeech","setSpeedMode","setStamina","setStaminaScheme","setStatValue","setSuppression","setSystemOfUnits","setTargetAge","setTaskMarkerOffset","setTaskResult","setTaskState","setTerrainGrid","setTerrainHeight","setText","setTimeMultiplier","setTiParameter","setTitleEffect","setTowParent","setTrafficDensity","setTrafficDistance","setTrafficGap","setTrafficSpeed","setTriggerActivation","setTriggerArea","setTriggerInterval","setTriggerStatements","setTriggerText","setTriggerTimeout","setTriggerType","setTurretLimits","setTurretOpticsMode","setType","setUnconscious","setUnitAbility","setUnitCombatMode","setUnitFreefallHeight","setUnitLoadout","setUnitPos","setUnitPosWeak","setUnitRank","setUnitRecoilCoefficient","setUnitTrait","setUnloadInCombat","setUserActionText","setUserMFDText","setUserMFDValue","setVariable","setVectorDir","setVectorDirAndUp","setVectorUp","setVehicleAmmo","setVehicleAmmoDef","setVehicleArmor","setVehicleCargo","setVehicleId","setVehicleLock","setVehiclePosition","setVehicleRadar","setVehicleReceiveRemoteTargets","setVehicleReportOwnPosition","setVehicleReportRemoteTargets","setVehicleTiPars","setVehicleVarName","setVelocity","setVelocityModelSpace","setVelocityTransformation","setViewDistance","setVisibleIfTreeCollapsed","setWantedRPMRTD","setWaves","setWaypointBehaviour","setWaypointCombatMode","setWaypointCompletionRadius","setWaypointDescription","setWaypointForceBehaviour","setWaypointFormation","setWaypointHousePosition","setWaypointLoiterAltitude","setWaypointLoiterRadius","setWaypointLoiterType","setWaypointName","setWaypointPosition","setWaypointScript","setWaypointSpeed","setWaypointStatements","setWaypointTimeout","setWaypointType","setWaypointVisible","setWeaponReloadingTime","setWeaponZeroing","setWind","setWindDir","setWindForce","setWindStr","setWingForceScaleRTD","setWPPos","show3DIcons","showChat","showCinemaBorder","showCommandingMenu","showCompass","showCuratorCompass","showGps","showHUD","showLegend","showMap","shownArtilleryComputer","shownChat","shownCompass","shownCuratorCompass","showNewEditorObject","shownGps","shownHUD","shownMap","shownPad","shownRadio","shownScoretable","shownSubtitles","shownUAVFeed","shownWarrant","shownWatch","showPad","showRadio","showScoretable","showSubtitles","showUAVFeed","showWarrant","showWatch","showWaypoint","showWaypoints","side","sideChat","sideRadio","simpleTasks","simulationEnabled","simulCloudDensity","simulCloudOcclusion","simulInClouds","simulWeatherSync","sin","size","sizeOf","skill","skillFinal","skipTime","sleep","sliderPosition","sliderRange","sliderSetPosition","sliderSetRange","sliderSetSpeed","sliderSpeed","slingLoadAssistantShown","soldierMagazines","someAmmo","sort","soundVolume","spawn","speaker","speechVolume","speed","speedMode","splitString","sqrt","squadParams","stance","startLoadingScreen","stop","stopEngineRTD","stopped","str","sunOrMoon","supportInfo","suppressFor","surfaceIsWater","surfaceNormal","surfaceTexture","surfaceType","swimInDepth","switchableUnits","switchAction","switchCamera","switchGesture","switchLight","switchMove","synchronizedObjects","synchronizedTriggers","synchronizedWaypoints","synchronizeObjectsAdd","synchronizeObjectsRemove","synchronizeTrigger","synchronizeWaypoint","systemChat","systemOfUnits","systemTime","systemTimeUTC","tan","targetKnowledge","targets","targetsAggregate","targetsQuery","taskAlwaysVisible","taskChildren","taskCompleted","taskCustomData","taskDescription","taskDestination","taskHint","taskMarkerOffset","taskName","taskParent","taskResult","taskState","taskType","teamMember","teamName","teams","teamSwitch","teamSwitchEnabled","teamType","terminate","terrainIntersect","terrainIntersectASL","terrainIntersectAtASL","text","textLog","textLogFormat","tg","time","timeMultiplier","titleCut","titleFadeOut","titleObj","titleRsc","titleText","toArray","toFixed","toLower","toLowerANSI","toString","toUpper","toUpperANSI","triggerActivated","triggerActivation","triggerAmmo","triggerArea","triggerAttachedVehicle","triggerAttachObject","triggerAttachVehicle","triggerDynamicSimulation","triggerInterval","triggerStatements","triggerText","triggerTimeout","triggerTimeoutCurrent","triggerType","trim","turretLocal","turretOwner","turretUnit","tvAdd","tvClear","tvCollapse","tvCollapseAll","tvCount","tvCurSel","tvData","tvDelete","tvExpand","tvExpandAll","tvIsSelected","tvPicture","tvPictureRight","tvSelection","tvSetColor","tvSetCurSel","tvSetData","tvSetPicture","tvSetPictureColor","tvSetPictureColorDisabled","tvSetPictureColorSelected","tvSetPictureRight","tvSetPictureRightColor","tvSetPictureRightColorDisabled","tvSetPictureRightColorSelected","tvSetSelectColor","tvSetSelected","tvSetText","tvSetTooltip","tvSetValue","tvSort","tvSortAll","tvSortByValue","tvSortByValueAll","tvText","tvTooltip","tvValue","type","typeName","typeOf","UAVControl","uiNamespace","uiSleep","unassignCurator","unassignItem","unassignTeam","unassignVehicle","underwater","uniform","uniformContainer","uniformItems","uniformMagazines","uniqueUnitItems","unitAddons","unitAimPosition","unitAimPositionVisual","unitBackpack","unitCombatMode","unitIsUAV","unitPos","unitReady","unitRecoilCoefficient","units","unitsBelowHeight","unitTurret","unlinkItem","unlockAchievement","unregisterTask","updateDrawIcon","updateMenuItem","updateObjectTree","useAIOperMapObstructionTest","useAISteeringComponent","useAudioTimeForMoves","userInputDisabled","values","vectorAdd","vectorCos","vectorCrossProduct","vectorDiff","vectorDir","vectorDirVisual","vectorDistance","vectorDistanceSqr","vectorDotProduct","vectorFromTo","vectorLinearConversion","vectorMagnitude","vectorMagnitudeSqr","vectorModelToWorld","vectorModelToWorldVisual","vectorMultiply","vectorNormalized","vectorUp","vectorUpVisual","vectorWorldToModel","vectorWorldToModelVisual","vehicle","vehicleCargoEnabled","vehicleChat","vehicleMoveInfo","vehicleRadio","vehicleReceiveRemoteTargets","vehicleReportOwnPosition","vehicleReportRemoteTargets","vehicles","vehicleVarName","velocity","velocityModelSpace","verifySignature","vest","vestContainer","vestItems","vestMagazines","viewDistance","visibleCompass","visibleGps","visibleMap","visiblePosition","visiblePositionASL","visibleScoretable","visibleWatch","waves","waypointAttachedObject","waypointAttachedVehicle","waypointAttachObject","waypointAttachVehicle","waypointBehaviour","waypointCombatMode","waypointCompletionRadius","waypointDescription","waypointForceBehaviour","waypointFormation","waypointHousePosition","waypointLoiterAltitude","waypointLoiterRadius","waypointLoiterType","waypointName","waypointPosition","waypoints","waypointScript","waypointsEnabledUAV","waypointShow","waypointSpeed","waypointStatements","waypointTimeout","waypointTimeoutCurrent","waypointType","waypointVisible","weaponAccessories","weaponAccessoriesCargo","weaponCargo","weaponDirection","weaponInertia","weaponLowered","weaponReloadingTime","weapons","weaponsInfo","weaponsItems","weaponsItemsCargo","weaponState","weaponsTurret","weightRTD","WFSideText","wind","windDir","windRTD","windStr","wingsForcesRTD","worldName","worldSize","worldToModel","worldToModelVisual","worldToScreen"],l={className:"meta",begin:/#\s*[a-z]+\b/,end:/$/,keywords:"define undef ifdef ifndef else endif include if",contains:[{begin:/\\\n/,relevance:0},e.inherit(s,{className:"string"}),{begin:/<[^\n>]*>/,end:/$/,illegal:"\\n"},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]};return{name:"SQF",case_insensitive:!0,keywords:{keyword:r,built_in:a,literal:o},contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.NUMBER_MODE,t,i,s,l],illegal:[/\$[^a-fA-F0-9]/,/\w\$/,/\?/,/@/,/ \| /,/[a-zA-Z_]\./,/\:\=/,/\[\:/]}}return nm=n,nm}var im,Fx;function oWe(){if(Fx)return im;Fx=1;function n(e){const t=e.regex,i=e.COMMENT("--","$"),s={className:"string",variants:[{begin:/'/,end:/'/,contains:[{begin:/''/}]}]},r={begin:/"/,end:/"/,contains:[{begin:/""/}]},o=["true","false","unknown"],a=["double precision","large object","with timezone","without timezone"],l=["bigint","binary","blob","boolean","char","character","clob","date","dec","decfloat","decimal","float","int","integer","interval","nchar","nclob","national","numeric","real","row","smallint","time","timestamp","varchar","varying","varbinary"],d=["add","asc","collation","desc","final","first","last","view"],c=["abs","acos","all","allocate","alter","and","any","are","array","array_agg","array_max_cardinality","as","asensitive","asin","asymmetric","at","atan","atomic","authorization","avg","begin","begin_frame","begin_partition","between","bigint","binary","blob","boolean","both","by","call","called","cardinality","cascaded","case","cast","ceil","ceiling","char","char_length","character","character_length","check","classifier","clob","close","coalesce","collate","collect","column","commit","condition","connect","constraint","contains","convert","copy","corr","corresponding","cos","cosh","count","covar_pop","covar_samp","create","cross","cube","cume_dist","current","current_catalog","current_date","current_default_transform_group","current_path","current_role","current_row","current_schema","current_time","current_timestamp","current_path","current_role","current_transform_group_for_type","current_user","cursor","cycle","date","day","deallocate","dec","decimal","decfloat","declare","default","define","delete","dense_rank","deref","describe","deterministic","disconnect","distinct","double","drop","dynamic","each","element","else","empty","end","end_frame","end_partition","end-exec","equals","escape","every","except","exec","execute","exists","exp","external","extract","false","fetch","filter","first_value","float","floor","for","foreign","frame_row","free","from","full","function","fusion","get","global","grant","group","grouping","groups","having","hold","hour","identity","in","indicator","initial","inner","inout","insensitive","insert","int","integer","intersect","intersection","interval","into","is","join","json_array","json_arrayagg","json_exists","json_object","json_objectagg","json_query","json_table","json_table_primitive","json_value","lag","language","large","last_value","lateral","lead","leading","left","like","like_regex","listagg","ln","local","localtime","localtimestamp","log","log10","lower","match","match_number","match_recognize","matches","max","member","merge","method","min","minute","mod","modifies","module","month","multiset","national","natural","nchar","nclob","new","no","none","normalize","not","nth_value","ntile","null","nullif","numeric","octet_length","occurrences_regex","of","offset","old","omit","on","one","only","open","or","order","out","outer","over","overlaps","overlay","parameter","partition","pattern","per","percent","percent_rank","percentile_cont","percentile_disc","period","portion","position","position_regex","power","precedes","precision","prepare","primary","procedure","ptf","range","rank","reads","real","recursive","ref","references","referencing","regr_avgx","regr_avgy","regr_count","regr_intercept","regr_r2","regr_slope","regr_sxx","regr_sxy","regr_syy","release","result","return","returns","revoke","right","rollback","rollup","row","row_number","rows","running","savepoint","scope","scroll","search","second","seek","select","sensitive","session_user","set","show","similar","sin","sinh","skip","smallint","some","specific","specifictype","sql","sqlexception","sqlstate","sqlwarning","sqrt","start","static","stddev_pop","stddev_samp","submultiset","subset","substring","substring_regex","succeeds","sum","symmetric","system","system_time","system_user","table","tablesample","tan","tanh","then","time","timestamp","timezone_hour","timezone_minute","to","trailing","translate","translate_regex","translation","treat","trigger","trim","trim_array","true","truncate","uescape","union","unique","unknown","unnest","update","upper","user","using","value","values","value_of","var_pop","var_samp","varbinary","varchar","varying","versioning","when","whenever","where","width_bucket","window","with","within","without","year"],_=["abs","acos","array_agg","asin","atan","avg","cast","ceil","ceiling","coalesce","corr","cos","cosh","count","covar_pop","covar_samp","cume_dist","dense_rank","deref","element","exp","extract","first_value","floor","json_array","json_arrayagg","json_exists","json_object","json_objectagg","json_query","json_table","json_table_primitive","json_value","lag","last_value","lead","listagg","ln","log","log10","lower","max","min","mod","nth_value","ntile","nullif","percent_rank","percentile_cont","percentile_disc","position","position_regex","power","rank","regr_avgx","regr_avgy","regr_count","regr_intercept","regr_r2","regr_slope","regr_sxx","regr_sxy","regr_syy","row_number","sin","sinh","sqrt","stddev_pop","stddev_samp","substring","substring_regex","sum","tan","tanh","translate","translate_regex","treat","trim","trim_array","unnest","upper","value_of","var_pop","var_samp","width_bucket"],f=["current_catalog","current_date","current_default_transform_group","current_path","current_role","current_schema","current_transform_group_for_type","current_user","session_user","system_time","system_user","current_time","localtime","current_timestamp","localtimestamp"],m=["create table","insert into","primary key","foreign key","not null","alter table","add constraint","grouping sets","on overflow","character set","respect nulls","ignore nulls","nulls first","nulls last","depth first","breadth first"],h=_,E=[...c,...d].filter(T=>!_.includes(T)),b={className:"variable",begin:/@[a-z0-9][a-z0-9_]*/},g={className:"operator",begin:/[-+*/=%^~]|&&?|\|\|?|!=?|<(?:=>?|<|>)?|>[>=]?/,relevance:0},v={begin:t.concat(/\b/,t.either(...h),/\s*\(/),relevance:0,keywords:{built_in:h}};function y(T,{exceptions:C,when:x}={}){const O=x;return C=C||[],T.map(R=>R.match(/\|\d+$/)||C.includes(R)?R:O(R)?`${R}|0`:R)}return{name:"SQL",case_insensitive:!0,illegal:/[{}]|<\//,keywords:{$pattern:/\b[\w\.]+/,keyword:y(E,{when:T=>T.length<3}),literal:o,type:l,built_in:f},contains:[{begin:t.either(...m),relevance:0,keywords:{$pattern:/[\w\.]+/,keyword:E.concat(m),literal:o,type:l}},{className:"type",begin:t.either(...a)},v,b,s,r,e.C_NUMBER_MODE,e.C_BLOCK_COMMENT_MODE,i,g]}}return im=n,im}var sm,Bx;function aWe(){if(Bx)return sm;Bx=1;function n(e){const t=e.regex,i=["functions","model","data","parameters","quantities","transformed","generated"],s=["for","in","if","else","while","break","continue","return"],r=["array","tuple","complex","int","real","vector","complex_vector","ordered","positive_ordered","simplex","unit_vector","row_vector","complex_row_vector","matrix","complex_matrix","cholesky_factor_corr|10","cholesky_factor_cov|10","corr_matrix|10","cov_matrix|10","void"],o=["abs","acos","acosh","add_diag","algebra_solver","algebra_solver_newton","append_array","append_col","append_row","asin","asinh","atan","atan2","atanh","bessel_first_kind","bessel_second_kind","binary_log_loss","block","cbrt","ceil","chol2inv","cholesky_decompose","choose","col","cols","columns_dot_product","columns_dot_self","complex_schur_decompose","complex_schur_decompose_t","complex_schur_decompose_u","conj","cos","cosh","cov_exp_quad","crossprod","csr_extract","csr_extract_u","csr_extract_v","csr_extract_w","csr_matrix_times_vector","csr_to_dense_matrix","cumulative_sum","dae","dae_tol","determinant","diag_matrix","diagonal","diag_post_multiply","diag_pre_multiply","digamma","dims","distance","dot_product","dot_self","eigendecompose","eigendecompose_sym","eigenvalues","eigenvalues_sym","eigenvectors","eigenvectors_sym","erf","erfc","exp","exp2","expm1","falling_factorial","fdim","fft","fft2","floor","fma","fmax","fmin","fmod","gamma_p","gamma_q","generalized_inverse","get_imag","get_real","head","hmm_hidden_state_prob","hmm_marginal","hypot","identity_matrix","inc_beta","integrate_1d","integrate_ode","integrate_ode_adams","integrate_ode_bdf","integrate_ode_rk45","int_step","inv","inv_cloglog","inv_erfc","inverse","inverse_spd","inv_fft","inv_fft2","inv_inc_beta","inv_logit","inv_Phi","inv_sqrt","inv_square","is_inf","is_nan","lambert_w0","lambert_wm1","lbeta","lchoose","ldexp","lgamma","linspaced_array","linspaced_int_array","linspaced_row_vector","linspaced_vector","lmgamma","lmultiply","log","log1m","log1m_exp","log1m_inv_logit","log1p","log1p_exp","log_determinant","log_diff_exp","log_falling_factorial","log_inv_logit","log_inv_logit_diff","logit","log_mix","log_modified_bessel_first_kind","log_rising_factorial","log_softmax","log_sum_exp","machine_precision","map_rect","matrix_exp","matrix_exp_multiply","matrix_power","max","mdivide_left_spd","mdivide_left_tri_low","mdivide_right_spd","mdivide_right_tri_low","mean","min","modified_bessel_first_kind","modified_bessel_second_kind","multiply_lower_tri_self_transpose","negative_infinity","norm","norm1","norm2","not_a_number","num_elements","ode_adams","ode_adams_tol","ode_adjoint_tol_ctl","ode_bdf","ode_bdf_tol","ode_ckrk","ode_ckrk_tol","ode_rk45","ode_rk45_tol","one_hot_array","one_hot_int_array","one_hot_row_vector","one_hot_vector","ones_array","ones_int_array","ones_row_vector","ones_vector","owens_t","Phi","Phi_approx","polar","positive_infinity","pow","print","prod","proj","qr","qr_Q","qr_R","qr_thin","qr_thin_Q","qr_thin_R","quad_form","quad_form_diag","quad_form_sym","quantile","rank","reduce_sum","reject","rep_array","rep_matrix","rep_row_vector","rep_vector","reverse","rising_factorial","round","row","rows","rows_dot_product","rows_dot_self","scale_matrix_exp_multiply","sd","segment","sin","singular_values","sinh","size","softmax","sort_asc","sort_desc","sort_indices_asc","sort_indices_desc","sqrt","square","squared_distance","step","sub_col","sub_row","sum","svd","svd_U","svd_V","symmetrize_from_lower_tri","tail","tan","tanh","target","tcrossprod","tgamma","to_array_1d","to_array_2d","to_complex","to_int","to_matrix","to_row_vector","to_vector","trace","trace_gen_quad_form","trace_quad_form","trigamma","trunc","uniform_simplex","variance","zeros_array","zeros_int_array","zeros_row_vector"],a=["bernoulli","bernoulli_logit","bernoulli_logit_glm","beta","beta_binomial","beta_proportion","binomial","binomial_logit","categorical","categorical_logit","categorical_logit_glm","cauchy","chi_square","dirichlet","discrete_range","double_exponential","exp_mod_normal","exponential","frechet","gamma","gaussian_dlm_obs","gumbel","hmm_latent","hypergeometric","inv_chi_square","inv_gamma","inv_wishart","inv_wishart_cholesky","lkj_corr","lkj_corr_cholesky","logistic","loglogistic","lognormal","multi_gp","multi_gp_cholesky","multinomial","multinomial_logit","multi_normal","multi_normal_cholesky","multi_normal_prec","multi_student_cholesky_t","multi_student_t","multi_student_t_cholesky","neg_binomial","neg_binomial_2","neg_binomial_2_log","neg_binomial_2_log_glm","normal","normal_id_glm","ordered_logistic","ordered_logistic_glm","ordered_probit","pareto","pareto_type_2","poisson","poisson_log","poisson_log_glm","rayleigh","scaled_inv_chi_square","skew_double_exponential","skew_normal","std_normal","std_normal_log","student_t","uniform","von_mises","weibull","wiener","wishart","wishart_cholesky"],l=e.COMMENT(/\/\*/,/\*\//,{relevance:0,contains:[{scope:"doctag",match:/@(return|param)/}]}),d={scope:"meta",begin:/#include\b/,end:/$/,contains:[{match:/[a-z][a-z-._]+/,scope:"string"},e.C_LINE_COMMENT_MODE]},c=["lower","upper","offset","multiplier"];return{name:"Stan",aliases:["stanfuncs"],keywords:{$pattern:e.IDENT_RE,title:i,type:r,keyword:s,built_in:o},contains:[e.C_LINE_COMMENT_MODE,d,e.HASH_COMMENT_MODE,l,{scope:"built_in",match:/\s(pi|e|sqrt2|log2|log10)(?=\()/,relevance:0},{match:t.concat(/[<,]\s*/,t.either(...c),/\s*=/),keywords:c},{scope:"keyword",match:/\btarget(?=\s*\+=)/},{match:[/~\s*/,t.either(...a),/(?:\(\))/,/\s*T(?=\s*\[)/],scope:{2:"built_in",4:"keyword"}},{scope:"built_in",keywords:a,begin:t.concat(/\w*/,t.either(...a),/(_lpdf|_lupdf|_lpmf|_cdf|_lcdf|_lccdf|_qf)(?=\s*[\(.*\)])/)},{begin:[/~/,/\s*/,t.concat(t.either(...a),/(?=\s*[\(.*\)])/)],scope:{3:"built_in"}},{begin:[/~/,/\s*\w+(?=\s*[\(.*\)])/,"(?!.*/\b("+t.either(...a)+")\b)"],scope:{2:"title.function"}},{scope:"title.function",begin:/\w*(_lpdf|_lupdf|_lpmf|_cdf|_lcdf|_lccdf|_qf)(?=\s*[\(.*\)])/},{scope:"number",match:t.concat(/(?:\b\d+(?:_\d+)*(?:\.(?:\d+(?:_\d+)*)?)?|\B\.\d+(?:_\d+)*)/,/(?:[eE][+-]?\d+(?:_\d+)*)?i?(?!\w)/),relevance:0},{scope:"string",begin:/"/,end:/"/}]}}return sm=n,sm}var rm,Gx;function lWe(){if(Gx)return rm;Gx=1;function n(e){return{name:"Stata",aliases:["do","ado"],case_insensitive:!0,keywords:"if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5",contains:[{className:"symbol",begin:/`[a-zA-Z0-9_]+'/},{className:"variable",begin:/\$\{?[a-zA-Z0-9_]+\}?/,relevance:0},{className:"string",variants:[{begin:`\`"[^\r
]*?"'`},{begin:`"[^\r
"]*"`}]},{className:"built_in",variants:[{begin:"\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\()"}]},e.COMMENT("^[ ]*\\*.*$",!1),e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE]}}return rm=n,rm}var om,zx;function cWe(){if(zx)return om;zx=1;function n(e){return{name:"STEP Part 21",aliases:["p21","step","stp"],case_insensitive:!0,keywords:{$pattern:"[A-Z_][A-Z0-9_.]*",keyword:["HEADER","ENDSEC","DATA"]},contains:[{className:"meta",begin:"ISO-10303-21;",relevance:10},{className:"meta",begin:"END-ISO-10303-21;",relevance:10},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,e.COMMENT("/\\*\\*!","\\*/"),e.C_NUMBER_MODE,e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null}),{className:"string",begin:"'",end:"'"},{className:"symbol",variants:[{begin:"#",end:"\\d+",illegal:"\\W"}]}]}}return om=n,om}var am,Vx;function dWe(){if(Vx)return am;Vx=1;const n=a=>({IMPORTANT:{scope:"meta",begin:"!important"},BLOCK_COMMENT:a.C_BLOCK_COMMENT_MODE,HEXCOLOR:{scope:"number",begin:/#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/},FUNCTION_DISPATCH:{className:"built_in",begin:/[\w-]+(?=\()/},ATTRIBUTE_SELECTOR_MODE:{scope:"selector-attr",begin:/\[/,end:/\]/,illegal:"$",contains:[a.APOS_STRING_MODE,a.QUOTE_STRING_MODE]},CSS_NUMBER_MODE:{scope:"number",begin:a.NUMBER_RE+"(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",relevance:0},CSS_VARIABLE:{className:"attr",begin:/--[A-Za-z_][A-Za-z0-9_-]*/}}),e=["a","abbr","address","article","aside","audio","b","blockquote","body","button","canvas","caption","cite","code","dd","del","details","dfn","div","dl","dt","em","fieldset","figcaption","figure","footer","form","h1","h2","h3","h4","h5","h6","header","hgroup","html","i","iframe","img","input","ins","kbd","label","legend","li","main","mark","menu","nav","object","ol","p","q","quote","samp","section","span","strong","summary","sup","table","tbody","td","textarea","tfoot","th","thead","time","tr","ul","var","video"],t=["any-hover","any-pointer","aspect-ratio","color","color-gamut","color-index","device-aspect-ratio","device-height","device-width","display-mode","forced-colors","grid","height","hover","inverted-colors","monochrome","orientation","overflow-block","overflow-inline","pointer","prefers-color-scheme","prefers-contrast","prefers-reduced-motion","prefers-reduced-transparency","resolution","scan","scripting","update","width","min-width","max-width","min-height","max-height"],i=["active","any-link","blank","checked","current","default","defined","dir","disabled","drop","empty","enabled","first","first-child","first-of-type","fullscreen","future","focus","focus-visible","focus-within","has","host","host-context","hover","indeterminate","in-range","invalid","is","lang","last-child","last-of-type","left","link","local-link","not","nth-child","nth-col","nth-last-child","nth-last-col","nth-last-of-type","nth-of-type","only-child","only-of-type","optional","out-of-range","past","placeholder-shown","read-only","read-write","required","right","root","scope","target","target-within","user-invalid","valid","visited","where"],s=["after","backdrop","before","cue","cue-region","first-letter","first-line","grammar-error","marker","part","placeholder","selection","slotted","spelling-error"],r=["align-content","align-items","align-self","all","animation","animation-delay","animation-direction","animation-duration","animation-fill-mode","animation-iteration-count","animation-name","animation-play-state","animation-timing-function","backface-visibility","background","background-attachment","background-blend-mode","background-clip","background-color","background-image","background-origin","background-position","background-repeat","background-size","block-size","border","border-block","border-block-color","border-block-end","border-block-end-color","border-block-end-style","border-block-end-width","border-block-start","border-block-start-color","border-block-start-style","border-block-start-width","border-block-style","border-block-width","border-bottom","border-bottom-color","border-bottom-left-radius","border-bottom-right-radius","border-bottom-style","border-bottom-width","border-collapse","border-color","border-image","border-image-outset","border-image-repeat","border-image-slice","border-image-source","border-image-width","border-inline","border-inline-color","border-inline-end","border-inline-end-color","border-inline-end-style","border-inline-end-width","border-inline-start","border-inline-start-color","border-inline-start-style","border-inline-start-width","border-inline-style","border-inline-width","border-left","border-left-color","border-left-style","border-left-width","border-radius","border-right","border-right-color","border-right-style","border-right-width","border-spacing","border-style","border-top","border-top-color","border-top-left-radius","border-top-right-radius","border-top-style","border-top-width","border-width","bottom","box-decoration-break","box-shadow","box-sizing","break-after","break-before","break-inside","caption-side","caret-color","clear","clip","clip-path","clip-rule","color","column-count","column-fill","column-gap","column-rule","column-rule-color","column-rule-style","column-rule-width","column-span","column-width","columns","contain","content","content-visibility","counter-increment","counter-reset","cue","cue-after","cue-before","cursor","direction","display","empty-cells","filter","flex","flex-basis","flex-direction","flex-flow","flex-grow","flex-shrink","flex-wrap","float","flow","font","font-display","font-family","font-feature-settings","font-kerning","font-language-override","font-size","font-size-adjust","font-smoothing","font-stretch","font-style","font-synthesis","font-variant","font-variant-caps","font-variant-east-asian","font-variant-ligatures","font-variant-numeric","font-variant-position","font-variation-settings","font-weight","gap","glyph-orientation-vertical","grid","grid-area","grid-auto-columns","grid-auto-flow","grid-auto-rows","grid-column","grid-column-end","grid-column-start","grid-gap","grid-row","grid-row-end","grid-row-start","grid-template","grid-template-areas","grid-template-columns","grid-template-rows","hanging-punctuation","height","hyphens","icon","image-orientation","image-rendering","image-resolution","ime-mode","inline-size","isolation","justify-content","left","letter-spacing","line-break","line-height","list-style","list-style-image","list-style-position","list-style-type","margin","margin-block","margin-block-end","margin-block-start","margin-bottom","margin-inline","margin-inline-end","margin-inline-start","margin-left","margin-right","margin-top","marks","mask","mask-border","mask-border-mode","mask-border-outset","mask-border-repeat","mask-border-slice","mask-border-source","mask-border-width","mask-clip","mask-composite","mask-image","mask-mode","mask-origin","mask-position","mask-repeat","mask-size","mask-type","max-block-size","max-height","max-inline-size","max-width","min-block-size","min-height","min-inline-size","min-width","mix-blend-mode","nav-down","nav-index","nav-left","nav-right","nav-up","none","normal","object-fit","object-position","opacity","order","orphans","outline","outline-color","outline-offset","outline-style","outline-width","overflow","overflow-wrap","overflow-x","overflow-y","padding","padding-block","padding-block-end","padding-block-start","padding-bottom","padding-inline","padding-inline-end","padding-inline-start","padding-left","padding-right","padding-top","page-break-after","page-break-before","page-break-inside","pause","pause-after","pause-before","perspective","perspective-origin","pointer-events","position","quotes","resize","rest","rest-after","rest-before","right","row-gap","scroll-margin","scroll-margin-block","scroll-margin-block-end","scroll-margin-block-start","scroll-margin-bottom","scroll-margin-inline","scroll-margin-inline-end","scroll-margin-inline-start","scroll-margin-left","scroll-margin-right","scroll-margin-top","scroll-padding","scroll-padding-block","scroll-padding-block-end","scroll-padding-block-start","scroll-padding-bottom","scroll-padding-inline","scroll-padding-inline-end","scroll-padding-inline-start","scroll-padding-left","scroll-padding-right","scroll-padding-top","scroll-snap-align","scroll-snap-stop","scroll-snap-type","scrollbar-color","scrollbar-gutter","scrollbar-width","shape-image-threshold","shape-margin","shape-outside","speak","speak-as","src","tab-size","table-layout","text-align","text-align-all","text-align-last","text-combine-upright","text-decoration","text-decoration-color","text-decoration-line","text-decoration-style","text-emphasis","text-emphasis-color","text-emphasis-position","text-emphasis-style","text-indent","text-justify","text-orientation","text-overflow","text-rendering","text-shadow","text-transform","text-underline-position","top","transform","transform-box","transform-origin","transform-style","transition","transition-delay","transition-duration","transition-property","transition-timing-function","unicode-bidi","vertical-align","visibility","voice-balance","voice-duration","voice-family","voice-pitch","voice-range","voice-rate","voice-stress","voice-volume","white-space","widows","width","will-change","word-break","word-spacing","word-wrap","writing-mode","z-index"].reverse();function o(a){const l=n(a),d="and or not only",c={className:"variable",begin:"\\$"+a.IDENT_RE},_=["charset","css","debug","extend","font-face","for","import","include","keyframes","media","mixin","page","warn","while"],f="(?=[.\\s\\n[:,(])";return{name:"Stylus",aliases:["styl"],case_insensitive:!1,keywords:"if else for in",illegal:"("+["\\?","(\\bReturn\\b)","(\\bEnd\\b)","(\\bend\\b)","(\\bdef\\b)",";","#\\s","\\*\\s","===\\s","\\|","%"].join("|")+")",contains:[a.QUOTE_STRING_MODE,a.APOS_STRING_MODE,a.C_LINE_COMMENT_MODE,a.C_BLOCK_COMMENT_MODE,l.HEXCOLOR,{begin:"\\.[a-zA-Z][a-zA-Z0-9_-]*"+f,className:"selector-class"},{begin:"#[a-zA-Z][a-zA-Z0-9_-]*"+f,className:"selector-id"},{begin:"\\b("+e.join("|")+")"+f,className:"selector-tag"},{className:"selector-pseudo",begin:"&?:("+i.join("|")+")"+f},{className:"selector-pseudo",begin:"&?:(:)?("+s.join("|")+")"+f},l.ATTRIBUTE_SELECTOR_MODE,{className:"keyword",begin:/@media/,starts:{end:/[{;}]/,keywords:{$pattern:/[a-z-]+/,keyword:d,attribute:t.join(" ")},contains:[l.CSS_NUMBER_MODE]}},{className:"keyword",begin:"@((-(o|moz|ms|webkit)-)?("+_.join("|")+"))\\b"},c,l.CSS_NUMBER_MODE,{className:"function",begin:"^[a-zA-Z][a-zA-Z0-9_-]*\\(.*\\)",illegal:"[\\n]",returnBegin:!0,contains:[{className:"title",begin:"\\b[a-zA-Z][a-zA-Z0-9_-]*"},{className:"params",begin:/\(/,end:/\)/,contains:[l.HEXCOLOR,c,a.APOS_STRING_MODE,l.CSS_NUMBER_MODE,a.QUOTE_STRING_MODE]}]},l.CSS_VARIABLE,{className:"attribute",begin:"\\b("+r.join("|")+")\\b",starts:{end:/;|$/,contains:[l.HEXCOLOR,c,a.APOS_STRING_MODE,a.QUOTE_STRING_MODE,l.CSS_NUMBER_MODE,a.C_BLOCK_COMMENT_MODE,l.IMPORTANT,l.FUNCTION_DISPATCH],illegal:/\./,relevance:0}},l.FUNCTION_DISPATCH]}}return am=o,am}var lm,Hx;function uWe(){if(Hx)return lm;Hx=1;function n(e){return{name:"SubUnit",case_insensitive:!0,contains:[{className:"string",begin:`\\[
(multipart)?`,end:`\\]
`},{className:"string",begin:"\\d{4}-\\d{2}-\\d{2}(\\s+)\\d{2}:\\d{2}:\\d{2}.\\d+Z"},{className:"string",begin:"(\\+|-)\\d+"},{className:"keyword",relevance:10,variants:[{begin:"^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\s+(test)?"},{begin:"^progress(:?)(\\s+)?(pop|push)?"},{begin:"^tags:"},{begin:"^time:"}]}]}}return lm=n,lm}var cm,qx;function pWe(){if(qx)return cm;qx=1;function n(R){return R?typeof R=="string"?R:R.source:null}function e(R){return t("(?=",R,")")}function t(...R){return R.map(A=>n(A)).join("")}function i(R){const S=R[R.length-1];return typeof S=="object"&&S.constructor===Object?(R.splice(R.length-1,1),S):{}}function s(...R){return"("+(i(R).capture?"":"?:")+R.map(U=>n(U)).join("|")+")"}const r=R=>t(/\b/,R,/\w$/.test(R)?/\b/:/\B/),o=["Protocol","Type"].map(r),a=["init","self"].map(r),l=["Any","Self"],d=["actor","any","associatedtype","async","await",/as\?/,/as!/,"as","borrowing","break","case","catch","class","consume","consuming","continue","convenience","copy","default","defer","deinit","didSet","distributed","do","dynamic","each","else","enum","extension","fallthrough",/fileprivate\(set\)/,"fileprivate","final","for","func","get","guard","if","import","indirect","infix",/init\?/,/init!/,"inout",/internal\(set\)/,"internal","in","is","isolated","nonisolated","lazy","let","macro","mutating","nonmutating",/open\(set\)/,"open","operator","optional","override","postfix","precedencegroup","prefix",/private\(set\)/,"private","protocol",/public\(set\)/,"public","repeat","required","rethrows","return","set","some","static","struct","subscript","super","switch","throws","throw",/try\?/,/try!/,"try","typealias",/unowned\(safe\)/,/unowned\(unsafe\)/,"unowned","var","weak","where","while","willSet"],c=["false","nil","true"],_=["assignment","associativity","higherThan","left","lowerThan","none","right"],f=["#colorLiteral","#column","#dsohandle","#else","#elseif","#endif","#error","#file","#fileID","#fileLiteral","#filePath","#function","#if","#imageLiteral","#keyPath","#line","#selector","#sourceLocation","#warning"],m=["abs","all","any","assert","assertionFailure","debugPrint","dump","fatalError","getVaList","isKnownUniquelyReferenced","max","min","numericCast","pointwiseMax","pointwiseMin","precondition","preconditionFailure","print","readLine","repeatElement","sequence","stride","swap","swift_unboxFromSwiftValueWithType","transcode","type","unsafeBitCast","unsafeDowncast","withExtendedLifetime","withUnsafeMutablePointer","withUnsafePointer","withVaList","withoutActuallyEscaping","zip"],h=s(/[/=\-+!*%<>&|^~?]/,/[\u00A1-\u00A7]/,/[\u00A9\u00AB]/,/[\u00AC\u00AE]/,/[\u00B0\u00B1]/,/[\u00B6\u00BB\u00BF\u00D7\u00F7]/,/[\u2016-\u2017]/,/[\u2020-\u2027]/,/[\u2030-\u203E]/,/[\u2041-\u2053]/,/[\u2055-\u205E]/,/[\u2190-\u23FF]/,/[\u2500-\u2775]/,/[\u2794-\u2BFF]/,/[\u2E00-\u2E7F]/,/[\u3001-\u3003]/,/[\u3008-\u3020]/,/[\u3030]/),E=s(h,/[\u0300-\u036F]/,/[\u1DC0-\u1DFF]/,/[\u20D0-\u20FF]/,/[\uFE00-\uFE0F]/,/[\uFE20-\uFE2F]/),b=t(h,E,"*"),g=s(/[a-zA-Z_]/,/[\u00A8\u00AA\u00AD\u00AF\u00B2-\u00B5\u00B7-\u00BA]/,/[\u00BC-\u00BE\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u00FF]/,/[\u0100-\u02FF\u0370-\u167F\u1681-\u180D\u180F-\u1DBF]/,/[\u1E00-\u1FFF]/,/[\u200B-\u200D\u202A-\u202E\u203F-\u2040\u2054\u2060-\u206F]/,/[\u2070-\u20CF\u2100-\u218F\u2460-\u24FF\u2776-\u2793]/,/[\u2C00-\u2DFF\u2E80-\u2FFF]/,/[\u3004-\u3007\u3021-\u302F\u3031-\u303F\u3040-\uD7FF]/,/[\uF900-\uFD3D\uFD40-\uFDCF\uFDF0-\uFE1F\uFE30-\uFE44]/,/[\uFE47-\uFEFE\uFF00-\uFFFD]/),v=s(g,/\d/,/[\u0300-\u036F\u1DC0-\u1DFF\u20D0-\u20FF\uFE20-\uFE2F]/),y=t(g,v,"*"),T=t(/[A-Z]/,v,"*"),C=["attached","autoclosure",t(/convention\(/,s("swift","block","c"),/\)/),"discardableResult","dynamicCallable","dynamicMemberLookup","escaping","freestanding","frozen","GKInspectable","IBAction","IBDesignable","IBInspectable","IBOutlet","IBSegueAction","inlinable","main","nonobjc","NSApplicationMain","NSCopying","NSManaged",t(/objc\(/,y,/\)/),"objc","objcMembers","propertyWrapper","requires_stored_property_inits","resultBuilder","Sendable","testable","UIApplicationMain","unchecked","unknown","usableFromInline","warn_unqualified_access"],x=["iOS","iOSApplicationExtension","macOS","macOSApplicationExtension","macCatalyst","macCatalystApplicationExtension","watchOS","watchOSApplicationExtension","tvOS","tvOSApplicationExtension","swift"];function O(R){const S={match:/\s+/,relevance:0},A=R.COMMENT("/\\*","\\*/",{contains:["self"]}),U=[R.C_LINE_COMMENT_MODE,A],F={match:[/\./,s(...o,...a)],className:{2:"keyword"}},K={match:t(/\./,s(...d)),relevance:0},L=d.filter(He=>typeof He=="string").concat(["_|0"]),H=d.filter(He=>typeof He!="string").concat(l).map(r),G={variants:[{className:"keyword",match:s(...H,...a)}]},P={$pattern:s(/\b\w+/,/#\w+/),keyword:L.concat(f),literal:c},j=[F,K,G],Y={match:t(/\./,s(...m)),relevance:0},Q={className:"built_in",match:t(/\b/,s(...m),/(?=\()/)},re=[Y,Q],te={match:/->/,relevance:0},Z={className:"operator",relevance:0,variants:[{match:b},{match:`\\.(\\.|${E})+`}]},fe=[te,Z],ve="([0-9]_*)+",Ae="([0-9a-fA-F]_*)+",J={className:"number",relevance:0,variants:[{match:`\\b(${ve})(\\.(${ve}))?([eE][+-]?(${ve}))?\\b`},{match:`\\b0x(${Ae})(\\.(${Ae}))?([pP][+-]?(${ve}))?\\b`},{match:/\b0o([0-7]_*)+\b/},{match:/\b0b([01]_*)+\b/}]},me=(He="")=>({className:"subst",variants:[{match:t(/\\/,He,/[0\\tnr"']/)},{match:t(/\\/,He,/u\{[0-9a-fA-F]{1,8}\}/)}]}),ee=(He="")=>({className:"subst",match:t(/\\/,He,/[\t ]*(?:[\r\n]|\r\n)/)}),Se=(He="")=>({className:"subst",label:"interpol",begin:t(/\\/,He,/\(/),end:/\)/}),Oe=(He="")=>({begin:t(He,/"""/),end:t(/"""/,He),contains:[me(He),ee(He),Se(He)]}),k=(He="")=>({begin:t(He,/"/),end:t(/"/,He),contains:[me(He),Se(He)]}),B={className:"string",variants:[Oe(),Oe("#"),Oe("##"),Oe("###"),k(),k("#"),k("##"),k("###")]},$=[R.BACKSLASH_ESCAPE,{begin:/\[/,end:/\]/,relevance:0,contains:[R.BACKSLASH_ESCAPE]}],ce={begin:/\/[^\s](?=[^/\n]*\/)/,end:/\//,contains:$},ne=He=>{const Je=t(He,/\//),Fe=t(/\//,He);return{begin:Je,end:Fe,contains:[...$,{scope:"comment",begin:`#(?!.*${Fe})`,end:/$/}]}},Ce={scope:"regexp",variants:[ne("###"),ne("##"),ne("#"),ce]},we={match:t(/`/,y,/`/)},V={className:"variable",match:/\$\d+/},_e={className:"variable",match:`\\$${v}+`},ie=[we,V,_e],ae={match:/(@|#(un)?)available/,scope:"keyword",starts:{contains:[{begin:/\(/,end:/\)/,keywords:x,contains:[...fe,J,B]}]}},D={scope:"keyword",match:t(/@/,s(...C))},I={scope:"meta",match:t(/@/,y)},z=[ae,D,I],he={match:e(/\b[A-Z]/),relevance:0,contains:[{className:"type",match:t(/(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)/,v,"+")},{className:"type",match:T,relevance:0},{match:/[?!]+/,relevance:0},{match:/\.\.\./,relevance:0},{match:t(/\s+&\s+/,e(T)),relevance:0}]},X={begin:/</,end:/>/,keywords:P,contains:[...U,...j,...z,te,he]};he.contains.push(X);const se={match:t(y,/\s*:/),keywords:"_|0",relevance:0},Re={begin:/\(/,end:/\)/,relevance:0,keywords:P,contains:["self",se,...U,Ce,...j,...re,...fe,J,B,...ie,...z,he]},Te={begin:/</,end:/>/,keywords:"repeat each",contains:[...U,he]},Me={begin:s(e(t(y,/\s*:/)),e(t(y,/\s+/,y,/\s*:/))),end:/:/,relevance:0,contains:[{className:"keyword",match:/\b_\b/},{className:"params",match:y}]},ze={begin:/\(/,end:/\)/,keywords:P,contains:[Me,...U,...j,...fe,J,B,...z,he,Re],endsParent:!0,illegal:/["']/},st={match:[/(func|macro)/,/\s+/,s(we.match,y,b)],className:{1:"keyword",3:"title.function"},contains:[Te,ze,S],illegal:[/\[/,/%/]},De={match:[/\b(?:subscript|init[?!]?)/,/\s*(?=[<(])/],className:{1:"keyword"},contains:[Te,ze,S],illegal:/\[|%/},lt={match:[/operator/,/\s+/,b],className:{1:"keyword",3:"title"}},Qe={begin:[/precedencegroup/,/\s+/,T],className:{1:"keyword",3:"title"},contains:[he],keywords:[..._,...c],end:/}/};for(const He of B.variants){const Je=He.contains.find(ut=>ut.label==="interpol");Je.keywords=P;const Fe=[...j,...re,...fe,J,B,...ie];Je.contains=[...Fe,{begin:/\(/,end:/\)/,contains:["self",...Fe]}]}return{name:"Swift",keywords:P,contains:[...U,st,De,{beginKeywords:"struct protocol class extension enum actor",end:"\\{",excludeEnd:!0,keywords:P,contains:[R.inherit(R.TITLE_MODE,{className:"title.class",begin:/[A-Za-z$_][\u00C0-\u02B80-9A-Za-z$_]*/}),...j]},lt,Qe,{beginKeywords:"import",end:/$/,contains:[...U],relevance:0},Ce,...j,...re,...fe,J,B,...ie,...z,he,Re]}}return cm=O,cm}var dm,Yx;function _We(){if(Yx)return dm;Yx=1;function n(e){return{name:"Tagger Script",contains:[{className:"comment",begin:/\$noop\(/,end:/\)/,contains:[{begin:/\\[()]/},{begin:/\(/,end:/\)/,contains:[{begin:/\\[()]/},"self"]}],relevance:10},{className:"keyword",begin:/\$[_a-zA-Z0-9]+(?=\()/},{className:"variable",begin:/%[_a-zA-Z0-9:]+%/},{className:"symbol",begin:/\\[\\nt$%,()]/},{className:"symbol",begin:/\\u[a-fA-F0-9]{4}/}]}}return dm=n,dm}var um,$x;function hWe(){if($x)return um;$x=1;function n(e){const t="true false yes no null",i="[\\w#;/?:@&=+$,.~*'()[\\]]+",s={className:"attr",variants:[{begin:"\\w[\\w :\\/.-]*:(?=[ ]|$)"},{begin:'"\\w[\\w :\\/.-]*":(?=[ ]|$)'},{begin:"'\\w[\\w :\\/.-]*':(?=[ ]|$)"}]},r={className:"template-variable",variants:[{begin:/\{\{/,end:/\}\}/},{begin:/%\{/,end:/\}/}]},o={className:"string",relevance:0,variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/\S+/}],contains:[e.BACKSLASH_ESCAPE,r]},a=e.inherit(o,{variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/},{begin:/[^\s,{}[\]]+/}]}),l="[0-9]{4}(-[0-9][0-9]){0,2}",d="([Tt \\t][0-9][0-9]?(:[0-9][0-9]){2})?",c="(\\.[0-9]*)?",_="([ \\t])*(Z|[-+][0-9][0-9]?(:[0-9][0-9])?)?",f={className:"number",begin:"\\b"+l+d+c+_+"\\b"},m={end:",",endsWithParent:!0,excludeEnd:!0,keywords:t,relevance:0},h={begin:/\{/,end:/\}/,contains:[m],illegal:"\\n",relevance:0},E={begin:"\\[",end:"\\]",contains:[m],illegal:"\\n",relevance:0},b=[s,{className:"meta",begin:"^---\\s*$",relevance:10},{className:"string",begin:"[\\|>]([1-9]?[+-])?[ ]*\\n( +)[^ ][^\\n]*\\n(\\2[^\\n]+\\n?)*"},{begin:"<%[%=-]?",end:"[%-]?%>",subLanguage:"ruby",excludeBegin:!0,excludeEnd:!0,relevance:0},{className:"type",begin:"!\\w+!"+i},{className:"type",begin:"!<"+i+">"},{className:"type",begin:"!"+i},{className:"type",begin:"!!"+i},{className:"meta",begin:"&"+e.UNDERSCORE_IDENT_RE+"$"},{className:"meta",begin:"\\*"+e.UNDERSCORE_IDENT_RE+"$"},{className:"bullet",begin:"-(?=[ ]|$)",relevance:0},e.HASH_COMMENT_MODE,{beginKeywords:t,keywords:{literal:t}},f,{className:"number",begin:e.C_NUMBER_RE+"\\b",relevance:0},h,E,o],g=[...b];return g.pop(),g.push(a),m.contains=g,{name:"YAML",case_insensitive:!0,aliases:["yml"],contains:b}}return um=n,um}var pm,Wx;function fWe(){if(Wx)return pm;Wx=1;function n(e){return{name:"Test Anything Protocol",case_insensitive:!0,contains:[e.HASH_COMMENT_MODE,{className:"meta",variants:[{begin:"^TAP version (\\d+)$"},{begin:"^1\\.\\.(\\d+)$"}]},{begin:/---$/,end:"\\.\\.\\.$",subLanguage:"yaml",relevance:0},{className:"number",begin:" (\\d+) "},{className:"symbol",variants:[{begin:"^ok"},{begin:"^not ok"}]}]}}return pm=n,pm}var _m,Kx;function mWe(){if(Kx)return _m;Kx=1;function n(e){const t=e.regex,i=/[a-zA-Z_][a-zA-Z0-9_]*/,s={className:"number",variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]};return{name:"Tcl",aliases:["tk"],keywords:["after","append","apply","array","auto_execok","auto_import","auto_load","auto_mkindex","auto_mkindex_old","auto_qualify","auto_reset","bgerror","binary","break","catch","cd","chan","clock","close","concat","continue","dde","dict","encoding","eof","error","eval","exec","exit","expr","fblocked","fconfigure","fcopy","file","fileevent","filename","flush","for","foreach","format","gets","glob","global","history","http","if","incr","info","interp","join","lappend|10","lassign|10","lindex|10","linsert|10","list","llength|10","load","lrange|10","lrepeat|10","lreplace|10","lreverse|10","lsearch|10","lset|10","lsort|10","mathfunc","mathop","memory","msgcat","namespace","open","package","parray","pid","pkg::create","pkg_mkIndex","platform","platform::shell","proc","puts","pwd","read","refchan","regexp","registry","regsub|10","rename","return","safe","scan","seek","set","socket","source","split","string","subst","switch","tcl_endOfWord","tcl_findLibrary","tcl_startOfNextWord","tcl_startOfPreviousWord","tcl_wordBreakAfter","tcl_wordBreakBefore","tcltest","tclvars","tell","time","tm","trace","unknown","unload","unset","update","uplevel","upvar","variable","vwait","while"],contains:[e.COMMENT(";[ \\t]*#","$"),e.COMMENT("^[ \\t]*#","$"),{beginKeywords:"proc",end:"[\\{]",excludeEnd:!0,contains:[{className:"title",begin:"[ \\t\\n\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"[ \\t\\n\\r]",endsWithParent:!0,excludeEnd:!0}]},{className:"variable",variants:[{begin:t.concat(/\$/,t.optional(/::/),i,"(::",i,")*")},{begin:"\\$\\{(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",end:"\\}",contains:[s]}]},{className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},s]}}return _m=n,_m}var hm,jx;function gWe(){if(jx)return hm;jx=1;function n(e){const t=["bool","byte","i16","i32","i64","double","string","binary"];return{name:"Thrift",keywords:{keyword:["namespace","const","typedef","struct","enum","service","exception","void","oneway","set","list","map","required","optional"],type:t,literal:"true false"},contains:[e.QUOTE_STRING_MODE,e.NUMBER_MODE,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"class",beginKeywords:"struct enum service exception",end:/\{/,illegal:/\n/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,excludeEnd:!0}})]},{begin:"\\b(set|list|map)\\s*<",keywords:{type:[...t,"set","list","map"]},end:">",contains:["self"]}]}}return hm=n,hm}var fm,Qx;function bWe(){if(Qx)return fm;Qx=1;function n(e){const t={className:"number",begin:"[1-9][0-9]*",relevance:0},i={className:"symbol",begin:":[^\\]]+"},s={className:"built_in",begin:"(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\[",end:"\\]",contains:["self",t,i]},r={className:"built_in",begin:"(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\[",end:"\\]",contains:["self",t,e.QUOTE_STRING_MODE,i]};return{name:"TP",keywords:{keyword:["ABORT","ACC","ADJUST","AND","AP_LD","BREAK","CALL","CNT","COL","CONDITION","CONFIG","DA","DB","DIV","DETECT","ELSE","END","ENDFOR","ERR_NUM","ERROR_PROG","FINE","FOR","GP","GUARD","INC","IF","JMP","LINEAR_MAX_SPEED","LOCK","MOD","MONITOR","OFFSET","Offset","OR","OVERRIDE","PAUSE","PREG","PTH","RT_LD","RUN","SELECT","SKIP","Skip","TA","TB","TO","TOOL_OFFSET","Tool_Offset","UF","UT","UFRAME_NUM","UTOOL_NUM","UNLOCK","WAIT","X","Y","Z","W","P","R","STRLEN","SUBSTR","FINDSTR","VOFFSET","PROG","ATTR","MN","POS"],literal:["ON","OFF","max_speed","LPOS","JPOS","ENABLE","DISABLE","START","STOP","RESET"]},contains:[s,r,{className:"keyword",begin:"/(PROG|ATTR|MN|POS|END)\\b"},{className:"keyword",begin:"(CALL|RUN|POINT_LOGIC|LBL)\\b"},{className:"keyword",begin:"\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)"},{className:"number",begin:"\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\b",relevance:0},e.COMMENT("//","[;$]"),e.COMMENT("!","[;$]"),e.COMMENT("--eg:","$"),e.QUOTE_STRING_MODE,{className:"string",begin:"'",end:"'"},e.C_NUMBER_MODE,{className:"variable",begin:"\\$[A-Za-z0-9_]+"}]}}return fm=n,fm}var mm,Xx;function EWe(){if(Xx)return mm;Xx=1;function n(e){const t=e.regex,i=["absolute_url","asset|0","asset_version","attribute","block","constant","controller|0","country_timezones","csrf_token","cycle","date","dump","expression","form|0","form_end","form_errors","form_help","form_label","form_rest","form_row","form_start","form_widget","html_classes","include","is_granted","logout_path","logout_url","max","min","parent","path|0","random","range","relative_path","render","render_esi","source","template_from_string","url|0"],s=["abs","abbr_class","abbr_method","batch","capitalize","column","convert_encoding","country_name","currency_name","currency_symbol","data_uri","date","date_modify","default","escape","file_excerpt","file_link","file_relative","filter","first","format","format_args","format_args_as_text","format_currency","format_date","format_datetime","format_file","format_file_from_text","format_number","format_time","html_to_markdown","humanize","inky_to_html","inline_css","join","json_encode","keys","language_name","last","length","locale_name","lower","map","markdown","markdown_to_html","merge","nl2br","number_format","raw","reduce","replace","reverse","round","slice","slug","sort","spaceless","split","striptags","timezone_name","title","trans","transchoice","trim","u|0","upper","url_encode","yaml_dump","yaml_encode"];let r=["apply","autoescape","block","cache","deprecated","do","embed","extends","filter","flush","for","form_theme","from","if","import","include","macro","sandbox","set","stopwatch","trans","trans_default_domain","transchoice","use","verbatim","with"];r=r.concat(r.map(E=>`end${E}`));const o={scope:"string",variants:[{begin:/'/,end:/'/},{begin:/"/,end:/"/}]},a={scope:"number",match:/\d+/},l={begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,contains:[o,a]},d={beginKeywords:i.join(" "),keywords:{name:i},relevance:0,contains:[l]},c={match:/\|(?=[A-Za-z_]+:?)/,beginScope:"punctuation",relevance:0,contains:[{match:/[A-Za-z_]+:?/,keywords:s}]},_=(E,{relevance:b})=>({beginScope:{1:"template-tag",3:"name"},relevance:b||2,endScope:"template-tag",begin:[/\{%/,/\s*/,t.either(...E)],end:/%\}/,keywords:"in",contains:[c,d,o,a]}),f=/[a-z_]+/,m=_(r,{relevance:2}),h=_([f],{relevance:1});return{name:"Twig",aliases:["craftcms"],case_insensitive:!0,subLanguage:"xml",contains:[e.COMMENT(/\{#/,/#\}/),m,h,{className:"template-variable",begin:/\{\{/,end:/\}\}/,contains:["self",c,d,o,a]}]}}return mm=n,mm}var gm,Zx;function vWe(){if(Zx)return gm;Zx=1;const n="[A-Za-z$_][0-9A-Za-z$_]*",e=["as","in","of","if","for","while","finally","var","new","function","do","return","void","else","break","catch","instanceof","with","throw","case","default","try","switch","continue","typeof","delete","let","yield","const","class","debugger","async","await","static","import","from","export","extends"],t=["true","false","null","undefined","NaN","Infinity"],i=["Object","Function","Boolean","Symbol","Math","Date","Number","BigInt","String","RegExp","Array","Float32Array","Float64Array","Int8Array","Uint8Array","Uint8ClampedArray","Int16Array","Int32Array","Uint16Array","Uint32Array","BigInt64Array","BigUint64Array","Set","Map","WeakSet","WeakMap","ArrayBuffer","SharedArrayBuffer","Atomics","DataView","JSON","Promise","Generator","GeneratorFunction","AsyncFunction","Reflect","Proxy","Intl","WebAssembly"],s=["Error","EvalError","InternalError","RangeError","ReferenceError","SyntaxError","TypeError","URIError"],r=["setInterval","setTimeout","clearInterval","clearTimeout","require","exports","eval","isFinite","isNaN","parseFloat","parseInt","decodeURI","decodeURIComponent","encodeURI","encodeURIComponent","escape","unescape"],o=["arguments","this","super","console","window","document","localStorage","sessionStorage","module","global"],a=[].concat(r,i,s);function l(c){const _=c.regex,f=(me,{after:ee})=>{const Se="</"+me[0].slice(1);return me.input.indexOf(Se,ee)!==-1},m=n,h={begin:"<>",end:"</>"},E=/<[A-Za-z0-9\\._:-]+\s*\/>/,b={begin:/<[A-Za-z0-9\\._:-]+/,end:/\/[A-Za-z0-9\\._:-]+>|\/>/,isTrulyOpeningTag:(me,ee)=>{const Se=me[0].length+me.index,Oe=me.input[Se];if(Oe==="<"||Oe===","){ee.ignoreMatch();return}Oe===">"&&(f(me,{after:Se})||ee.ignoreMatch());let k;const B=me.input.substring(Se);if(k=B.match(/^\s*=/)){ee.ignoreMatch();return}if((k=B.match(/^\s+extends\s+/))&&k.index===0){ee.ignoreMatch();return}}},g={$pattern:n,keyword:e,literal:t,built_in:a,"variable.language":o},v="[0-9](_?[0-9])*",y=`\\.(${v})`,T="0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*",C={className:"number",variants:[{begin:`(\\b(${T})((${y})|\\.)?|(${y}))[eE][+-]?(${v})\\b`},{begin:`\\b(${T})\\b((${y})\\b|\\.)?|(${y})\\b`},{begin:"\\b(0|[1-9](_?[0-9])*)n\\b"},{begin:"\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\b"},{begin:"\\b0[bB][0-1](_?[0-1])*n?\\b"},{begin:"\\b0[oO][0-7](_?[0-7])*n?\\b"},{begin:"\\b0[0-7]+n?\\b"}],relevance:0},x={className:"subst",begin:"\\$\\{",end:"\\}",keywords:g,contains:[]},O={begin:"html`",end:"",starts:{end:"`",returnEnd:!1,contains:[c.BACKSLASH_ESCAPE,x],subLanguage:"xml"}},R={begin:"css`",end:"",starts:{end:"`",returnEnd:!1,contains:[c.BACKSLASH_ESCAPE,x],subLanguage:"css"}},S={begin:"gql`",end:"",starts:{end:"`",returnEnd:!1,contains:[c.BACKSLASH_ESCAPE,x],subLanguage:"graphql"}},A={className:"string",begin:"`",end:"`",contains:[c.BACKSLASH_ESCAPE,x]},F={className:"comment",variants:[c.COMMENT(/\/\*\*(?!\/)/,"\\*/",{relevance:0,contains:[{begin:"(?=@[A-Za-z]+)",relevance:0,contains:[{className:"doctag",begin:"@[A-Za-z]+"},{className:"type",begin:"\\{",end:"\\}",excludeEnd:!0,excludeBegin:!0,relevance:0},{className:"variable",begin:m+"(?=\\s*(-)|$)",endsParent:!0,relevance:0},{begin:/(?=[^\n])\s/,relevance:0}]}]}),c.C_BLOCK_COMMENT_MODE,c.C_LINE_COMMENT_MODE]},K=[c.APOS_STRING_MODE,c.QUOTE_STRING_MODE,O,R,S,A,{match:/\$\d+/},C];x.contains=K.concat({begin:/\{/,end:/\}/,keywords:g,contains:["self"].concat(K)});const L=[].concat(F,x.contains),H=L.concat([{begin:/\(/,end:/\)/,keywords:g,contains:["self"].concat(L)}]),G={className:"params",begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:g,contains:H},P={variants:[{match:[/class/,/\s+/,m,/\s+/,/extends/,/\s+/,_.concat(m,"(",_.concat(/\./,m),")*")],scope:{1:"keyword",3:"title.class",5:"keyword",7:"title.class.inherited"}},{match:[/class/,/\s+/,m],scope:{1:"keyword",3:"title.class"}}]},j={relevance:0,match:_.either(/\bJSON/,/\b[A-Z][a-z]+([A-Z][a-z]*|\d)*/,/\b[A-Z]{2,}([A-Z][a-z]+|\d)+([A-Z][a-z]*)*/,/\b[A-Z]{2,}[a-z]+([A-Z][a-z]+|\d)*([A-Z][a-z]*)*/),className:"title.class",keywords:{_:[...i,...s]}},Y={label:"use_strict",className:"meta",relevance:10,begin:/^\s*['"]use (strict|asm)['"]/},Q={variants:[{match:[/function/,/\s+/,m,/(?=\s*\()/]},{match:[/function/,/\s*(?=\()/]}],className:{1:"keyword",3:"title.function"},label:"func.def",contains:[G],illegal:/%/},re={relevance:0,match:/\b[A-Z][A-Z_0-9]+\b/,className:"variable.constant"};function te(me){return _.concat("(?!",me.join("|"),")")}const Z={match:_.concat(/\b/,te([...r,"super","import"]),m,_.lookahead(/\(/)),className:"title.function",relevance:0},fe={begin:_.concat(/\./,_.lookahead(_.concat(m,/(?![0-9A-Za-z$_(])/))),end:m,excludeBegin:!0,keywords:"prototype",className:"property",relevance:0},ve={match:[/get|set/,/\s+/,m,/(?=\()/],className:{1:"keyword",3:"title.function"},contains:[{begin:/\(\)/},G]},Ae="(\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)|"+c.UNDERSCORE_IDENT_RE+")\\s*=>",J={match:[/const|var|let/,/\s+/,m,/\s*/,/=\s*/,/(async\s*)?/,_.lookahead(Ae)],keywords:"async",className:{1:"keyword",3:"title.function"},contains:[G]};return{name:"JavaScript",aliases:["js","jsx","mjs","cjs"],keywords:g,exports:{PARAMS_CONTAINS:H,CLASS_REFERENCE:j},illegal:/#(?![$_A-z])/,contains:[c.SHEBANG({label:"shebang",binary:"node",relevance:5}),Y,c.APOS_STRING_MODE,c.QUOTE_STRING_MODE,O,R,S,A,F,{match:/\$\d+/},C,j,{className:"attr",begin:m+_.lookahead(":"),relevance:0},J,{begin:"("+c.RE_STARTERS_RE+"|\\b(case|return|throw)\\b)\\s*",keywords:"return throw case",relevance:0,contains:[F,c.REGEXP_MODE,{className:"function",begin:Ae,returnBegin:!0,end:"\\s*=>",contains:[{className:"params",variants:[{begin:c.UNDERSCORE_IDENT_RE,relevance:0},{className:null,begin:/\(\s*\)/,skip:!0},{begin:/\(/,end:/\)/,excludeBegin:!0,excludeEnd:!0,keywords:g,contains:H}]}]},{begin:/,/,relevance:0},{match:/\s+/,relevance:0},{variants:[{begin:h.begin,end:h.end},{match:E},{begin:b.begin,"on:begin":b.isTrulyOpeningTag,end:b.end}],subLanguage:"xml",contains:[{begin:b.begin,end:b.end,skip:!0,contains:["self"]}]}]},Q,{beginKeywords:"while if switch catch for"},{begin:"\\b(?!function)"+c.UNDERSCORE_IDENT_RE+"\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)\\s*\\{",returnBegin:!0,label:"func.def",contains:[G,c.inherit(c.TITLE_MODE,{begin:m,className:"title.function"})]},{match:/\.\.\./,relevance:0},fe,{match:"\\$"+m,relevance:0},{match:[/\bconstructor(?=\s*\()/],className:{1:"title.function"},contains:[G]},Z,re,P,ve,{match:/\$[(.]/}]}}function d(c){const _=l(c),f=n,m=["any","void","number","boolean","string","object","never","symbol","bigint","unknown"],h={beginKeywords:"namespace",end:/\{/,excludeEnd:!0,contains:[_.exports.CLASS_REFERENCE]},E={beginKeywords:"interface",end:/\{/,excludeEnd:!0,keywords:{keyword:"interface extends",built_in:m},contains:[_.exports.CLASS_REFERENCE]},b={className:"meta",relevance:10,begin:/^\s*['"]use strict['"]/},g=["type","namespace","interface","public","private","protected","implements","declare","abstract","readonly","enum","override"],v={$pattern:n,keyword:e.concat(g),literal:t,built_in:a.concat(m),"variable.language":o},y={className:"meta",begin:"@"+f},T=(x,O,R)=>{const S=x.contains.findIndex(A=>A.label===O);if(S===-1)throw new Error("can not find mode to replace");x.contains.splice(S,1,R)};Object.assign(_.keywords,v),_.exports.PARAMS_CONTAINS.push(y),_.contains=_.contains.concat([y,h,E]),T(_,"shebang",c.SHEBANG()),T(_,"use_strict",b);const C=_.contains.find(x=>x.label==="func.def");return C.relevance=0,Object.assign(_,{name:"TypeScript",aliases:["ts","tsx","mts","cts"]}),_}return gm=d,gm}var bm,Jx;function yWe(){if(Jx)return bm;Jx=1;function n(e){return{name:"Vala",keywords:{keyword:"char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override virtual delegate if while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var",built_in:"DBus GLib CCode Gee Object Gtk Posix",literal:"false true null"},contains:[{className:"class",beginKeywords:"class interface namespace",end:/\{/,excludeEnd:!0,illegal:"[^,:\\n\\s\\.]",contains:[e.UNDERSCORE_TITLE_MODE]},e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,{className:"string",begin:'"""',end:'"""',relevance:5},e.APOS_STRING_MODE,e.QUOTE_STRING_MODE,e.C_NUMBER_MODE,{className:"meta",begin:"^#",end:"$"}]}}return bm=n,bm}var Em,eC;function SWe(){if(eC)return Em;eC=1;function n(e){const t=e.regex,i={className:"string",begin:/"(""|[^/n])"C\b/},s={className:"string",begin:/"/,end:/"/,illegal:/\n/,contains:[{begin:/""/}]},r=/\d{1,2}\/\d{1,2}\/\d{4}/,o=/\d{4}-\d{1,2}-\d{1,2}/,a=/(\d|1[012])(:\d+){0,2} *(AM|PM)/,l=/\d{1,2}(:\d{1,2}){1,2}/,d={className:"literal",variants:[{begin:t.concat(/# */,t.either(o,r),/ *#/)},{begin:t.concat(/# */,l,/ *#/)},{begin:t.concat(/# */,a,/ *#/)},{begin:t.concat(/# */,t.either(o,r),/ +/,t.either(a,l),/ *#/)}]},c={className:"number",relevance:0,variants:[{begin:/\b\d[\d_]*((\.[\d_]+(E[+-]?[\d_]+)?)|(E[+-]?[\d_]+))[RFD@!#]?/},{begin:/\b\d[\d_]*((U?[SIL])|[%&])?/},{begin:/&H[\dA-F_]+((U?[SIL])|[%&])?/},{begin:/&O[0-7_]+((U?[SIL])|[%&])?/},{begin:/&B[01_]+((U?[SIL])|[%&])?/}]},_={className:"label",begin:/^\w+:/},f=e.COMMENT(/'''/,/$/,{contains:[{className:"doctag",begin:/<\/?/,end:/>/}]}),m=e.COMMENT(null,/$/,{variants:[{begin:/'/},{begin:/([\t ]|^)REM(?=\s)/}]});return{name:"Visual Basic .NET",aliases:["vb"],case_insensitive:!0,classNameAliases:{label:"symbol"},keywords:{keyword:"addhandler alias aggregate ansi as async assembly auto binary by byref byval call case catch class compare const continue custom declare default delegate dim distinct do each equals else elseif end enum erase error event exit explicit finally for friend from function get global goto group handles if implements imports in inherits interface into iterator join key let lib loop me mid module mustinherit mustoverride mybase myclass namespace narrowing new next notinheritable notoverridable of off on operator option optional order overloads overridable overrides paramarray partial preserve private property protected public raiseevent readonly redim removehandler resume return select set shadows shared skip static step stop structure strict sub synclock take text then throw to try unicode until using when where while widening with withevents writeonly yield",built_in:"addressof and andalso await directcast gettype getxmlnamespace is isfalse isnot istrue like mod nameof new not or orelse trycast typeof xor cbool cbyte cchar cdate cdbl cdec cint clng cobj csbyte cshort csng cstr cuint culng cushort",type:"boolean byte char date decimal double integer long object sbyte short single string uinteger ulong ushort",literal:"true false nothing"},illegal:"//|\\{|\\}|endif|gosub|variant|wend|^\\$ ",contains:[i,s,d,c,_,f,m,{className:"meta",begin:/[\t ]*#(const|disable|else|elseif|enable|end|externalsource|if|region)\b/,end:/$/,keywords:{keyword:"const disable else elseif enable end externalsource if region then"},contains:[m]}]}}return Em=n,Em}var vm,tC;function TWe(){if(tC)return vm;tC=1;function n(e){const t=e.regex,i=["lcase","month","vartype","instrrev","ubound","setlocale","getobject","rgb","getref","string","weekdayname","rnd","dateadd","monthname","now","day","minute","isarray","cbool","round","formatcurrency","conversions","csng","timevalue","second","year","space","abs","clng","timeserial","fixs","len","asc","isempty","maths","dateserial","atn","timer","isobject","filter","weekday","datevalue","ccur","isdate","instr","datediff","formatdatetime","replace","isnull","right","sgn","array","snumeric","log","cdbl","hex","chr","lbound","msgbox","ucase","getlocale","cos","cdate","cbyte","rtrim","join","hour","oct","typename","trim","strcomp","int","createobject","loadpicture","tan","formatnumber","mid","split","cint","sin","datepart","ltrim","sqr","time","derived","eval","date","formatpercent","exp","inputbox","left","ascw","chrw","regexp","cstr","err"],s=["server","response","request","scriptengine","scriptenginebuildversion","scriptengineminorversion","scriptenginemajorversion"],r={begin:t.concat(t.either(...i),"\\s*\\("),relevance:0,keywords:{built_in:i}};return{name:"VBScript",aliases:["vbs"],case_insensitive:!0,keywords:{keyword:["call","class","const","dim","do","loop","erase","execute","executeglobal","exit","for","each","next","function","if","then","else","on","error","option","explicit","new","private","property","let","get","public","randomize","redim","rem","select","case","set","stop","sub","while","wend","with","end","to","elseif","is","or","xor","and","not","class_initialize","class_terminate","default","preserve","in","me","byval","byref","step","resume","goto"],built_in:s,literal:["true","false","null","nothing","empty"]},illegal:"//",contains:[r,e.inherit(e.QUOTE_STRING_MODE,{contains:[{begin:'""'}]}),e.COMMENT(/'/,/$/,{relevance:0}),e.C_NUMBER_MODE]}}return vm=n,vm}var ym,nC;function xWe(){if(nC)return ym;nC=1;function n(e){return{name:"VBScript in HTML",subLanguage:"xml",contains:[{begin:"<%",end:"%>",subLanguage:"vbscript"}]}}return ym=n,ym}var Sm,iC;function CWe(){if(iC)return Sm;iC=1;function n(e){const t=e.regex,i={$pattern:/\$?[\w]+(\$[\w]+)*/,keyword:["accept_on","alias","always","always_comb","always_ff","always_latch","and","assert","assign","assume","automatic","before","begin","bind","bins","binsof","bit","break","buf|0","bufif0","bufif1","byte","case","casex","casez","cell","chandle","checker","class","clocking","cmos","config","const","constraint","context","continue","cover","covergroup","coverpoint","cross","deassign","default","defparam","design","disable","dist","do","edge","else","end","endcase","endchecker","endclass","endclocking","endconfig","endfunction","endgenerate","endgroup","endinterface","endmodule","endpackage","endprimitive","endprogram","endproperty","endspecify","endsequence","endtable","endtask","enum","event","eventually","expect","export","extends","extern","final","first_match","for","force","foreach","forever","fork","forkjoin","function","generate|5","genvar","global","highz0","highz1","if","iff","ifnone","ignore_bins","illegal_bins","implements","implies","import","incdir","include","initial","inout","input","inside","instance","int","integer","interconnect","interface","intersect","join","join_any","join_none","large","let","liblist","library","local","localparam","logic","longint","macromodule","matches","medium","modport","module","nand","negedge","nettype","new","nexttime","nmos","nor","noshowcancelled","not","notif0","notif1","or","output","package","packed","parameter","pmos","posedge","primitive","priority","program","property","protected","pull0","pull1","pulldown","pullup","pulsestyle_ondetect","pulsestyle_onevent","pure","rand","randc","randcase","randsequence","rcmos","real","realtime","ref","reg","reject_on","release","repeat","restrict","return","rnmos","rpmos","rtran","rtranif0","rtranif1","s_always","s_eventually","s_nexttime","s_until","s_until_with","scalared","sequence","shortint","shortreal","showcancelled","signed","small","soft","solve","specify","specparam","static","string","strong","strong0","strong1","struct","super","supply0","supply1","sync_accept_on","sync_reject_on","table","tagged","task","this","throughout","time","timeprecision","timeunit","tran","tranif0","tranif1","tri","tri0","tri1","triand","trior","trireg","type","typedef","union","unique","unique0","unsigned","until","until_with","untyped","use","uwire","var","vectored","virtual","void","wait","wait_order","wand","weak","weak0","weak1","while","wildcard","wire","with","within","wor","xnor","xor"],literal:["null"],built_in:["$finish","$stop","$exit","$fatal","$error","$warning","$info","$realtime","$time","$printtimescale","$bitstoreal","$bitstoshortreal","$itor","$signed","$cast","$bits","$stime","$timeformat","$realtobits","$shortrealtobits","$rtoi","$unsigned","$asserton","$assertkill","$assertpasson","$assertfailon","$assertnonvacuouson","$assertoff","$assertcontrol","$assertpassoff","$assertfailoff","$assertvacuousoff","$isunbounded","$sampled","$fell","$changed","$past_gclk","$fell_gclk","$changed_gclk","$rising_gclk","$steady_gclk","$coverage_control","$coverage_get","$coverage_save","$set_coverage_db_name","$rose","$stable","$past","$rose_gclk","$stable_gclk","$future_gclk","$falling_gclk","$changing_gclk","$display","$coverage_get_max","$coverage_merge","$get_coverage","$load_coverage_db","$typename","$unpacked_dimensions","$left","$low","$increment","$clog2","$ln","$log10","$exp","$sqrt","$pow","$floor","$ceil","$sin","$cos","$tan","$countbits","$onehot","$isunknown","$fatal","$warning","$dimensions","$right","$high","$size","$asin","$acos","$atan","$atan2","$hypot","$sinh","$cosh","$tanh","$asinh","$acosh","$atanh","$countones","$onehot0","$error","$info","$random","$dist_chi_square","$dist_erlang","$dist_exponential","$dist_normal","$dist_poisson","$dist_t","$dist_uniform","$q_initialize","$q_remove","$q_exam","$async$and$array","$async$nand$array","$async$or$array","$async$nor$array","$sync$and$array","$sync$nand$array","$sync$or$array","$sync$nor$array","$q_add","$q_full","$psprintf","$async$and$plane","$async$nand$plane","$async$or$plane","$async$nor$plane","$sync$and$plane","$sync$nand$plane","$sync$or$plane","$sync$nor$plane","$system","$display","$displayb","$displayh","$displayo","$strobe","$strobeb","$strobeh","$strobeo","$write","$readmemb","$readmemh","$writememh","$value$plusargs","$dumpvars","$dumpon","$dumplimit","$dumpports","$dumpportson","$dumpportslimit","$writeb","$writeh","$writeo","$monitor","$monitorb","$monitorh","$monitoro","$writememb","$dumpfile","$dumpoff","$dumpall","$dumpflush","$dumpportsoff","$dumpportsall","$dumpportsflush","$fclose","$fdisplay","$fdisplayb","$fdisplayh","$fdisplayo","$fstrobe","$fstrobeb","$fstrobeh","$fstrobeo","$swrite","$swriteb","$swriteh","$swriteo","$fscanf","$fread","$fseek","$fflush","$feof","$fopen","$fwrite","$fwriteb","$fwriteh","$fwriteo","$fmonitor","$fmonitorb","$fmonitorh","$fmonitoro","$sformat","$sformatf","$fgetc","$ungetc","$fgets","$sscanf","$rewind","$ftell","$ferror"]},s=["__FILE__","__LINE__"],r=["begin_keywords","celldefine","default_nettype","default_decay_time","default_trireg_strength","define","delay_mode_distributed","delay_mode_path","delay_mode_unit","delay_mode_zero","else","elsif","end_keywords","endcelldefine","endif","ifdef","ifndef","include","line","nounconnected_drive","pragma","resetall","timescale","unconnected_drive","undef","undefineall"];return{name:"Verilog",aliases:["v","sv","svh"],case_insensitive:!1,keywords:i,contains:[e.C_BLOCK_COMMENT_MODE,e.C_LINE_COMMENT_MODE,e.QUOTE_STRING_MODE,{scope:"number",contains:[e.BACKSLASH_ESCAPE],variants:[{begin:/\b((\d+'([bhodBHOD]))[0-9xzXZa-fA-F_]+)/},{begin:/\B(('([bhodBHOD]))[0-9xzXZa-fA-F_]+)/},{begin:/\b[0-9][0-9_]*/,relevance:0}]},{scope:"variable",variants:[{begin:"#\\((?!parameter).+\\)"},{begin:"\\.\\w+",relevance:0}]},{scope:"variable.constant",match:t.concat(/`/,t.either(...s))},{scope:"meta",begin:t.concat(/`/,t.either(...r)),end:/$|\/\/|\/\*/,returnEnd:!0,keywords:r}]}}return Sm=n,Sm}var Tm,sC;function RWe(){if(sC)return Tm;sC=1;function n(e){const t="\\d(_|\\d)*",i="[eE][-+]?"+t,s=t+"(\\."+t+")?("+i+")?",r="\\w+",a="\\b("+(t+"#"+r+"(\\."+r+")?#("+i+")?")+"|"+s+")";return{name:"VHDL",case_insensitive:!0,keywords:{keyword:["abs","access","after","alias","all","and","architecture","array","assert","assume","assume_guarantee","attribute","begin","block","body","buffer","bus","case","component","configuration","constant","context","cover","disconnect","downto","default","else","elsif","end","entity","exit","fairness","file","for","force","function","generate","generic","group","guarded","if","impure","in","inertial","inout","is","label","library","linkage","literal","loop","map","mod","nand","new","next","nor","not","null","of","on","open","or","others","out","package","parameter","port","postponed","procedure","process","property","protected","pure","range","record","register","reject","release","rem","report","restrict","restrict_guarantee","return","rol","ror","select","sequence","severity","shared","signal","sla","sll","sra","srl","strong","subtype","then","to","transport","type","unaffected","units","until","use","variable","view","vmode","vprop","vunit","wait","when","while","with","xnor","xor"],built_in:["boolean","bit","character","integer","time","delay_length","natural","positive","string","bit_vector","file_open_kind","file_open_status","std_logic","std_logic_vector","unsigned","signed","boolean_vector","integer_vector","std_ulogic","std_ulogic_vector","unresolved_unsigned","u_unsigned","unresolved_signed","u_signed","real_vector","time_vector"],literal:["false","true","note","warning","error","failure","line","text","side","width"]},illegal:/\{/,contains:[e.C_BLOCK_COMMENT_MODE,e.COMMENT("--","$"),e.QUOTE_STRING_MODE,{className:"number",begin:a,relevance:0},{className:"string",begin:"'(U|X|0|1|Z|W|L|H|-)'",contains:[e.BACKSLASH_ESCAPE]},{className:"symbol",begin:"'[A-Za-z](_?[A-Za-z0-9])*",contains:[e.BACKSLASH_ESCAPE]}]}}return Tm=n,Tm}var xm,rC;function AWe(){if(rC)return xm;rC=1;function n(e){return{name:"Vim Script",keywords:{$pattern:/[!#@\w]+/,keyword:"N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank",built_in:"synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv complete_check add getwinposx getqflist getwinposy screencol clearmatches empty extend getcmdpos mzeval garbagecollect setreg ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable shiftwidth max sinh isdirectory synID system inputrestore winline atan visualmode inputlist tabpagewinnr round getregtype mapcheck hasmapto histdel argidx findfile sha256 exists toupper getcmdline taglist string getmatches bufnr strftime winwidth bufexists strtrans tabpagebuflist setcmdpos remote_read printf setloclist getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval resolve libcallnr foldclosedend reverse filter has_key bufname str2float strlen setline getcharmod setbufvar index searchpos shellescape undofile foldclosed setqflist buflisted strchars str2nr virtcol floor remove undotree remote_expr winheight gettabwinvar reltime cursor tabpagenr finddir localtime acos getloclist search tanh matchend rename gettabvar strdisplaywidth type abs py3eval setwinvar tolower wildmenumode log10 spellsuggest bufloaded synconcealed nextnonblank server2client complete settabwinvar executable input wincol setmatches getftype hlID inputsave searchpair or screenrow line settabvar histadd deepcopy strpart remote_peek and eval getftime submatch screenchar winsaveview matchadd mkdir screenattr getfontname libcall reltimestr getfsize winnr invert pow getbufline byte2line soundfold repeat fnameescape tagfiles sin strwidth spellbadword trunc maparg log lispindent hostname setpos globpath remote_foreground getchar synIDattr fnamemodify cscope_connection stridx winbufnr indent min complete_add nr2char searchpairpos inputdialog values matchlist items hlexists strridx browsedir expand fmod pathshorten line2byte argc count getwinvar glob foldtextresult getreg foreground cosh matchdelete has char2nr simplify histget searchdecl iconv winrestcmd pumvisible writefile foldlevel haslocaldir keys cos matchstr foldtext histnr tan tempname getcwd byteidx getbufvar islocked escape eventhandler remote_send serverlist winrestview synstack pyeval prevnonblank readfile cindent filereadable changenr exp"},illegal:/;/,contains:[e.NUMBER_MODE,{className:"string",begin:"'",end:"'",illegal:"\\n"},{className:"string",begin:/"(\\"|\n\\|[^"\n])*"/},e.COMMENT('"',"$"),{className:"variable",begin:/[bwtglsav]:[\w\d_]+/},{begin:[/\b(?:function|function!)/,/\s+/,e.IDENT_RE],className:{1:"keyword",3:"title"},end:"$",relevance:0,contains:[{className:"params",begin:"\\(",end:"\\)"}]},{className:"symbol",begin:/<[\w-]+>/}]}}return xm=n,xm}var Cm,oC;function wWe(){if(oC)return Cm;oC=1;function n(e){e.regex;const t=e.COMMENT(/\(;/,/;\)/);t.contains.push("self");const i=e.COMMENT(/;;/,/$/),s=["anyfunc","block","br","br_if","br_table","call","call_indirect","data","drop","elem","else","end","export","func","global.get","global.set","local.get","local.set","local.tee","get_global","get_local","global","if","import","local","loop","memory","memory.grow","memory.size","module","mut","nop","offset","param","result","return","select","set_global","set_local","start","table","tee_local","then","type","unreachable"],r={begin:[/(?:func|call|call_indirect)/,/\s+/,/\$[^\s)]+/],className:{1:"keyword",3:"title.function"}},o={className:"variable",begin:/\$[\w_]+/},a={match:/(\((?!;)|\))+/,className:"punctuation",relevance:0},l={className:"number",relevance:0,match:/[+-]?\b(?:\d(?:_?\d)*(?:\.\d(?:_?\d)*)?(?:[eE][+-]?\d(?:_?\d)*)?|0x[\da-fA-F](?:_?[\da-fA-F])*(?:\.[\da-fA-F](?:_?[\da-fA-D])*)?(?:[pP][+-]?\d(?:_?\d)*)?)\b|\binf\b|\bnan(?::0x[\da-fA-F](?:_?[\da-fA-D])*)?\b/},d={match:/(i32|i64|f32|f64)(?!\.)/,className:"type"},c={className:"keyword",match:/\b(f32|f64|i32|i64)(?:\.(?:abs|add|and|ceil|clz|const|convert_[su]\/i(?:32|64)|copysign|ctz|demote\/f64|div(?:_[su])?|eqz?|extend_[su]\/i32|floor|ge(?:_[su])?|gt(?:_[su])?|le(?:_[su])?|load(?:(?:8|16|32)_[su])?|lt(?:_[su])?|max|min|mul|nearest|neg?|or|popcnt|promote\/f32|reinterpret\/[fi](?:32|64)|rem_[su]|rot[lr]|shl|shr_[su]|store(?:8|16|32)?|sqrt|sub|trunc(?:_[su]\/f(?:32|64))?|wrap\/i64|xor))\b/};return{name:"WebAssembly",keywords:{$pattern:/[\w.]+/,keyword:s},contains:[i,t,{match:[/(?:offset|align)/,/\s*/,/=/],className:{1:"keyword",3:"operator"}},o,a,r,e.QUOTE_STRING_MODE,d,c,l]}}return Cm=n,Cm}var Rm,aC;function NWe(){if(aC)return Rm;aC=1;function n(e){const t=e.regex,i=/[a-zA-Z]\w*/,s=["as","break","class","construct","continue","else","for","foreign","if","import","in","is","return","static","var","while"],r=["true","false","null"],o=["this","super"],a=["Bool","Class","Fiber","Fn","List","Map","Null","Num","Object","Range","Sequence","String","System"],l=["-","~",/\*/,"%",/\.\.\./,/\.\./,/\+/,"<<",">>",">=","<=","<",">",/\^/,/!=/,/!/,/\bis\b/,"==","&&","&",/\|\|/,/\|/,/\?:/,"="],d={relevance:0,match:t.concat(/\b(?!(if|while|for|else|super)\b)/,i,/(?=\s*[({])/),className:"title.function"},c={match:t.concat(t.either(t.concat(/\b(?!(if|while|for|else|super)\b)/,i),t.either(...l)),/(?=\s*\([^)]+\)\s*\{)/),className:"title.function",starts:{contains:[{begin:/\(/,end:/\)/,contains:[{relevance:0,scope:"params",match:i}]}]}},_={variants:[{match:[/class\s+/,i,/\s+is\s+/,i]},{match:[/class\s+/,i]}],scope:{2:"title.class",4:"title.class.inherited"},keywords:s},f={relevance:0,match:t.either(...l),className:"operator"},m={className:"string",begin:/"""/,end:/"""/},h={className:"property",begin:t.concat(/\./,t.lookahead(i)),end:i,excludeBegin:!0,relevance:0},E={relevance:0,match:t.concat(/\b_/,i),scope:"variable"},b={relevance:0,match:/\b[A-Z]+[a-z]+([A-Z]+[a-z]+)*/,scope:"title.class",keywords:{_:a}},g=e.C_NUMBER_MODE,v={match:[i,/\s*/,/=/,/\s*/,/\(/,i,/\)\s*\{/],scope:{1:"title.function",3:"operator",6:"params"}},y=e.COMMENT(/\/\*\*/,/\*\//,{contains:[{match:/@[a-z]+/,scope:"doctag"},"self"]}),T={scope:"subst",begin:/%\(/,end:/\)/,contains:[g,b,d,E,f]},C={scope:"string",begin:/"/,end:/"/,contains:[T,{scope:"char.escape",variants:[{match:/\\\\|\\["0%abefnrtv]/},{match:/\\x[0-9A-F]{2}/},{match:/\\u[0-9A-F]{4}/},{match:/\\U[0-9A-F]{8}/}]}]};T.contains.push(C);const x=[...s,...o,...r],O={relevance:0,match:t.concat("\\b(?!",x.join("|"),"\\b)",/[a-zA-Z_]\w*(?:[?!]|\b)/),className:"variable"};return{name:"Wren",keywords:{keyword:s,"variable.language":o,literal:r},contains:[{scope:"comment",variants:[{begin:[/#!?/,/[A-Za-z_]+(?=\()/],beginScope:{},keywords:{literal:r},contains:[],end:/\)/},{begin:[/#!?/,/[A-Za-z_]+/],beginScope:{},end:/$/}]},g,C,m,y,e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,b,_,v,c,d,f,E,h,O]}}return Rm=n,Rm}var Am,lC;function OWe(){if(lC)return Am;lC=1;function n(e){return{name:"Intel x86 Assembly",case_insensitive:!0,keywords:{$pattern:"[.%]?"+e.IDENT_RE,keyword:"lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63",built_in:"ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0 xmm1 xmm2 xmm3 xmm4 xmm5 xmm6 xmm7 xmm8 xmm9 xmm10 xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0 ymm1 ymm2 ymm3 ymm4 ymm5 ymm6 ymm7 ymm8 ymm9 ymm10 ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0 zmm1 zmm2 zmm3 zmm4 zmm5 zmm6 zmm7 zmm8 zmm9 zmm10 zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr",meta:"%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist __FILE__ __LINE__ __SECT__ __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__ __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__"},contains:[e.COMMENT(";","$",{relevance:0}),{className:"number",variants:[{begin:"\\b(?:([0-9][0-9_]*)?\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*(\\.[0-9_]*)?(?:[pP](?:[+-]?[0-9_]+)?)?)\\b",relevance:0},{begin:"\\$[0-9][0-9A-Fa-f]*",relevance:0},{begin:"\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\b"},{begin:"\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\b"}]},e.QUOTE_STRING_MODE,{className:"string",variants:[{begin:"'",end:"[^\\\\]'"},{begin:"`",end:"[^\\\\]`"}],relevance:0},{className:"symbol",variants:[{begin:"^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)"},{begin:"^\\s*%%[A-Za-z0-9_$#@~.?]*:"}],relevance:0},{className:"subst",begin:"%[0-9]+",relevance:0},{className:"subst",begin:"%!S+",relevance:0},{className:"meta",begin:/^\s*\.[\w_-]+/}]}}return Am=n,Am}var wm,cC;function IWe(){if(cC)return wm;cC=1;function n(e){const t=["if","then","else","do","while","until","for","loop","import","with","is","as","where","when","by","data","constant","integer","real","text","name","boolean","symbol","infix","prefix","postfix","block","tree"],i=["in","mod","rem","and","or","xor","not","abs","sign","floor","ceil","sqrt","sin","cos","tan","asin","acos","atan","exp","expm1","log","log2","log10","log1p","pi","at","text_length","text_range","text_find","text_replace","contains","page","slide","basic_slide","title_slide","title","subtitle","fade_in","fade_out","fade_at","clear_color","color","line_color","line_width","texture_wrap","texture_transform","texture","scale_?x","scale_?y","scale_?z?","translate_?x","translate_?y","translate_?z?","rotate_?x","rotate_?y","rotate_?z?","rectangle","circle","ellipse","sphere","path","line_to","move_to","quad_to","curve_to","theme","background","contents","locally","time","mouse_?x","mouse_?y","mouse_buttons"],s=["ObjectLoader","Animate","MovieCredits","Slides","Filters","Shading","Materials","LensFlare","Mapping","VLCAudioVideo","StereoDecoder","PointCloud","NetworkAccess","RemoteControl","RegExp","ChromaKey","Snowfall","NodeJS","Speech","Charts"],o={$pattern:/[a-zA-Z][a-zA-Z0-9_?]*/,keyword:t,literal:["true","false","nil"],built_in:i.concat(s)},a={className:"string",begin:'"',end:'"',illegal:"\\n"},l={className:"string",begin:"'",end:"'",illegal:"\\n"},d={className:"string",begin:"<<",end:">>"},c={className:"number",begin:"[0-9]+#[0-9A-Z_]+(\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?"},_={beginKeywords:"import",end:"$",keywords:o,contains:[a]},f={className:"function",begin:/[a-z][^\n]*->/,returnBegin:!0,end:/->/,contains:[e.inherit(e.TITLE_MODE,{starts:{endsWithParent:!0,keywords:o}})]};return{name:"XL",aliases:["tao"],keywords:o,contains:[e.C_LINE_COMMENT_MODE,e.C_BLOCK_COMMENT_MODE,a,l,d,f,_,c,e.NUMBER_MODE]}}return wm=n,wm}var Nm,dC;function MWe(){if(dC)return Nm;dC=1;function n(e){return{name:"XQuery",aliases:["xpath","xq","xqm"],case_insensitive:!1,illegal:/(proc)|(abstract)|(extends)|(until)|(#)/,keywords:{$pattern:/[a-zA-Z$][a-zA-Z0-9_:-]*/,keyword:["module","schema","namespace","boundary-space","preserve","no-preserve","strip","default","collation","base-uri","ordering","context","decimal-format","decimal-separator","copy-namespaces","empty-sequence","except","exponent-separator","external","grouping-separator","inherit","no-inherit","lax","minus-sign","per-mille","percent","schema-attribute","schema-element","strict","unordered","zero-digit","declare","import","option","function","validate","variable","for","at","in","let","where","order","group","by","return","if","then","else","tumbling","sliding","window","start","when","only","end","previous","next","stable","ascending","descending","allowing","empty","greatest","least","some","every","satisfies","switch","case","typeswitch","try","catch","and","or","to","union","intersect","instance","of","treat","as","castable","cast","map","array","delete","insert","into","replace","value","rename","copy","modify","update"],type:["item","document-node","node","attribute","document","element","comment","namespace","namespace-node","processing-instruction","text","construction","xs:anyAtomicType","xs:untypedAtomic","xs:duration","xs:time","xs:decimal","xs:float","xs:double","xs:gYearMonth","xs:gYear","xs:gMonthDay","xs:gMonth","xs:gDay","xs:boolean","xs:base64Binary","xs:hexBinary","xs:anyURI","xs:QName","xs:NOTATION","xs:dateTime","xs:dateTimeStamp","xs:date","xs:string","xs:normalizedString","xs:token","xs:language","xs:NMTOKEN","xs:Name","xs:NCName","xs:ID","xs:IDREF","xs:ENTITY","xs:integer","xs:nonPositiveInteger","xs:negativeInteger","xs:long","xs:int","xs:short","xs:byte","xs:nonNegativeInteger","xs:unisignedLong","xs:unsignedInt","xs:unsignedShort","xs:unsignedByte","xs:positiveInteger","xs:yearMonthDuration","xs:dayTimeDuration"],literal:["eq","ne","lt","le","gt","ge","is","self::","child::","descendant::","descendant-or-self::","attribute::","following::","following-sibling::","parent::","ancestor::","ancestor-or-self::","preceding::","preceding-sibling::","NaN"]},contains:[{className:"variable",begin:/[$][\w\-:]+/},{className:"built_in",variants:[{begin:/\barray:/,end:/(?:append|filter|flatten|fold-(?:left|right)|for-each(?:-pair)?|get|head|insert-before|join|put|remove|reverse|size|sort|subarray|tail)\b/},{begin:/\bmap:/,end:/(?:contains|entry|find|for-each|get|keys|merge|put|remove|size)\b/},{begin:/\bmath:/,end:/(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\b/},{begin:/\bop:/,end:/\(/,excludeEnd:!0},{begin:/\bfn:/,end:/\(/,excludeEnd:!0},{begin:/[^</$:'"-]\b(?:abs|accumulator-(?:after|before)|adjust-(?:date(?:Time)?|time)-to-timezone|analyze-string|apply|available-(?:environment-variables|system-properties)|avg|base-uri|boolean|ceiling|codepoints?-(?:equal|to-string)|collation-key|collection|compare|concat|contains(?:-token)?|copy-of|count|current(?:-)?(?:date(?:Time)?|time|group(?:ing-key)?|output-uri|merge-(?:group|key))?data|dateTime|days?-from-(?:date(?:Time)?|duration)|deep-equal|default-(?:collation|language)|distinct-values|document(?:-uri)?|doc(?:-available)?|element-(?:available|with-id)|empty|encode-for-uri|ends-with|environment-variable|error|escape-html-uri|exactly-one|exists|false|filter|floor|fold-(?:left|right)|for-each(?:-pair)?|format-(?:date(?:Time)?|time|integer|number)|function-(?:arity|available|lookup|name)|generate-id|has-children|head|hours-from-(?:dateTime|duration|time)|id(?:ref)?|implicit-timezone|in-scope-prefixes|index-of|innermost|insert-before|iri-to-uri|json-(?:doc|to-xml)|key|lang|last|load-xquery-module|local-name(?:-from-QName)?|(?:lower|upper)-case|matches|max|minutes-from-(?:dateTime|duration|time)|min|months?-from-(?:date(?:Time)?|duration)|name(?:space-uri-?(?:for-prefix|from-QName)?)?|nilled|node-name|normalize-(?:space|unicode)|not|number|one-or-more|outermost|parse-(?:ietf-date|json)|path|position|(?:prefix-from-)?QName|random-number-generator|regex-group|remove|replace|resolve-(?:QName|uri)|reverse|root|round(?:-half-to-even)?|seconds-from-(?:dateTime|duration|time)|snapshot|sort|starts-with|static-base-uri|stream-available|string-?(?:join|length|to-codepoints)?|subsequence|substring-?(?:after|before)?|sum|system-property|tail|timezone-from-(?:date(?:Time)?|time)|tokenize|trace|trans(?:form|late)|true|type-available|unordered|unparsed-(?:entity|text)?-?(?:public-id|uri|available|lines)?|uri-collection|xml-to-json|years?-from-(?:date(?:Time)?|duration)|zero-or-one)\b/},{begin:/\blocal:/,end:/\(/,excludeEnd:!0},{begin:/\bzip:/,end:/(?:zip-file|(?:xml|html|text|binary)-entry| (?:update-)?entries)\b/},{begin:/\b(?:util|db|functx|app|xdmp|xmldb):/,end:/\(/,excludeEnd:!0}]},{className:"string",variants:[{begin:/"/,end:/"/,contains:[{begin:/""/,relevance:0}]},{begin:/'/,end:/'/,contains:[{begin:/''/,relevance:0}]}]},{className:"number",begin:/(\b0[0-7_]+)|(\b0x[0-9a-fA-F_]+)|(\b[1-9][0-9_]*(\.[0-9_]+)?)|[0_]\b/,relevance:0},{className:"comment",begin:/\(:/,end:/:\)/,relevance:10,contains:[{className:"doctag",begin:/@\w+/}]},{className:"meta",begin:/%[\w\-:]+/},{className:"title",begin:/\bxquery version "[13]\.[01]"\s?(?:encoding ".+")?/,end:/;/},{beginKeywords:"element attribute comment document processing-instruction",end:/\{/,excludeEnd:!0},{begin:/<([\w._:-]+)(\s+\S*=('|").*('|"))?>/,end:/(\/[\w._:-]+>)/,subLanguage:"xml",contains:[{begin:/\{/,end:/\}/,subLanguage:"xquery"},"self"]}]}}return Nm=n,Nm}var Om,uC;function DWe(){if(uC)return Om;uC=1;function n(e){const t={className:"string",contains:[e.BACKSLASH_ESCAPE],variants:[e.inherit(e.APOS_STRING_MODE,{illegal:null}),e.inherit(e.QUOTE_STRING_MODE,{illegal:null})]},i=e.UNDERSCORE_TITLE_MODE,s={variants:[e.BINARY_NUMBER_MODE,e.C_NUMBER_MODE]},r="namespace class interface use extends function return abstract final public protected private static deprecated throw try catch Exception echo empty isset instanceof unset let var new const self require if else elseif switch case default do while loop for continue break likely unlikely __LINE__ __FILE__ __DIR__ __FUNCTION__ __CLASS__ __TRAIT__ __METHOD__ __NAMESPACE__ array boolean float double integer object resource string char long unsigned bool int uint ulong uchar true false null undefined";return{name:"Zephir",aliases:["zep"],keywords:r,contains:[e.C_LINE_COMMENT_MODE,e.COMMENT(/\/\*/,/\*\//,{contains:[{className:"doctag",begin:/@[A-Za-z]+/}]}),{className:"string",begin:/<<<['"]?\w+['"]?$/,end:/^\w+;/,contains:[e.BACKSLASH_ESCAPE]},{begin:/(::|->)+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/},{className:"function",beginKeywords:"function fn",end:/[;{]/,excludeEnd:!0,illegal:/\$|\[|%/,contains:[i,{className:"params",begin:/\(/,end:/\)/,keywords:r,contains:["self",e.C_BLOCK_COMMENT_MODE,t,s]}]},{className:"class",beginKeywords:"class interface",end:/\{/,excludeEnd:!0,illegal:/[:($"]/,contains:[{beginKeywords:"extends implements"},i]},{beginKeywords:"namespace",end:/;/,illegal:/[.']/,contains:[i]},{beginKeywords:"use",end:/;/,contains:[i]},{begin:/=>/},t,s]}}return Om=n,Om}var de=iqe;de.registerLanguage("1c",sqe());de.registerLanguage("abnf",rqe());de.registerLanguage("accesslog",oqe());de.registerLanguage("actionscript",aqe());de.registerLanguage("ada",lqe());de.registerLanguage("angelscript",cqe());de.registerLanguage("apache",dqe());de.registerLanguage("applescript",uqe());de.registerLanguage("arcade",pqe());de.registerLanguage("arduino",_qe());de.registerLanguage("armasm",hqe());de.registerLanguage("xml",fqe());de.registerLanguage("asciidoc",mqe());de.registerLanguage("aspectj",gqe());de.registerLanguage("autohotkey",bqe());de.registerLanguage("autoit",Eqe());de.registerLanguage("avrasm",vqe());de.registerLanguage("awk",yqe());de.registerLanguage("axapta",Sqe());de.registerLanguage("bash",Tqe());de.registerLanguage("basic",xqe());de.registerLanguage("bnf",Cqe());de.registerLanguage("brainfuck",Rqe());de.registerLanguage("c",Aqe());de.registerLanguage("cal",wqe());de.registerLanguage("capnproto",Nqe());de.registerLanguage("ceylon",Oqe());de.registerLanguage("clean",Iqe());de.registerLanguage("clojure",Mqe());de.registerLanguage("clojure-repl",Dqe());de.registerLanguage("cmake",kqe());de.registerLanguage("coffeescript",Lqe());de.registerLanguage("coq",Pqe());de.registerLanguage("cos",Uqe());de.registerLanguage("cpp",Fqe());de.registerLanguage("crmsh",Bqe());de.registerLanguage("crystal",Gqe());de.registerLanguage("csharp",zqe());de.registerLanguage("csp",Vqe());de.registerLanguage("css",Hqe());de.registerLanguage("d",qqe());de.registerLanguage("markdown",Yqe());de.registerLanguage("dart",$qe());de.registerLanguage("delphi",Wqe());de.registerLanguage("diff",Kqe());de.registerLanguage("django",jqe());de.registerLanguage("dns",Qqe());de.registerLanguage("dockerfile",Xqe());de.registerLanguage("dos",Zqe());de.registerLanguage("dsconfig",Jqe());de.registerLanguage("dts",eYe());de.registerLanguage("dust",tYe());de.registerLanguage("ebnf",nYe());de.registerLanguage("elixir",iYe());de.registerLanguage("elm",sYe());de.registerLanguage("ruby",rYe());de.registerLanguage("erb",oYe());de.registerLanguage("erlang-repl",aYe());de.registerLanguage("erlang",lYe());de.registerLanguage("excel",cYe());de.registerLanguage("fix",dYe());de.registerLanguage("flix",uYe());de.registerLanguage("fortran",pYe());de.registerLanguage("fsharp",_Ye());de.registerLanguage("gams",hYe());de.registerLanguage("gauss",fYe());de.registerLanguage("gcode",mYe());de.registerLanguage("gherkin",gYe());de.registerLanguage("glsl",bYe());de.registerLanguage("gml",EYe());de.registerLanguage("go",vYe());de.registerLanguage("golo",yYe());de.registerLanguage("gradle",SYe());de.registerLanguage("graphql",TYe());de.registerLanguage("groovy",xYe());de.registerLanguage("haml",CYe());de.registerLanguage("handlebars",RYe());de.registerLanguage("haskell",AYe());de.registerLanguage("haxe",wYe());de.registerLanguage("hsp",NYe());de.registerLanguage("http",OYe());de.registerLanguage("hy",IYe());de.registerLanguage("inform7",MYe());de.registerLanguage("ini",DYe());de.registerLanguage("irpf90",kYe());de.registerLanguage("isbl",LYe());de.registerLanguage("java",PYe());de.registerLanguage("javascript",UYe());de.registerLanguage("jboss-cli",FYe());de.registerLanguage("json",BYe());de.registerLanguage("julia",GYe());de.registerLanguage("julia-repl",zYe());de.registerLanguage("kotlin",VYe());de.registerLanguage("lasso",HYe());de.registerLanguage("latex",qYe());de.registerLanguage("ldif",YYe());de.registerLanguage("leaf",$Ye());de.registerLanguage("less",WYe());de.registerLanguage("lisp",KYe());de.registerLanguage("livecodeserver",jYe());de.registerLanguage("livescript",QYe());de.registerLanguage("llvm",XYe());de.registerLanguage("lsl",ZYe());de.registerLanguage("lua",JYe());de.registerLanguage("makefile",e$e());de.registerLanguage("mathematica",t$e());de.registerLanguage("matlab",n$e());de.registerLanguage("maxima",i$e());de.registerLanguage("mel",s$e());de.registerLanguage("mercury",r$e());de.registerLanguage("mipsasm",o$e());de.registerLanguage("mizar",a$e());de.registerLanguage("perl",l$e());de.registerLanguage("mojolicious",c$e());de.registerLanguage("monkey",d$e());de.registerLanguage("moonscript",u$e());de.registerLanguage("n1ql",p$e());de.registerLanguage("nestedtext",_$e());de.registerLanguage("nginx",h$e());de.registerLanguage("nim",f$e());de.registerLanguage("nix",m$e());de.registerLanguage("node-repl",g$e());de.registerLanguage("nsis",b$e());de.registerLanguage("objectivec",E$e());de.registerLanguage("ocaml",v$e());de.registerLanguage("openscad",y$e());de.registerLanguage("oxygene",S$e());de.registerLanguage("parser3",T$e());de.registerLanguage("pf",x$e());de.registerLanguage("pgsql",C$e());de.registerLanguage("php",R$e());de.registerLanguage("php-template",A$e());de.registerLanguage("plaintext",w$e());de.registerLanguage("pony",N$e());de.registerLanguage("powershell",O$e());de.registerLanguage("processing",I$e());de.registerLanguage("profile",M$e());de.registerLanguage("prolog",D$e());de.registerLanguage("properties",k$e());de.registerLanguage("protobuf",L$e());de.registerLanguage("puppet",P$e());de.registerLanguage("purebasic",U$e());de.registerLanguage("python",F$e());de.registerLanguage("python-repl",B$e());de.registerLanguage("q",G$e());de.registerLanguage("qml",z$e());de.registerLanguage("r",V$e());de.registerLanguage("reasonml",H$e());de.registerLanguage("rib",q$e());de.registerLanguage("roboconf",Y$e());de.registerLanguage("routeros",$$e());de.registerLanguage("rsl",W$e());de.registerLanguage("ruleslanguage",K$e());de.registerLanguage("rust",j$e());de.registerLanguage("sas",Q$e());de.registerLanguage("scala",X$e());de.registerLanguage("scheme",Z$e());de.registerLanguage("scilab",J$e());de.registerLanguage("scss",eWe());de.registerLanguage("shell",tWe());de.registerLanguage("smali",nWe());de.registerLanguage("smalltalk",iWe());de.registerLanguage("sml",sWe());de.registerLanguage("sqf",rWe());de.registerLanguage("sql",oWe());de.registerLanguage("stan",aWe());de.registerLanguage("stata",lWe());de.registerLanguage("step21",cWe());de.registerLanguage("stylus",dWe());de.registerLanguage("subunit",uWe());de.registerLanguage("swift",pWe());de.registerLanguage("taggerscript",_We());de.registerLanguage("yaml",hWe());de.registerLanguage("tap",fWe());de.registerLanguage("tcl",mWe());de.registerLanguage("thrift",gWe());de.registerLanguage("tp",bWe());de.registerLanguage("twig",EWe());de.registerLanguage("typescript",vWe());de.registerLanguage("vala",yWe());de.registerLanguage("vbnet",SWe());de.registerLanguage("vbscript",TWe());de.registerLanguage("vbscript-html",xWe());de.registerLanguage("verilog",CWe());de.registerLanguage("vhdl",RWe());de.registerLanguage("vim",AWe());de.registerLanguage("wasm",wWe());de.registerLanguage("wren",NWe());de.registerLanguage("x86asm",OWe());de.registerLanguage("xl",IWe());de.registerLanguage("xquery",MWe());de.registerLanguage("zephir",DWe());de.HighlightJS=de;de.default=de;var kWe=de;const fo=Ys(kWe),LWe="/assets/vscode_black-c05c76d9.svg",PWe="/assets/vscode-b22df1bb.svg";fo.configure({languages:[]});fo.configure({languages:["bash"]});fo.highlightAll();const UWe={props:{host:{type:String,required:!1,default:"http://localhost:9600"},language:{type:String,required:!0},client_id:{type:String,required:!0},code:{type:String,required:!0},discussion_id:{type:[String,Number],required:!0},message_id:{type:[String,Number],required:!0}},data(){return{isExecuting:!1,isCopied:!1,executionOutput:""}},mounted(){Ve(()=>{qe.replace()})},computed:{highlightedCode(){let n;this.language==="vue"||this.language==="vue.js"?n="javascript":n=fo.getLanguage(this.language)?this.language:"plaintext";const e=this.code.trim(),t=e.split(`
`),i=t.length.toString().length,s=t.map((d,c)=>(c+1).toString().padStart(i," ")),r=document.createElement("div");r.classList.add("line-numbers"),r.innerHTML=s.join("<br>");const o=document.createElement("div");o.classList.add("code-container");const a=document.createElement("pre"),l=document.createElement("code");return l.classList.add("code-content"),l.innerHTML=fo.highlight(e,{language:n,ignoreIllegals:!0}).value,a.appendChild(l),o.appendChild(r),o.appendChild(a),o.outerHTML}},methods:{copyCode(){this.isCopied=!0,console.log("Copying code");const n=document.createElement("textarea");n.value=this.code,document.body.appendChild(n),n.select(),document.execCommand("copy"),document.body.removeChild(n),Ve(()=>{qe.replace()})},executeCode(){this.isExecuting=!0;const n=JSON.stringify({client_id:this.client_id,code:this.code,discussion_id:this.discussion_id,message_id:this.message_id,language:this.language});console.log(n),fetch(`${this.host}/execute_code`,{method:"POST",headers:{"Content-Type":"application/json"},body:n}).then(e=>(this.isExecuting=!1,e.json())).then(e=>{console.log(e),this.executionOutput=e.output}).catch(e=>{this.isExecuting=!1,console.error("Fetch error:",e)})},openFolderVsCode(){const n=JSON.stringify({client_id:this.client_id,code:this.code,discussion_id:this.discussion_id,message_id:this.message_id});console.log(n),fetch(`${this.host}/open_code_in_vs_code`,{method:"POST",headers:{"Content-Type":"application/json"},body:n}).then(e=>e.json()).then(e=>{console.log(e)}).catch(e=>{console.error("Fetch error:",e)})},openVsCode(){const n=JSON.stringify({client_id:this.client_id,discussion_id:this.discussion_id,message_id:this.message_id,code:this.code});console.log(n),fetch(`${this.host}/open_code_folder_in_vs_code`,{method:"POST",headers:{"Content-Type":"application/json"},body:n}).then(e=>e.json()).then(e=>{console.log(e)}).catch(e=>{console.error("Fetch error:",e)})},openFolder(){const n=JSON.stringify({client_id:this.client_id,discussion_id:this.discussion_id});console.log(n),fetch(`${this.host}/open_code_folder`,{method:"POST",headers:{"Content-Type":"application/json"},body:n}).then(e=>e.json()).then(e=>{console.log(e)}).catch(e=>{console.error("Fetch error:",e)})}}},FWe={class:"bg-bg-light-tone-panel dark:bg-bg-dark-tone-panel p-2 rounded-lg shadow-sm"},BWe={class:"flex flex-row bg-bg-light-tone-panel dark:bg-bg-dark-tone-panel p-2 rounded-lg shadow-sm"},GWe={class:"text-2xl"},zWe=u("i",{"data-feather":"copy"},null,-1),VWe=[zWe],HWe=u("i",{"data-feather":"play-circle"},null,-1),qWe=[HWe],YWe=u("i",{"data-feather":"folder"},null,-1),$We=[YWe],WWe=u("img",{src:LWe,width:"25",height:"25"},null,-1),KWe=[WWe],jWe=u("img",{src:PWe,width:"25",height:"25"},null,-1),QWe=[jWe],XWe={class:"hljs p-1 rounded-md break-all grid grid-cols-1"},ZWe={class:"code-container"},JWe=["innerHTML"],eKe={key:0,class:"text-2xl"},tKe={key:1,class:"hljs mt-0 p-1 rounded-md break-all grid grid-cols-1"},nKe={class:"overflow-x-auto break-all scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary"},iKe=["innerHTML"];function sKe(n,e,t,i,s,r){return N(),M("div",FWe,[u("div",BWe,[u("span",GWe,ge(t.language),1),u("button",{onClick:e[0]||(e[0]=(...o)=>r.copyCode&&r.copyCode(...o)),title:"copy",class:Ye([s.isCopied?"bg-green-500":"bg-bg-dark-tone-panel dark:bg-bg-dark-tone","px-2 py-1 ml-2 text-left p-2 text-sm font-medium rounded-lg hover:bg-primary dark:hover:bg-primary text-white text-xs transition-colors duration-200"])},VWe,2),["python","sh","shell","bash","cmd","powershell","latex","mermaid","graphviz","dot","javascript","html","html5","svg"].includes(t.language)?(N(),M("button",{key:0,ref:"btn_code_exec",onClick:e[1]||(e[1]=(...o)=>r.executeCode&&r.executeCode(...o)),title:"execute",class:Ye(["px-2 py-1 ml-2 text-left p-2 text-sm font-medium bg-bg-dark-tone-panel dark:bg-bg-dark-tone rounded-lg hover:bg-primary dark:hover:bg-primary text-white text-xs transition-colors duration-200",s.isExecuting?"bg-green-500":""])},qWe,2)):q("",!0),["python","latex"].includes(t.language)?(N(),M("button",{key:1,onClick:e[2]||(e[2]=(...o)=>r.openFolder&&r.openFolder(...o)),title:"open code project folder",class:"px-2 py-1 ml-2 text-left p-2 text-sm font-medium bg-bg-dark-tone-panel dark:bg-bg-dark-tone rounded-lg hover:bg-primary dark:hover:bg-primary text-white text-xs transition-colors duration-200"},$We)):q("",!0),["python"].includes(t.language)?(N(),M("button",{key:2,onClick:e[3]||(e[3]=(...o)=>r.openFolderVsCode&&r.openFolderVsCode(...o)),title:"open code project folder in vscode",class:"px-2 py-1 ml-2 text-left p-2 text-sm font-medium bg-bg-dark-tone-panel dark:bg-bg-dark-tone rounded-lg hover:bg-primary dark:hover:bg-primary text-white text-xs transition-colors duration-200"},KWe)):q("",!0),["python"].includes(t.language)?(N(),M("button",{key:3,onClick:e[4]||(e[4]=(...o)=>r.openVsCode&&r.openVsCode(...o)),title:"open code in vscode",class:"px-2 py-1 ml-2 text-left p-2 text-sm font-medium bg-bg-dark-tone-panel dark:bg-bg-dark-tone rounded-lg hover:bg-primary dark:hover:bg-primary text-white text-xs transition-colors duration-200"},QWe)):q("",!0)]),u("pre",XWe,[Ze(" "),u("div",ZWe,[Ze(`
`),u("code",{class:"code-content overflow-x-auto break-all scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary",innerHTML:r.highlightedCode},null,8,JWe),Ze(`
`)]),Ze(`
`)]),s.executionOutput?(N(),M("span",eKe,"Execution output")):q("",!0),s.executionOutput?(N(),M("pre",tKe,[Ze(" "),u("div",nKe,[Ze(`
`),u("div",{ref:"execution_output",innerHTML:s.executionOutput},null,8,iKe),Ze(`
`)]),Ze(`
`)])):q("",!0)])}const rKe=bt(UWe,[["render",sKe]]);/*! @license DOMPurify 3.0.8 | (c) Cure53 and other contributors | Released under the Apache license 2.0 and Mozilla Public License 2.0 | github.com/cure53/DOMPurify/blob/3.0.8/LICENSE */const{entries:IN,setPrototypeOf:pC,isFrozen:oKe,getPrototypeOf:aKe,getOwnPropertyDescriptor:bE}=Object;let{freeze:$n,seal:qi,create:MN}=Object,{apply:Kg,construct:jg}=typeof Reflect<"u"&&Reflect;$n||($n=function(e){return e});qi||(qi=function(e){return e});Kg||(Kg=function(e,t,i){return e.apply(t,i)});jg||(jg=function(e,t){return new e(...t)});const Gc=Ri(Array.prototype.forEach),_C=Ri(Array.prototype.pop),ul=Ri(Array.prototype.push),Ld=Ri(String.prototype.toLowerCase),Im=Ri(String.prototype.toString),lKe=Ri(String.prototype.match),pl=Ri(String.prototype.replace),cKe=Ri(String.prototype.indexOf),dKe=Ri(String.prototype.trim),ni=Ri(RegExp.prototype.test),_l=uKe(TypeError);function Ri(n){return function(e){for(var t=arguments.length,i=new Array(t>1?t-1:0),s=1;s<t;s++)i[s-1]=arguments[s];return Kg(n,e,i)}}function uKe(n){return function(){for(var e=arguments.length,t=new Array(e),i=0;i<e;i++)t[i]=arguments[i];return jg(n,t)}}function wt(n,e){let t=arguments.length>2&&arguments[2]!==void 0?arguments[2]:Ld;pC&&pC(n,null);let i=e.length;for(;i--;){let s=e[i];if(typeof s=="string"){const r=t(s);r!==s&&(oKe(e)||(e[i]=r),s=r)}n[s]=!0}return n}function pKe(n){for(let e=0;e<n.length;e++)bE(n,e)===void 0&&(n[e]=null);return n}function Yr(n){const e=MN(null);for(const[t,i]of IN(n))bE(n,t)!==void 0&&(Array.isArray(i)?e[t]=pKe(i):i&&typeof i=="object"&&i.constructor===Object?e[t]=Yr(i):e[t]=i);return e}function zc(n,e){for(;n!==null;){const i=bE(n,e);if(i){if(i.get)return Ri(i.get);if(typeof i.value=="function")return Ri(i.value)}n=aKe(n)}function t(i){return console.warn("fallback value for",i),null}return t}const hC=$n(["a","abbr","acronym","address","area","article","aside","audio","b","bdi","bdo","big","blink","blockquote","body","br","button","canvas","caption","center","cite","code","col","colgroup","content","data","datalist","dd","decorator","del","details","dfn","dialog","dir","div","dl","dt","element","em","fieldset","figcaption","figure","font","footer","form","h1","h2","h3","h4","h5","h6","head","header","hgroup","hr","html","i","img","input","ins","kbd","label","legend","li","main","map","mark","marquee","menu","menuitem","meter","nav","nobr","ol","optgroup","option","output","p","picture","pre","progress","q","rp","rt","ruby","s","samp","section","select","shadow","small","source","spacer","span","strike","strong","style","sub","summary","sup","table","tbody","td","template","textarea","tfoot","th","thead","time","tr","track","tt","u","ul","var","video","wbr"]),Mm=$n(["svg","a","altglyph","altglyphdef","altglyphitem","animatecolor","animatemotion","animatetransform","circle","clippath","defs","desc","ellipse","filter","font","g","glyph","glyphref","hkern","image","line","lineargradient","marker","mask","metadata","mpath","path","pattern","polygon","polyline","radialgradient","rect","stop","style","switch","symbol","text","textpath","title","tref","tspan","view","vkern"]),Dm=$n(["feBlend","feColorMatrix","feComponentTransfer","feComposite","feConvolveMatrix","feDiffuseLighting","feDisplacementMap","feDistantLight","feDropShadow","feFlood","feFuncA","feFuncB","feFuncG","feFuncR","feGaussianBlur","feImage","feMerge","feMergeNode","feMorphology","feOffset","fePointLight","feSpecularLighting","feSpotLight","feTile","feTurbulence"]),_Ke=$n(["animate","color-profile","cursor","discard","font-face","font-face-format","font-face-name","font-face-src","font-face-uri","foreignobject","hatch","hatchpath","mesh","meshgradient","meshpatch","meshrow","missing-glyph","script","set","solidcolor","unknown","use"]),km=$n(["math","menclose","merror","mfenced","mfrac","mglyph","mi","mlabeledtr","mmultiscripts","mn","mo","mover","mpadded","mphantom","mroot","mrow","ms","mspace","msqrt","mstyle","msub","msup","msubsup","mtable","mtd","mtext","mtr","munder","munderover","mprescripts"]),hKe=$n(["maction","maligngroup","malignmark","mlongdiv","mscarries","mscarry","msgroup","mstack","msline","msrow","semantics","annotation","annotation-xml","mprescripts","none"]),fC=$n(["#text"]),mC=$n(["accept","action","align","alt","autocapitalize","autocomplete","autopictureinpicture","autoplay","background","bgcolor","border","capture","cellpadding","cellspacing","checked","cite","class","clear","color","cols","colspan","controls","controlslist","coords","crossorigin","datetime","decoding","default","dir","disabled","disablepictureinpicture","disableremoteplayback","download","draggable","enctype","enterkeyhint","face","for","headers","height","hidden","high","href","hreflang","id","inputmode","integrity","ismap","kind","label","lang","list","loading","loop","low","max","maxlength","media","method","min","minlength","multiple","muted","name","nonce","noshade","novalidate","nowrap","open","optimum","pattern","placeholder","playsinline","poster","preload","pubdate","radiogroup","readonly","rel","required","rev","reversed","role","rows","rowspan","spellcheck","scope","selected","shape","size","sizes","span","srclang","start","src","srcset","step","style","summary","tabindex","title","translate","type","usemap","valign","value","width","xmlns","slot"]),Lm=$n(["accent-height","accumulate","additive","alignment-baseline","ascent","attributename","attributetype","azimuth","basefrequency","baseline-shift","begin","bias","by","class","clip","clippathunits","clip-path","clip-rule","color","color-interpolation","color-interpolation-filters","color-profile","color-rendering","cx","cy","d","dx","dy","diffuseconstant","direction","display","divisor","dur","edgemode","elevation","end","fill","fill-opacity","fill-rule","filter","filterunits","flood-color","flood-opacity","font-family","font-size","font-size-adjust","font-stretch","font-style","font-variant","font-weight","fx","fy","g1","g2","glyph-name","glyphref","gradientunits","gradienttransform","height","href","id","image-rendering","in","in2","k","k1","k2","k3","k4","kerning","keypoints","keysplines","keytimes","lang","lengthadjust","letter-spacing","kernelmatrix","kernelunitlength","lighting-color","local","marker-end","marker-mid","marker-start","markerheight","markerunits","markerwidth","maskcontentunits","maskunits","max","mask","media","method","mode","min","name","numoctaves","offset","operator","opacity","order","orient","orientation","origin","overflow","paint-order","path","pathlength","patterncontentunits","patterntransform","patternunits","points","preservealpha","preserveaspectratio","primitiveunits","r","rx","ry","radius","refx","refy","repeatcount","repeatdur","restart","result","rotate","scale","seed","shape-rendering","specularconstant","specularexponent","spreadmethod","startoffset","stddeviation","stitchtiles","stop-color","stop-opacity","stroke-dasharray","stroke-dashoffset","stroke-linecap","stroke-linejoin","stroke-miterlimit","stroke-opacity","stroke","stroke-width","style","surfacescale","systemlanguage","tabindex","targetx","targety","transform","transform-origin","text-anchor","text-decoration","text-rendering","textlength","type","u1","u2","unicode","values","viewbox","visibility","version","vert-adv-y","vert-origin-x","vert-origin-y","width","word-spacing","wrap","writing-mode","xchannelselector","ychannelselector","x","x1","x2","xmlns","y","y1","y2","z","zoomandpan"]),gC=$n(["accent","accentunder","align","bevelled","close","columnsalign","columnlines","columnspan","denomalign","depth","dir","display","displaystyle","encoding","fence","frame","height","href","id","largeop","length","linethickness","lspace","lquote","mathbackground","mathcolor","mathsize","mathvariant","maxsize","minsize","movablelimits","notation","numalign","open","rowalign","rowlines","rowspacing","rowspan","rspace","rquote","scriptlevel","scriptminsize","scriptsizemultiplier","selection","separator","separators","stretchy","subscriptshift","supscriptshift","symmetric","voffset","width","xmlns"]),Vc=$n(["xlink:href","xml:id","xlink:title","xml:space","xmlns:xlink"]),fKe=qi(/\{\{[\w\W]*|[\w\W]*\}\}/gm),mKe=qi(/<%[\w\W]*|[\w\W]*%>/gm),gKe=qi(/\${[\w\W]*}/gm),bKe=qi(/^data-[\-\w.\u00B7-\uFFFF]/),EKe=qi(/^aria-[\-\w]+$/),DN=qi(/^(?:(?:(?:f|ht)tps?|mailto|tel|callto|sms|cid|xmpp):|[^a-z]|[a-z+.\-]+(?:[^a-z+.\-:]|$))/i),vKe=qi(/^(?:\w+script|data):/i),yKe=qi(/[\u0000-\u0020\u00A0\u1680\u180E\u2000-\u2029\u205F\u3000]/g),kN=qi(/^html$/i);var bC=Object.freeze({__proto__:null,MUSTACHE_EXPR:fKe,ERB_EXPR:mKe,TMPLIT_EXPR:gKe,DATA_ATTR:bKe,ARIA_ATTR:EKe,IS_ALLOWED_URI:DN,IS_SCRIPT_OR_DATA:vKe,ATTR_WHITESPACE:yKe,DOCTYPE_NAME:kN});const SKe=function(){return typeof window>"u"?null:window},TKe=function(e,t){if(typeof e!="object"||typeof e.createPolicy!="function")return null;let i=null;const s="data-tt-policy-suffix";t&&t.hasAttribute(s)&&(i=t.getAttribute(s));const r="dompurify"+(i?"#"+i:"");try{return e.createPolicy(r,{createHTML(o){return o},createScriptURL(o){return o}})}catch{return console.warn("TrustedTypes policy "+r+" could not be created."),null}};function LN(){let n=arguments.length>0&&arguments[0]!==void 0?arguments[0]:SKe();const e=et=>LN(et);if(e.version="3.0.8",e.removed=[],!n||!n.document||n.document.nodeType!==9)return e.isSupported=!1,e;let{document:t}=n;const i=t,s=i.currentScript,{DocumentFragment:r,HTMLTemplateElement:o,Node:a,Element:l,NodeFilter:d,NamedNodeMap:c=n.NamedNodeMap||n.MozNamedAttrMap,HTMLFormElement:_,DOMParser:f,trustedTypes:m}=n,h=l.prototype,E=zc(h,"cloneNode"),b=zc(h,"nextSibling"),g=zc(h,"childNodes"),v=zc(h,"parentNode");if(typeof o=="function"){const et=t.createElement("template");et.content&&et.content.ownerDocument&&(t=et.content.ownerDocument)}let y,T="";const{implementation:C,createNodeIterator:x,createDocumentFragment:O,getElementsByTagName:R}=t,{importNode:S}=i;let A={};e.isSupported=typeof IN=="function"&&typeof v=="function"&&C&&C.createHTMLDocument!==void 0;const{MUSTACHE_EXPR:U,ERB_EXPR:F,TMPLIT_EXPR:K,DATA_ATTR:L,ARIA_ATTR:H,IS_SCRIPT_OR_DATA:G,ATTR_WHITESPACE:P}=bC;let{IS_ALLOWED_URI:j}=bC,Y=null;const Q=wt({},[...hC,...Mm,...Dm,...km,...fC]);let re=null;const te=wt({},[...mC,...Lm,...gC,...Vc]);let Z=Object.seal(MN(null,{tagNameCheck:{writable:!0,configurable:!1,enumerable:!0,value:null},attributeNameCheck:{writable:!0,configurable:!1,enumerable:!0,value:null},allowCustomizedBuiltInElements:{writable:!0,configurable:!1,enumerable:!0,value:!1}})),fe=null,ve=null,Ae=!0,J=!0,me=!1,ee=!0,Se=!1,Oe=!1,k=!1,B=!1,$=!1,ce=!1,ne=!1,Ce=!0,we=!1;const V="user-content-";let _e=!0,ie=!1,ae={},D=null;const I=wt({},["annotation-xml","audio","colgroup","desc","foreignobject","head","iframe","math","mi","mn","mo","ms","mtext","noembed","noframes","noscript","plaintext","script","style","svg","template","thead","title","video","xmp"]);let z=null;const he=wt({},["audio","video","img","source","image","track"]);let X=null;const se=wt({},["alt","class","for","id","label","name","pattern","placeholder","role","summary","title","value","style","xmlns"]),Re="http://www.w3.org/1998/Math/MathML",Te="http://www.w3.org/2000/svg",Me="http://www.w3.org/1999/xhtml";let ze=Me,st=!1,De=null;const lt=wt({},[Re,Te,Me],Im);let Qe=null;const He=["application/xhtml+xml","text/html"],Je="text/html";let Fe=null,ut=null;const ue=t.createElement("form"),We=function(w){return w instanceof RegExp||w instanceof Function},Ue=function(){let w=arguments.length>0&&arguments[0]!==void 0?arguments[0]:{};if(!(ut&&ut===w)){if((!w||typeof w!="object")&&(w={}),w=Yr(w),Qe=He.indexOf(w.PARSER_MEDIA_TYPE)===-1?Je:w.PARSER_MEDIA_TYPE,Fe=Qe==="application/xhtml+xml"?Im:Ld,Y="ALLOWED_TAGS"in w?wt({},w.ALLOWED_TAGS,Fe):Q,re="ALLOWED_ATTR"in w?wt({},w.ALLOWED_ATTR,Fe):te,De="ALLOWED_NAMESPACES"in w?wt({},w.ALLOWED_NAMESPACES,Im):lt,X="ADD_URI_SAFE_ATTR"in w?wt(Yr(se),w.ADD_URI_SAFE_ATTR,Fe):se,z="ADD_DATA_URI_TAGS"in w?wt(Yr(he),w.ADD_DATA_URI_TAGS,Fe):he,D="FORBID_CONTENTS"in w?wt({},w.FORBID_CONTENTS,Fe):I,fe="FORBID_TAGS"in w?wt({},w.FORBID_TAGS,Fe):{},ve="FORBID_ATTR"in w?wt({},w.FORBID_ATTR,Fe):{},ae="USE_PROFILES"in w?w.USE_PROFILES:!1,Ae=w.ALLOW_ARIA_ATTR!==!1,J=w.ALLOW_DATA_ATTR!==!1,me=w.ALLOW_UNKNOWN_PROTOCOLS||!1,ee=w.ALLOW_SELF_CLOSE_IN_ATTR!==!1,Se=w.SAFE_FOR_TEMPLATES||!1,Oe=w.WHOLE_DOCUMENT||!1,$=w.RETURN_DOM||!1,ce=w.RETURN_DOM_FRAGMENT||!1,ne=w.RETURN_TRUSTED_TYPE||!1,B=w.FORCE_BODY||!1,Ce=w.SANITIZE_DOM!==!1,we=w.SANITIZE_NAMED_PROPS||!1,_e=w.KEEP_CONTENT!==!1,ie=w.IN_PLACE||!1,j=w.ALLOWED_URI_REGEXP||DN,ze=w.NAMESPACE||Me,Z=w.CUSTOM_ELEMENT_HANDLING||{},w.CUSTOM_ELEMENT_HANDLING&&We(w.CUSTOM_ELEMENT_HANDLING.tagNameCheck)&&(Z.tagNameCheck=w.CUSTOM_ELEMENT_HANDLING.tagNameCheck),w.CUSTOM_ELEMENT_HANDLING&&We(w.CUSTOM_ELEMENT_HANDLING.attributeNameCheck)&&(Z.attributeNameCheck=w.CUSTOM_ELEMENT_HANDLING.attributeNameCheck),w.CUSTOM_ELEMENT_HANDLING&&typeof w.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements=="boolean"&&(Z.allowCustomizedBuiltInElements=w.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements),Se&&(J=!1),ce&&($=!0),ae&&(Y=wt({},fC),re=[],ae.html===!0&&(wt(Y,hC),wt(re,mC)),ae.svg===!0&&(wt(Y,Mm),wt(re,Lm),wt(re,Vc)),ae.svgFilters===!0&&(wt(Y,Dm),wt(re,Lm),wt(re,Vc)),ae.mathMl===!0&&(wt(Y,km),wt(re,gC),wt(re,Vc))),w.ADD_TAGS&&(Y===Q&&(Y=Yr(Y)),wt(Y,w.ADD_TAGS,Fe)),w.ADD_ATTR&&(re===te&&(re=Yr(re)),wt(re,w.ADD_ATTR,Fe)),w.ADD_URI_SAFE_ATTR&&wt(X,w.ADD_URI_SAFE_ATTR,Fe),w.FORBID_CONTENTS&&(D===I&&(D=Yr(D)),wt(D,w.FORBID_CONTENTS,Fe)),_e&&(Y["#text"]=!0),Oe&&wt(Y,["html","head","body"]),Y.table&&(wt(Y,["tbody"]),delete fe.tbody),w.TRUSTED_TYPES_POLICY){if(typeof w.TRUSTED_TYPES_POLICY.createHTML!="function")throw _l('TRUSTED_TYPES_POLICY configuration option must provide a "createHTML" hook.');if(typeof w.TRUSTED_TYPES_POLICY.createScriptURL!="function")throw _l('TRUSTED_TYPES_POLICY configuration option must provide a "createScriptURL" hook.');y=w.TRUSTED_TYPES_POLICY,T=y.createHTML("")}else y===void 0&&(y=TKe(m,s)),y!==null&&typeof T=="string"&&(T=y.createHTML(""));$n&&$n(w),ut=w}},Ne=wt({},["mi","mo","mn","ms","mtext"]),Be=wt({},["foreignobject","desc","title","annotation-xml"]),ct=wt({},["title","style","font","a","script"]),Et=wt({},[...Mm,...Dm,..._Ke]),jt=wt({},[...km,...hKe]),ln=function(w){let W=v(w);(!W||!W.tagName)&&(W={namespaceURI:ze,tagName:"template"});const oe=Ld(w.tagName),ye=Ld(W.tagName);return De[w.namespaceURI]?w.namespaceURI===Te?W.namespaceURI===Me?oe==="svg":W.namespaceURI===Re?oe==="svg"&&(ye==="annotation-xml"||Ne[ye]):!!Et[oe]:w.namespaceURI===Re?W.namespaceURI===Me?oe==="math":W.namespaceURI===Te?oe==="math"&&Be[ye]:!!jt[oe]:w.namespaceURI===Me?W.namespaceURI===Te&&!Be[ye]||W.namespaceURI===Re&&!Ne[ye]?!1:!jt[oe]&&(ct[oe]||!Et[oe]):!!(Qe==="application/xhtml+xml"&&De[w.namespaceURI]):!1},xt=function(w){ul(e.removed,{element:w});try{w.parentNode.removeChild(w)}catch{w.remove()}},$t=function(w,W){try{ul(e.removed,{attribute:W.getAttributeNode(w),from:W})}catch{ul(e.removed,{attribute:null,from:W})}if(W.removeAttribute(w),w==="is"&&!re[w])if($||ce)try{xt(W)}catch{}else try{W.setAttribute(w,"")}catch{}},yn=function(w){let W=null,oe=null;if(B)w="<remove></remove>"+w;else{const Ge=lKe(w,/^[\r\n\t ]+/);oe=Ge&&Ge[0]}Qe==="application/xhtml+xml"&&ze===Me&&(w='<html xmlns="http://www.w3.org/1999/xhtml"><head></head><body>'+w+"</body></html>");const ye=y?y.createHTML(w):w;if(ze===Me)try{W=new f().parseFromString(ye,Qe)}catch{}if(!W||!W.documentElement){W=C.createDocument(ze,"template",null);try{W.documentElement.innerHTML=st?T:ye}catch{}}const Ee=W.body||W.documentElement;return w&&oe&&Ee.insertBefore(t.createTextNode(oe),Ee.childNodes[0]||null),ze===Me?R.call(W,Oe?"html":"body")[0]:Oe?W.documentElement:Ee},ms=function(w){return x.call(w.ownerDocument||w,w,d.SHOW_ELEMENT|d.SHOW_COMMENT|d.SHOW_TEXT,null)},Dr=function(w){return w instanceof _&&(typeof w.nodeName!="string"||typeof w.textContent!="string"||typeof w.removeChild!="function"||!(w.attributes instanceof c)||typeof w.removeAttribute!="function"||typeof w.setAttribute!="function"||typeof w.namespaceURI!="string"||typeof w.insertBefore!="function"||typeof w.hasChildNodes!="function")},ci=function(w){return typeof a=="function"&&w instanceof a},Sn=function(w,W,oe){A[w]&&Gc(A[w],ye=>{ye.call(e,W,oe,ut)})},di=function(w){let W=null;if(Sn("beforeSanitizeElements",w,null),Dr(w))return xt(w),!0;const oe=Fe(w.nodeName);if(Sn("uponSanitizeElement",w,{tagName:oe,allowedTags:Y}),w.hasChildNodes()&&!ci(w.firstElementChild)&&ni(/<[/\w]/g,w.innerHTML)&&ni(/<[/\w]/g,w.textContent))return xt(w),!0;if(!Y[oe]||fe[oe]){if(!fe[oe]&&gs(oe)&&(Z.tagNameCheck instanceof RegExp&&ni(Z.tagNameCheck,oe)||Z.tagNameCheck instanceof Function&&Z.tagNameCheck(oe)))return!1;if(_e&&!D[oe]){const ye=v(w)||w.parentNode,Ee=g(w)||w.childNodes;if(Ee&&ye){const Ge=Ee.length;for(let Xe=Ge-1;Xe>=0;--Xe)ye.insertBefore(E(Ee[Xe],!0),b(w))}}return xt(w),!0}return w instanceof l&&!ln(w)||(oe==="noscript"||oe==="noembed"||oe==="noframes")&&ni(/<\/no(script|embed|frames)/i,w.innerHTML)?(xt(w),!0):(Se&&w.nodeType===3&&(W=w.textContent,Gc([U,F,K],ye=>{W=pl(W,ye," ")}),w.textContent!==W&&(ul(e.removed,{element:w.cloneNode()}),w.textContent=W)),Sn("afterSanitizeElements",w,null),!1)},Ki=function(w,W,oe){if(Ce&&(W==="id"||W==="name")&&(oe in t||oe in ue))return!1;if(!(J&&!ve[W]&&ni(L,W))){if(!(Ae&&ni(H,W))){if(!re[W]||ve[W]){if(!(gs(w)&&(Z.tagNameCheck instanceof RegExp&&ni(Z.tagNameCheck,w)||Z.tagNameCheck instanceof Function&&Z.tagNameCheck(w))&&(Z.attributeNameCheck instanceof RegExp&&ni(Z.attributeNameCheck,W)||Z.attributeNameCheck instanceof Function&&Z.attributeNameCheck(W))||W==="is"&&Z.allowCustomizedBuiltInElements&&(Z.tagNameCheck instanceof RegExp&&ni(Z.tagNameCheck,oe)||Z.tagNameCheck instanceof Function&&Z.tagNameCheck(oe))))return!1}else if(!X[W]){if(!ni(j,pl(oe,P,""))){if(!((W==="src"||W==="xlink:href"||W==="href")&&w!=="script"&&cKe(oe,"data:")===0&&z[w])){if(!(me&&!ni(G,pl(oe,P,"")))){if(oe)return!1}}}}}}return!0},gs=function(w){return w.indexOf("-")>0},bs=function(w){Sn("beforeSanitizeAttributes",w,null);const{attributes:W}=w;if(!W)return;const oe={attrName:"",attrValue:"",keepAttr:!0,allowedAttributes:re};let ye=W.length;for(;ye--;){const Ee=W[ye],{name:Ge,namespaceURI:Xe,value:nt}=Ee,at=Fe(Ge);let rt=Ge==="value"?nt:dKe(nt);if(oe.attrName=at,oe.attrValue=rt,oe.keepAttr=!0,oe.forceKeepAttr=void 0,Sn("uponSanitizeAttribute",w,oe),rt=oe.attrValue,oe.forceKeepAttr||($t(Ge,w),!oe.keepAttr))continue;if(!ee&&ni(/\/>/i,rt)){$t(Ge,w);continue}Se&&Gc([U,F,K],_t=>{rt=pl(rt,_t," ")});const pt=Fe(w.nodeName);if(Ki(pt,at,rt)){if(we&&(at==="id"||at==="name")&&($t(Ge,w),rt=V+rt),y&&typeof m=="object"&&typeof m.getAttributeType=="function"&&!Xe)switch(m.getAttributeType(pt,at)){case"TrustedHTML":{rt=y.createHTML(rt);break}case"TrustedScriptURL":{rt=y.createScriptURL(rt);break}}try{Xe?w.setAttributeNS(Xe,Ge,rt):w.setAttribute(Ge,rt),_C(e.removed)}catch{}}}Sn("afterSanitizeAttributes",w,null)},Es=function et(w){let W=null;const oe=ms(w);for(Sn("beforeSanitizeShadowDOM",w,null);W=oe.nextNode();)Sn("uponSanitizeShadowNode",W,null),!di(W)&&(W.content instanceof r&&et(W.content),bs(W));Sn("afterSanitizeShadowDOM",w,null)};return e.sanitize=function(et){let w=arguments.length>1&&arguments[1]!==void 0?arguments[1]:{},W=null,oe=null,ye=null,Ee=null;if(st=!et,st&&(et="<!-->"),typeof et!="string"&&!ci(et))if(typeof et.toString=="function"){if(et=et.toString(),typeof et!="string")throw _l("dirty is not a string, aborting")}else throw _l("toString is not a function");if(!e.isSupported)return et;if(k||Ue(w),e.removed=[],typeof et=="string"&&(ie=!1),ie){if(et.nodeName){const nt=Fe(et.nodeName);if(!Y[nt]||fe[nt])throw _l("root node is forbidden and cannot be sanitized in-place")}}else if(et instanceof a)W=yn("<!---->"),oe=W.ownerDocument.importNode(et,!0),oe.nodeType===1&&oe.nodeName==="BODY"||oe.nodeName==="HTML"?W=oe:W.appendChild(oe);else{if(!$&&!Se&&!Oe&&et.indexOf("<")===-1)return y&&ne?y.createHTML(et):et;if(W=yn(et),!W)return $?null:ne?T:""}W&&B&&xt(W.firstChild);const Ge=ms(ie?et:W);for(;ye=Ge.nextNode();)di(ye)||(ye.content instanceof r&&Es(ye.content),bs(ye));if(ie)return et;if($){if(ce)for(Ee=O.call(W.ownerDocument);W.firstChild;)Ee.appendChild(W.firstChild);else Ee=W;return(re.shadowroot||re.shadowrootmode)&&(Ee=S.call(i,Ee,!0)),Ee}let Xe=Oe?W.outerHTML:W.innerHTML;return Oe&&Y["!doctype"]&&W.ownerDocument&&W.ownerDocument.doctype&&W.ownerDocument.doctype.name&&ni(kN,W.ownerDocument.doctype.name)&&(Xe="<!DOCTYPE "+W.ownerDocument.doctype.name+`>
`+Xe),Se&&Gc([U,F,K],nt=>{Xe=pl(Xe,nt," ")}),y&&ne?y.createHTML(Xe):Xe},e.setConfig=function(){let et=arguments.length>0&&arguments[0]!==void 0?arguments[0]:{};Ue(et),k=!0},e.clearConfig=function(){ut=null,k=!1},e.isValidAttribute=function(et,w,W){ut||Ue({});const oe=Fe(et),ye=Fe(w);return Ki(oe,ye,W)},e.addHook=function(et,w){typeof w=="function"&&(A[et]=A[et]||[],ul(A[et],w))},e.removeHook=function(et){if(A[et])return _C(A[et])},e.removeHooks=function(et){A[et]&&(A[et]=[])},e.removeAllHooks=function(){A={}},e}LN();function xKe(n){return n.replace(/&/g,"&amp;").replace(/</g,"&lt;").replace(/>/g,"&gt;").replace(/"/g,"&quot;").replace(/'/g,"&#039;")}const CKe={name:"MarkdownRenderer",props:{host:{type:String,required:!1,default:"http://localhost:9600"},client_id:{type:String,required:!0},markdownText:{type:String,required:!0},discussion_id:{type:[String,Number],default:"0",required:!1},message_id:{value:"0",type:[String,Number],required:!1}},components:{CodeBlock:rKe},setup(n){const e=new Dbe({html:!0,highlight:(s,r)=>{const o=r&&fo.getLanguage(r)?r:"plaintext";return fo.highlight(o,s).value},renderInline:!0,breaks:!0}).use(UHe).use(jo).use(XHe,{figcaption:!0}).use(d7e).use(YHe,{inlineOpen:"$",inlineClose:"$",blockOpen:"$$",blockClose:"$$"}).use(jHe,{enableRowspan:!0,enableColspan:!0,enableGridTables:!0,enableGridTablesExtra:!0,enableTableIndentation:!0,tableCellPadding:" ",tableCellJoiner:"|",multilineCellStartMarker:"|>",multilineCellEndMarker:"<|",multilineCellPadding:" ",multilineCellJoiner:`
`}),t=ft([]),i=()=>{if(n.markdownText){let s=e.parse(n.markdownText,{}),r=[];t.value=[];for(let o=0;o<s.length;o++)s[o].type!=="fence"?r.push(s[o]):(r.length>0&&(t.value.push({type:"html",html:e.renderer.render(r,e.options,{})}),r=[]),t.value.push({type:"code",language:xKe(s[o].info),code:s[o].content}));r.length>0&&(t.value.push({type:"html",html:e.renderer.render(r,e.options,{})}),r=[])}else t.value=[];Ve(()=>{qe.replace()})};return qn(()=>n.markdownText,i),qs(i),{markdownItems:t}}},RKe={class:"break-all"},AKe={ref:"mdRender",class:"markdown-content"},wKe=["innerHTML"];function NKe(n,e,t,i,s,r){const o=mt("code-block");return N(),M("div",RKe,[u("div",AKe,[(N(!0),M($e,null,dt(i.markdownItems,(a,l)=>(N(),M("div",{key:l},[a.type==="code"?(N(),Ot(o,{key:0,host:t.host,language:a.language,code:a.code,discussion_id:t.discussion_id,message_id:t.message_id,client_id:t.client_id},null,8,["host","language","code","discussion_id","message_id","client_id"])):(N(),M("div",{key:1,innerHTML:a.html},null,8,wKe))]))),128))],512)])}const EE=bt(CKe,[["render",NKe]]),OKe={data(){return{show:!1,has_button:!0,message:""}},components:{MarkdownRenderer:EE},methods:{hide(){this.show=!1,this.$emit("ok")},showMessage(n){this.message=n,this.has_button=!0,this.show=!0},showBlockingMessage(n){this.message=n,this.has_button=!1,this.show=!0},updateMessage(n){this.message=n,this.show=!0},hideMessage(){this.show=!1}}},IKe={key:0,class:"fixed top-0 left-0 right-0 bottom-0 flex items-center justify-center bg-black bg-opacity-50 z-50"},MKe={class:"pl-10 pr-10 bg-bg-light dark:bg-bg-dark p-8 rounded-lg shadow-lg"},DKe={class:"container max-h-500 overflow-y-auto"},kKe={class:"text-lg font-medium"},LKe={class:"mt-4 flex justify-center"},PKe={key:1,"aria-hidden":"true",class:"w-6 h-6 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},UKe=u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"},null,-1),FKe=u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"},null,-1),BKe=[UKe,FKe];function GKe(n,e,t,i,s,r){const o=mt("MarkdownRenderer");return s.show?(N(),M("div",IKe,[u("div",MKe,[u("div",DKe,[u("div",kKe,[Ie(o,{ref:"mdRender",host:"","markdown-text":s.message,message_id:0,discussion_id:0},null,8,["markdown-text"])])]),u("div",LKe,[s.has_button?(N(),M("button",{key:0,onClick:e[0]||(e[0]=(...a)=>r.hide&&r.hide(...a)),class:"bg-primary hover:bg-primary-light active:scale-95 duration-150 text-white px-4 py-2 rounded-lg shadow-lg hover:bg-secondary-dark"}," OK ")):q("",!0),s.has_button?q("",!0):(N(),M("svg",PKe,BKe))])])])):q("",!0)}const PN=bt(OKe,[["render",GKe]]);const zKe={props:{progress:{type:Number,required:!0}}},VKe={class:"progress-bar-container"};function HKe(n,e,t,i,s,r){return N(),M("div",VKe,[u("div",{class:"progress-bar",style:Jt({width:`${t.progress}%`})},null,4)])}const ic=bt(zKe,[["render",HKe]]),qKe={setup(){return{}},name:"UniversalForm",data(){return{show:!1,resolve:null,controls_array:[],title:"Universal form",ConfirmButtonText:"Submit",DenyButtonText:"Cancel"}},mounted(){Ve(()=>{qe.replace()})},methods:{btn_clicked(n){console.log(n)},hide(n){this.show=!1,this.resolve&&n&&(this.resolve(this.controls_array),this.resolve=null)},showForm(n,e,t,i){this.ConfirmButtonText=t||this.ConfirmButtonText,this.DenyButtonText=i||this.DenyButtonText;for(let s=0;s<n.length;s++)n[s].isHelp=!1;return new Promise(s=>{this.controls_array=n,this.show=!0,this.title=e||this.title,this.resolve=s,console.log("show form",this.controls_array)})}},watch:{controls_array:{deep:!0,handler(n){n.forEach(e=>{e.type==="int"?e.value=parseInt(e.value):e.type==="float"&&(e.value=parseFloat(e.value))})}},show(){Ve(()=>{qe.replace()})}}},YKe={key:0,class:"fixed top-0 left-0 right-0 bottom-0 flex items-center justify-center bg-black bg-opacity-50 p-4"},$Ke={class:"relative w-full max-w-md"},WKe={class:"flex flex-col rounded-lg bg-bg-light-tone-panel dark:bg-bg-dark-tone-panel duration-150 shadow-lg max-h-screen"},KKe={class:"flex flex-row flex-grow items-center m-2 p-1"},jKe={class:"grow flex items-center"},QKe=u("i",{"data-feather":"sliders",class:"mr-2 flex-shrink-0"},null,-1),XKe={class:"text-lg font-semibold select-none mr-2"},ZKe={class:"items-end"},JKe=u("svg",{"aria-hidden":"true",class:"w-5 h-5",fill:"currentColor",viewBox:"0 0 20 20",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"fill-rule":"evenodd",d:"M4.293 4.293a1 1 0 011.414 0L10 8.586l4.293-4.293a1 1 0 111.414 1.414L11.414 10l4.293 4.293a1 1 0 01-1.414 1.414L10 11.414l-4.293 4.293a1 1 0 01-1.414-1.414L8.586 10 4.293 5.707a1 1 0 010-1.414z","clip-rule":"evenodd"})],-1),eje=u("span",{class:"sr-only"},"Close form modal",-1),tje=[JKe,eje],nje={class:"flex flex-col relative no-scrollbar overflow-y-scroll p-2"},ije={class:"px-2"},sje={key:0},rje={key:0},oje={class:"text-base font-semibold"},aje={key:0,class:"relative inline-flex"},lje=["onUpdate:modelValue"],cje=u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary"},[u("i",{"data-feather":"help-circle",class:"w-5 h-5"})],-1),dje={key:0,class:"text-sm font-normal text-gray-700 dark:text-gray-400 mb-2"},uje=["onUpdate:modelValue"],pje={key:1},_je={class:"text-base font-semibold"},hje={key:0,class:"relative inline-flex"},fje=["onUpdate:modelValue"],mje=u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary"},[u("i",{"data-feather":"help-circle",class:"w-5 h-5"})],-1),gje={key:0,class:"text-sm font-normal text-gray-700 dark:text-gray-400 mb-2"},bje=["onUpdate:modelValue"],Eje=["value","selected"],vje={key:1},yje={class:"",onclick:"btn_clicked(item)"},Sje={key:2},Tje={key:0},xje={class:"text-base font-semibold"},Cje={key:0,class:"relative inline-flex"},Rje=["onUpdate:modelValue"],Aje=u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary"},[u("i",{"data-feather":"help-circle",class:"w-5 h-5"})],-1),wje={key:0,class:"text-sm font-normal text-gray-700 dark:text-gray-400 mb-2"},Nje=["onUpdate:modelValue"],Oje={key:1},Ije={class:"text-base font-semibold"},Mje={key:0,class:"relative inline-flex"},Dje=["onUpdate:modelValue"],kje=u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary"},[u("i",{"data-feather":"help-circle",class:"w-5 h-5"})],-1),Lje={key:0,class:"text-sm font-normal text-gray-700 dark:text-gray-400 mb-2"},Pje=["onUpdate:modelValue"],Uje=["value","selected"],Fje={key:3},Bje={class:"text-base font-semibold"},Gje={key:0,class:"relative inline-flex"},zje=["onUpdate:modelValue"],Vje=u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary"},[u("i",{"data-feather":"help-circle",class:"w-5 h-5"})],-1),Hje={key:0,class:"text-sm font-normal text-gray-700 dark:text-gray-400 mb-2"},qje=["onUpdate:modelValue"],Yje=["onUpdate:modelValue","min","max"],$je={key:4},Wje={class:"text-base font-semibold"},Kje={key:0,class:"relative inline-flex"},jje=["onUpdate:modelValue"],Qje=u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary"},[u("i",{"data-feather":"help-circle",class:"w-5 h-5"})],-1),Xje={key:0,class:"text-sm font-normal text-gray-700 dark:text-gray-400 mb-2"},Zje=["onUpdate:modelValue"],Jje=["onUpdate:modelValue","min","max"],eQe={key:5},tQe={class:"mb-2 relative flex items-center gap-2"},nQe={for:"default-checkbox",class:"text-base font-semibold"},iQe=["onUpdate:modelValue"],sQe={key:0,class:"relative inline-flex"},rQe=["onUpdate:modelValue"],oQe=u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary"},[u("i",{"data-feather":"help-circle",class:"w-5 h-5"})],-1),aQe={key:0,class:"text-sm font-normal text-gray-700 dark:text-gray-400 mb-2"},lQe={key:6},cQe={class:"text-base font-semibold"},dQe={key:0,class:"relative inline-flex"},uQe=["onUpdate:modelValue"],pQe=u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary"},[u("i",{"data-feather":"help-circle",class:"w-5 h-5"})],-1),_Qe={key:0,class:"text-sm font-normal text-gray-700 dark:text-gray-400 mb-2"},hQe=["onUpdate:modelValue"],fQe=u("hr",{class:"h-px my-4 bg-gray-200 border-0 dark:bg-gray-700"},null,-1),mQe={class:"flex flex-row flex-grow gap-3"},gQe={class:"p-2 text-center grow"};function bQe(n,e,t,i,s,r){return s.show?(N(),M("div",YKe,[u("div",$Ke,[u("div",WKe,[u("div",KKe,[u("div",jKe,[QKe,u("h3",XKe,ge(s.title),1)]),u("div",ZKe,[u("button",{type:"button",onClick:e[0]||(e[0]=xe(o=>r.hide(!1),["stop"])),title:"Close",class:"bg-transparent hover:bg-gray-200 hover:text-gray-900 rounded-lg text-sm p-1.5 ml-auto inline-flex items-center dark:hover:bg-gray-800 dark:hover:text-white"},tje)])]),u("div",nje,[(N(!0),M($e,null,dt(s.controls_array,(o,a)=>(N(),M("div",ije,[o.type=="str"||o.type=="string"?(N(),M("div",sje,[o.options?q("",!0):(N(),M("div",rje,[u("label",{class:Ye(["mb-2 relative flex items-center gap-2 text-sm font-medium text-gray-900 dark:text-white select-none",o.help?"cursor-pointer ":""])},[u("div",oje,ge(o.name)+": ",1),o.help?(N(),M("label",aje,[le(u("input",{type:"checkbox","onUpdate:modelValue":l=>o.isHelp=l,class:"sr-only peer"},null,8,lje),[[ht,o.isHelp]]),cje])):q("",!0)],2),o.isHelp?(N(),M("p",dje,ge(o.help),1)):q("",!0),le(u("input",{type:"text","onUpdate:modelValue":l=>o.value=l,class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Enter string"},null,8,uje),[[Pe,o.value]])])),o.options?(N(),M("div",pje,[u("label",{class:Ye(["mb-2 relative flex items-center gap-2 text-sm font-medium text-gray-900 dark:text-white select-none",o.help?"cursor-pointer ":""])},[u("div",_je,ge(o.name)+": ",1),o.help?(N(),M("label",hje,[le(u("input",{type:"checkbox","onUpdate:modelValue":l=>o.isHelp=l,class:"sr-only peer"},null,8,fje),[[ht,o.isHelp]]),mje])):q("",!0)],2),o.isHelp?(N(),M("p",gje,ge(o.help),1)):q("",!0),le(u("select",{"onUpdate:modelValue":l=>o.value=l,class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},[(N(!0),M($e,null,dt(o.options,l=>(N(),M("option",{value:l,selected:o.value===l},ge(l),9,Eje))),256))],8,bje),[[zn,o.value]])])):q("",!0)])):q("",!0),o.type=="btn"?(N(),M("div",vje,[u("button",yje,ge(o.name),1)])):q("",!0),o.type=="text"?(N(),M("div",Sje,[o.options?q("",!0):(N(),M("div",Tje,[u("label",{class:Ye(["mb-2 relative flex items-center gap-2 text-sm font-medium text-gray-900 dark:text-white select-none",o.help?"cursor-pointer ":""])},[u("div",xje,ge(o.name)+": ",1),o.help?(N(),M("label",Cje,[le(u("input",{type:"checkbox","onUpdate:modelValue":l=>o.isHelp=l,class:"sr-only peer"},null,8,Rje),[[ht,o.isHelp]]),Aje])):q("",!0)],2),o.isHelp?(N(),M("p",wje,ge(o.help),1)):q("",!0),le(u("textarea",{"onUpdate:modelValue":l=>o.value=l,class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Enter string"},null,8,Nje),[[Pe,o.value]])])),o.options?(N(),M("div",Oje,[u("label",{class:Ye(["mb-2 relative flex items-center gap-2 text-sm font-medium text-gray-900 dark:text-white select-none",o.help?"cursor-pointer ":""])},[u("div",Ije,ge(o.name)+": ",1),o.help?(N(),M("label",Mje,[le(u("input",{type:"checkbox","onUpdate:modelValue":l=>o.isHelp=l,class:"sr-only peer"},null,8,Dje),[[ht,o.isHelp]]),kje])):q("",!0)],2),o.isHelp?(N(),M("p",Lje,ge(o.help),1)):q("",!0),le(u("select",{"onUpdate:modelValue":l=>o.value=l,class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},[(N(!0),M($e,null,dt(o.options,l=>(N(),M("option",{value:l,selected:o.value===l},ge(l),9,Uje))),256))],8,Pje),[[zn,o.value]])])):q("",!0)])):q("",!0),o.type=="int"?(N(),M("div",Fje,[u("label",{class:Ye(["mb-2 relative flex items-center gap-2 text-sm font-medium text-gray-900 dark:text-white select-none",o.help?"cursor-pointer ":""])},[u("div",Bje,ge(o.name)+": ",1),o.help?(N(),M("label",Gje,[le(u("input",{type:"checkbox","onUpdate:modelValue":l=>o.isHelp=l,class:"sr-only peer"},null,8,zje),[[ht,o.isHelp]]),Vje])):q("",!0)],2),o.isHelp?(N(),M("p",Hje,ge(o.help),1)):q("",!0),le(u("input",{type:"number","onUpdate:modelValue":l=>o.value=l,step:"1",class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Enter number"},null,8,qje),[[Pe,o.value]]),o.min!=null&&o.max!=null?le((N(),M("input",{key:1,type:"range","onUpdate:modelValue":l=>o.value=l,min:o.min,max:o.max,step:"1",class:"flex-none h-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,8,Yje)),[[Pe,o.value]]):q("",!0)])):q("",!0),o.type=="float"?(N(),M("div",$je,[u("label",{class:Ye(["mb-2 relative flex items-center gap-2 text-sm font-medium text-gray-900 dark:text-white select-none",o.help?"cursor-pointer ":""])},[u("div",Wje,ge(o.name)+": ",1),o.help?(N(),M("label",Kje,[le(u("input",{type:"checkbox","onUpdate:modelValue":l=>o.isHelp=l,class:"sr-only peer"},null,8,jje),[[ht,o.isHelp]]),Qje])):q("",!0)],2),o.isHelp?(N(),M("p",Xje,ge(o.help),1)):q("",!0),le(u("input",{type:"number","onUpdate:modelValue":l=>o.value=l,class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Enter number"},null,8,Zje),[[Pe,o.value]]),o.min!=null&&o.max!=null?le((N(),M("input",{key:1,type:"range","onUpdate:modelValue":l=>o.value=l,min:o.min,max:o.max,step:"0.1",class:"flex-none h-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,8,Jje)),[[Pe,o.value]]):q("",!0)])):q("",!0),o.type=="bool"?(N(),M("div",eQe,[u("div",tQe,[u("label",nQe,ge(o.name)+": ",1),le(u("input",{type:"checkbox","onUpdate:modelValue":l=>o.value=l,class:"w-4 h-4 text-blue-600 bg-gray-100 border-gray-300 rounded focus:ring-blue-500 dark:focus:ring-blue-600 dark:ring-offset-gray-800 focus:ring-2 dark:bg-gray-700 dark:border-gray-600"},null,8,iQe),[[ht,o.value]]),o.help?(N(),M("label",sQe,[le(u("input",{type:"checkbox","onUpdate:modelValue":l=>o.isHelp=l,class:"sr-only peer"},null,8,rQe),[[ht,o.isHelp]]),oQe])):q("",!0)]),o.isHelp?(N(),M("p",aQe,ge(o.help),1)):q("",!0)])):q("",!0),o.type=="list"?(N(),M("div",lQe,[u("label",{class:Ye(["mb-2 relative flex items-center gap-2 text-sm font-medium text-gray-900 dark:text-white select-none",o.help?"cursor-pointer ":""])},[u("div",cQe,ge(o.name)+": ",1),o.help?(N(),M("label",dQe,[le(u("input",{type:"checkbox","onUpdate:modelValue":l=>o.isHelp=l,class:"sr-only peer"},null,8,uQe),[[ht,o.isHelp]]),pQe])):q("",!0)],2),o.isHelp?(N(),M("p",_Qe,ge(o.help),1)):q("",!0),le(u("input",{type:"text","onUpdate:modelValue":l=>o.value=l,class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Enter comma separated values"},null,8,hQe),[[Pe,o.value]])])):q("",!0),fQe]))),256)),u("div",mQe,[u("div",gQe,[u("button",{onClick:e[1]||(e[1]=xe(o=>r.hide(!0),["stop"])),type:"button",class:"mr-2 text-white bg-blue-700 hover:bg-blue-800 focus:ring-4 focus:outline-none focus:ring-blue-300 font-medium rounded-lg text-sm sm:w-auto px-5 py-2.5 text-center dark:bg-blue-600 dark:hover:bg-blue-700 dark:focus:ring-blue-800"},ge(s.ConfirmButtonText),1),u("button",{onClick:e[2]||(e[2]=xe(o=>r.hide(!1),["stop"])),type:"button",class:"text-gray-500 bg-white hover:bg-gray-100 focus:ring-4 focus:outline-none focus:ring-gray-200 rounded-lg border border-gray-200 text-sm font-medium px-5 py-2.5 hover:text-gray-900 focus:z-11 dark:bg-gray-700 dark:text-gray-300 dark:border-gray-500 dark:hover:text-white dark:hover:bg-gray-600 dark:focus:ring-gray-600"},ge(s.DenyButtonText),1)])])])])])])):q("",!0)}const Ec=bt(qKe,[["render",bQe]]),EQe={data(){return{show:!1,message:"",resolve:null,ConfirmButtonText:"Yes, I'm sure",DenyButtonText:"No, cancel"}},methods:{hide(n){this.show=!1,this.resolve&&(this.resolve(n),this.resolve=null)},askQuestion(n,e,t){return this.ConfirmButtonText=e||this.ConfirmButtonText,this.DenyButtonText=t||this.DenyButtonText,new Promise(i=>{this.message=n,this.show=!0,this.resolve=i})}}},vQe={key:0,class:"fixed top-0 left-0 right-0 bottom-0 flex items-center justify-center bg-black bg-opacity-50"},yQe={class:"relative w-full max-w-md max-h-full"},SQe={class:"relative bg-white rounded-lg shadow dark:bg-gray-700"},TQe=u("svg",{"aria-hidden":"true",class:"w-5 h-5",fill:"currentColor",viewBox:"0 0 20 20",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"fill-rule":"evenodd",d:"M4.293 4.293a1 1 0 011.414 0L10 8.586l4.293-4.293a1 1 0 111.414 1.414L11.414 10l4.293 4.293a1 1 0 01-1.414 1.414L10 11.414l-4.293 4.293a1 1 0 01-1.414-1.414L8.586 10 4.293 5.707a1 1 0 010-1.414z","clip-rule":"evenodd"})],-1),xQe=u("span",{class:"sr-only"},"Close modal",-1),CQe=[TQe,xQe],RQe={class:"p-4 text-center"},AQe=u("svg",{"aria-hidden":"true",class:"mx-auto mb-4 text-gray-400 w-14 h-14 dark:text-gray-200",fill:"none",stroke:"currentColor",viewBox:"0 0 24 24",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"stroke-linecap":"round","stroke-linejoin":"round","stroke-width":"2",d:"M12 8v4m0 4h.01M21 12a9 9 0 11-18 0 9 9 0 0118 0z"})],-1),wQe={class:"mb-5 text-lg font-normal text-gray-500 dark:text-gray-400 select-none break-all"};function NQe(n,e,t,i,s,r){return s.show?(N(),M("div",vQe,[u("div",yQe,[u("div",SQe,[u("button",{type:"button",onClick:e[0]||(e[0]=o=>r.hide(!1)),class:"absolute top-3 right-2.5 text-gray-400 bg-transparent hover:bg-gray-200 hover:text-gray-900 rounded-lg text-sm p-1.5 ml-auto inline-flex items-center dark:hover:bg-gray-800 dark:hover:text-white"},CQe),u("div",RQe,[AQe,u("h3",wQe,ge(s.message),1),u("button",{onClick:e[1]||(e[1]=o=>r.hide(!0)),type:"button",class:"text-white bg-red-600 hover:bg-red-800 focus:ring-4 focus:outline-none focus:ring-red-300 dark:focus:ring-red-800 font-medium rounded-lg text-sm inline-flex items-center px-5 py-2.5 text-center mr-2"},ge(s.ConfirmButtonText),1),u("button",{onClick:e[2]||(e[2]=o=>r.hide(!1)),type:"button",class:"text-gray-500 bg-white hover:bg-gray-100 focus:ring-4 focus:outline-none focus:ring-gray-200 rounded-lg border border-gray-200 text-sm font-medium px-5 py-2.5 hover:text-gray-900 focus:z-10 dark:bg-gray-700 dark:text-gray-300 dark:border-gray-500 dark:hover:text-white dark:hover:bg-gray-600 dark:focus:ring-gray-600"},ge(s.DenyButtonText),1)])])])])):q("",!0)}const UN=bt(EQe,[["render",NQe]]),OQe={props:{personality:{type:Object,required:!0},config:{type:Object,required:!0}},data(){return{show:!1,title:"Add AI Agent",iconUrl:"",file:null,tempConfig:{}}},methods:{showForm(){this.showDialog=!0},hideForm(){this.showDialog=!1},selectIcon(n){n.target.files&&(this.file=n.target.files[0],this.iconUrl=URL.createObjectURL(this.file))},showPanel(){this.show=!0},hide(){this.show=!1},submitForm(){Le.post("/set_personality_config",{category:this.personality.category,name:this.personality.folder,config:this.config}).then(n=>{const e=n.data;console.log("Done"),e.status?(this.currentPersonConfig=e.config,this.showPersonalityEditor=!0):console.error(e.error)}).catch(n=>{console.error(n)})}}},IQe={key:0,class:"fixed top-0 left-0 right-0 bottom-0 flex items-center justify-center bg-black bg-opacity-50 z-20"},MQe={class:"relative w-full max-h-full bg-bg-light dark:bg-bg-dark"},DQe={class:"w-full h-full relative items-center gap-2 rounded-lg border bg-bg-light dark:bg-bg-dark p-1.5 shadow-sm hover:shadow-none dark:border-gray-800 dark:bg-gray-900"},kQe=u("svg",{"aria-hidden":"true",class:"w-5 h-5",fill:"currentColor",viewBox:"0 0 20 20",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"fill-rule":"evenodd",d:"M4.293 4.293a1 1 0 011.414 0L10 8.586l4.293-4.293a1 1 0 111.414 1.414L11.414 10l4.293 4.293a1 1 0 01-1.414 1.414L10 11.414l-4.293 4.293a1 1 0 01-1.414-1.414L8.586 10 4.293 5.707a1 1 0 010-1.414z","clip-rule":"evenodd"})],-1),LQe=u("span",{class:"sr-only"},"Close modal",-1),PQe=[kQe,LQe],UQe={class:"justify-center text-center items-center w-full bg-bg-light dark:bg-bg-dark"},FQe={class:"w-full flex flex-row mt-4 text-center justify-center"},BQe={class:"w-full max-h-full container bg-bg-light dark:bg-bg-dark"},GQe={class:"mb-4 w-full"},zQe={class:"w-full bg-bg-light dark:bg-bg-dark"},VQe=u("td",null,[u("label",{for:"personalityConditioning"},"Personality Conditioning:")],-1),HQe=u("td",null,[u("label",{for:"userMessagePrefix"},"User Message Prefix:")],-1),qQe=u("td",null,[u("label",{for:"aiMessagePrefix"},"AI Message Prefix:")],-1),YQe=u("td",null,[u("label",{for:"linkText"},"Link Text:")],-1),$Qe=u("td",null,[u("label",{for:"welcomeMessage"},"Welcome Message:")],-1),WQe=u("td",null,[u("label",{for:"modelTemperature"},"Model Temperature:")],-1),KQe=u("td",null,[u("label",{for:"modelNPredicts"},"Model N Predicts:")],-1),jQe=u("td",null,[u("label",{for:"modelNPredicts"},"Model N Predicts:")],-1),QQe=u("td",null,[u("label",{for:"modelTopK"},"Model Top K:")],-1),XQe=u("td",null,[u("label",{for:"modelTopP"},"Model Top P:")],-1),ZQe=u("td",null,[u("label",{for:"modelRepeatPenalty"},"Model Repeat Penalty:")],-1),JQe=u("td",null,[u("label",{for:"modelRepeatLastN"},"Model Repeat Last N:")],-1),eXe=u("td",null,[u("label",{for:"recommendedBinding"},"Recommended Binding:")],-1),tXe=u("td",null,[u("label",{for:"recommendedModel"},"Recommended Model:")],-1),nXe=u("td",null,[u("label",{class:"dark:bg-black dark:text-primary w-full",for:"dependencies"},"Dependencies:")],-1),iXe=u("td",null,[u("label",{for:"antiPrompts"},"Anti Prompts:")],-1);function sXe(n,e,t,i,s,r){return s.show?(N(),M("div",IQe,[u("div",MQe,[u("div",DQe,[u("button",{type:"button",onClick:e[0]||(e[0]=o=>r.hide()),class:"absolute top-1 right-2.5 text-gray-400 bg-transparent hover:bg-gray-200 hover:text-gray-900 rounded-lg text-sm p-1.5 ml-auto inline-flex items-center dark:hover:bg-gray-800 dark:hover:text-white"},PQe),u("div",UQe,[u("div",FQe,[u("button",{type:"submit",onClick:e[1]||(e[1]=xe((...o)=>r.submitForm&&r.submitForm(...o),["prevent"])),class:"bg-blue-500 hover:bg-blue-700 text-white font-bold py-2 px-4 rounded"}," Commit AI to Server "),u("button",{onClick:e[2]||(e[2]=xe(o=>r.hide(),["prevent"])),class:"bg-blue-500 hover:bg-blue-700 text-white font-bold py-2 px-4 rounded"}," Close ")]),u("div",BQe,[u("form",GQe,[u("table",zQe,[u("tr",null,[VQe,u("td",null,[le(u("textarea",{class:"dark:bg-black dark:text-primary w-full",id:"personalityConditioning","onUpdate:modelValue":e[3]||(e[3]=o=>t.config.personality_conditioning=o)},null,512),[[Pe,t.config.personality_conditioning]])])]),u("tr",null,[HQe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"text",id:"userMessagePrefix","onUpdate:modelValue":e[4]||(e[4]=o=>t.config.user_message_prefix=o)},null,512),[[Pe,t.config.user_message_prefix]])])]),u("tr",null,[qQe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"text",id:"aiMessagePrefix","onUpdate:modelValue":e[5]||(e[5]=o=>t.config.ai_message_prefix=o)},null,512),[[Pe,t.config.ai_message_prefix]])])]),u("tr",null,[YQe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"text",id:"linkText","onUpdate:modelValue":e[6]||(e[6]=o=>t.config.link_text=o)},null,512),[[Pe,t.config.link_text]])])]),u("tr",null,[$Qe,u("td",null,[le(u("textarea",{class:"dark:bg-black dark:text-primary w-full",id:"welcomeMessage","onUpdate:modelValue":e[7]||(e[7]=o=>t.config.welcome_message=o)},null,512),[[Pe,t.config.welcome_message]])])]),u("tr",null,[WQe,u("td",null,[le(u("input",{type:"number",id:"modelTemperature","onUpdate:modelValue":e[8]||(e[8]=o=>t.config.model_temperature=o)},null,512),[[Pe,t.config.model_temperature]])])]),u("tr",null,[KQe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"number",id:"modelNPredicts","onUpdate:modelValue":e[9]||(e[9]=o=>t.config.model_n_predicts=o)},null,512),[[Pe,t.config.model_n_predicts]])])]),u("tr",null,[jQe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"number",id:"modelNPredicts","onUpdate:modelValue":e[10]||(e[10]=o=>t.config.model_n_predicts=o)},null,512),[[Pe,t.config.model_n_predicts]])])]),u("tr",null,[QQe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"number",id:"modelTopK","onUpdate:modelValue":e[11]||(e[11]=o=>t.config.model_top_k=o)},null,512),[[Pe,t.config.model_top_k]])])]),u("tr",null,[XQe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"number",id:"modelTopP","onUpdate:modelValue":e[12]||(e[12]=o=>t.config.model_top_p=o)},null,512),[[Pe,t.config.model_top_p]])])]),u("tr",null,[ZQe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"number",id:"modelRepeatPenalty","onUpdate:modelValue":e[13]||(e[13]=o=>t.config.model_repeat_penalty=o)},null,512),[[Pe,t.config.model_repeat_penalty]])])]),u("tr",null,[JQe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"number",id:"modelRepeatLastN","onUpdate:modelValue":e[14]||(e[14]=o=>t.config.model_repeat_last_n=o)},null,512),[[Pe,t.config.model_repeat_last_n]])])]),u("tr",null,[eXe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"text",id:"recommendedBinding","onUpdate:modelValue":e[15]||(e[15]=o=>t.config.recommended_binding=o)},null,512),[[Pe,t.config.recommended_binding]])])]),u("tr",null,[tXe,u("td",null,[le(u("input",{class:"dark:bg-black dark:text-primary w-full",type:"text",id:"recommendedModel","onUpdate:modelValue":e[16]||(e[16]=o=>t.config.recommended_model=o)},null,512),[[Pe,t.config.recommended_model]])])]),u("tr",null,[nXe,u("td",null,[le(u("textarea",{class:"dark:bg-black dark:text-primary w-full",id:"dependencies","onUpdate:modelValue":e[17]||(e[17]=o=>t.config.dependencies=o)},null,512),[[Pe,t.config.dependencies]])])]),u("tr",null,[iXe,u("td",null,[le(u("textarea",{class:"dark:bg-black dark:text-primary w-full",id:"antiPrompts","onUpdate:modelValue":e[18]||(e[18]=o=>t.config.anti_prompts=o)},null,512),[[Pe,t.config.anti_prompts]])])])])])])])])])])):q("",!0)}const FN=bt(OQe,[["render",sXe]]);const rXe={data(){return{showPopup:!1,webpageUrl:"https://lollms.com/index.php/news/"}},methods:{show(){this.showPopup=!0},hide(){this.showPopup=!1},save_configuration(){Le.post("/apply_settings",{config:this.$store.state.config}).then(n=>{this.isLoading=!1,n.data.status?(this.$store.state.toast.showToast("Configuration changed successfully.",4,!0),this.settingsChanged=!1):this.$store.state.toast.showToast("Configuration change failed.",4,!1)})}}},oXe=n=>(wr("data-v-39acdea0"),n=n(),Nr(),n),aXe={key:0,class:"fixed inset-0 flex items-center justify-center z-50 m-15 p-15"},lXe={class:"bg-white dark:bg-gray-800 rounded shadow p-6 m-4 w-full h-full text-center overflow-auto relative"},cXe=["src"],dXe={class:"absolute bottom-0 mb-4 w-full text-center"},uXe=oXe(()=>u("label",{for:"startup",class:"m-5"},"Show at startup",-1));function pXe(n,e,t,i,s,r){return N(),Ot(as,{name:"fade"},{default:tt(()=>[s.showPopup?(N(),M("div",aXe,[u("div",lXe,[u("button",{onClick:e[0]||(e[0]=(...o)=>r.hide&&r.hide(...o)),class:"absolute top-0 right-0 m-4 bg-blue-500 hover:bg-blue-700 text-white font-bold py-2 px-4 rounded"}," X "),u("iframe",{src:s.webpageUrl,class:"m-4 p-5 w-full h-full"},null,8,cXe)]),u("div",dXe,[le(u("input",{type:"checkbox",id:"startup","onUpdate:modelValue":e[1]||(e[1]=o=>this.$store.state.config.show_news_panel=o),onChange:e[2]||(e[2]=(...o)=>r.save_configuration&&r.save_configuration(...o))},null,544),[[ht,this.$store.state.config.show_news_panel]]),uXe])])):q("",!0)]),_:1})}const BN=bt(rXe,[["render",pXe],["__scopeId","data-v-39acdea0"]]),GN="/assets/fastapi-4a6542d0.png",zN="/assets/discord-6817c341.svg";const _Xe={key:0,class:"container flex flex-col sm:flex-row items-center"},hXe={class:"w-full"},fXe={class:"flex flex-row font-medium nav-ul"},VN={__name:"Navigation",setup(n){return(e,t)=>e.$store.state.ready?(N(),M("div",_Xe,[u("div",hXe,[u("div",fXe,[Ie(Tt(Qr),{to:{name:"discussions"},class:"link-item dark:link-item-dark bg-light hover:bg-bg-light-tone dark:bg-bg-dark-tone hover:dark:bg-bg-light-tone"},{default:tt(()=>[Ze(" Discussions ")]),_:1}),Ie(Tt(Qr),{to:{name:"playground"},class:"link-item dark:link-item-dark bg-light hover:bg-bg-light-tone dark:bg-bg-dark-tone hover:dark:bg-bg-light-tone"},{default:tt(()=>[Ze(" Playground ")]),_:1}),e.$store.state.config.enable_voice_service?(N(),Ot(Tt(Qr),{key:0,to:{name:"interactive"},class:"link-item dark:link-item-dark bg-light hover:bg-bg-light-tone dark:bg-bg-dark-tone hover:dark:bg-bg-light-tone"},{default:tt(()=>[Ze(" interactive ")]),_:1})):q("",!0),Ie(Tt(Qr),{to:{name:"settings"},class:"link-item dark:link-item-dark bg-light hover:bg-bg-light-tone dark:bg-bg-dark-tone hover:dark:bg-bg-light-tone"},{default:tt(()=>[Ze(" Settings ")]),_:1}),Ie(Tt(Qr),{to:{name:"help"},class:"link-item dark:link-item-dark bg-light hover:bg-bg-light-tone dark:bg-bg-dark-tone hover:dark:bg-bg-light-tone"},{default:tt(()=>[Ze(" Help ")]),_:1})])])])):q("",!0)}},HN="/assets/static_info-b284ded1.svg",mXe="/assets/animated_info-7edcb0f9.svg";const gXe={class:"top-0 shadow-lg"},bXe={class:"container flex flex-col lg:flex-row item-center gap-2 pb-0"},EXe=u("div",{class:"flex items-center gap-3 flex-1"},[u("img",{class:"w-12 hover:scale-95 duration-150",title:"LoLLMS WebUI",src:ga,alt:"Logo"}),u("div",{class:"flex flex-col"},[u("p",{class:"text-2xl"},"LoLLMS"),u("p",{class:"text-gray-400"},"One tool to rule them all")])],-1),vXe={class:"flex gap-3 flex-1 items-center justify-end"},yXe={key:0,title:"Model is ok",class:"text-green-500 cursor-pointer"},SXe=u("b",{class:"text-2xl"},"M",-1),TXe=[SXe],xXe={key:1,title:"Model is not ok",class:"text-red-500 cursor-pointer"},CXe=u("b",{class:"text-2xl"},"M",-1),RXe=[CXe],AXe={key:2,title:"Text is not being generated. Ready to generate",class:"text-green-500 cursor-pointer"},wXe=u("i",{"data-feather":"flag"},null,-1),NXe=[wXe],OXe={key:3,title:"Generation in progress...",class:"text-red-500 cursor-pointer"},IXe=u("i",{"data-feather":"flag"},null,-1),MXe=[IXe],DXe={key:4,title:"Connection status: Connected",class:"text-green-500 cursor-pointer"},kXe=u("i",{"data-feather":"zap"},null,-1),LXe=[kXe],PXe={key:5,title:"Connection status: Not connected",class:"text-red-500 cursor-pointer"},UXe=u("i",{"data-feather":"zap-off"},null,-1),FXe=[UXe],BXe=u("div",{class:"text-2xl hover:text-primary duration-150",title:"restart program"},[u("i",{"data-feather":"power"})],-1),GXe=[BXe],zXe=u("div",{class:"text-2xl hover:text-primary duration-150",title:"refresh page"},[u("i",{"data-feather":"refresh-ccw"})],-1),VXe=[zXe],HXe={href:"https://github.com/ParisNeo/lollms-webui",target:"_blank"},qXe={class:"text-2xl hover:text-primary duration-150",title:"Fast API doc"},YXe={href:"/docs",target:"_blank"},$Xe=["src"],WXe=zu('<a href="https://github.com/ParisNeo/lollms-webui" target="_blank"><div class="text-2xl hover:text-primary duration-150" title="Visit repository page"><i data-feather="github"></i></div></a><a href="https://www.youtube.com/channel/UCJzrg0cyQV2Z30SQ1v2FdSQ" target="_blank"><div class="text-2xl hover:text-primary duration-150" title="Visit my youtube channel"><i data-feather="youtube"></i></div></a>',2),KXe={href:"https://twitter.com/SpaceNerduino",target:"_blank"},jXe={class:"text-2xl hover:fill-primary dark:fill-white dark:hover:fill-primary duration-150",title:"Follow me on my twitter acount"},QXe={class:"w-10 h-10 rounded-lg object-fill dark:text-white",xmlns:"http://www.w3.org/2000/svg",viewBox:"0 0 1668.56 1221.19",style:{"enable-background":"new 0 0 1668.56 1221.19"},"xml:space":"preserve"},XXe=u("g",{id:"layer1",transform:"translate(52.390088,-25.058597)"},[u("path",{id:"path1009",d:`M283.94,167.31l386.39,516.64L281.5,1104h87.51l340.42-367.76L984.48,1104h297.8L874.15,558.3l361.92-390.99\r
h-87.51l-313.51,338.7l-253.31-338.7H283.94z M412.63,231.77h136.81l604.13,807.76h-136.81L412.63,231.77z`})],-1),ZXe=[XXe],JXe={href:"https://discord.com/channels/1092918764925882418",target:"_blank"},eZe={class:"text-2xl hover:text-primary duration-150",title:"Visit my discord channel"},tZe=["src"],nZe=u("i",{"data-feather":"sun"},null,-1),iZe=[nZe],sZe=u("i",{"data-feather":"moon"},null,-1),rZe=[sZe],oZe=["src"],aZe={role:"status",class:"fixed m-0 p-2 left-2 bottom-2 min-w-[24rem] max-w-[24rem] h-20 flex flex-col justify-center items-center pb-4 bg-blue-500 rounded-lg shadow-lg z-50 background-a"},lZe={class:"text-2xl animate-pulse mt-2 text-white"},cZe={id:"app"},dZe=u("body",null,null,-1),uZe={name:"TopBar",computed:{currentPersonConfig(){try{return this.$store.state.currentPersonConfig}catch{console.log("Error finding current personality configuration");return}},selectedPersonality(){try{return this.$store.state.selectedPersonality}catch{console.log("Error finding current personality configuration");return}},loading_infos(){return this.$store.state.loading_infos},isModelOK(){return this.$store.state.isModelOk},isGenerating(){return this.$store.state.isGenerating},isConnected(){return this.$store.state.isConnected}},components:{Toast:fc,MessageBox:PN,ProgressBar:ic,UniversalForm:Ec,YesNoDialog:UN,Navigation:VN,PersonalityEditor:FN,PopupViewer:BN},watch:{isConnected(){this.isConnected?(console.log("this.is_first_connection"),console.log(this.is_first_connection),this.is_first_connection||(this.$store.state.messageBox.hideMessage(),this.$store.state.messageBox.showMessage("Server connected."),this.$store.state.config.activate_audio_infos&&this.connection_recovered_audio.play())):(this.$store.state.messageBox.showBlockingMessage("Server suddenly disconnected. Please reboot the server to recover the connection"),this.is_first_connection=!1,console.log("this.is_first_connection set to false"),console.log(this.is_first_connection),this.$store.state.config.activate_audio_infos&&this.connection_lost_audio.play()),Ve(()=>{qe.replace()})}},data(){return{static_info:HN,animated_info:mXe,is_first_connection:!0,discord:zN,FastAPI:GN,rebooting_audio:new Audio("rebooting.wav"),connection_lost_audio:new Audio("connection_lost.wav"),connection_recovered_audio:new Audio("connection_recovered.wav"),database_selectorDialogVisible:!1,progress_visibility:!1,progress_value:0,codeBlockStylesheet:"",sunIcon:document.querySelector(".sun"),moonIcon:document.querySelector(".moon"),userTheme:localStorage.getItem("theme"),systemTheme:window.matchMedia("prefers-color-scheme: dark").matches}},mounted(){this.$store.state.toast=this.$refs.toast,this.$store.state.news=this.$refs.news,this.$store.state.messageBox=this.$refs.messageBox,this.$store.state.universalForm=this.$refs.universalForm,this.$store.state.yesNoDialog=this.$refs.yesNoDialog,this.$store.state.personality_editor=this.$refs.personality_editor,this.sunIcon=document.querySelector(".sun"),this.moonIcon=document.querySelector(".moon"),this.userTheme=localStorage.getItem("theme"),this.systemTheme=window.matchMedia("prefers-color-scheme: dark").matches,this.themeCheck(),Ve(()=>{qe.replace()})},created(){this.sunIcon=document.querySelector(".sun"),this.moonIcon=document.querySelector(".moon"),this.userTheme=localStorage.getItem("theme"),this.systemTheme=window.matchMedia("prefers-color-scheme: dark").matches},methods:{restartProgram(n){n.preventDefault(),this.$store.state.api_get_req("restart_program"),this.rebooting_audio.play(),this.$store.state.toast.showToast("Rebooting the app. Please wait...",410,!1),console.log("this.$store.state.api_get_req",this.$store.state.api_get_req),setTimeout(()=>{window.close()},2e3)},refreshPage(){window.location.href.split("/").length>4?window.location.href="/":window.location.reload(!0)},handleOk(n){console.log("Input text:",n)},showNews(){this.$store.state.news.show()},themeCheck(){if(this.userTheme=="dark"||!this.userTheme&&this.systemTheme){document.documentElement.classList.add("dark"),this.moonIcon.classList.add("display-none"),Ve(()=>{Up(()=>Promise.resolve({}),["assets/stackoverflow-dark-57af98f5.css"])});return}Ve(()=>{Up(()=>Promise.resolve({}),["assets/stackoverflow-light-077a2b3c.css"])}),this.sunIcon.classList.add("display-none")},themeSwitch(){if(document.documentElement.classList.contains("dark")){document.documentElement.classList.remove("dark"),localStorage.setItem("theme","light"),this.userTheme=="light",this.iconToggle();return}Up(()=>Promise.resolve({}),["assets/tokyo-night-dark-f9656fc4.css"]),document.documentElement.classList.add("dark"),localStorage.setItem("theme","dark"),this.userTheme=="dark",this.iconToggle()},iconToggle(){this.sunIcon.classList.toggle("display-none"),this.moonIcon.classList.toggle("display-none")}}},pZe=Object.assign(uZe,{setup(n){return(e,t)=>(N(),M($e,null,[u("header",gXe,[u("nav",bXe,[Ie(Tt(Qr),{to:{name:"discussions"}},{default:tt(()=>[EXe]),_:1}),u("div",vXe,[e.isModelOK?(N(),M("div",yXe,TXe)):q("",!0),e.isModelOK?q("",!0):(N(),M("div",xXe,RXe)),e.isGenerating?q("",!0):(N(),M("div",AXe,NXe)),e.isGenerating?(N(),M("div",OXe,MXe)):q("",!0),e.isConnected?(N(),M("div",DXe,LXe)):q("",!0),e.isConnected?q("",!0):(N(),M("div",PXe,FXe)),u("a",{href:"#",onClick:t[0]||(t[0]=(...i)=>e.restartProgram&&e.restartProgram(...i))},GXe),u("a",{href:"#",onClick:t[1]||(t[1]=(...i)=>e.refreshPage&&e.refreshPage(...i))},VXe),u("a",HXe,[u("div",qXe,[u("a",YXe,[u("img",{src:Tt(GN),width:"75",height:"25"},null,8,$Xe)])])]),WXe,u("a",KXe,[u("div",jXe,[(N(),M("svg",QXe,ZXe))])]),u("a",JXe,[u("div",eZe,[u("img",{src:Tt(zN),width:"25",height:"25"},null,8,tZe)])]),u("div",{class:"sun text-2xl w-6 hover:text-primary duration-150 cursor-pointer",title:"Swith to Light theme",onClick:t[2]||(t[2]=i=>e.themeSwitch())},iZe),u("div",{class:"moon text-2xl w-6 hover:text-primary duration-150 cursor-pointer",title:"Swith to Dark theme",onClick:t[3]||(t[3]=i=>e.themeSwitch())},rZe),u("div",{class:"moon text-2xl w-6 hover:text-primary duration-150 cursor-pointer",title:"Lollms News",onClick:t[4]||(t[4]=i=>e.showNews())},[u("img",{src:Tt(HN)},null,8,oZe)])])]),Ie(VN),Ie(fc,{ref:"toast"},null,512),Ie(PN,{ref:"messageBox"},null,512),le(u("div",aZe,[Ie(ic,{ref:"progress",progress:e.progress_value,class:"w-full h-4"},null,8,["progress"]),u("p",lZe,ge(e.loading_infos)+" ...",1)],512),[[Mt,e.progress_visibility]]),Ie(Ec,{ref:"universalForm",class:"z-20"},null,512),Ie(UN,{ref:"yesNoDialog",class:"z-20"},null,512),Ie(FN,{ref:"personality_editor",config:e.currentPersonConfig,personality:e.selectedPersonality},null,8,["config","personality"]),u("div",cZe,[Ie(BN,{ref:"news"},null,512)])]),dZe],64))}}),_Ze={class:"flex overflow-hidden flex-grow w-full"},hZe={__name:"App",setup(n){return(e,t)=>(N(),M("div",{class:Ye([e.currentTheme,"flex flex-col h-screen font-sans bg-bg-light text-slate-950 dark:bg-bg-dark dark:text-slate-50 w-full dark:bg-bg-dark overflow-hidden"])},[Ie(pZe),u("div",_Ze,[Ie(Tt(Yw),null,{default:tt(({Component:i})=>[(N(),Ot(D2,null,[(N(),Ot(Fu(i)))],1024))]),_:1})])],2))}},ls=Object.create(null);ls.open="0";ls.close="1";ls.ping="2";ls.pong="3";ls.message="4";ls.upgrade="5";ls.noop="6";const Pd=Object.create(null);Object.keys(ls).forEach(n=>{Pd[ls[n]]=n});const Qg={type:"error",data:"parser error"},qN=typeof Blob=="function"||typeof Blob<"u"&&Object.prototype.toString.call(Blob)==="[object BlobConstructor]",YN=typeof ArrayBuffer=="function",$N=n=>typeof ArrayBuffer.isView=="function"?ArrayBuffer.isView(n):n&&n.buffer instanceof ArrayBuffer,vE=({type:n,data:e},t,i)=>qN&&e instanceof Blob?t?i(e):EC(e,i):YN&&(e instanceof ArrayBuffer||$N(e))?t?i(e):EC(new Blob([e]),i):i(ls[n]+(e||"")),EC=(n,e)=>{const t=new FileReader;return t.onload=function(){const i=t.result.split(",")[1];e("b"+(i||""))},t.readAsDataURL(n)};function vC(n){return n instanceof Uint8Array?n:n instanceof ArrayBuffer?new Uint8Array(n):new Uint8Array(n.buffer,n.byteOffset,n.byteLength)}let Pm;function fZe(n,e){if(qN&&n.data instanceof Blob)return n.data.arrayBuffer().then(vC).then(e);if(YN&&(n.data instanceof ArrayBuffer||$N(n.data)))return e(vC(n.data));vE(n,!1,t=>{Pm||(Pm=new TextEncoder),e(Pm.encode(t))})}const yC="ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz0123456789+/",Al=typeof Uint8Array>"u"?[]:new Uint8Array(256);for(let n=0;n<yC.length;n++)Al[yC.charCodeAt(n)]=n;const mZe=n=>{let e=n.length*.75,t=n.length,i,s=0,r,o,a,l;n[n.length-1]==="="&&(e--,n[n.length-2]==="="&&e--);const d=new ArrayBuffer(e),c=new Uint8Array(d);for(i=0;i<t;i+=4)r=Al[n.charCodeAt(i)],o=Al[n.charCodeAt(i+1)],a=Al[n.charCodeAt(i+2)],l=Al[n.charCodeAt(i+3)],c[s++]=r<<2|o>>4,c[s++]=(o&15)<<4|a>>2,c[s++]=(a&3)<<6|l&63;return d},gZe=typeof ArrayBuffer=="function",yE=(n,e)=>{if(typeof n!="string")return{type:"message",data:WN(n,e)};const t=n.charAt(0);return t==="b"?{type:"message",data:bZe(n.substring(1),e)}:Pd[t]?n.length>1?{type:Pd[t],data:n.substring(1)}:{type:Pd[t]}:Qg},bZe=(n,e)=>{if(gZe){const t=mZe(n);return WN(t,e)}else return{base64:!0,data:n}},WN=(n,e)=>{switch(e){case"blob":return n instanceof Blob?n:new Blob([n]);case"arraybuffer":default:return n instanceof ArrayBuffer?n:n.buffer}},KN=String.fromCharCode(30),EZe=(n,e)=>{const t=n.length,i=new Array(t);let s=0;n.forEach((r,o)=>{vE(r,!1,a=>{i[o]=a,++s===t&&e(i.join(KN))})})},vZe=(n,e)=>{const t=n.split(KN),i=[];for(let s=0;s<t.length;s++){const r=yE(t[s],e);if(i.push(r),r.type==="error")break}return i};function yZe(){return new TransformStream({transform(n,e){fZe(n,t=>{const i=t.length;let s;if(i<126)s=new Uint8Array(1),new DataView(s.buffer).setUint8(0,i);else if(i<65536){s=new Uint8Array(3);const r=new DataView(s.buffer);r.setUint8(0,126),r.setUint16(1,i)}else{s=new Uint8Array(9);const r=new DataView(s.buffer);r.setUint8(0,127),r.setBigUint64(1,BigInt(i))}n.data&&typeof n.data!="string"&&(s[0]|=128),e.enqueue(s),e.enqueue(t)})}})}let Um;function Hc(n){return n.reduce((e,t)=>e+t.length,0)}function qc(n,e){if(n[0].length===e)return n.shift();const t=new Uint8Array(e);let i=0;for(let s=0;s<e;s++)t[s]=n[0][i++],i===n[0].length&&(n.shift(),i=0);return n.length&&i<n[0].length&&(n[0]=n[0].slice(i)),t}function SZe(n,e){Um||(Um=new TextDecoder);const t=[];let i=0,s=-1,r=!1;return new TransformStream({transform(o,a){for(t.push(o);;){if(i===0){if(Hc(t)<1)break;const l=qc(t,1);r=(l[0]&128)===128,s=l[0]&127,s<126?i=3:s===126?i=1:i=2}else if(i===1){if(Hc(t)<2)break;const l=qc(t,2);s=new DataView(l.buffer,l.byteOffset,l.length).getUint16(0),i=3}else if(i===2){if(Hc(t)<8)break;const l=qc(t,8),d=new DataView(l.buffer,l.byteOffset,l.length),c=d.getUint32(0);if(c>Math.pow(2,53-32)-1){a.enqueue(Qg);break}s=c*Math.pow(2,32)+d.getUint32(4),i=3}else{if(Hc(t)<s)break;const l=qc(t,s);a.enqueue(yE(r?l:Um.decode(l),e)),i=0}if(s===0||s>n){a.enqueue(Qg);break}}}})}const jN=4;function dn(n){if(n)return TZe(n)}function TZe(n){for(var e in dn.prototype)n[e]=dn.prototype[e];return n}dn.prototype.on=dn.prototype.addEventListener=function(n,e){return this._callbacks=this._callbacks||{},(this._callbacks["$"+n]=this._callbacks["$"+n]||[]).push(e),this};dn.prototype.once=function(n,e){function t(){this.off(n,t),e.apply(this,arguments)}return t.fn=e,this.on(n,t),this};dn.prototype.off=dn.prototype.removeListener=dn.prototype.removeAllListeners=dn.prototype.removeEventListener=function(n,e){if(this._callbacks=this._callbacks||{},arguments.length==0)return this._callbacks={},this;var t=this._callbacks["$"+n];if(!t)return this;if(arguments.length==1)return delete this._callbacks["$"+n],this;for(var i,s=0;s<t.length;s++)if(i=t[s],i===e||i.fn===e){t.splice(s,1);break}return t.length===0&&delete this._callbacks["$"+n],this};dn.prototype.emit=function(n){this._callbacks=this._callbacks||{};for(var e=new Array(arguments.length-1),t=this._callbacks["$"+n],i=1;i<arguments.length;i++)e[i-1]=arguments[i];if(t){t=t.slice(0);for(var i=0,s=t.length;i<s;++i)t[i].apply(this,e)}return this};dn.prototype.emitReserved=dn.prototype.emit;dn.prototype.listeners=function(n){return this._callbacks=this._callbacks||{},this._callbacks["$"+n]||[]};dn.prototype.hasListeners=function(n){return!!this.listeners(n).length};const mi=(()=>typeof self<"u"?self:typeof window<"u"?window:Function("return this")())();function QN(n,...e){return e.reduce((t,i)=>(n.hasOwnProperty(i)&&(t[i]=n[i]),t),{})}const xZe=mi.setTimeout,CZe=mi.clearTimeout;function ap(n,e){e.useNativeTimers?(n.setTimeoutFn=xZe.bind(mi),n.clearTimeoutFn=CZe.bind(mi)):(n.setTimeoutFn=mi.setTimeout.bind(mi),n.clearTimeoutFn=mi.clearTimeout.bind(mi))}const RZe=1.33;function AZe(n){return typeof n=="string"?wZe(n):Math.ceil((n.byteLength||n.size)*RZe)}function wZe(n){let e=0,t=0;for(let i=0,s=n.length;i<s;i++)e=n.charCodeAt(i),e<128?t+=1:e<2048?t+=2:e<55296||e>=57344?t+=3:(i++,t+=4);return t}function NZe(n){let e="";for(let t in n)n.hasOwnProperty(t)&&(e.length&&(e+="&"),e+=encodeURIComponent(t)+"="+encodeURIComponent(n[t]));return e}function OZe(n){let e={},t=n.split("&");for(let i=0,s=t.length;i<s;i++){let r=t[i].split("=");e[decodeURIComponent(r[0])]=decodeURIComponent(r[1])}return e}class IZe extends Error{constructor(e,t,i){super(e),this.description=t,this.context=i,this.type="TransportError"}}class SE extends dn{constructor(e){super(),this.writable=!1,ap(this,e),this.opts=e,this.query=e.query,this.socket=e.socket}onError(e,t,i){return super.emitReserved("error",new IZe(e,t,i)),this}open(){return this.readyState="opening",this.doOpen(),this}close(){return(this.readyState==="opening"||this.readyState==="open")&&(this.doClose(),this.onClose()),this}send(e){this.readyState==="open"&&this.write(e)}onOpen(){this.readyState="open",this.writable=!0,super.emitReserved("open")}onData(e){const t=yE(e,this.socket.binaryType);this.onPacket(t)}onPacket(e){super.emitReserved("packet",e)}onClose(e){this.readyState="closed",super.emitReserved("close",e)}pause(e){}createUri(e,t={}){return e+"://"+this._hostname()+this._port()+this.opts.path+this._query(t)}_hostname(){const e=this.opts.hostname;return e.indexOf(":")===-1?e:"["+e+"]"}_port(){return this.opts.port&&(this.opts.secure&&+(this.opts.port!==443)||!this.opts.secure&&Number(this.opts.port)!==80)?":"+this.opts.port:""}_query(e){const t=NZe(e);return t.length?"?"+t:""}}const XN="0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz-_".split(""),Xg=64,MZe={};let SC=0,Yc=0,TC;function xC(n){let e="";do e=XN[n%Xg]+e,n=Math.floor(n/Xg);while(n>0);return e}function ZN(){const n=xC(+new Date);return n!==TC?(SC=0,TC=n):n+"."+xC(SC++)}for(;Yc<Xg;Yc++)MZe[XN[Yc]]=Yc;let JN=!1;try{JN=typeof XMLHttpRequest<"u"&&"withCredentials"in new XMLHttpRequest}catch{}const DZe=JN;function eO(n){const e=n.xdomain;try{if(typeof XMLHttpRequest<"u"&&(!e||DZe))return new XMLHttpRequest}catch{}if(!e)try{return new mi[["Active"].concat("Object").join("X")]("Microsoft.XMLHTTP")}catch{}}function kZe(){}const LZe=function(){return new eO({xdomain:!1}).responseType!=null}();class PZe extends SE{constructor(e){if(super(e),this.polling=!1,typeof location<"u"){const i=location.protocol==="https:";let s=location.port;s||(s=i?"443":"80"),this.xd=typeof location<"u"&&e.hostname!==location.hostname||s!==e.port}const t=e&&e.forceBase64;this.supportsBinary=LZe&&!t,this.opts.withCredentials&&(this.cookieJar=void 0)}get name(){return"polling"}doOpen(){this.poll()}pause(e){this.readyState="pausing";const t=()=>{this.readyState="paused",e()};if(this.polling||!this.writable){let i=0;this.polling&&(i++,this.once("pollComplete",function(){--i||t()})),this.writable||(i++,this.once("drain",function(){--i||t()}))}else t()}poll(){this.polling=!0,this.doPoll(),this.emitReserved("poll")}onData(e){const t=i=>{if(this.readyState==="opening"&&i.type==="open"&&this.onOpen(),i.type==="close")return this.onClose({description:"transport closed by the server"}),!1;this.onPacket(i)};vZe(e,this.socket.binaryType).forEach(t),this.readyState!=="closed"&&(this.polling=!1,this.emitReserved("pollComplete"),this.readyState==="open"&&this.poll())}doClose(){const e=()=>{this.write([{type:"close"}])};this.readyState==="open"?e():this.once("open",e)}write(e){this.writable=!1,EZe(e,t=>{this.doWrite(t,()=>{this.writable=!0,this.emitReserved("drain")})})}uri(){const e=this.opts.secure?"https":"http",t=this.query||{};return this.opts.timestampRequests!==!1&&(t[this.opts.timestampParam]=ZN()),!this.supportsBinary&&!t.sid&&(t.b64=1),this.createUri(e,t)}request(e={}){return Object.assign(e,{xd:this.xd,cookieJar:this.cookieJar},this.opts),new oa(this.uri(),e)}doWrite(e,t){const i=this.request({method:"POST",data:e});i.on("success",t),i.on("error",(s,r)=>{this.onError("xhr post error",s,r)})}doPoll(){const e=this.request();e.on("data",this.onData.bind(this)),e.on("error",(t,i)=>{this.onError("xhr poll error",t,i)}),this.pollXhr=e}}let oa=class Ud extends dn{constructor(e,t){super(),ap(this,t),this.opts=t,this.method=t.method||"GET",this.uri=e,this.data=t.data!==void 0?t.data:null,this.create()}create(){var e;const t=QN(this.opts,"agent","pfx","key","passphrase","cert","ca","ciphers","rejectUnauthorized","autoUnref");t.xdomain=!!this.opts.xd;const i=this.xhr=new eO(t);try{i.open(this.method,this.uri,!0);try{if(this.opts.extraHeaders){i.setDisableHeaderCheck&&i.setDisableHeaderCheck(!0);for(let s in this.opts.extraHeaders)this.opts.extraHeaders.hasOwnProperty(s)&&i.setRequestHeader(s,this.opts.extraHeaders[s])}}catch{}if(this.method==="POST")try{i.setRequestHeader("Content-type","text/plain;charset=UTF-8")}catch{}try{i.setRequestHeader("Accept","*/*")}catch{}(e=this.opts.cookieJar)===null||e===void 0||e.addCookies(i),"withCredentials"in i&&(i.withCredentials=this.opts.withCredentials),this.opts.requestTimeout&&(i.timeout=this.opts.requestTimeout),i.onreadystatechange=()=>{var s;i.readyState===3&&((s=this.opts.cookieJar)===null||s===void 0||s.parseCookies(i)),i.readyState===4&&(i.status===200||i.status===1223?this.onLoad():this.setTimeoutFn(()=>{this.onError(typeof i.status=="number"?i.status:0)},0))},i.send(this.data)}catch(s){this.setTimeoutFn(()=>{this.onError(s)},0);return}typeof document<"u"&&(this.index=Ud.requestsCount++,Ud.requests[this.index]=this)}onError(e){this.emitReserved("error",e,this.xhr),this.cleanup(!0)}cleanup(e){if(!(typeof this.xhr>"u"||this.xhr===null)){if(this.xhr.onreadystatechange=kZe,e)try{this.xhr.abort()}catch{}typeof document<"u"&&delete Ud.requests[this.index],this.xhr=null}}onLoad(){const e=this.xhr.responseText;e!==null&&(this.emitReserved("data",e),this.emitReserved("success"),this.cleanup())}abort(){this.cleanup()}};oa.requestsCount=0;oa.requests={};if(typeof document<"u"){if(typeof attachEvent=="function")attachEvent("onunload",CC);else if(typeof addEventListener=="function"){const n="onpagehide"in mi?"pagehide":"unload";addEventListener(n,CC,!1)}}function CC(){for(let n in oa.requests)oa.requests.hasOwnProperty(n)&&oa.requests[n].abort()}const TE=(()=>typeof Promise=="function"&&typeof Promise.resolve=="function"?e=>Promise.resolve().then(e):(e,t)=>t(e,0))(),$c=mi.WebSocket||mi.MozWebSocket,RC=!0,UZe="arraybuffer",AC=typeof navigator<"u"&&typeof navigator.product=="string"&&navigator.product.toLowerCase()==="reactnative";class FZe extends SE{constructor(e){super(e),this.supportsBinary=!e.forceBase64}get name(){return"websocket"}doOpen(){if(!this.check())return;const e=this.uri(),t=this.opts.protocols,i=AC?{}:QN(this.opts,"agent","perMessageDeflate","pfx","key","passphrase","cert","ca","ciphers","rejectUnauthorized","localAddress","protocolVersion","origin","maxPayload","family","checkServerIdentity");this.opts.extraHeaders&&(i.headers=this.opts.extraHeaders);try{this.ws=RC&&!AC?t?new $c(e,t):new $c(e):new $c(e,t,i)}catch(s){return this.emitReserved("error",s)}this.ws.binaryType=this.socket.binaryType,this.addEventListeners()}addEventListeners(){this.ws.onopen=()=>{this.opts.autoUnref&&this.ws._socket.unref(),this.onOpen()},this.ws.onclose=e=>this.onClose({description:"websocket connection closed",context:e}),this.ws.onmessage=e=>this.onData(e.data),this.ws.onerror=e=>this.onError("websocket error",e)}write(e){this.writable=!1;for(let t=0;t<e.length;t++){const i=e[t],s=t===e.length-1;vE(i,this.supportsBinary,r=>{const o={};try{RC&&this.ws.send(r)}catch{}s&&TE(()=>{this.writable=!0,this.emitReserved("drain")},this.setTimeoutFn)})}}doClose(){typeof this.ws<"u"&&(this.ws.close(),this.ws=null)}uri(){const e=this.opts.secure?"wss":"ws",t=this.query||{};return this.opts.timestampRequests&&(t[this.opts.timestampParam]=ZN()),this.supportsBinary||(t.b64=1),this.createUri(e,t)}check(){return!!$c}}class BZe extends SE{get name(){return"webtransport"}doOpen(){typeof WebTransport=="function"&&(this.transport=new WebTransport(this.createUri("https"),this.opts.transportOptions[this.name]),this.transport.closed.then(()=>{this.onClose()}).catch(e=>{this.onError("webtransport error",e)}),this.transport.ready.then(()=>{this.transport.createBidirectionalStream().then(e=>{const t=SZe(Number.MAX_SAFE_INTEGER,this.socket.binaryType),i=e.readable.pipeThrough(t).getReader(),s=yZe();s.readable.pipeTo(e.writable),this.writer=s.writable.getWriter();const r=()=>{i.read().then(({done:a,value:l})=>{a||(this.onPacket(l),r())}).catch(a=>{})};r();const o={type:"open"};this.query.sid&&(o.data=`{"sid":"${this.query.sid}"}`),this.writer.write(o).then(()=>this.onOpen())})}))}write(e){this.writable=!1;for(let t=0;t<e.length;t++){const i=e[t],s=t===e.length-1;this.writer.write(i).then(()=>{s&&TE(()=>{this.writable=!0,this.emitReserved("drain")},this.setTimeoutFn)})}}doClose(){var e;(e=this.transport)===null||e===void 0||e.close()}}const GZe={websocket:FZe,webtransport:BZe,polling:PZe},zZe=/^(?:(?![^:@\/?#]+:[^:@\/]*@)(http|https|ws|wss):\/\/)?((?:(([^:@\/?#]*)(?::([^:@\/?#]*))?)?@)?((?:[a-f0-9]{0,4}:){2,7}[a-f0-9]{0,4}|[^:\/?#]*)(?::(\d*))?)(((\/(?:[^?#](?![^?#\/]*\.[^?#\/.]+(?:[?#]|$)))*\/?)?([^?#\/]*))(?:\?([^#]*))?(?:#(.*))?)/,VZe=["source","protocol","authority","userInfo","user","password","host","port","relative","path","directory","file","query","anchor"];function Zg(n){const e=n,t=n.indexOf("["),i=n.indexOf("]");t!=-1&&i!=-1&&(n=n.substring(0,t)+n.substring(t,i).replace(/:/g,";")+n.substring(i,n.length));let s=zZe.exec(n||""),r={},o=14;for(;o--;)r[VZe[o]]=s[o]||"";return t!=-1&&i!=-1&&(r.source=e,r.host=r.host.substring(1,r.host.length-1).replace(/;/g,":"),r.authority=r.authority.replace("[","").replace("]","").replace(/;/g,":"),r.ipv6uri=!0),r.pathNames=HZe(r,r.path),r.queryKey=qZe(r,r.query),r}function HZe(n,e){const t=/\/{2,9}/g,i=e.replace(t,"/").split("/");return(e.slice(0,1)=="/"||e.length===0)&&i.splice(0,1),e.slice(-1)=="/"&&i.splice(i.length-1,1),i}function qZe(n,e){const t={};return e.replace(/(?:^|&)([^&=]*)=?([^&]*)/g,function(i,s,r){s&&(t[s]=r)}),t}let tO=class Ko extends dn{constructor(e,t={}){super(),this.binaryType=UZe,this.writeBuffer=[],e&&typeof e=="object"&&(t=e,e=null),e?(e=Zg(e),t.hostname=e.host,t.secure=e.protocol==="https"||e.protocol==="wss",t.port=e.port,e.query&&(t.query=e.query)):t.host&&(t.hostname=Zg(t.host).host),ap(this,t),this.secure=t.secure!=null?t.secure:typeof location<"u"&&location.protocol==="https:",t.hostname&&!t.port&&(t.port=this.secure?"443":"80"),this.hostname=t.hostname||(typeof location<"u"?location.hostname:"localhost"),this.port=t.port||(typeof location<"u"&&location.port?location.port:this.secure?"443":"80"),this.transports=t.transports||["polling","websocket","webtransport"],this.writeBuffer=[],this.prevBufferLen=0,this.opts=Object.assign({path:"/engine.io",agent:!1,withCredentials:!1,upgrade:!0,timestampParam:"t",rememberUpgrade:!1,addTrailingSlash:!0,rejectUnauthorized:!0,perMessageDeflate:{threshold:1024},transportOptions:{},closeOnBeforeunload:!1},t),this.opts.path=this.opts.path.replace(/\/$/,"")+(this.opts.addTrailingSlash?"/":""),typeof this.opts.query=="string"&&(this.opts.query=OZe(this.opts.query)),this.id=null,this.upgrades=null,this.pingInterval=null,this.pingTimeout=null,this.pingTimeoutTimer=null,typeof addEventListener=="function"&&(this.opts.closeOnBeforeunload&&(this.beforeunloadEventListener=()=>{this.transport&&(this.transport.removeAllListeners(),this.transport.close())},addEventListener("beforeunload",this.beforeunloadEventListener,!1)),this.hostname!=="localhost"&&(this.offlineEventListener=()=>{this.onClose("transport close",{description:"network connection lost"})},addEventListener("offline",this.offlineEventListener,!1))),this.open()}createTransport(e){const t=Object.assign({},this.opts.query);t.EIO=jN,t.transport=e,this.id&&(t.sid=this.id);const i=Object.assign({},this.opts,{query:t,socket:this,hostname:this.hostname,secure:this.secure,port:this.port},this.opts.transportOptions[e]);return new GZe[e](i)}open(){let e;if(this.opts.rememberUpgrade&&Ko.priorWebsocketSuccess&&this.transports.indexOf("websocket")!==-1)e="websocket";else if(this.transports.length===0){this.setTimeoutFn(()=>{this.emitReserved("error","No transports available")},0);return}else e=this.transports[0];this.readyState="opening";try{e=this.createTransport(e)}catch{this.transports.shift(),this.open();return}e.open(),this.setTransport(e)}setTransport(e){this.transport&&this.transport.removeAllListeners(),this.transport=e,e.on("drain",this.onDrain.bind(this)).on("packet",this.onPacket.bind(this)).on("error",this.onError.bind(this)).on("close",t=>this.onClose("transport close",t))}probe(e){let t=this.createTransport(e),i=!1;Ko.priorWebsocketSuccess=!1;const s=()=>{i||(t.send([{type:"ping",data:"probe"}]),t.once("packet",_=>{if(!i)if(_.type==="pong"&&_.data==="probe"){if(this.upgrading=!0,this.emitReserved("upgrading",t),!t)return;Ko.priorWebsocketSuccess=t.name==="websocket",this.transport.pause(()=>{i||this.readyState!=="closed"&&(c(),this.setTransport(t),t.send([{type:"upgrade"}]),this.emitReserved("upgrade",t),t=null,this.upgrading=!1,this.flush())})}else{const f=new Error("probe error");f.transport=t.name,this.emitReserved("upgradeError",f)}}))};function r(){i||(i=!0,c(),t.close(),t=null)}const o=_=>{const f=new Error("probe error: "+_);f.transport=t.name,r(),this.emitReserved("upgradeError",f)};function a(){o("transport closed")}function l(){o("socket closed")}function d(_){t&&_.name!==t.name&&r()}const c=()=>{t.removeListener("open",s),t.removeListener("error",o),t.removeListener("close",a),this.off("close",l),this.off("upgrading",d)};t.once("open",s),t.once("error",o),t.once("close",a),this.once("close",l),this.once("upgrading",d),this.upgrades.indexOf("webtransport")!==-1&&e!=="webtransport"?this.setTimeoutFn(()=>{i||t.open()},200):t.open()}onOpen(){if(this.readyState="open",Ko.priorWebsocketSuccess=this.transport.name==="websocket",this.emitReserved("open"),this.flush(),this.readyState==="open"&&this.opts.upgrade){let e=0;const t=this.upgrades.length;for(;e<t;e++)this.probe(this.upgrades[e])}}onPacket(e){if(this.readyState==="opening"||this.readyState==="open"||this.readyState==="closing")switch(this.emitReserved("packet",e),this.emitReserved("heartbeat"),this.resetPingTimeout(),e.type){case"open":this.onHandshake(JSON.parse(e.data));break;case"ping":this.sendPacket("pong"),this.emitReserved("ping"),this.emitReserved("pong");break;case"error":const t=new Error("server error");t.code=e.data,this.onError(t);break;case"message":this.emitReserved("data",e.data),this.emitReserved("message",e.data);break}}onHandshake(e){this.emitReserved("handshake",e),this.id=e.sid,this.transport.query.sid=e.sid,this.upgrades=this.filterUpgrades(e.upgrades),this.pingInterval=e.pingInterval,this.pingTimeout=e.pingTimeout,this.maxPayload=e.maxPayload,this.onOpen(),this.readyState!=="closed"&&this.resetPingTimeout()}resetPingTimeout(){this.clearTimeoutFn(this.pingTimeoutTimer),this.pingTimeoutTimer=this.setTimeoutFn(()=>{this.onClose("ping timeout")},this.pingInterval+this.pingTimeout),this.opts.autoUnref&&this.pingTimeoutTimer.unref()}onDrain(){this.writeBuffer.splice(0,this.prevBufferLen),this.prevBufferLen=0,this.writeBuffer.length===0?this.emitReserved("drain"):this.flush()}flush(){if(this.readyState!=="closed"&&this.transport.writable&&!this.upgrading&&this.writeBuffer.length){const e=this.getWritablePackets();this.transport.send(e),this.prevBufferLen=e.length,this.emitReserved("flush")}}getWritablePackets(){if(!(this.maxPayload&&this.transport.name==="polling"&&this.writeBuffer.length>1))return this.writeBuffer;let t=1;for(let i=0;i<this.writeBuffer.length;i++){const s=this.writeBuffer[i].data;if(s&&(t+=AZe(s)),i>0&&t>this.maxPayload)return this.writeBuffer.slice(0,i);t+=2}return this.writeBuffer}write(e,t,i){return this.sendPacket("message",e,t,i),this}send(e,t,i){return this.sendPacket("message",e,t,i),this}sendPacket(e,t,i,s){if(typeof t=="function"&&(s=t,t=void 0),typeof i=="function"&&(s=i,i=null),this.readyState==="closing"||this.readyState==="closed")return;i=i||{},i.compress=i.compress!==!1;const r={type:e,data:t,options:i};this.emitReserved("packetCreate",r),this.writeBuffer.push(r),s&&this.once("flush",s),this.flush()}close(){const e=()=>{this.onClose("forced close"),this.transport.close()},t=()=>{this.off("upgrade",t),this.off("upgradeError",t),e()},i=()=>{this.once("upgrade",t),this.once("upgradeError",t)};return(this.readyState==="opening"||this.readyState==="open")&&(this.readyState="closing",this.writeBuffer.length?this.once("drain",()=>{this.upgrading?i():e()}):this.upgrading?i():e()),this}onError(e){Ko.priorWebsocketSuccess=!1,this.emitReserved("error",e),this.onClose("transport error",e)}onClose(e,t){(this.readyState==="opening"||this.readyState==="open"||this.readyState==="closing")&&(this.clearTimeoutFn(this.pingTimeoutTimer),this.transport.removeAllListeners("close"),this.transport.close(),this.transport.removeAllListeners(),typeof removeEventListener=="function"&&(removeEventListener("beforeunload",this.beforeunloadEventListener,!1),removeEventListener("offline",this.offlineEventListener,!1)),this.readyState="closed",this.id=null,this.emitReserved("close",e,t),this.writeBuffer=[],this.prevBufferLen=0)}filterUpgrades(e){const t=[];let i=0;const s=e.length;for(;i<s;i++)~this.transports.indexOf(e[i])&&t.push(e[i]);return t}};tO.protocol=jN;function YZe(n,e="",t){let i=n;t=t||typeof location<"u"&&location,n==null&&(n=t.protocol+"//"+t.host),typeof n=="string"&&(n.charAt(0)==="/"&&(n.charAt(1)==="/"?n=t.protocol+n:n=t.host+n),/^(https?|wss?):\/\//.test(n)||(typeof t<"u"?n=t.protocol+"//"+n:n="https://"+n),i=Zg(n)),i.port||(/^(http|ws)$/.test(i.protocol)?i.port="80":/^(http|ws)s$/.test(i.protocol)&&(i.port="443")),i.path=i.path||"/";const r=i.host.indexOf(":")!==-1?"["+i.host+"]":i.host;return i.id=i.protocol+"://"+r+":"+i.port+e,i.href=i.protocol+"://"+r+(t&&t.port===i.port?"":":"+i.port),i}const $Ze=typeof ArrayBuffer=="function",WZe=n=>typeof ArrayBuffer.isView=="function"?ArrayBuffer.isView(n):n.buffer instanceof ArrayBuffer,nO=Object.prototype.toString,KZe=typeof Blob=="function"||typeof Blob<"u"&&nO.call(Blob)==="[object BlobConstructor]",jZe=typeof File=="function"||typeof File<"u"&&nO.call(File)==="[object FileConstructor]";function xE(n){return $Ze&&(n instanceof ArrayBuffer||WZe(n))||KZe&&n instanceof Blob||jZe&&n instanceof File}function Fd(n,e){if(!n||typeof n!="object")return!1;if(Array.isArray(n)){for(let t=0,i=n.length;t<i;t++)if(Fd(n[t]))return!0;return!1}if(xE(n))return!0;if(n.toJSON&&typeof n.toJSON=="function"&&arguments.length===1)return Fd(n.toJSON(),!0);for(const t in n)if(Object.prototype.hasOwnProperty.call(n,t)&&Fd(n[t]))return!0;return!1}function QZe(n){const e=[],t=n.data,i=n;return i.data=Jg(t,e),i.attachments=e.length,{packet:i,buffers:e}}function Jg(n,e){if(!n)return n;if(xE(n)){const t={_placeholder:!0,num:e.length};return e.push(n),t}else if(Array.isArray(n)){const t=new Array(n.length);for(let i=0;i<n.length;i++)t[i]=Jg(n[i],e);return t}else if(typeof n=="object"&&!(n instanceof Date)){const t={};for(const i in n)Object.prototype.hasOwnProperty.call(n,i)&&(t[i]=Jg(n[i],e));return t}return n}function XZe(n,e){return n.data=eb(n.data,e),delete n.attachments,n}function eb(n,e){if(!n)return n;if(n&&n._placeholder===!0){if(typeof n.num=="number"&&n.num>=0&&n.num<e.length)return e[n.num];throw new Error("illegal attachments")}else if(Array.isArray(n))for(let t=0;t<n.length;t++)n[t]=eb(n[t],e);else if(typeof n=="object")for(const t in n)Object.prototype.hasOwnProperty.call(n,t)&&(n[t]=eb(n[t],e));return n}const ZZe=["connect","connect_error","disconnect","disconnecting","newListener","removeListener"],JZe=5;var Dt;(function(n){n[n.CONNECT=0]="CONNECT",n[n.DISCONNECT=1]="DISCONNECT",n[n.EVENT=2]="EVENT",n[n.ACK=3]="ACK",n[n.CONNECT_ERROR=4]="CONNECT_ERROR",n[n.BINARY_EVENT=5]="BINARY_EVENT",n[n.BINARY_ACK=6]="BINARY_ACK"})(Dt||(Dt={}));class eJe{constructor(e){this.replacer=e}encode(e){return(e.type===Dt.EVENT||e.type===Dt.ACK)&&Fd(e)?this.encodeAsBinary({type:e.type===Dt.EVENT?Dt.BINARY_EVENT:Dt.BINARY_ACK,nsp:e.nsp,data:e.data,id:e.id}):[this.encodeAsString(e)]}encodeAsString(e){let t=""+e.type;return(e.type===Dt.BINARY_EVENT||e.type===Dt.BINARY_ACK)&&(t+=e.attachments+"-"),e.nsp&&e.nsp!=="/"&&(t+=e.nsp+","),e.id!=null&&(t+=e.id),e.data!=null&&(t+=JSON.stringify(e.data,this.replacer)),t}encodeAsBinary(e){const t=QZe(e),i=this.encodeAsString(t.packet),s=t.buffers;return s.unshift(i),s}}function wC(n){return Object.prototype.toString.call(n)==="[object Object]"}class CE extends dn{constructor(e){super(),this.reviver=e}add(e){let t;if(typeof e=="string"){if(this.reconstructor)throw new Error("got plaintext data when reconstructing a packet");t=this.decodeString(e);const i=t.type===Dt.BINARY_EVENT;i||t.type===Dt.BINARY_ACK?(t.type=i?Dt.EVENT:Dt.ACK,this.reconstructor=new tJe(t),t.attachments===0&&super.emitReserved("decoded",t)):super.emitReserved("decoded",t)}else if(xE(e)||e.base64)if(this.reconstructor)t=this.reconstructor.takeBinaryData(e),t&&(this.reconstructor=null,super.emitReserved("decoded",t));else throw new Error("got binary data when not reconstructing a packet");else throw new Error("Unknown type: "+e)}decodeString(e){let t=0;const i={type:Number(e.charAt(0))};if(Dt[i.type]===void 0)throw new Error("unknown packet type "+i.type);if(i.type===Dt.BINARY_EVENT||i.type===Dt.BINARY_ACK){const r=t+1;for(;e.charAt(++t)!=="-"&&t!=e.length;);const o=e.substring(r,t);if(o!=Number(o)||e.charAt(t)!=="-")throw new Error("Illegal attachments");i.attachments=Number(o)}if(e.charAt(t+1)==="/"){const r=t+1;for(;++t&&!(e.charAt(t)===","||t===e.length););i.nsp=e.substring(r,t)}else i.nsp="/";const s=e.charAt(t+1);if(s!==""&&Number(s)==s){const r=t+1;for(;++t;){const o=e.charAt(t);if(o==null||Number(o)!=o){--t;break}if(t===e.length)break}i.id=Number(e.substring(r,t+1))}if(e.charAt(++t)){const r=this.tryParse(e.substr(t));if(CE.isPayloadValid(i.type,r))i.data=r;else throw new Error("invalid payload")}return i}tryParse(e){try{return JSON.parse(e,this.reviver)}catch{return!1}}static isPayloadValid(e,t){switch(e){case Dt.CONNECT:return wC(t);case Dt.DISCONNECT:return t===void 0;case Dt.CONNECT_ERROR:return typeof t=="string"||wC(t);case Dt.EVENT:case Dt.BINARY_EVENT:return Array.isArray(t)&&(typeof t[0]=="number"||typeof t[0]=="string"&&ZZe.indexOf(t[0])===-1);case Dt.ACK:case Dt.BINARY_ACK:return Array.isArray(t)}}destroy(){this.reconstructor&&(this.reconstructor.finishedReconstruction(),this.reconstructor=null)}}class tJe{constructor(e){this.packet=e,this.buffers=[],this.reconPack=e}takeBinaryData(e){if(this.buffers.push(e),this.buffers.length===this.reconPack.attachments){const t=XZe(this.reconPack,this.buffers);return this.finishedReconstruction(),t}return null}finishedReconstruction(){this.reconPack=null,this.buffers=[]}}const nJe=Object.freeze(Object.defineProperty({__proto__:null,Decoder:CE,Encoder:eJe,get PacketType(){return Dt},protocol:JZe},Symbol.toStringTag,{value:"Module"}));function ki(n,e,t){return n.on(e,t),function(){n.off(e,t)}}const iJe=Object.freeze({connect:1,connect_error:1,disconnect:1,disconnecting:1,newListener:1,removeListener:1});class iO extends dn{constructor(e,t,i){super(),this.connected=!1,this.recovered=!1,this.receiveBuffer=[],this.sendBuffer=[],this._queue=[],this._queueSeq=0,this.ids=0,this.acks={},this.flags={},this.io=e,this.nsp=t,i&&i.auth&&(this.auth=i.auth),this._opts=Object.assign({},i),this.io._autoConnect&&this.open()}get disconnected(){return!this.connected}subEvents(){if(this.subs)return;const e=this.io;this.subs=[ki(e,"open",this.onopen.bind(this)),ki(e,"packet",this.onpacket.bind(this)),ki(e,"error",this.onerror.bind(this)),ki(e,"close",this.onclose.bind(this))]}get active(){return!!this.subs}connect(){return this.connected?this:(this.subEvents(),this.io._reconnecting||this.io.open(),this.io._readyState==="open"&&this.onopen(),this)}open(){return this.connect()}send(...e){return e.unshift("message"),this.emit.apply(this,e),this}emit(e,...t){if(iJe.hasOwnProperty(e))throw new Error('"'+e.toString()+'" is a reserved event name');if(t.unshift(e),this._opts.retries&&!this.flags.fromQueue&&!this.flags.volatile)return this._addToQueue(t),this;const i={type:Dt.EVENT,data:t};if(i.options={},i.options.compress=this.flags.compress!==!1,typeof t[t.length-1]=="function"){const o=this.ids++,a=t.pop();this._registerAckCallback(o,a),i.id=o}const s=this.io.engine&&this.io.engine.transport&&this.io.engine.transport.writable;return this.flags.volatile&&(!s||!this.connected)||(this.connected?(this.notifyOutgoingListeners(i),this.packet(i)):this.sendBuffer.push(i)),this.flags={},this}_registerAckCallback(e,t){var i;const s=(i=this.flags.timeout)!==null&&i!==void 0?i:this._opts.ackTimeout;if(s===void 0){this.acks[e]=t;return}const r=this.io.setTimeoutFn(()=>{delete this.acks[e];for(let o=0;o<this.sendBuffer.length;o++)this.sendBuffer[o].id===e&&this.sendBuffer.splice(o,1);t.call(this,new Error("operation has timed out"))},s);this.acks[e]=(...o)=>{this.io.clearTimeoutFn(r),t.apply(this,[null,...o])}}emitWithAck(e,...t){const i=this.flags.timeout!==void 0||this._opts.ackTimeout!==void 0;return new Promise((s,r)=>{t.push((o,a)=>i?o?r(o):s(a):s(o)),this.emit(e,...t)})}_addToQueue(e){let t;typeof e[e.length-1]=="function"&&(t=e.pop());const i={id:this._queueSeq++,tryCount:0,pending:!1,args:e,flags:Object.assign({fromQueue:!0},this.flags)};e.push((s,...r)=>i!==this._queue[0]?void 0:(s!==null?i.tryCount>this._opts.retries&&(this._queue.shift(),t&&t(s)):(this._queue.shift(),t&&t(null,...r)),i.pending=!1,this._drainQueue())),this._queue.push(i),this._drainQueue()}_drainQueue(e=!1){if(!this.connected||this._queue.length===0)return;const t=this._queue[0];t.pending&&!e||(t.pending=!0,t.tryCount++,this.flags=t.flags,this.emit.apply(this,t.args))}packet(e){e.nsp=this.nsp,this.io._packet(e)}onopen(){typeof this.auth=="function"?this.auth(e=>{this._sendConnectPacket(e)}):this._sendConnectPacket(this.auth)}_sendConnectPacket(e){this.packet({type:Dt.CONNECT,data:this._pid?Object.assign({pid:this._pid,offset:this._lastOffset},e):e})}onerror(e){this.connected||this.emitReserved("connect_error",e)}onclose(e,t){this.connected=!1,delete this.id,this.emitReserved("disconnect",e,t)}onpacket(e){if(e.nsp===this.nsp)switch(e.type){case Dt.CONNECT:e.data&&e.data.sid?this.onconnect(e.data.sid,e.data.pid):this.emitReserved("connect_error",new Error("It seems you are trying to reach a Socket.IO server in v2.x with a v3.x client, but they are not compatible (more information here: https://socket.io/docs/v3/migrating-from-2-x-to-3-0/)"));break;case Dt.EVENT:case Dt.BINARY_EVENT:this.onevent(e);break;case Dt.ACK:case Dt.BINARY_ACK:this.onack(e);break;case Dt.DISCONNECT:this.ondisconnect();break;case Dt.CONNECT_ERROR:this.destroy();const i=new Error(e.data.message);i.data=e.data.data,this.emitReserved("connect_error",i);break}}onevent(e){const t=e.data||[];e.id!=null&&t.push(this.ack(e.id)),this.connected?this.emitEvent(t):this.receiveBuffer.push(Object.freeze(t))}emitEvent(e){if(this._anyListeners&&this._anyListeners.length){const t=this._anyListeners.slice();for(const i of t)i.apply(this,e)}super.emit.apply(this,e),this._pid&&e.length&&typeof e[e.length-1]=="string"&&(this._lastOffset=e[e.length-1])}ack(e){const t=this;let i=!1;return function(...s){i||(i=!0,t.packet({type:Dt.ACK,id:e,data:s}))}}onack(e){const t=this.acks[e.id];typeof t=="function"&&(t.apply(this,e.data),delete this.acks[e.id])}onconnect(e,t){this.id=e,this.recovered=t&&this._pid===t,this._pid=t,this.connected=!0,this.emitBuffered(),this.emitReserved("connect"),this._drainQueue(!0)}emitBuffered(){this.receiveBuffer.forEach(e=>this.emitEvent(e)),this.receiveBuffer=[],this.sendBuffer.forEach(e=>{this.notifyOutgoingListeners(e),this.packet(e)}),this.sendBuffer=[]}ondisconnect(){this.destroy(),this.onclose("io server disconnect")}destroy(){this.subs&&(this.subs.forEach(e=>e()),this.subs=void 0),this.io._destroy(this)}disconnect(){return this.connected&&this.packet({type:Dt.DISCONNECT}),this.destroy(),this.connected&&this.onclose("io client disconnect"),this}close(){return this.disconnect()}compress(e){return this.flags.compress=e,this}get volatile(){return this.flags.volatile=!0,this}timeout(e){return this.flags.timeout=e,this}onAny(e){return this._anyListeners=this._anyListeners||[],this._anyListeners.push(e),this}prependAny(e){return this._anyListeners=this._anyListeners||[],this._anyListeners.unshift(e),this}offAny(e){if(!this._anyListeners)return this;if(e){const t=this._anyListeners;for(let i=0;i<t.length;i++)if(e===t[i])return t.splice(i,1),this}else this._anyListeners=[];return this}listenersAny(){return this._anyListeners||[]}onAnyOutgoing(e){return this._anyOutgoingListeners=this._anyOutgoingListeners||[],this._anyOutgoingListeners.push(e),this}prependAnyOutgoing(e){return this._anyOutgoingListeners=this._anyOutgoingListeners||[],this._anyOutgoingListeners.unshift(e),this}offAnyOutgoing(e){if(!this._anyOutgoingListeners)return this;if(e){const t=this._anyOutgoingListeners;for(let i=0;i<t.length;i++)if(e===t[i])return t.splice(i,1),this}else this._anyOutgoingListeners=[];return this}listenersAnyOutgoing(){return this._anyOutgoingListeners||[]}notifyOutgoingListeners(e){if(this._anyOutgoingListeners&&this._anyOutgoingListeners.length){const t=this._anyOutgoingListeners.slice();for(const i of t)i.apply(this,e.data)}}}function Ka(n){n=n||{},this.ms=n.min||100,this.max=n.max||1e4,this.factor=n.factor||2,this.jitter=n.jitter>0&&n.jitter<=1?n.jitter:0,this.attempts=0}Ka.prototype.duration=function(){var n=this.ms*Math.pow(this.factor,this.attempts++);if(this.jitter){var e=Math.random(),t=Math.floor(e*this.jitter*n);n=Math.floor(e*10)&1?n+t:n-t}return Math.min(n,this.max)|0};Ka.prototype.reset=function(){this.attempts=0};Ka.prototype.setMin=function(n){this.ms=n};Ka.prototype.setMax=function(n){this.max=n};Ka.prototype.setJitter=function(n){this.jitter=n};class tb extends dn{constructor(e,t){var i;super(),this.nsps={},this.subs=[],e&&typeof e=="object"&&(t=e,e=void 0),t=t||{},t.path=t.path||"/socket.io",this.opts=t,ap(this,t),this.reconnection(t.reconnection!==!1),this.reconnectionAttempts(t.reconnectionAttempts||1/0),this.reconnectionDelay(t.reconnectionDelay||1e3),this.reconnectionDelayMax(t.reconnectionDelayMax||5e3),this.randomizationFactor((i=t.randomizationFactor)!==null&&i!==void 0?i:.5),this.backoff=new Ka({min:this.reconnectionDelay(),max:this.reconnectionDelayMax(),jitter:this.randomizationFactor()}),this.timeout(t.timeout==null?2e4:t.timeout),this._readyState="closed",this.uri=e;const s=t.parser||nJe;this.encoder=new s.Encoder,this.decoder=new s.Decoder,this._autoConnect=t.autoConnect!==!1,this._autoConnect&&this.open()}reconnection(e){return arguments.length?(this._reconnection=!!e,this):this._reconnection}reconnectionAttempts(e){return e===void 0?this._reconnectionAttempts:(this._reconnectionAttempts=e,this)}reconnectionDelay(e){var t;return e===void 0?this._reconnectionDelay:(this._reconnectionDelay=e,(t=this.backoff)===null||t===void 0||t.setMin(e),this)}randomizationFactor(e){var t;return e===void 0?this._randomizationFactor:(this._randomizationFactor=e,(t=this.backoff)===null||t===void 0||t.setJitter(e),this)}reconnectionDelayMax(e){var t;return e===void 0?this._reconnectionDelayMax:(this._reconnectionDelayMax=e,(t=this.backoff)===null||t===void 0||t.setMax(e),this)}timeout(e){return arguments.length?(this._timeout=e,this):this._timeout}maybeReconnectOnOpen(){!this._reconnecting&&this._reconnection&&this.backoff.attempts===0&&this.reconnect()}open(e){if(~this._readyState.indexOf("open"))return this;this.engine=new tO(this.uri,this.opts);const t=this.engine,i=this;this._readyState="opening",this.skipReconnect=!1;const s=ki(t,"open",function(){i.onopen(),e&&e()}),r=a=>{this.cleanup(),this._readyState="closed",this.emitReserved("error",a),e?e(a):this.maybeReconnectOnOpen()},o=ki(t,"error",r);if(this._timeout!==!1){const a=this._timeout,l=this.setTimeoutFn(()=>{s(),r(new Error("timeout")),t.close()},a);this.opts.autoUnref&&l.unref(),this.subs.push(()=>{this.clearTimeoutFn(l)})}return this.subs.push(s),this.subs.push(o),this}connect(e){return this.open(e)}onopen(){this.cleanup(),this._readyState="open",this.emitReserved("open");const e=this.engine;this.subs.push(ki(e,"ping",this.onping.bind(this)),ki(e,"data",this.ondata.bind(this)),ki(e,"error",this.onerror.bind(this)),ki(e,"close",this.onclose.bind(this)),ki(this.decoder,"decoded",this.ondecoded.bind(this)))}onping(){this.emitReserved("ping")}ondata(e){try{this.decoder.add(e)}catch(t){this.onclose("parse error",t)}}ondecoded(e){TE(()=>{this.emitReserved("packet",e)},this.setTimeoutFn)}onerror(e){this.emitReserved("error",e)}socket(e,t){let i=this.nsps[e];return i?this._autoConnect&&!i.active&&i.connect():(i=new iO(this,e,t),this.nsps[e]=i),i}_destroy(e){const t=Object.keys(this.nsps);for(const i of t)if(this.nsps[i].active)return;this._close()}_packet(e){const t=this.encoder.encode(e);for(let i=0;i<t.length;i++)this.engine.write(t[i],e.options)}cleanup(){this.subs.forEach(e=>e()),this.subs.length=0,this.decoder.destroy()}_close(){this.skipReconnect=!0,this._reconnecting=!1,this.onclose("forced close"),this.engine&&this.engine.close()}disconnect(){return this._close()}onclose(e,t){this.cleanup(),this.backoff.reset(),this._readyState="closed",this.emitReserved("close",e,t),this._reconnection&&!this.skipReconnect&&this.reconnect()}reconnect(){if(this._reconnecting||this.skipReconnect)return this;const e=this;if(this.backoff.attempts>=this._reconnectionAttempts)this.backoff.reset(),this.emitReserved("reconnect_failed"),this._reconnecting=!1;else{const t=this.backoff.duration();this._reconnecting=!0;const i=this.setTimeoutFn(()=>{e.skipReconnect||(this.emitReserved("reconnect_attempt",e.backoff.attempts),!e.skipReconnect&&e.open(s=>{s?(e._reconnecting=!1,e.reconnect(),this.emitReserved("reconnect_error",s)):e.onreconnect()}))},t);this.opts.autoUnref&&i.unref(),this.subs.push(()=>{this.clearTimeoutFn(i)})}}onreconnect(){const e=this.backoff.attempts;this._reconnecting=!1,this.backoff.reset(),this.emitReserved("reconnect",e)}}const hl={};function Bd(n,e){typeof n=="object"&&(e=n,n=void 0),e=e||{};const t=YZe(n,e.path||"/socket.io"),i=t.source,s=t.id,r=t.path,o=hl[s]&&r in hl[s].nsps,a=e.forceNew||e["force new connection"]||e.multiplex===!1||o;let l;return a?l=new tb(i,e):(hl[s]||(hl[s]=new tb(i,e)),l=hl[s]),t.query&&!e.query&&(e.query=t.queryKey),l.socket(t.path,e)}Object.assign(Bd,{Manager:tb,Socket:iO,io:Bd,connect:Bd});const sO="/";console.log(sO);const je=new Bd(sO,{reconnection:!0,reconnectionAttempts:10,reconnectionDelay:1e3});const sJe={props:{value:String,inputType:{type:String,default:"text",validator:n=>["text","email","password","file","path","integer","float"].includes(n)},fileAccept:String},data(){return{inputValue:this.value,placeholderText:this.getPlaceholderText()}},watch:{value(n){console.log("Changing value to ",n),this.inputValue=n}},mounted(){Ve(()=>{qe.replace()}),console.log("Changing value to ",this.value),this.inputValue=this.value},methods:{handleSliderInput(n){this.inputValue=n.target.value,this.$emit("input",n.target.value)},getPlaceholderText(){switch(this.inputType){case"text":return"Enter text here";case"email":return"Enter your email";case"password":return"Enter your password";case"file":case"path":return"Choose a file";case"integer":return"Enter an integer";case"float":return"Enter a float";default:return"Enter value here"}},handleInput(n){if(this.inputType==="integer"){const e=n.target.value.replace(/[^0-9]/g,"");this.inputValue=e}console.log("handling input : ",n.target.value),this.$emit("input",n.target.value)},async pasteFromClipboard(){try{const n=await navigator.clipboard.readText();this.handleClipboardData(n)}catch(n){console.error("Failed to read from clipboard:",n)}},handlePaste(n){const e=n.clipboardData.getData("text");this.handleClipboardData(e)},handleClipboardData(n){switch(this.inputType){case"email":this.inputValue=this.isValidEmail(n)?n:"";break;case"password":this.inputValue=n;break;case"file":case"path":this.inputValue="";break;case"integer":this.inputValue=this.parseInteger(n);break;case"float":this.inputValue=this.parseFloat(n);break;default:this.inputValue=n;break}},isValidEmail(n){return/^[^\s@]+@[^\s@]+\.[^\s@]+$/.test(n)},parseInteger(n){const e=parseInt(n);return isNaN(e)?"":e},parseFloat(n){const e=parseFloat(n);return isNaN(e)?"":e},openFileInput(){this.$refs.fileInput.click()},handleFileInputChange(n){const e=n.target.files[0];e&&(this.inputValue=e.name)}}},rJe={class:"flex items-center space-x-2"},oJe=["value","type","placeholder"],aJe=["value","min","max"],lJe=u("i",{"data-feather":"clipboard"},null,-1),cJe=[lJe],dJe=u("i",{"data-feather":"upload"},null,-1),uJe=[dJe],pJe=["accept"];function _Je(n,e,t,i,s,r){return N(),M("div",rJe,[n.useSlider?(N(),M("input",{key:1,type:"range",value:parseInt(s.inputValue),min:n.minSliderValue,max:n.maxSliderValue,onInput:e[2]||(e[2]=(...o)=>r.handleSliderInput&&r.handleSliderInput(...o)),class:"flex-1 px-4 py-2 text-lg border dark:bg-gray-600 border-gray-300 rounded-md focus:outline-none focus:ring focus:border-blue-500"},null,40,aJe)):(N(),M("input",{key:0,value:s.inputValue,type:t.inputType,placeholder:s.placeholderText,onInput:e[0]||(e[0]=(...o)=>r.handleInput&&r.handleInput(...o)),onPaste:e[1]||(e[1]=(...o)=>r.handlePaste&&r.handlePaste(...o)),class:"flex-1 px-4 py-2 text-lg dark:bg-gray-600 border border-gray-300 rounded-md focus:outline-none focus:ring focus:border-blue-500"},null,40,oJe)),u("button",{onClick:e[3]||(e[3]=(...o)=>r.pasteFromClipboard&&r.pasteFromClipboard(...o)),class:"p-2 bg-blue-500 dark:bg-gray-600 text-white rounded-md hover:bg-blue-600 focus:outline-none focus:ring focus:border-blue-300"},cJe),t.inputType==="file"?(N(),M("button",{key:2,onClick:e[4]||(e[4]=(...o)=>r.openFileInput&&r.openFileInput(...o)),class:"p-2 bg-blue-500 text-white rounded-md hover:bg-blue-600 focus:outline-none focus:ring focus:border-blue-300"},uJe)):q("",!0),t.inputType==="file"?(N(),M("input",{key:3,ref:"fileInput",type:"file",style:{display:"none"},accept:t.fileAccept,onChange:e[5]||(e[5]=(...o)=>r.handleFileInputChange&&r.handleFileInputChange(...o))},null,40,pJe)):q("",!0)])}const RE=bt(sJe,[["render",_Je]]),hJe={name:"TokensHilighter",props:{namedTokens:{type:Object,required:!0}},data(){return{colors:["#FF6633","#FFB399","#FF33FF","#FFFF99","#00B3E6","#E6B333","#3366E6","#999966","#99FF99","#B34D4D","#80B300","#809900","#E6B3B3","#6680B3","#66991A","#FF99E6","#CCFF1A","#FF1A66","#E6331A","#33FFCC","#66994D","#B366CC","#4D8000","#B33300","#CC80CC","#66664D","#991AFF","#E666FF","#4DB3FF","#1AB399","#E666B3","#33991A","#CC9999","#B3B31A","#00E680","#4D8066","#809980","#E6FF80","#1AFF33","#999933","#FF3380","#CCCC00","#66E64D","#4D80CC","#9900B3","#E64D66","#4DB380","#FF4D4D","#99E6E6","#6666FF"]}}};function fJe(n,e,t,i,s,r){return N(),M($e,null,[u("div",null,[(N(!0),M($e,null,dt(t.namedTokens,(o,a)=>(N(),M("span",{key:a},[u("span",{style:Jt({backgroundColor:s.colors[a%s.colors.length]})},ge(o[0]),5)]))),128))]),u("div",null,[(N(!0),M($e,null,dt(t.namedTokens,(o,a)=>(N(),M("span",{key:a},[u("span",{style:Jt({backgroundColor:s.colors[a%s.colors.length]})},ge(o[1]),5)]))),128))])],64)}const mJe=bt(hJe,[["render",fJe]]);const gJe={props:{is_subcard:{type:Boolean,default:!1},is_shrunk:{type:Boolean,default:!1},title:{type:String,default:""},isHorizontal:{type:Boolean,default:!1},cardWidth:{type:String,default:"w-3/4"},disableHoverAnimation:{type:Boolean,default:!0},disableFocus:{type:Boolean,default:!1}},data(){return{shrink:this.is_shrunk,isHovered:!1,isActive:!1}},computed:{cardClass(){return["bg-gray-50","border","border-gray-300","text-gray-900","text-sm","rounded-lg","focus:ring-blue-500","focus:border-blue-500","w-full","p-2.5","dark:bg-gray-500","dark:border-gray-600","dark:placeholder-gray-400","dark:text-white","dark:focus:ring-blue-500","dark:focus:border-blue-500",{"cursor-pointer":!this.isActive&&!this.disableFocus,"w-auto":!this.isActive}]},cardWidthClass(){return this.isActive?this.cardWidth:""}},methods:{toggleCard(){this.disableFocus||(this.isActive=!this.isActive)}}},bJe={key:1,class:"flex flex-wrap"},EJe={key:2,class:"mb-2"};function vJe(n,e,t,i,s,r){return N(),M($e,null,[s.isActive?(N(),M("div",{key:0,class:"overlay",onClick:e[0]||(e[0]=(...o)=>r.toggleCard&&r.toggleCard(...o))})):q("",!0),le(u("div",{class:Ye(["border-blue-300 rounded-lg shadow-lg p-2",r.cardWidthClass,"m-2",{"bg-white dark:bg-gray-800":t.is_subcard},{"bg-white dark:bg-gray-900":!t.is_subcard},{hovered:!t.disableHoverAnimation&&s.isHovered,active:s.isActive}]),onMouseenter:e[2]||(e[2]=o=>s.isHovered=!0),onMouseleave:e[3]||(e[3]=o=>s.isHovered=!1),onClick:e[4]||(e[4]=xe((...o)=>r.toggleCard&&r.toggleCard(...o),["self"])),style:Jt({cursor:this.disableFocus?"":"pointer"})},[t.title?(N(),M("div",{key:0,onClick:e[1]||(e[1]=o=>s.shrink=!0),class:Ye([{"text-center p-2 m-2 bg-gray-200":!t.is_subcard},"bg-gray-100 dark:bg-gray-500 rounded-lg pl-2 pr-2 mb-2 font-bold cursor-pointer"])},ge(t.title),3)):q("",!0),t.isHorizontal?(N(),M("div",bJe,[Dn(n.$slots,"default")])):(N(),M("div",EJe,[Dn(n.$slots,"default")]))],38),[[Mt,s.shrink===!1]]),t.is_subcard?le((N(),M("div",{key:1,onClick:e[5]||(e[5]=o=>s.shrink=!1),class:"bg-white text-center text-xl bold dark:bg-gray-500 border-blue-300 rounded-lg shadow-lg p-2 h-10 cursor-pointer m-2"},ge(t.title),513)),[[Mt,s.shrink===!0]]):le((N(),M("div",{key:2,onClick:e[6]||(e[6]=o=>s.shrink=!1),class:"bg-white text-center text-2xl dark:bg-gray-500 border-2 border-blue-300 rounded-lg shadow-lg p-0 h-7 cursor-pointer hover:h-8 hover:bg-blue-300"}," + ",512)),[[Mt,s.shrink===!0]])],64)}const vc=bt(gJe,[["render",vJe]]),rO="/assets/code_block-e2753d3f.svg",oO="/assets/python_block-4008a934.png",aO="/assets/javascript_block-5e59df30.svg",lO="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAADIAAAAyCAYAAAAeP4ixAAAAAXNSR0IArs4c6QAAAARnQU1BAACxjwv8YQUAAAAJcEhZcwAADsMAAA7DAcdvqGQAAAOeSURBVGhD7ZhNaBNBGIZHW/EPbSioRSpi0FRpVdRSjQfxkFilB5UciuChIL0JLaKIhR5KIYKIiBSF0mLVS7AIavUgPQjiT4+KB0EP3gwS8VDBgj8d33d2ZrNJt2lCppKWfeBh5pvdncyXmZ3sZokQQsIFz1JdLni8M8L6QkSNf9HMSJBIpREkUmkEiVQaQSKVRpCIH8lkUtbW1sre3l7fB9FoNCrD4fC8PaSyYyudNzU1yZGRkYJ9dXV1yUQiYTMZNX6rM5LJZERHR0fBh0/MmJDSZh4OVhOZmprStf+P1UQmJyd1zaGvr09NuxM5VFVViYmJCR3Zw1oiPT09koP00tjYKNrb23XkEIlERHV1tY7sMuNbK5XR0VGJwcnBwcGi+uns7Cz7Mz24fVnpdGhoSDY0NBTbjxweHi77MzXu+N2KBebsh7PW0tJi6/OIGr/Vm72mpkbXssTj8ZxBp9NpUV9fryN7WE0kn1QqJcfHx3U0v1hNJBQKqXtFh2JsbEx0d3frKMv09LSu2UWtMadaHm1tberxQ+9Koq6uLqff1tZW2dzcLPXviy3c8bsVG/T398+6I8ViMTkwMGDtszRq/MEfdJVGkEilESRSaZSSyCa43anmsAPGII/7wWd7nnMEbmaDD2G41anmsA76tfui9mGnWpAPkOftVpEQuyDfkMz19Bv0cg56j9NP8AQ07IXm2Es2eHgK2b5RRf6Ya7OVOchP5D1kfA0m4GX4CxouQR7/A6/DC/CObqNRSA5A00Y7oeG/JMJBM65TUS7rIV/gefw4GzzchWx/rKJsIu90+REaik6knJv9hy5vw4NO1WU/XAG/w0ds8MABk326NLyGL+A2eJYNpVBOIhd1eRS+gs/hTjYAc4M+06WX+7rkt7zKqbrc0OV5WNIjUzmJDME9MKUiIQ5DvnyshOZfCL/+l+uSz/I/narLA8gvhLsb77miKScR8haegqfhb7gBcoa4M5G4Lr0069Kck88VXZ6B+TNWEHWzONWCpCHPM78lZhkZ3kAePwa36DpthQYulwxke5INwNzst1Tk8ASa66mVXYtLgFPOc7iVroUtOh6F3Gbv6fgLXAPJVWj65vU3IW9oxl+hWWJ+iRyC5lpqJZHP0JxjbnBO+UP4F5pjXNsnoRf+IJqZpNySmRSXoMEvEeL9Iqwkwm20Cfqt12UwAleraHZCcLbHEzLz75fiUeMP3hArjUWTiHdpLWgWyYwI8Q8rrSjH5vAr6AAAAABJRU5ErkJggg==",cO="/assets/cpp_block-109b2fbe.png",dO="/assets/html5_block-205d2852.png",uO="/assets/LaTeX_block-06b165c0.png",pO="/assets/bash_block-7ca80e4e.png",yJe="/assets/tokenize_icon-0553c60f.svg",SJe="/assets/deaf_on-7481cb29.svg",TJe="/assets/deaf_off-c2c46908.svg",xJe="/assets/rec_on-3b37b566.svg",CJe="/assets/rec_off-2c08e836.svg",_O="/assets/loading-c3bdfb0a.svg";const RJe="/";async function NC(n,e="",t=[]){return new Promise((i,s)=>{const r=document.createElement("div");r.className="fixed inset-0 flex items-center justify-center bg-black bg-opacity-50 z-50",t.length===0?r.innerHTML=`
<div class="bg-white p-6 rounded-md shadow-md w-80">
<h2 class="text-lg font-semibold mb-3">${n}</h2>
<textarea id="replacementInput" class="w-full h-32 border rounded p-2 mb-3">${e}</textarea>
<div class="flex justify-end">
<button id="cancelButton" class="mr-2 px-4 py-2 border rounded">Cancel</button>
<button id="okButton" class="px-4 py-2 bg-blue-500 text-white rounded">OK</button>
</div>
</div>
`:r.innerHTML=`
<div class="bg-white p-6 rounded-md shadow-md w-80">
<h2 class="text-lg font-semibold mb-3">${n}</h2>
<select id="options_selector" class="form-control w-full h-25 border rounded p-2 mb-3">
${t.map(l=>`<option value="${l}">${l}</option>`)}
</select>
<div class="flex justify-end">
<button id="cancelButton" class="mr-2 px-4 py-2 border rounded">Cancel</button>
<button id="okButton" class="px-4 py-2 bg-blue-500 text-white rounded">OK</button>
</div>
</div>
`,document.body.appendChild(r);const o=r.querySelector("#cancelButton"),a=r.querySelector("#okButton");o.addEventListener("click",()=>{document.body.removeChild(r),i(null)}),a.addEventListener("click",()=>{if(t.length===0){const d=r.querySelector("#replacementInput").value.trim();document.body.removeChild(r),i(d)}else{const d=r.querySelector("#options_selector").value.trim();document.body.removeChild(r),i(d)}})})}function AJe(n,e){console.log(n);let t={},i=/@<([^>]+)>@/g,s=[],r;for(;(r=i.exec(n))!==null;)s.push("@<"+r[1]+">@");console.log("matches"),console.log(s),s=[...new Set(s)];async function o(l){console.log(l);let d=l.toLowerCase().substring(2,l.length-2);if(d!=="generation_placeholder")if(d.includes(":")){Object.entries({all_language_options:"english:french:german:chinese:japanese:spanish:italian:russian:portuguese:swedish:danish:dutch:norwegian:slovak:czech:hungarian:polish:ukrainian:bulgarian:latvian:lithuanian:estonian:maltese:irish:galician:basque:welsh:breton:georgian:turkmen:kazakh:uzbek:tajik:afghan:sri-lankan:filipino:vietnamese:lao:cambodian:thai:burmese:kenyan:botswanan:zimbabwean:malawian:mozambican:angolan:namibian:south-african:madagascan:seychellois:mauritian:haitian:peruvian:ecuadorian:bolivian:paraguayan:chilean:argentinean:uruguayan:brazilian:colombian:venezuelan:puerto-rican:cuban:dominican:honduran:nicaraguan:salvadorean:guatemalan:el-salvadoran:belizean:panamanian:costa-rican:antiguan:barbudan:dominica's:grenada's:st-lucia's:st-vincent's:gibraltarian:faroe-islander:greenlandic:icelandic:jamaican:trinidadian:tobagonian:barbadian:anguillan:british-virgin-islander:us-virgin-islander:turkish:israeli:palestinian:lebanese:egyptian:libyan:tunisian:algerian:moroccan:bahraini:kuwaiti:saudi-arabian:yemeni:omani:irani:iraqi:afghanistan's:pakistani:indian:nepalese:sri-lankan:maldivan:burmese:thai:lao:vietnamese:kampuchean:malaysian:bruneian:indonesian:australian:new-zealanders:fijians:tongans:samoans:vanuatuans:wallisians:kiribatians:tuvaluans:solomon-islanders:marshallese:micronesians:hawaiians",all_programming_language_options:"python:c:c++:java:javascript:php:ruby:go:swift:kotlin:rust:haskell:erlang:lisp:scheme:prolog:cobol:fortran:pascal:delphi:d:eiffel:h:basic:visual_basic:smalltalk:objective-c:html5:node.js:vue.js:svelte:react:angular:ember:clipper:stex:arduino:brainfuck:r:assembly:mason:lepton:seacat:bbc_microbit:raspberry_pi_gpio:raspberry_pi_spi:raspberry_pi_i2c:raspberry_pi_uart:raspberry_pi_adc:raspberry_pi_ddio"}).forEach(([b,g])=>{console.log(`Key: ${b}, Value: ${g}`);function v(C){return C.replace(/[.*+?^${}()|[\]\\]/g,"\\$&")}const y=v(b),T=new RegExp(y,"g");d=d.replace(T,g)});let _=d.split(":"),f=_[0],m=_[1]||"",h=[];_.length>2&&(h=_.slice(1));let E=await NC(f,m,h);E!==null&&(t[l]=E)}else{let c=await NC(d);c!==null&&(t[l]=c)}}let a=Promise.resolve();s.forEach(l=>{a=a.then(()=>o(l)).then(d=>{console.log(d)})}),a.then(()=>{Object.entries(t).forEach(([l,d])=>{console.log(`Key: ${l}, Value: ${d}`);function c(m){return m.replace(/[.*+?^${}()|[\]\\]/g,"\\$&")}const _=c(l),f=new RegExp(_,"g");n=n.replace(f,d)}),e(n)})}const wJe={name:"PlayGroundView",data(){return{posts_headers:{accept:"application/json","Content-Type":"application/json"},pending:!1,is_recording:!1,is_deaf_transcribing:!1,cpp_block:cO,html5_block:dO,LaTeX_block:uO,javascript_block:aO,json_block:lO,code_block:rO,python_block:oO,bash_block:pO,tokenize_icon:yJe,deaf_off:TJe,deaf_on:SJe,rec_off:CJe,rec_on:xJe,loading_icon:_O,isSynthesizingVoice:!1,audio_url:null,mdRenderHeight:300,selecting_model:!1,tab_id:"source",generating:!1,isSpeaking:!1,voices:[],isLesteningToVoice:!1,presets:[],selectedPreset:"",cursorPosition:0,namedTokens:[],text:"",pre_text:"",post_text:"",temperature:.1,top_k:50,top_p:.9,repeat_penalty:1.3,repeat_last_n:50,n_crop:-1,n_predicts:2e3,seed:-1,silenceTimeout:5e3}},components:{Toast:fc,MarkdownRenderer:EE,ClipBoardTextInput:RE,TokensHilighter:mJe,Card:vc},mounted(){Le.get("./get_presets").then(n=>{console.log(n.data),this.presets=n.data,this.selectedPreset=this.presets[0]}).catch(n=>{this.$refs.toast.showToast(`Error: ${n}`,4,!1)}),je.on("text_chunk",n=>{this.appendToOutput(n.chunk)}),je.on("text_generated",n=>{this.generating=!1}),je.on("generation_error",n=>{console.log("generation_error:",n),this.$refs.toast.showToast(`Error: ${n}`,4,!1),this.generating=!1}),je.on("connect",()=>{console.log("Connected to LoLLMs server"),this.$store.state.isConnected=!0,this.generating=!1}),je.on("buzzy",n=>{console.error("Server is busy. Wait for your turn",n),this.$refs.toast.showToast(`Error: ${n.message}`,4,!1),this.generating=!1}),je.on("generation_canceled",n=>{this.generating=!1,console.log("Generation canceled OK")}),this.$nextTick(()=>{qe.replace()}),"speechSynthesis"in window?(this.speechSynthesis=window.speechSynthesis,this.voices=this.speechSynthesis.getVoices(),this.voices.length===0&&this.speechSynthesis.addEventListener("voiceschanged",this.onVoicesChanged)):console.error("Speech synthesis is not supported in this browser.")},created(){},watch:{audio_url(n){n&&(console.log("Audio changed url to :",n),this.$refs.audio_player.src=n)}},computed:{selectedModel:{get(){return this.$store.state.selectedModel}},models:{get(){return this.$store.state.modelsArr}},isTalking:{get(){return this.isSpeaking}}},methods:{addBlock(n){let e=this.$refs.mdTextarea.selectionStart,t=this.$refs.mdTextarea.selectionEnd;e==t?speechSynthesis==0||this.message.content[e-1]==`
`?(this.message.content=this.message.content.slice(0,e)+"```"+n+"\n\n```\n"+this.message.content.slice(e),e=e+4+n.length):(this.message.content=this.message.content.slice(0,e)+"\n```"+n+"\n\n```\n"+this.message.content.slice(e),e=e+3+n.length):speechSynthesis==0||this.message.content[e-1]==`
`?(this.message.content=this.message.content.slice(0,e)+"```"+n+`
`+this.message.content.slice(e,t)+"\n```\n"+this.message.content.slice(t),e=e+4+n.length):(this.message.content=this.message.content.slice(0,e)+"\n```"+n+`
`+this.message.content.slice(e,t)+"\n```\n"+this.message.content.slice(t),p=p+3+n.length),this.$refs.mdTextarea.focus(),this.$refs.mdTextarea.selectionStart=this.$refs.mdTextarea.selectionEnd=p},insertTab(n){const e=n.target,t=e.selectionStart,i=e.selectionEnd,s=e.value.substring(0,t),r=e.value.substring(i),o=s+" "+r;this.text=o,this.$nextTick(()=>{e.selectionStart=e.selectionEnd=t+4}),n.preventDefault()},mdTextarea_changed(){console.log("mdTextarea_changed"),this.cursorPosition=this.$refs.mdTextarea.selectionStart},mdTextarea_clicked(){console.log(`mdTextarea_clicked: ${this.$refs.mdTextarea.selectionStart}`),this.cursorPosition=this.$refs.mdTextarea.selectionStart},setModel(){this.selecting_model=!0,Le.post("/update_setting",{setting_name:"model_name",setting_value:this.selectedModel}).then(n=>{console.log(n),n.status&&this.$refs.toast.showToast(`Model changed to ${this.selectedModel}`,4,!0),this.selecting_model=!1}).catch(n=>{this.$refs.toast.showToast(`Error ${n}`,4,!0),this.selecting_model=!1})},onVoicesChanged(){this.voices=this.speechSynthesis.getVoices()},read(){console.log("READING..."),this.isSynthesizingVoice=!0;let n=this.$refs.mdTextarea.selectionStart,e=this.$refs.mdTextarea.selectionEnd,t=this.text;n!=e&&(t=t.slice(n,e)),Le.post("./text2Audio",{text:t}).then(i=>{console.log(i.data.url);let s=i.data.url;this.audio_url=RJe+s,this.isSynthesizingVoice=!1,Ve(()=>{qe.replace()})}).catch(i=>{this.$refs.toast.showToast(`Error: ${i}`,4,!1),this.isSynthesizingVoice=!1,Ve(()=>{qe.replace()})})},speak(){if(this.msg){this.speechSynthesis.cancel(),this.msg=null,this.isSpeaking=!1;return}let n=0;console.log("voice on"),this.isSpeaking=!0;const e=200;this.msg=new SpeechSynthesisUtterance,this.msg.pitch=this.$store.state.config.audio_pitch,this.voices.length>0&&(this.msg.voice=this.voices.filter(s=>s.name===this.$store.state.config.audio_out_voice)[0]);const t=s=>{let r=this.text.substring(s,s+e);const o=[".","!","?",`
`];let a=-1;return o.forEach(l=>{const d=r.lastIndexOf(l);d>a&&(a=d)}),a==-1&&(a=r.length),console.log(a),a+s+1},i=()=>{const s=t(n),r=this.text.substring(n,s);this.msg.text=r,n=s+1,this.msg.onend=o=>{n<this.text.length-2?setTimeout(()=>{i()},1):(this.isSpeaking=!1,console.log("voice off :",this.text.length," ",s))},this.speechSynthesis.speak(this.msg)};i()},getCursorPosition(){return this.$refs.mdTextarea.selectionStart},appendToOutput(n){this.pre_text+=n,this.text=this.pre_text+this.post_text},generate_in_placeholder(){console.log("Finding cursor position");let n=this.text.indexOf("@<generation_placeholder>@");if(n<0){this.$refs.toast.showToast("No generation placeholder found",4,!1);return}this.text=this.text.substring(0,n)+this.text.substring(n+26,this.text.length),this.pre_text=this.text.substring(0,n),this.post_text=this.text.substring(n,this.text.length);var e=this.text.substring(0,n);console.log(e),je.emit("generate_text",{prompt:e,personality:-1,n_predicts:this.n_predicts,n_crop:this.n_crop,parameters:{temperature:this.temperature,top_k:this.top_k,top_p:this.top_p,repeat_penalty:this.repeat_penalty,repeat_last_n:this.repeat_last_n,seed:parseInt(this.seed)}}),this.generating=!0},async tokenize_text(){const n=await Le.post("/lollms_tokenize",{prompt:this.text},{headers:this.posts_headers});console.log(n.data.named_tokens),this.namedTokens=n.data.named_tokens},generate(){console.log("Finding cursor position"),this.pre_text=this.text.substring(0,this.getCursorPosition()),this.post_text=this.text.substring(this.getCursorPosition(),this.text.length);var n=this.text.substring(0,this.getCursorPosition());console.log(this.text),console.log(`cursor position :${this.getCursorPosition()}`),console.log(`pretext:${this.pre_text}`),console.log(`post_text:${this.post_text}`),console.log(`prompt:${n}`),je.emit("generate_text",{prompt:n,personality:-1,n_predicts:this.n_predicts,n_crop:this.n_crop,parameters:{temperature:this.temperature,top_k:this.top_k,top_p:this.top_p,repeat_penalty:this.repeat_penalty,repeat_last_n:this.repeat_last_n,seed:parseInt(this.seed)}}),this.generating=!0},stopGeneration(){je.emit("cancel_text_generation",{})},exportText(){const n=this.text,e=document.createElement("a"),t=new Blob([n],{type:"text/plain"});e.href=URL.createObjectURL(t),e.download="exported_text.txt",document.body.appendChild(e),e.click(),document.body.removeChild(e)},importText(){const n=document.getElementById("import-input");n&&(n.addEventListener("change",e=>{if(e.target.files&&e.target.files[0]){const t=new FileReader;t.onload=()=>{this.text=t.result},t.readAsText(e.target.files[0])}else alert("Please select a file.")}),n.click())},setPreset(){console.log("Setting preset"),console.log(this.selectedPreset),this.tab_id="render",this.text=AJe(this.selectedPreset.content,n=>{console.log("Done"),console.log(n),this.text=n})},addPreset(){let n=prompt("Enter the title of the preset:");this.presets[n]={name:n,content:this.text},Le.post("./add_preset",this.presets[n]).then(e=>{console.log(e.data)}).catch(e=>{this.$refs.toast.showToast(`Error: ${e}`,4,!1)})},removePreset(){this.selectedPreset&&delete this.presets[this.selectedPreset.name]},reloadPresets(){Le.get("./get_presets").then(n=>{console.log(n.data),this.presets=n.data,this.selectedPreset=this.presets[0]}).catch(n=>{this.$refs.toast.showToast(`Error: ${n}`,4,!1)})},startRecording(){this.pending=!0,this.is_recording?Le.get("/stop_recording").then(n=>{this.is_recording=!1,this.pending=!1,console.log(n),this.text+=n.data.text,console.log(n.data),this.presets=n.data,this.selectedPreset=this.presets[0]}).catch(n=>{this.$refs.toast.showToast(`Error: ${n}`,4,!1)}):Le.get("/start_recording").then(n=>{this.is_recording=!0,this.pending=!1,console.log(n.data)}).catch(n=>{this.$refs.toast.showToast(`Error: ${n}`,4,!1)})},startRecordingAndTranscribing(){this.pending=!0,this.is_deaf_transcribing?Le.get("/stop_recording").then(n=>{this.is_deaf_transcribing=!1,this.pending=!1,this.text=n.data.text,this.read()}).catch(n=>{this.$refs.toast.showToast(`Error: ${n}`,4,!1)}):Le.get("/start_recording").then(n=>{this.is_deaf_transcribing=!0,this.pending=!1}).catch(n=>{this.$refs.toast.showToast(`Error: ${n}`,4,!1)})},startSpeechRecognition(){"SpeechRecognition"in window||"webkitSpeechRecognition"in window?(this.recognition=new(window.SpeechRecognition||window.webkitSpeechRecognition),this.recognition.lang=this.$store.state.config.audio_in_language,this.recognition.interimResults=!0,this.recognition.onstart=()=>{this.isLesteningToVoice=!0,this.silenceTimer=setTimeout(()=>{this.recognition.stop()},this.silenceTimeout)},this.pre_text=this.text.substring(0,this.getCursorPosition()),this.post_text=this.text.substring(this.getCursorPosition(),this.text.length),this.recognition.onresult=n=>{this.generated="";for(let e=n.resultIndex;e<n.results.length;e++)this.generated+=n.results[e][0].transcript;this.text=this.pre_text+this.generated+this.post_text,this.cursorPosition=this.pre_text.length+this.generated.length,clearTimeout(this.silenceTimer),this.silenceTimer=setTimeout(()=>{this.recognition.stop()},this.silenceTimeout)},this.recognition.onerror=n=>{console.error("Speech recognition error:",n.error),this.isLesteningToVoice=!1,clearTimeout(this.silenceTimer)},this.recognition.onend=()=>{console.log("Speech recognition ended."),this.isLesteningToVoice=!1,this.pre_text=this.pre_text+this.generated,this.cursorPosition=this.pre_text.length,clearTimeout(this.silenceTimer)},this.recognition.start()):console.error("Speech recognition is not supported in this browser.")}}},NJe={class:"container bg-bg-light dark:bg-bg-dark shadow-lg overflow-y-auto scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary"},OJe={class:"container flex flex-row m-2"},IJe={class:"flex-grow m-2"},MJe={class:"flex gap-3 flex-1 items-center flex-grow flex-row m-2 p-2 border border-blue-300 rounded-md border-2 border-blue-300 m-2 p-4"},DJe=u("i",{"data-feather":"pen-tool"},null,-1),kJe=[DJe],LJe=u("i",{"data-feather":"archive"},null,-1),PJe=[LJe],UJe=["src"],FJe=u("span",{class:"w-80"},null,-1),BJe=u("i",{"data-feather":"x"},null,-1),GJe=[BJe],zJe=u("i",{"data-feather":"mic"},null,-1),VJe=[zJe],HJe=u("i",{"data-feather":"volume-2"},null,-1),qJe=[HJe],YJe=["src"],$Je=["src"],WJe=["src"],KJe=["src"],jJe=u("i",{"data-feather":"voicemail"},null,-1),QJe=[jJe],XJe={key:1,"aria-hidden":"true",class:"w-6 h-6 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},ZJe=u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"},null,-1),JJe=u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"},null,-1),eet=[ZJe,JJe],tet=u("i",{"data-feather":"upload"},null,-1),net=[tet],iet=u("i",{"data-feather":"download"},null,-1),set=[iet],ret={class:"flex gap-3 flex-1 items-center flex-grow justify-end"},oet=u("input",{type:"file",id:"import-input",class:"hidden"},null,-1),aet={key:0},cet={class:"flex flex-row justify-end mx-2"},det=["src"],uet=["src"],pet=["src"],_et=["src"],het=["src"],fet=["src"],met=["src"],get=["src"],bet=u("i",{"data-feather":"copy"},null,-1),Eet=[bet],vet=["src"],yet={key:2},Tet=["value"],xet={key:0,title:"Selecting model",class:"flex flex-row flex-grow justify-end"},Cet=u("div",{role:"status"},[u("svg",{"aria-hidden":"true",class:"w-6 h-6 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})]),u("span",{class:"sr-only"},"Selecting model...")],-1),Ret=[Cet],Aet=["value"],wet=u("br",null,null,-1),Net=u("i",{"data-feather":"check"},null,-1),Oet=[Net],Iet=u("i",{"data-feather":"plus"},null,-1),Met=[Iet],Det=u("i",{"data-feather":"x"},null,-1),ket=[Det],Let=u("i",{"data-feather":"refresh-ccw"},null,-1),Pet=[Let],Uet={class:"slider-container ml-2 mr-2"},Fet=u("h3",{class:"text-gray-600"},"Temperature",-1),Bet={class:"slider-value text-gray-500"},Get={class:"slider-container ml-2 mr-2"},zet=u("h3",{class:"text-gray-600"},"Top K",-1),Vet={class:"slider-value text-gray-500"},Het={class:"slider-container ml-2 mr-2"},qet=u("h3",{class:"text-gray-600"},"Top P",-1),Yet={class:"slider-value text-gray-500"},$et={class:"slider-container ml-2 mr-2"},Wet=u("h3",{class:"text-gray-600"},"Repeat Penalty",-1),Ket={class:"slider-value text-gray-500"},jet={class:"slider-container ml-2 mr-2"},Qet=u("h3",{class:"text-gray-600"},"Repeat Last N",-1),Xet={class:"slider-value text-gray-500"},Zet={class:"slider-container ml-2 mr-2"},Jet=u("h3",{class:"text-gray-600"},"Number of tokens to crop the text to",-1),ett={class:"slider-value text-gray-500"},ttt={class:"slider-container ml-2 mr-2"},ntt=u("h3",{class:"text-gray-600"},"Number of tokens to generate",-1),itt={class:"slider-value text-gray-500"},stt={class:"slider-container ml-2 mr-2"},rtt=u("h3",{class:"text-gray-600"},"Seed",-1),ott={class:"slider-value text-gray-500"};function att(n,e,t,i,s,r){const o=mt("tokens-hilighter"),a=mt("MarkdownRenderer"),l=mt("Card"),d=mt("Toast");return N(),M($e,null,[u("div",NJe,[u("div",OJe,[u("div",IJe,[u("div",MJe,[le(u("button",{id:"generate-button",title:"Generate from current cursor position",onClick:e[0]||(e[0]=(...c)=>r.generate&&r.generate(...c)),class:"w-6 ml-2 hover:text-secondary duration-75 active:scale-90 cursor-pointer"},kJe,512),[[Mt,!s.generating]]),le(u("button",{id:"generate-next-button",title:"Generate from next place holder",onClick:e[1]||(e[1]=(...c)=>r.generate_in_placeholder&&r.generate_in_placeholder(...c)),class:"w-6 ml-2 hover:text-secondary duration-75 active:scale-90 cursor-pointer"},PJe,512),[[Mt,!s.generating]]),le(u("button",{id:"tokenize",title:"Tokenize text",onClick:e[2]||(e[2]=(...c)=>r.tokenize_text&&r.tokenize_text(...c)),class:"w-6 ml-2 hover:text-secondary duration-75 active:scale-90 cursor-pointer"},[u("img",{width:"25",height:"25",src:s.tokenize_icon},null,8,UJe)],512),[[Mt,!s.generating]]),FJe,le(u("button",{id:"stop-button",onClick:e[3]||(e[3]=(...c)=>r.stopGeneration&&r.stopGeneration(...c)),class:"w-6 ml-2 hover:text-secondary duration-75 active:scale-90 cursor-pointer"},GJe,512),[[Mt,s.generating]]),u("button",{type:"button",title:"Dictate (using your browser for transcription)",onClick:e[4]||(e[4]=(...c)=>r.startSpeechRecognition&&r.startSpeechRecognition(...c)),class:Ye([{"text-red-500":s.isLesteningToVoice},"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer"])},VJe,2),u("button",{title:"convert text to audio (not saved and uses your browser tts service)",onClick:e[5]||(e[5]=xe(c=>r.speak(),["stop"])),class:Ye([{"text-red-500":r.isTalking},"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer"])},qJe,2),u("button",{type:"button",title:"Start audio to audio",onClick:e[6]||(e[6]=(...c)=>r.startRecordingAndTranscribing&&r.startRecordingAndTranscribing(...c)),class:Ye([{"text-green-500":s.isLesteningToVoice},"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer text-red-500"])},[s.pending?q("",!0):(N(),M("img",{key:0,src:s.is_deaf_transcribing?s.deaf_on:s.deaf_off,height:"25"},null,8,YJe)),s.pending?(N(),M("img",{key:1,src:s.loading_icon,height:"25"},null,8,$Je)):q("",!0)],2),u("button",{type:"button",title:"Start recording audio",onClick:e[7]||(e[7]=(...c)=>r.startRecording&&r.startRecording(...c)),class:Ye([{"text-green-500":s.isLesteningToVoice},"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer text-red-500"])},[s.pending?q("",!0):(N(),M("img",{key:0,src:s.is_recording?s.rec_on:s.rec_off,height:"25"},null,8,WJe)),s.pending?(N(),M("img",{key:1,src:s.loading_icon,height:"25"},null,8,KJe)):q("",!0)],2),s.isSynthesizingVoice?(N(),M("svg",XJe,eet)):(N(),M("button",{key:0,title:"generate audio from the text",onClick:e[8]||(e[8]=xe(c=>r.read(),["stop"])),class:"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer"},QJe)),le(u("button",{id:"export-button",onClick:e[9]||(e[9]=(...c)=>r.exportText&&r.exportText(...c)),class:"w-6 ml-2 hover:text-secondary duration-75 active:scale-90 cursor-pointer"},net,512),[[Mt,!s.generating]]),le(u("button",{id:"import-button",onClick:e[10]||(e[10]=(...c)=>r.importText&&r.importText(...c)),class:"w-6 ml-2 hover:text-secondary duration-75 active:scale-90 cursor-pointer"},set,512),[[Mt,!s.generating]]),u("div",ret,[u("button",{class:Ye(["border-2 text-blue-600 dark:text-white border-blue-300 p-2 rounded shadow-lg hover:border-gray-600 dark:link-item-dark cursor-pointer",{"bg-blue-200 dark:bg-blue-500":s.tab_id=="source"}]),onClick:e[11]||(e[11]=c=>s.tab_id="source")}," Source ",2),u("button",{class:Ye(["border-2 text-blue-600 dark:text-white border-blue-300 p-2 rounded shadow-lg hover:border-gray-600 dark:link-item-dark cursor-pointer",{"bg-blue-200 dark:bg-blue-500":s.tab_id=="render"}]),onClick:e[12]||(e[12]=c=>s.tab_id="render")}," Render ",2)]),oet]),u("div",{class:Ye(["flex-grow m-2 p-2 border border-blue-300 rounded-md border-2 border-blue-300 m-2 p-4",{"border-red-500":s.generating}])},[s.tab_id==="source"?(N(),M("div",aet,[u("div",cet,[u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Add generic block",onClick:e[13]||(e[13]=xe(c=>r.addBlock(""),["stop"]))},[u("img",{src:s.code_block,width:"25",height:"25"},null,8,det)]),u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Add python block",onClick:e[14]||(e[14]=xe(c=>r.addBlock("python"),["stop"]))},[u("img",{src:s.python_block,width:"25",height:"25"},null,8,uet)]),u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Add javascript block",onClick:e[15]||(e[15]=xe(c=>r.addBlock("javascript"),["stop"]))},[u("img",{src:s.javascript_block,width:"25",height:"25"},null,8,pet)]),u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Add json block",onClick:e[16]||(e[16]=xe(c=>r.addBlock("json"),["stop"]))},[u("img",{src:s.json_block,width:"25",height:"25"},null,8,_et)]),u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Add c++ block",onClick:e[17]||(e[17]=xe(c=>r.addBlock("c++"),["stop"]))},[u("img",{src:s.cpp_block,width:"25",height:"25"},null,8,het)]),u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Add html block",onClick:e[18]||(e[18]=xe(c=>r.addBlock("html"),["stop"]))},[u("img",{src:s.html5_block,width:"25",height:"25"},null,8,fet)]),u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Add LaTex block",onClick:e[19]||(e[19]=xe(c=>r.addBlock("latex"),["stop"]))},[u("img",{src:s.LaTeX_block,width:"25",height:"25"},null,8,met)]),u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Add bash block",onClick:e[20]||(e[20]=xe(c=>r.addBlock("bash"),["stop"]))},[u("img",{src:s.bash_block,width:"25",height:"25"},null,8,get)]),u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Copy message to clipboard",onClick:e[21]||(e[21]=xe(c=>n.copyContentToClipboard(),["stop"]))},Eet)]),le(u("textarea",{ref:"mdTextarea",onKeydown:e[22]||(e[22]=Ar(xe((...c)=>r.insertTab&&r.insertTab(...c),["prevent"]),["tab"])),class:"block min-h-500 p-2.5 w-full text-gray-900 bg-gray-50 rounded-lg border border-gray-300 focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500 overflow-y-scroll flex flex-col shadow-lg p-10 pt-0 overflow-y-scroll dark:bg-bg-dark scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary",rows:4,style:Jt({minHeight:s.mdRenderHeight+"px"}),placeholder:"Enter message here...","onUpdate:modelValue":e[23]||(e[23]=c=>s.text=c),onClick:e[24]||(e[24]=xe((...c)=>r.mdTextarea_clicked&&r.mdTextarea_clicked(...c),["prevent"])),onChange:e[25]||(e[25]=xe((...c)=>r.mdTextarea_changed&&r.mdTextarea_changed(...c),["prevent"]))},`\r
`,36),[[Pe,s.text]]),u("span",null,"Cursor position "+ge(s.cursorPosition),1)])):q("",!0),s.audio_url!=null?(N(),M("audio",{controls:"",autoplay:"",key:s.audio_url},[u("source",{src:s.audio_url,type:"audio/wav",ref:"audio_player"},null,8,vet),Ze(" Your browser does not support the audio element. ")])):q("",!0),Ie(o,{namedTokens:s.namedTokens},null,8,["namedTokens"]),s.tab_id==="render"?(N(),M("div",yet,[Ie(a,{ref:"mdRender","markdown-text":s.text,class:"mt-4 p-2 rounded shadow-lg dark:bg-bg-dark"},null,8,["markdown-text"])])):q("",!0)],2)]),Ie(l,{title:"settings",class:"slider-container ml-0 mr-0 max-width",isHorizontal:!1,disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[Ie(l,{title:"Model",class:"slider-container ml-0 mr-0",is_subcard:!0,isHorizontal:!1,disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[le(u("select",{"onUpdate:modelValue":e[26]||(e[26]=c=>this.$store.state.selectedModel=c),onChange:e[27]||(e[27]=(...c)=>r.setModel&&r.setModel(...c)),class:"bg-white dark:bg-black m-0 border-2 rounded-md shadow-sm w-full"},[(N(!0),M($e,null,dt(r.models,c=>(N(),M("option",{key:c,value:c},ge(c),9,Tet))),128))],544),[[zn,this.$store.state.selectedModel]]),s.selecting_model?(N(),M("div",xet,Ret)):q("",!0)]),_:1}),Ie(l,{title:"Presets",class:"slider-container ml-0 mr-0",is_subcard:!0,isHorizontal:!1,disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[le(u("select",{"onUpdate:modelValue":e[28]||(e[28]=c=>s.selectedPreset=c),class:"bg-white dark:bg-black mb-2 border-2 rounded-md shadow-sm w-full"},[(N(!0),M($e,null,dt(s.presets,c=>(N(),M("option",{key:c,value:c},ge(c.name),9,Aet))),128))],512),[[zn,s.selectedPreset]]),wet,u("button",{class:"w-6 ml-2 hover:text-secondary duration-75 active:scale-90 cursor-pointer",onClick:e[29]||(e[29]=(...c)=>r.setPreset&&r.setPreset(...c)),title:"Use preset"},Oet),u("button",{class:"w-6 ml-2 hover:text-secondary duration-75 active:scale-90 cursor-pointer",onClick:e[30]||(e[30]=(...c)=>r.addPreset&&r.addPreset(...c)),title:"Add this text as a preset"},Met),u("button",{class:"w-6 ml-2 hover:text-secondary duration-75 active:scale-90 cursor-pointer",onClick:e[31]||(e[31]=(...c)=>r.removePreset&&r.removePreset(...c)),title:"Remove preset"},ket),u("button",{class:"w-6 ml-2 hover:text-secondary duration-75 active:scale-90 cursor-pointer",onClick:e[32]||(e[32]=(...c)=>r.reloadPresets&&r.reloadPresets(...c)),title:"Reload presets list"},Pet)]),_:1}),Ie(l,{title:"Generation params",class:"slider-container ml-0 mr-0",is_subcard:!0,isHorizontal:!1,disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[u("div",Uet,[Fet,le(u("input",{type:"range","onUpdate:modelValue":e[33]||(e[33]=c=>s.temperature=c),min:"0",max:"5",step:"0.1",class:"w-full"},null,512),[[Pe,s.temperature]]),u("span",Bet,"Current value: "+ge(s.temperature),1)]),u("div",Get,[zet,le(u("input",{type:"range","onUpdate:modelValue":e[34]||(e[34]=c=>s.top_k=c),min:"1",max:"100",step:"1",class:"w-full"},null,512),[[Pe,s.top_k]]),u("span",Vet,"Current value: "+ge(s.top_k),1)]),u("div",Het,[qet,le(u("input",{type:"range","onUpdate:modelValue":e[35]||(e[35]=c=>s.top_p=c),min:"0",max:"1",step:"0.1",class:"w-full"},null,512),[[Pe,s.top_p]]),u("span",Yet,"Current value: "+ge(s.top_p),1)]),u("div",$et,[Wet,le(u("input",{type:"range","onUpdate:modelValue":e[36]||(e[36]=c=>s.repeat_penalty=c),min:"0",max:"5",step:"0.1",class:"bg-white dark:bg-black m-0 border-2 rounded-md shadow-sm w-full"},null,512),[[Pe,s.repeat_penalty]]),u("span",Ket,"Current value: "+ge(s.repeat_penalty),1)]),u("div",jet,[Qet,le(u("input",{type:"range","onUpdate:modelValue":e[37]||(e[37]=c=>s.repeat_last_n=c),min:"0",max:"100",step:"1",class:"bg-white dark:bg-black m-0 border-2 rounded-md shadow-sm w-full"},null,512),[[Pe,s.repeat_last_n]]),u("span",Xet,"Current value: "+ge(s.repeat_last_n),1)]),u("div",Zet,[Jet,le(u("input",{type:"number","onUpdate:modelValue":e[38]||(e[38]=c=>s.n_crop=c),class:"bg-white dark:bg-black m-0 border-2 rounded-md shadow-sm w-full"},null,512),[[Pe,s.n_crop]]),u("span",ett,"Current value: "+ge(s.n_crop),1)]),u("div",ttt,[ntt,le(u("input",{type:"number","onUpdate:modelValue":e[39]||(e[39]=c=>s.n_predicts=c),class:"bg-white dark:bg-black m-0 border-2 rounded-md shadow-sm w-full"},null,512),[[Pe,s.n_predicts]]),u("span",itt,"Current value: "+ge(s.n_predicts),1)]),u("div",stt,[rtt,le(u("input",{type:"number","onUpdate:modelValue":e[40]||(e[40]=c=>s.seed=c),class:"bg-white dark:bg-black m-0 border-2 rounded-md shadow-sm w-full"},null,512),[[Pe,s.seed]]),u("span",ott,"Current value: "+ge(s.seed),1)])]),_:1})]),_:1})])]),Ie(d,{ref:"toast"},null,512)],64)}const ltt=bt(wJe,[["render",att]]);const ctt={data(){return{activeExtension:null}},computed:{activeExtensions(){return console.log(this.$store.state.extensionsZoo),console.log(rM(this.$store.state.extensionsZoo)),this.$store.state.extensionsZoo}},methods:{showExtensionPage(n){this.activeExtension=n}}},dtt={class:"container overflow-y-scroll flex flex-col shadow-lg p-10 pt-0 overflow-y-scroll w-full dark:bg-bg-dark scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary"},utt={key:0},ptt=["onClick"],_tt={key:0},htt=["src"],ftt={key:1},mtt=u("p",null,"No extension is active. Please install and activate an extension.",-1),gtt=[mtt];function btt(n,e,t,i,s,r){return N(),M("div",dtt,[r.activeExtensions.length>0?(N(),M("div",utt,[(N(!0),M($e,null,dt(r.activeExtensions,o=>(N(),M("div",{key:o.name,onClick:a=>r.showExtensionPage(o)},[u("div",{class:Ye({"active-tab":o===s.activeExtension})},ge(o.name),3)],8,ptt))),128)),s.activeExtension?(N(),M("div",_tt,[u("iframe",{src:s.activeExtension.page,width:"100%",height:"500px",frameborder:"0"},null,8,htt)])):q("",!0)])):(N(),M("div",ftt,gtt))])}const Ett=bt(ctt,[["render",btt]]);var hO={exports:{}};/* @license
Papa Parse
v5.4.1
https://github.com/mholt/PapaParse
License: MIT
*/(function(n,e){(function(t,i){n.exports=i()})($w,function t(){var i=typeof self<"u"?self:typeof window<"u"?window:i!==void 0?i:{},s=!i.document&&!!i.postMessage,r=i.IS_PAPA_WORKER||!1,o={},a=0,l={parse:function(R,S){var A=(S=S||{}).dynamicTyping||!1;if(O(A)&&(S.dynamicTypingFunction=A,A={}),S.dynamicTyping=A,S.transform=!!O(S.transform)&&S.transform,S.worker&&l.WORKERS_SUPPORTED){var U=function(){if(!l.WORKERS_SUPPORTED)return!1;var K=(H=i.URL||i.webkitURL||null,G=t.toString(),l.BLOB_URL||(l.BLOB_URL=H.createObjectURL(new Blob(["var global = (function() { if (typeof self !== 'undefined') { return self; } if (typeof window !== 'undefined') { return window; } if (typeof global !== 'undefined') { return global; } return {}; })(); global.IS_PAPA_WORKER=true; ","(",G,")();"],{type:"text/javascript"})))),L=new i.Worker(K),H,G;return L.onmessage=v,L.id=a++,o[L.id]=L}();return U.userStep=S.step,U.userChunk=S.chunk,U.userComplete=S.complete,U.userError=S.error,S.step=O(S.step),S.chunk=O(S.chunk),S.complete=O(S.complete),S.error=O(S.error),delete S.worker,void U.postMessage({input:R,config:S,workerId:U.id})}var F=null;return l.NODE_STREAM_INPUT,typeof R=="string"?(R=function(K){return K.charCodeAt(0)===65279?K.slice(1):K}(R),F=S.download?new _(S):new m(S)):R.readable===!0&&O(R.read)&&O(R.on)?F=new h(S):(i.File&&R instanceof File||R instanceof Object)&&(F=new f(S)),F.stream(R)},unparse:function(R,S){var A=!1,U=!0,F=",",K=`\r
`,L='"',H=L+L,G=!1,P=null,j=!1;(function(){if(typeof S=="object"){if(typeof S.delimiter!="string"||l.BAD_DELIMITERS.filter(function(te){return S.delimiter.indexOf(te)!==-1}).length||(F=S.delimiter),(typeof S.quotes=="boolean"||typeof S.quotes=="function"||Array.isArray(S.quotes))&&(A=S.quotes),typeof S.skipEmptyLines!="boolean"&&typeof S.skipEmptyLines!="string"||(G=S.skipEmptyLines),typeof S.newline=="string"&&(K=S.newline),typeof S.quoteChar=="string"&&(L=S.quoteChar),typeof S.header=="boolean"&&(U=S.header),Array.isArray(S.columns)){if(S.columns.length===0)throw new Error("Option columns is empty");P=S.columns}S.escapeChar!==void 0&&(H=S.escapeChar+L),(typeof S.escapeFormulae=="boolean"||S.escapeFormulae instanceof RegExp)&&(j=S.escapeFormulae instanceof RegExp?S.escapeFormulae:/^[=+\-@\t\r].*$/)}})();var Y=new RegExp(b(L),"g");if(typeof R=="string"&&(R=JSON.parse(R)),Array.isArray(R)){if(!R.length||Array.isArray(R[0]))return Q(null,R,G);if(typeof R[0]=="object")return Q(P||Object.keys(R[0]),R,G)}else if(typeof R=="object")return typeof R.data=="string"&&(R.data=JSON.parse(R.data)),Array.isArray(R.data)&&(R.fields||(R.fields=R.meta&&R.meta.fields||P),R.fields||(R.fields=Array.isArray(R.data[0])?R.fields:typeof R.data[0]=="object"?Object.keys(R.data[0]):[]),Array.isArray(R.data[0])||typeof R.data[0]=="object"||(R.data=[R.data])),Q(R.fields||[],R.data||[],G);throw new Error("Unable to serialize unrecognized input");function Q(te,Z,fe){var ve="";typeof te=="string"&&(te=JSON.parse(te)),typeof Z=="string"&&(Z=JSON.parse(Z));var Ae=Array.isArray(te)&&0<te.length,J=!Array.isArray(Z[0]);if(Ae&&U){for(var me=0;me<te.length;me++)0<me&&(ve+=F),ve+=re(te[me],me);0<Z.length&&(ve+=K)}for(var ee=0;ee<Z.length;ee++){var Se=Ae?te.length:Z[ee].length,Oe=!1,k=Ae?Object.keys(Z[ee]).length===0:Z[ee].length===0;if(fe&&!Ae&&(Oe=fe==="greedy"?Z[ee].join("").trim()==="":Z[ee].length===1&&Z[ee][0].length===0),fe==="greedy"&&Ae){for(var B=[],$=0;$<Se;$++){var ce=J?te[$]:$;B.push(Z[ee][ce])}Oe=B.join("").trim()===""}if(!Oe){for(var ne=0;ne<Se;ne++){0<ne&&!k&&(ve+=F);var Ce=Ae&&J?te[ne]:ne;ve+=re(Z[ee][Ce],ne)}ee<Z.length-1&&(!fe||0<Se&&!k)&&(ve+=K)}}return ve}function re(te,Z){if(te==null)return"";if(te.constructor===Date)return JSON.stringify(te).slice(1,25);var fe=!1;j&&typeof te=="string"&&j.test(te)&&(te="'"+te,fe=!0);var ve=te.toString().replace(Y,H);return(fe=fe||A===!0||typeof A=="function"&&A(te,Z)||Array.isArray(A)&&A[Z]||function(Ae,J){for(var me=0;me<J.length;me++)if(-1<Ae.indexOf(J[me]))return!0;return!1}(ve,l.BAD_DELIMITERS)||-1<ve.indexOf(F)||ve.charAt(0)===" "||ve.charAt(ve.length-1)===" ")?L+ve+L:ve}}};if(l.RECORD_SEP=String.fromCharCode(30),l.UNIT_SEP=String.fromCharCode(31),l.BYTE_ORDER_MARK="\uFEFF",l.BAD_DELIMITERS=["\r",`
`,'"',l.BYTE_ORDER_MARK],l.WORKERS_SUPPORTED=!s&&!!i.Worker,l.NODE_STREAM_INPUT=1,l.LocalChunkSize=10485760,l.RemoteChunkSize=5242880,l.DefaultDelimiter=",",l.Parser=g,l.ParserHandle=E,l.NetworkStreamer=_,l.FileStreamer=f,l.StringStreamer=m,l.ReadableStreamStreamer=h,i.jQuery){var d=i.jQuery;d.fn.parse=function(R){var S=R.config||{},A=[];return this.each(function(K){if(!(d(this).prop("tagName").toUpperCase()==="INPUT"&&d(this).attr("type").toLowerCase()==="file"&&i.FileReader)||!this.files||this.files.length===0)return!0;for(var L=0;L<this.files.length;L++)A.push({file:this.files[L],inputElem:this,instanceConfig:d.extend({},S)})}),U(),this;function U(){if(A.length!==0){var K,L,H,G,P=A[0];if(O(R.before)){var j=R.before(P.file,P.inputElem);if(typeof j=="object"){if(j.action==="abort")return K="AbortError",L=P.file,H=P.inputElem,G=j.reason,void(O(R.error)&&R.error({name:K},L,H,G));if(j.action==="skip")return void F();typeof j.config=="object"&&(P.instanceConfig=d.extend(P.instanceConfig,j.config))}else if(j==="skip")return void F()}var Y=P.instanceConfig.complete;P.instanceConfig.complete=function(Q){O(Y)&&Y(Q,P.file,P.inputElem),F()},l.parse(P.file,P.instanceConfig)}else O(R.complete)&&R.complete()}function F(){A.splice(0,1),U()}}}function c(R){this._handle=null,this._finished=!1,this._completed=!1,this._halted=!1,this._input=null,this._baseIndex=0,this._partialLine="",this._rowCount=0,this._start=0,this._nextChunk=null,this.isFirstChunk=!0,this._completeResults={data:[],errors:[],meta:{}},(function(S){var A=C(S);A.chunkSize=parseInt(A.chunkSize),S.step||S.chunk||(A.chunkSize=null),this._handle=new E(A),(this._handle.streamer=this)._config=A}).call(this,R),this.parseChunk=function(S,A){if(this.isFirstChunk&&O(this._config.beforeFirstChunk)){var U=this._config.beforeFirstChunk(S);U!==void 0&&(S=U)}this.isFirstChunk=!1,this._halted=!1;var F=this._partialLine+S;this._partialLine="";var K=this._handle.parse(F,this._baseIndex,!this._finished);if(!this._handle.paused()&&!this._handle.aborted()){var L=K.meta.cursor;this._finished||(this._partialLine=F.substring(L-this._baseIndex),this._baseIndex=L),K&&K.data&&(this._rowCount+=K.data.length);var H=this._finished||this._config.preview&&this._rowCount>=this._config.preview;if(r)i.postMessage({results:K,workerId:l.WORKER_ID,finished:H});else if(O(this._config.chunk)&&!A){if(this._config.chunk(K,this._handle),this._handle.paused()||this._handle.aborted())return void(this._halted=!0);K=void 0,this._completeResults=void 0}return this._config.step||this._config.chunk||(this._completeResults.data=this._completeResults.data.concat(K.data),this._completeResults.errors=this._completeResults.errors.concat(K.errors),this._completeResults.meta=K.meta),this._completed||!H||!O(this._config.complete)||K&&K.meta.aborted||(this._config.complete(this._completeResults,this._input),this._completed=!0),H||K&&K.meta.paused||this._nextChunk(),K}this._halted=!0},this._sendError=function(S){O(this._config.error)?this._config.error(S):r&&this._config.error&&i.postMessage({workerId:l.WORKER_ID,error:S,finished:!1})}}function _(R){var S;(R=R||{}).chunkSize||(R.chunkSize=l.RemoteChunkSize),c.call(this,R),this._nextChunk=s?function(){this._readChunk(),this._chunkLoaded()}:function(){this._readChunk()},this.stream=function(A){this._input=A,this._nextChunk()},this._readChunk=function(){if(this._finished)this._chunkLoaded();else{if(S=new XMLHttpRequest,this._config.withCredentials&&(S.withCredentials=this._config.withCredentials),s||(S.onload=x(this._chunkLoaded,this),S.onerror=x(this._chunkError,this)),S.open(this._config.downloadRequestBody?"POST":"GET",this._input,!s),this._config.downloadRequestHeaders){var A=this._config.downloadRequestHeaders;for(var U in A)S.setRequestHeader(U,A[U])}if(this._config.chunkSize){var F=this._start+this._config.chunkSize-1;S.setRequestHeader("Range","bytes="+this._start+"-"+F)}try{S.send(this._config.downloadRequestBody)}catch(K){this._chunkError(K.message)}s&&S.status===0&&this._chunkError()}},this._chunkLoaded=function(){S.readyState===4&&(S.status<200||400<=S.status?this._chunkError():(this._start+=this._config.chunkSize?this._config.chunkSize:S.responseText.length,this._finished=!this._config.chunkSize||this._start>=function(A){var U=A.getResponseHeader("Content-Range");return U===null?-1:parseInt(U.substring(U.lastIndexOf("/")+1))}(S),this.parseChunk(S.responseText)))},this._chunkError=function(A){var U=S.statusText||A;this._sendError(new Error(U))}}function f(R){var S,A;(R=R||{}).chunkSize||(R.chunkSize=l.LocalChunkSize),c.call(this,R);var U=typeof FileReader<"u";this.stream=function(F){this._input=F,A=F.slice||F.webkitSlice||F.mozSlice,U?((S=new FileReader).onload=x(this._chunkLoaded,this),S.onerror=x(this._chunkError,this)):S=new FileReaderSync,this._nextChunk()},this._nextChunk=function(){this._finished||this._config.preview&&!(this._rowCount<this._config.preview)||this._readChunk()},this._readChunk=function(){var F=this._input;if(this._config.chunkSize){var K=Math.min(this._start+this._config.chunkSize,this._input.size);F=A.call(F,this._start,K)}var L=S.readAsText(F,this._config.encoding);U||this._chunkLoaded({target:{result:L}})},this._chunkLoaded=function(F){this._start+=this._config.chunkSize,this._finished=!this._config.chunkSize||this._start>=this._input.size,this.parseChunk(F.target.result)},this._chunkError=function(){this._sendError(S.error)}}function m(R){var S;c.call(this,R=R||{}),this.stream=function(A){return S=A,this._nextChunk()},this._nextChunk=function(){if(!this._finished){var A,U=this._config.chunkSize;return U?(A=S.substring(0,U),S=S.substring(U)):(A=S,S=""),this._finished=!S,this.parseChunk(A)}}}function h(R){c.call(this,R=R||{});var S=[],A=!0,U=!1;this.pause=function(){c.prototype.pause.apply(this,arguments),this._input.pause()},this.resume=function(){c.prototype.resume.apply(this,arguments),this._input.resume()},this.stream=function(F){this._input=F,this._input.on("data",this._streamData),this._input.on("end",this._streamEnd),this._input.on("error",this._streamError)},this._checkIsFinished=function(){U&&S.length===1&&(this._finished=!0)},this._nextChunk=function(){this._checkIsFinished(),S.length?this.parseChunk(S.shift()):A=!0},this._streamData=x(function(F){try{S.push(typeof F=="string"?F:F.toString(this._config.encoding)),A&&(A=!1,this._checkIsFinished(),this.parseChunk(S.shift()))}catch(K){this._streamError(K)}},this),this._streamError=x(function(F){this._streamCleanUp(),this._sendError(F)},this),this._streamEnd=x(function(){this._streamCleanUp(),U=!0,this._streamData("")},this),this._streamCleanUp=x(function(){this._input.removeListener("data",this._streamData),this._input.removeListener("end",this._streamEnd),this._input.removeListener("error",this._streamError)},this)}function E(R){var S,A,U,F=Math.pow(2,53),K=-F,L=/^\s*-?(\d+\.?|\.\d+|\d+\.\d+)([eE][-+]?\d+)?\s*$/,H=/^((\d{4}-[01]\d-[0-3]\dT[0-2]\d:[0-5]\d:[0-5]\d\.\d+([+-][0-2]\d:[0-5]\d|Z))|(\d{4}-[01]\d-[0-3]\dT[0-2]\d:[0-5]\d:[0-5]\d([+-][0-2]\d:[0-5]\d|Z))|(\d{4}-[01]\d-[0-3]\dT[0-2]\d:[0-5]\d([+-][0-2]\d:[0-5]\d|Z)))$/,G=this,P=0,j=0,Y=!1,Q=!1,re=[],te={data:[],errors:[],meta:{}};if(O(R.step)){var Z=R.step;R.step=function(ee){if(te=ee,Ae())ve();else{if(ve(),te.data.length===0)return;P+=ee.data.length,R.preview&&P>R.preview?A.abort():(te.data=te.data[0],Z(te,G))}}}function fe(ee){return R.skipEmptyLines==="greedy"?ee.join("").trim()==="":ee.length===1&&ee[0].length===0}function ve(){return te&&U&&(me("Delimiter","UndetectableDelimiter","Unable to auto-detect delimiting character; defaulted to '"+l.DefaultDelimiter+"'"),U=!1),R.skipEmptyLines&&(te.data=te.data.filter(function(ee){return!fe(ee)})),Ae()&&function(){if(!te)return;function ee(Oe,k){O(R.transformHeader)&&(Oe=R.transformHeader(Oe,k)),re.push(Oe)}if(Array.isArray(te.data[0])){for(var Se=0;Ae()&&Se<te.data.length;Se++)te.data[Se].forEach(ee);te.data.splice(0,1)}else te.data.forEach(ee)}(),function(){if(!te||!R.header&&!R.dynamicTyping&&!R.transform)return te;function ee(Oe,k){var B,$=R.header?{}:[];for(B=0;B<Oe.length;B++){var ce=B,ne=Oe[B];R.header&&(ce=B>=re.length?"__parsed_extra":re[B]),R.transform&&(ne=R.transform(ne,ce)),ne=J(ce,ne),ce==="__parsed_extra"?($[ce]=$[ce]||[],$[ce].push(ne)):$[ce]=ne}return R.header&&(B>re.length?me("FieldMismatch","TooManyFields","Too many fields: expected "+re.length+" fields but parsed "+B,j+k):B<re.length&&me("FieldMismatch","TooFewFields","Too few fields: expected "+re.length+" fields but parsed "+B,j+k)),$}var Se=1;return!te.data.length||Array.isArray(te.data[0])?(te.data=te.data.map(ee),Se=te.data.length):te.data=ee(te.data,0),R.header&&te.meta&&(te.meta.fields=re),j+=Se,te}()}function Ae(){return R.header&&re.length===0}function J(ee,Se){return Oe=ee,R.dynamicTypingFunction&&R.dynamicTyping[Oe]===void 0&&(R.dynamicTyping[Oe]=R.dynamicTypingFunction(Oe)),(R.dynamicTyping[Oe]||R.dynamicTyping)===!0?Se==="true"||Se==="TRUE"||Se!=="false"&&Se!=="FALSE"&&(function(k){if(L.test(k)){var B=parseFloat(k);if(K<B&&B<F)return!0}return!1}(Se)?parseFloat(Se):H.test(Se)?new Date(Se):Se===""?null:Se):Se;var Oe}function me(ee,Se,Oe,k){var B={type:ee,code:Se,message:Oe};k!==void 0&&(B.row=k),te.errors.push(B)}this.parse=function(ee,Se,Oe){var k=R.quoteChar||'"';if(R.newline||(R.newline=function(ce,ne){ce=ce.substring(0,1048576);var Ce=new RegExp(b(ne)+"([^]*?)"+b(ne),"gm"),we=(ce=ce.replace(Ce,"")).split("\r"),V=ce.split(`
`),_e=1<V.length&&V[0].length<we[0].length;if(we.length===1||_e)return`
`;for(var ie=0,ae=0;ae<we.length;ae++)we[ae][0]===`
`&&ie++;return ie>=we.length/2?`\r
`:"\r"}(ee,k)),U=!1,R.delimiter)O(R.delimiter)&&(R.delimiter=R.delimiter(ee),te.meta.delimiter=R.delimiter);else{var B=function(ce,ne,Ce,we,V){var _e,ie,ae,D;V=V||[","," ","|",";",l.RECORD_SEP,l.UNIT_SEP];for(var I=0;I<V.length;I++){var z=V[I],he=0,X=0,se=0;ae=void 0;for(var Re=new g({comments:we,delimiter:z,newline:ne,preview:10}).parse(ce),Te=0;Te<Re.data.length;Te++)if(Ce&&fe(Re.data[Te]))se++;else{var Me=Re.data[Te].length;X+=Me,ae!==void 0?0<Me&&(he+=Math.abs(Me-ae),ae=Me):ae=Me}0<Re.data.length&&(X/=Re.data.length-se),(ie===void 0||he<=ie)&&(D===void 0||D<X)&&1.99<X&&(ie=he,_e=z,D=X)}return{successful:!!(R.delimiter=_e),bestDelimiter:_e}}(ee,R.newline,R.skipEmptyLines,R.comments,R.delimitersToGuess);B.successful?R.delimiter=B.bestDelimiter:(U=!0,R.delimiter=l.DefaultDelimiter),te.meta.delimiter=R.delimiter}var $=C(R);return R.preview&&R.header&&$.preview++,S=ee,A=new g($),te=A.parse(S,Se,Oe),ve(),Y?{meta:{paused:!0}}:te||{meta:{paused:!1}}},this.paused=function(){return Y},this.pause=function(){Y=!0,A.abort(),S=O(R.chunk)?"":S.substring(A.getCharIndex())},this.resume=function(){G.streamer._halted?(Y=!1,G.streamer.parseChunk(S,!0)):setTimeout(G.resume,3)},this.aborted=function(){return Q},this.abort=function(){Q=!0,A.abort(),te.meta.aborted=!0,O(R.complete)&&R.complete(te),S=""}}function b(R){return R.replace(/[.*+?^${}()|[\]\\]/g,"\\$&")}function g(R){var S,A=(R=R||{}).delimiter,U=R.newline,F=R.comments,K=R.step,L=R.preview,H=R.fastMode,G=S=R.quoteChar===void 0||R.quoteChar===null?'"':R.quoteChar;if(R.escapeChar!==void 0&&(G=R.escapeChar),(typeof A!="string"||-1<l.BAD_DELIMITERS.indexOf(A))&&(A=","),F===A)throw new Error("Comment character same as delimiter");F===!0?F="#":(typeof F!="string"||-1<l.BAD_DELIMITERS.indexOf(F))&&(F=!1),U!==`
`&&U!=="\r"&&U!==`\r
`&&(U=`
`);var P=0,j=!1;this.parse=function(Y,Q,re){if(typeof Y!="string")throw new Error("Input must be a string");var te=Y.length,Z=A.length,fe=U.length,ve=F.length,Ae=O(K),J=[],me=[],ee=[],Se=P=0;if(!Y)return ze();if(R.header&&!Q){var Oe=Y.split(U)[0].split(A),k=[],B={},$=!1;for(var ce in Oe){var ne=Oe[ce];O(R.transformHeader)&&(ne=R.transformHeader(ne,ce));var Ce=ne,we=B[ne]||0;for(0<we&&($=!0,Ce=ne+"_"+we),B[ne]=we+1;k.includes(Ce);)Ce=Ce+"_"+we;k.push(Ce)}if($){var V=Y.split(U);V[0]=k.join(A),Y=V.join(U)}}if(H||H!==!1&&Y.indexOf(S)===-1){for(var _e=Y.split(U),ie=0;ie<_e.length;ie++){if(ee=_e[ie],P+=ee.length,ie!==_e.length-1)P+=U.length;else if(re)return ze();if(!F||ee.substring(0,ve)!==F){if(Ae){if(J=[],se(ee.split(A)),st(),j)return ze()}else se(ee.split(A));if(L&&L<=ie)return J=J.slice(0,L),ze(!0)}}return ze()}for(var ae=Y.indexOf(A,P),D=Y.indexOf(U,P),I=new RegExp(b(G)+b(S),"g"),z=Y.indexOf(S,P);;)if(Y[P]!==S)if(F&&ee.length===0&&Y.substring(P,P+ve)===F){if(D===-1)return ze();P=D+fe,D=Y.indexOf(U,P),ae=Y.indexOf(A,P)}else if(ae!==-1&&(ae<D||D===-1))ee.push(Y.substring(P,ae)),P=ae+Z,ae=Y.indexOf(A,P);else{if(D===-1)break;if(ee.push(Y.substring(P,D)),Me(D+fe),Ae&&(st(),j))return ze();if(L&&J.length>=L)return ze(!0)}else for(z=P,P++;;){if((z=Y.indexOf(S,z+1))===-1)return re||me.push({type:"Quotes",code:"MissingQuotes",message:"Quoted field unterminated",row:J.length,index:P}),Te();if(z===te-1)return Te(Y.substring(P,z).replace(I,S));if(S!==G||Y[z+1]!==G){if(S===G||z===0||Y[z-1]!==G){ae!==-1&&ae<z+1&&(ae=Y.indexOf(A,z+1)),D!==-1&&D<z+1&&(D=Y.indexOf(U,z+1));var he=Re(D===-1?ae:Math.min(ae,D));if(Y.substr(z+1+he,Z)===A){ee.push(Y.substring(P,z).replace(I,S)),Y[P=z+1+he+Z]!==S&&(z=Y.indexOf(S,P)),ae=Y.indexOf(A,P),D=Y.indexOf(U,P);break}var X=Re(D);if(Y.substring(z+1+X,z+1+X+fe)===U){if(ee.push(Y.substring(P,z).replace(I,S)),Me(z+1+X+fe),ae=Y.indexOf(A,P),z=Y.indexOf(S,P),Ae&&(st(),j))return ze();if(L&&J.length>=L)return ze(!0);break}me.push({type:"Quotes",code:"InvalidQuotes",message:"Trailing quote on quoted field is malformed",row:J.length,index:P}),z++}}else z++}return Te();function se(De){J.push(De),Se=P}function Re(De){var lt=0;if(De!==-1){var Qe=Y.substring(z+1,De);Qe&&Qe.trim()===""&&(lt=Qe.length)}return lt}function Te(De){return re||(De===void 0&&(De=Y.substring(P)),ee.push(De),P=te,se(ee),Ae&&st()),ze()}function Me(De){P=De,se(ee),ee=[],D=Y.indexOf(U,P)}function ze(De){return{data:J,errors:me,meta:{delimiter:A,linebreak:U,aborted:j,truncated:!!De,cursor:Se+(Q||0)}}}function st(){K(ze()),J=[],me=[]}},this.abort=function(){j=!0},this.getCharIndex=function(){return P}}function v(R){var S=R.data,A=o[S.workerId],U=!1;if(S.error)A.userError(S.error,S.file);else if(S.results&&S.results.data){var F={abort:function(){U=!0,y(S.workerId,{data:[],errors:[],meta:{aborted:!0}})},pause:T,resume:T};if(O(A.userStep)){for(var K=0;K<S.results.data.length&&(A.userStep({data:S.results.data[K],errors:S.results.errors,meta:S.results.meta},F),!U);K++);delete S.results}else O(A.userChunk)&&(A.userChunk(S.results,F,S.file),delete S.results)}S.finished&&!U&&y(S.workerId,S.results)}function y(R,S){var A=o[R];O(A.userComplete)&&A.userComplete(S),A.terminate(),delete o[R]}function T(){throw new Error("Not implemented.")}function C(R){if(typeof R!="object"||R===null)return R;var S=Array.isArray(R)?[]:{};for(var A in R)S[A]=C(R[A]);return S}function x(R,S){return function(){R.apply(S,arguments)}}function O(R){return typeof R=="function"}return r&&(i.onmessage=function(R){var S=R.data;if(l.WORKER_ID===void 0&&S&&(l.WORKER_ID=S.workerId),typeof S.input=="string")i.postMessage({workerId:l.WORKER_ID,results:l.parse(S.input,S.config),finished:!0});else if(i.File&&S.input instanceof File||S.input instanceof Object){var A=l.parse(S.input,S.config);A&&i.postMessage({workerId:l.WORKER_ID,results:A,finished:!0})}}),(_.prototype=Object.create(c.prototype)).constructor=_,(f.prototype=Object.create(c.prototype)).constructor=f,(m.prototype=Object.create(m.prototype)).constructor=m,(h.prototype=Object.create(c.prototype)).constructor=h,l})})(hO);var vtt=hO.exports;const ytt=Ys(vtt);const Stt={components:{Card:vc},name:"HelpPage",data(){return{lollmsVersion:"unknown",faqs:[],githubLink:"https://github.com/ParisNeo/lollms-webui"}},mounted(){this.loadFAQs()},computed:{version:{get(){return this.$store.version}}},async created(){},methods:{async api_get_req(n){try{const e=await Le.get("/"+n);if(e)return e.data}catch(e){console.log(e.message,"api_get_req");return}},loadFAQs(){fetch("/help/faqs.csv").then(n=>n.text()).then(n=>{const{data:e}=ytt.parse(n,{header:!0});console.log("Recovered data"),console.log(e),this.faqs=e}).catch(n=>{console.error("Error loading FAQs:",n)})},parseMultiline(n){return n.replace(/\n/g,"<br>")}}},Ws=n=>(wr("data-v-b19a05a8"),n=n(),Nr(),n),Ttt={class:"container flex-row mx-auto p-4 bg-bg-light-tone dark:bg-bg-dark-tone shadow-lg overflow-y-auto no-scrollbar p-2 pb-0 grid lg:grid-cols-1 md:grid-cols-2 gap-4"},xtt=Ws(()=>u("h2",{class:"text-2xl font-bold mb-2"},"About Lord of large Language Models",-1)),Ctt={class:"mb-4"},Rtt=Ws(()=>u("p",null,[Ze("Discord link: "),u("a",{class:"text-blue-500 hover:text-blue-400 duration-150",href:"https://discord.gg/YgnaFMAQ"},"https://discord.gg/YgnaFMAQ")],-1)),Att=Ws(()=>u("h2",{class:"text-2xl font-bold mb-2"},"Frequently Asked Questions",-1)),wtt={class:"list-disc pl-4"},Ntt={class:"text-xl font-bold mb-1"},Ott=["innerHTML"],Itt=Ws(()=>u("h2",{class:"text-2xl font-bold mb-2"},"Contact Us",-1)),Mtt=Ws(()=>u("p",{class:"mb-4"},"If you have any further questions or need assistance, feel free to reach out to me.",-1)),Dtt=Ws(()=>u("p",null,[Ze("Discord link: "),u("a",{class:"text-blue-500 hover:text-blue-400 duration-150",href:"https://discord.gg/YgnaFMAQ"},"https://discord.gg/YgnaFMAQ")],-1)),ktt=Ws(()=>u("h2",{class:"text-2xl font-bold mb-2"},"Credits",-1)),Ltt=Ws(()=>u("p",{class:"mb-4"},[Ze("This project is developed by "),u("span",{class:"font-bold"},"ParisNeo"),Ze(" With help from the community.")],-1)),Ptt=Ws(()=>u("p",{class:"mb-4"},[u("span",{class:"font-bold"},[u("a",{href:"https://github.com/ParisNeo/lollms-webui/graphs/contributors"},"Check out the full list of developers here and show them some love.")])],-1)),Utt=["href"];function Ftt(n,e,t,i,s,r){const o=mt("Card");return N(),M("div",Ttt,[Ie(o,{disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[xtt,u("p",Ctt," Lollms version "+ge(r.version),1),Rtt]),_:1}),Ie(o,{disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[Att,u("ul",wtt,[(N(!0),M($e,null,dt(s.faqs,(a,l)=>(N(),M("li",{key:l},[u("h3",Ntt,ge(a.question),1),u("p",{class:"mb-4",innerHTML:r.parseMultiline(a.answer)},null,8,Ott)]))),128))])]),_:1}),Ie(o,{disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[Itt,Mtt,Dtt]),_:1}),Ie(o,{disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[ktt,Ltt,Ptt,u("p",null,[Ze("Check out the project on "),u("a",{class:"text-blue-500 hover:text-blue-400 duration-150",href:s.githubLink,target:"_blank",rel:"noopener noreferrer"},"GitHub",8,Utt),Ze(".")])]),_:1})])}const Btt=bt(Stt,[["render",Ftt],["__scopeId","data-v-b19a05a8"]]);function ss(n,e=!0,t=1){const i=e?1e3:1024;if(Math.abs(n)<i)return n+" B";const s=e?["kB","MB","GB","TB","PB","EB","ZB","YB"]:["KiB","MiB","GiB","TiB","PiB","EiB","ZiB","YiB"];let r=-1;const o=10**t;do n/=i,++r;while(Math.round(Math.abs(n)*o)/o>=i&&r<s.length-1);return n.toFixed(t)+" "+s[r]}const Li="/assets/logo-023c77a1.png";const Gtt={props:{title:{type:String,required:!1,value:"menu"},icon:{type:String,required:!1,value:"feather:menu"},commands:{type:Array,required:!0},force_position:{required:!1,value:0},execute_cmd:{type:Function,required:!1},menuIconClass:{type:String,required:!1,default:""},selected_entry:{type:String,required:!1}},data(){return{isMenuOpen:!1,menuPosition:{bottom:"auto",top:"calc(100% + 10px)"}}},methods:{handleClickOutside(n){const e=this.$refs.menu,t=this.$refs.menuButton;e&&!e.contains(n.target)&&!t.contains(n.target)&&(this.isMenuOpen=!1,window.removeEventListener("click",this.handleClickOutside))},toggleMenu(){this.positionMenu(),this.isMenuOpen=!this.isMenuOpen,this.isMenuOpen?window.addEventListener("click",this.handleClickOutside):window.removeEventListener("click",this.handleClickOutside),Ve(()=>{qe.replace()})},executeCommand(n){this.isMenuOpen=!1,console.log("Selected"),console.log(n.value),typeof n.value=="function"&&(console.log("Command detected",n),n.value()),this.execute_cmd&&(console.log("executing generic command"),this.execute_cmd(n))},positionMenu(){var n;if(this.$refs.menuButton!=null){if(this.force_position==0||this.force_position==null){const e=this.$refs.menuButton.getBoundingClientRect(),t=window.innerHeight;n=e.bottom>t/2}else this.force_position==1?n=!0:n=!1;this.menuPosition.top=n?"auto":"calc(100% + 10px)",this.menuPosition.bottom=n?"100%":"auto"}}},mounted(){window.addEventListener("resize",this.positionMenu),this.positionMenu(),Ve(()=>{qe.replace()})},beforeDestroy(){window.removeEventListener("resize",this.positionMenu)},watch:{isMenuOpen:"positionMenu"}},ztt={class:"menu-container"},Vtt=["title"],Htt=["src"],qtt=["data-feather"],Ytt={key:2,class:"w-5 h-5"},$tt={key:3,"data-feather":"menu"},Wtt={class:"flex-grow menu-ul"},Ktt=["onClick"],jtt={key:0,"data-feather":"check"},Qtt=["src","alt"],Xtt=["data-feather"],Ztt={key:3,class:"menu-icon"};function Jtt(n,e,t,i,s,r){return N(),M("div",ztt,[u("button",{onClick:e[0]||(e[0]=xe((...o)=>r.toggleMenu&&r.toggleMenu(...o),["prevent"])),title:t.title,class:Ye([t.menuIconClass,"menu-button m-0 p-0 bg-blue-500 text-white dark:bg-blue-200 dark:text-gray-800 rounded flex items-center justify-center w-6 h-6 border-none cursor-pointer hover:bg-blue-400 w-8 h-8 object-fill text-red-700 border-2 active:scale-90 hover:z-20 hover:-translate-y-2 duration-150 border-gray-300 border-secondary cursor-pointer"]),ref:"menuButton"},[t.icon&&!t.icon.includes("#")&&!t.icon.includes("feather")?(N(),M("img",{key:0,src:t.icon,class:"w-5 h-5 p-0 m-0 shadow-lg bold"},null,8,Htt)):t.icon&&t.icon.includes("feather")?(N(),M("i",{key:1,"data-feather":t.icon.split(":")[1],class:"w-5 h-5"},null,8,qtt)):t.icon&&t.icon.includes("#")?(N(),M("p",Ytt,ge(t.icon.split("#")[1]),1)):(N(),M("i",$tt))],10,Vtt),Ie(as,{name:"slide"},{default:tt(()=>[s.isMenuOpen?(N(),M("div",{key:0,class:"menu-list flex-grow",style:Jt(s.menuPosition),ref:"menu"},[u("ul",Wtt,[(N(!0),M($e,null,dt(t.commands,(o,a)=>(N(),M("li",{key:a,onClick:xe(l=>r.executeCommand(o),["prevent"]),class:"menu-command menu-li flex-grow hover:bg-blue-400"},[t.selected_entry==o.name?(N(),M("i",jtt)):o.icon&&!o.icon.includes("feather")&&!o.is_file?(N(),M("img",{key:1,src:o.icon,alt:o.name,class:"menu-icon"},null,8,Qtt)):q("",!0),o.icon&&o.icon.includes("feather")&&!o.is_file?(N(),M("i",{key:2,"data-feather":o.icon.split(":")[1],class:"mr-2"},null,8,Xtt)):(N(),M("span",Ztt)),u("span",null,ge(o.name),1)],8,Ktt))),128))])],4)):q("",!0)]),_:1})])}const lp=bt(Gtt,[["render",Jtt]]),ent={components:{InteractiveMenu:lp},props:{isInstalled:Boolean,onInstall:Function,onCancelInstall:Function,onUninstall:Function,onSelected:Function,onCopy:Function,onCopyLink:Function,selected:Boolean,model:Object,model_type:String},data(){return{progress:0,speed:0,total_size:0,downloaded_size:0,start_time:"",installing:!1,uninstalling:!1,failedToLoad:!1,linkNotValid:!1,selected_variant:""}},async mounted(){Ve(()=>{qe.replace()})},methods:{formatFileSize(n){return n<1024?n+" bytes":n<1024*1024?(n/1024).toFixed(2)+" KB":n<1024*1024*1024?(n/(1024*1024)).toFixed(2)+" MB":(n/(1024*1024*1024)).toFixed(2)+" GB"},computedFileSize(n){return ss(n)},getImgUrl(){return this.model.icon==null||this.model.icon==="/images/default_model.png"?Li:this.model.icon},defaultImg(n){n.target.src=Li},install(){this.onInstall(this)},uninstall(){this.isInstalled&&this.onUninstall(this)},toggleInstall(){this.isInstalled?(this.uninstalling=!0,this.onUninstall(this)):this.onInstall(this)},toggleSelected(n){if(console.log("event.target.tagName.toLowerCase()"),console.log(n.target.tagName.toLowerCase()),n.target.tagName.toLowerCase()==="button"||n.target.tagName.toLowerCase()==="svg"){n.stopPropagation();return}this.onSelected(this),this.model.selected=!0,Ve(()=>{qe.replace()})},toggleCopy(){this.onCopy(this)},toggleCopyLink(){this.onCopyLink(this)},toggleCancelInstall(){this.onCancelInstall(this),this.installing=!1},handleSelection(){this.isInstalled&&!this.selected&&this.onSelected(this)},copyContentToClipboard(){this.$emit("copy","this.message.content")}},computed:{computed_classes(){return this.model.isInstalled?this.selected?"border-4 border-gray-200 bg-primary cursor-pointer":"border-0 border-primary bg-primary cursor-pointer":"border-transparent"},commandsList(){let n=[{name:this.model.isInstalled?"Install Extra":"Install",icon:"feather:settings",is_file:!1,value:this.install},{name:"Copy model info to clipboard",icon:"feather:settings",is_file:!1,value:this.toggleCopy}];return this.model.isInstalled&&n.push({name:"UnInstall",icon:"feather:settings",is_file:!1,value:this.uninstall}),this.selected&&n.push({name:"Reload",icon:"feather:refresh-ccw",is_file:!1,value:this.toggleSelected}),n},selected_computed(){return this.selected},fileSize:{get(){if(this.model&&this.model.variants&&this.model.variants.length>0){const n=this.model.variants[0].size;return this.formatFileSize(n)}return null}},speed_computed(){return ss(this.speed)},total_size_computed(){return ss(this.total_size)},downloaded_size_computed(){return ss(this.downloaded_size)}},watch:{linkNotValid(){Ve(()=>{qe.replace()})}}},tnt=["title"],nnt={key:0,class:"flex flex-row"},int={class:"max-w-[300px] overflow-x-auto"},snt={class:"flex gap-3 items-center grow"},rnt=["href"],ont=["src"],ant={class:"flex-1 overflow-hidden"},lnt={class:"font-bold font-large text-lg truncate"},cnt={key:1,class:"flex items-center flex-row gap-2 my-1"},dnt={class:"flex grow items-center"},unt=u("i",{"data-feather":"box",class:"w-5"},null,-1),pnt=u("span",{class:"sr-only"},"Custom model / local model",-1),_nt=[unt,pnt],hnt=u("span",{class:"sr-only"},"Remove",-1),fnt={key:2,class:"absolute z-10 -m-4 p-5 shadow-md text-center rounded-lg w-full h-full bg-bg-light-tone-panel dark:bg-bg-dark-tone-panel bg-opacity-70 dark:bg-opacity-70 flex justify-center items-center"},mnt={class:"relative flex flex-col items-center justify-center flex-grow h-full"},gnt=u("div",{role:"status",class:"justify-center"},[u("svg",{"aria-hidden":"true",class:"w-24 h-24 mr-2 text-gray-200 animate-spin dark:text-gray-600 fill-blue-600",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})]),u("span",{class:"sr-only"},"Loading...")],-1),bnt={class:"relative flex flex-row flex-grow items-center w-full h-full bottom-0"},Ent={class:"w-full bg-bg-light-tone-panel dark:bg-bg-dark-tone-panel rounded-lg p-2"},vnt={class:"flex justify-between mb-1"},ynt=u("span",{class:"text-base font-medium text-blue-700 dark:text-white"},"Downloading",-1),Snt={class:"text-sm font-medium text-blue-700 dark:text-white"},Tnt={class:"w-full bg-gray-200 rounded-full h-2.5 dark:bg-gray-700"},xnt={class:"flex justify-between mb-1"},Cnt={class:"text-base font-medium text-blue-700 dark:text-white"},Rnt={class:"text-sm font-medium text-blue-700 dark:text-white"},Ant={class:"flex flex-grow"},wnt={class:"flex flex-row flex-grow gap-3"},Nnt={class:"p-2 text-center grow"},Ont={key:3},Int={class:"flex flex-row items-center gap-3"},Mnt=["src"],Dnt={class:"font-bold font-large text-lg truncate"},knt=u("div",{class:"grow"},null,-1),Lnt={class:"flex items-center flex-row-reverse gap-2 my-1"},Pnt={class:"flex flex-row items-center"},Unt={key:0,class:"text-base text-red-600 flex items-center mt-1"},Fnt=u("i",{"data-feather":"alert-triangle",class:"flex-shrink-0 mx-1"},null,-1),Bnt=["title"],Gnt={class:""},znt={class:"flex flex-row items-center"},Vnt=u("i",{"data-feather":"download",class:"w-5 m-1 flex-shrink-0"},null,-1),Hnt=u("b",null,"Card: ",-1),qnt=["href","title"],Ynt=u("div",{class:"grow"},null,-1),$nt=u("i",{"data-feather":"clipboard",class:"w-5"},null,-1),Wnt=[$nt],Knt={class:"flex items-center"},jnt=u("i",{"data-feather":"file",class:"w-5 m-1"},null,-1),Qnt=u("b",null,"File size: ",-1),Xnt={class:"flex items-center"},Znt=u("i",{"data-feather":"key",class:"w-5 m-1"},null,-1),Jnt=u("b",null,"License: ",-1),eit={key:0,class:"flex items-center"},tit=u("i",{"data-feather":"user",class:"w-5 m-1"},null,-1),nit=u("b",null,"quantizer: ",-1),iit=["href"],sit={class:"flex items-center"},rit=u("i",{"data-feather":"user",class:"w-5 m-1"},null,-1),oit=u("b",null,"Model creator: ",-1),ait=["href"],lit={class:"flex items-center"},cit=u("i",{"data-feather":"clock",class:"w-5 m-1"},null,-1),dit=u("b",null,"Release date: ",-1),uit={class:"flex items-center"},pit=u("i",{"data-feather":"grid",class:"w-5 m-1"},null,-1),_it=u("b",null,"Category: ",-1),hit=["href"];function fit(n,e,t,i,s,r){const o=mt("InteractiveMenu");return N(),M("div",{class:Ye(["relative items-start p-4 hover:bg-primary-light rounded-lg mb-2 shadow-lg border-2 select-none",r.computed_classes]),title:t.model.name,onClick:e[10]||(e[10]=xe(a=>r.toggleSelected(a),["prevent"]))},[t.model.isCustomModel?(N(),M("div",nnt,[u("div",int,[u("div",snt,[u("a",{href:t.model.model_creator_link,target:"_blank"},[u("img",{src:r.getImgUrl(),onError:e[0]||(e[0]=a=>r.defaultImg(a)),class:"w-10 h-10 rounded-lg object-fill"},null,40,ont)],8,rnt),u("div",ant,[u("h3",lnt,ge(t.model.name),1)])])])])):q("",!0),t.model.isCustomModel?(N(),M("div",cnt,[u("div",dnt,[u("button",{type:"button",title:"Custom model / local model",class:"font-medium rounded-lg text-sm p-2 text-center inline-flex items-center",onClick:e[1]||(e[1]=xe(()=>{},["stop"]))},_nt),Ze(" Custom model ")]),u("div",null,[t.model.isInstalled?(N(),M("button",{key:0,title:"Delete file from disk",type:"button",onClick:e[2]||(e[2]=xe((...a)=>r.uninstall&&r.uninstall(...a),["stop"])),class:"inline-flex items-center gap-2 px-3 py-2 text-xs font-medium text-center focus:outline-none text-white bg-red-700 hover:bg-red-800 focus:ring-4 focus:ring-red-300 rounded-lg dark:bg-red-600 dark:hover:bg-red-700 dark:focus:ring-red-900"},[Ze(" Uninstall "),hnt])):q("",!0)])])):q("",!0),s.installing?(N(),M("div",fnt,[u("div",mnt,[gnt,u("div",bnt,[u("div",Ent,[u("div",vnt,[ynt,u("span",Snt,ge(Math.floor(s.progress))+"%",1)]),u("div",Tnt,[u("div",{class:"bg-blue-600 h-2.5 rounded-full",style:Jt({width:s.progress+"%"})},null,4)]),u("div",xnt,[u("span",Cnt,"Download speed: "+ge(r.speed_computed)+"/s",1),u("span",Rnt,ge(r.downloaded_size_computed)+"/"+ge(r.total_size_computed),1)])])]),u("div",Ant,[u("div",wnt,[u("div",Nnt,[u("button",{onClick:e[3]||(e[3]=xe((...a)=>r.toggleCancelInstall&&r.toggleCancelInstall(...a),["stop"])),type:"button",title:"Cancel download",class:"text-gray-500 bg-white hover:bg-gray-100 focus:ring-4 focus:outline-none focus:ring-gray-200 rounded-lg border border-gray-200 text-sm font-medium px-5 py-2.5 hover:text-gray-900 focus:z-10 dark:bg-gray-700 dark:text-gray-300 dark:border-gray-500 dark:hover:text-white dark:hover:bg-gray-600 dark:focus:ring-gray-600"}," Cancel ")])])])])])):q("",!0),t.model.isCustomModel?q("",!0):(N(),M("div",Ont,[u("div",Int,[u("img",{ref:"imgElement",src:r.getImgUrl(),onError:e[4]||(e[4]=a=>r.defaultImg(a)),class:Ye(["w-10 h-10 rounded-lg object-fill",s.linkNotValid?"grayscale":""])},null,42,Mnt),u("h3",Dnt,ge(t.model.name),1),knt,Ie(o,{commands:r.commandsList,force_position:2,title:"Menu"},null,8,["commands"])]),u("div",Lnt,[u("div",Pnt,[s.linkNotValid?(N(),M("div",Unt,[Fnt,Ze(" Link is not valid ")])):q("",!0)])]),u("div",{class:"",title:t.model.isInstalled?t.model.name:"Not installed"},[u("div",Gnt,[u("div",znt,[Vnt,Hnt,u("a",{href:"https://huggingface.co/"+t.model.quantizer+"/"+t.model.name,target:"_blank",onClick:e[5]||(e[5]=xe(()=>{},["stop"])),class:"m-1 flex items-center hover:text-secondary duration-75 active:scale-90 truncate",title:s.linkNotValid?"Link is not valid":"Download this manually (faster) and put it in the models/<current binding> folder then refresh"}," View full model card ",8,qnt),Ynt,u("button",{class:"hover:text-secondary duration-75 active:scale-90 font-medium rounded-lg text-sm p-2 text-center inline-flex items-center",title:"Copy link to clipboard",onClick:e[6]||(e[6]=xe(a=>r.toggleCopyLink(),["stop"]))},Wnt)]),u("div",Knt,[u("div",{class:Ye(["flex flex-shrink-0 items-center",s.linkNotValid?"text-red-600":""])},[jnt,Qnt,Ze(" "+ge(r.fileSize),1)],2)]),u("div",Xnt,[Znt,Jnt,Ze(" "+ge(t.model.license),1)]),t.model.quantizer!="None"&&t.model.type!="transformers"?(N(),M("div",eit,[tit,nit,u("a",{href:"https://huggingface.co/"+t.model.quantizer,target:"_blank",rel:"noopener noreferrer",onClick:e[7]||(e[7]=xe(()=>{},["stop"])),class:"flex hover:text-secondary duration-75 active:scale-90",title:"quantizer's profile"},ge(t.model.quantizer),9,iit)])):q("",!0),u("div",sit,[rit,oit,u("a",{href:t.model.model_creator_link,target:"_blank",rel:"noopener noreferrer",onClick:e[8]||(e[8]=xe(()=>{},["stop"])),class:"flex hover:text-secondary duration-75 active:scale-90",title:"quantizer's profile"},ge(t.model.model_creator),9,ait)]),u("div",lit,[cit,dit,Ze(" "+ge(t.model.last_commit_time),1)]),u("div",uit,[pit,_it,u("a",{href:"https://huggingface.co/"+t.model.model_creator,target:"_blank",rel:"noopener noreferrer",onClick:e[9]||(e[9]=xe(()=>{},["stop"])),class:"flex hover:text-secondary duration-75 active:scale-90",title:"quantizer's profile"},ge(t.model.category),9,hit)])])],8,Bnt)]))],10,tnt)}const mit=bt(ent,[["render",fit]]),git={data(){return{editMode:!1,avatar:"path/to/avatar.jpg",personalityName:"Personality Name",personalityAuthor:"Author Name",personalityDescription:"Personality Description",personalityCategory:"Category",disclaimer:"Disclaimer text",conditioningText:"Conditioning Text",aiPrefix:"AI Prefix",userPrefix:"User Prefix",antipromptsList:[{id:1,text:"Antiprompt 1"},{id:2,text:"Antiprompt 2"},{id:3,text:"Antiprompt 3"}]}},methods:{commitChanges(){console.log("Personality changes committed"),this.editMode=!1}}},bit={class:"p-4"},Eit={class:"flex items-center mb-4"},vit=["src"],yit={class:"text-lg font-semibold"},Sit=u("strong",null,"Author:",-1),Tit=u("strong",null,"Description:",-1),xit=u("strong",null,"Category:",-1),Cit={key:0},Rit=u("strong",null,"Disclaimer:",-1),Ait=u("strong",null,"Conditioning Text:",-1),wit=u("strong",null,"AI Prefix:",-1),Nit=u("strong",null,"User Prefix:",-1),Oit=u("strong",null,"Antiprompts:",-1);function Iit(n,e,t,i,s,r){return N(),M("div",bit,[u("div",Eit,[u("img",{src:s.avatar,class:"w-12 h-12 rounded-full mr-2",alt:"Avatar"},null,8,vit),u("h2",yit,ge(s.personalityName),1)]),u("p",null,[Sit,Ze(" "+ge(s.personalityAuthor),1)]),u("p",null,[Tit,Ze(" "+ge(s.personalityDescription),1)]),u("p",null,[xit,Ze(" "+ge(s.personalityCategory),1)]),s.disclaimer?(N(),M("p",Cit,[Rit,Ze(" "+ge(s.disclaimer),1)])):q("",!0),u("p",null,[Ait,Ze(" "+ge(s.conditioningText),1)]),u("p",null,[wit,Ze(" "+ge(s.aiPrefix),1)]),u("p",null,[Nit,Ze(" "+ge(s.userPrefix),1)]),u("div",null,[Oit,u("ul",null,[(N(!0),M($e,null,dt(s.antipromptsList,o=>(N(),M("li",{key:o.id},ge(o.text),1))),128))])]),u("button",{onClick:e[0]||(e[0]=o=>s.editMode=!0),class:"mt-4 bg-blue-500 text-white px-4 py-2 rounded"}," Edit "),s.editMode?(N(),M("button",{key:1,onClick:e[1]||(e[1]=(...o)=>r.commitChanges&&r.commitChanges(...o)),class:"mt-4 bg-green-500 text-white px-4 py-2 rounded"}," Commit ")):q("",!0)])}const Mit=bt(git,[["render",Iit]]),yc="/assets/logo-9d653710.svg",Dit="/",kit={props:{personality:{},select_language:Boolean,selected:Boolean,full_path:String,onTalk:Function,onSelected:Function,onMount:Function,onUnMount:Function,onRemount:Function,onCopyToCustom:Function,onEdit:Function,onReinstall:Function,onSettings:Function,onCopyPersonalityName:Function},components:{InteractiveMenu:lp},data(){return{isMounted:!1,name:this.personality.name}},computed:{commandsList(){let n=[{name:this.isMounted?"unmount":"mount",icon:"feather:settings",is_file:!1,value:this.isMounted?this.unmount:this.mount},{name:"reinstall",icon:"feather:terminal",is_file:!1,value:this.toggleReinstall}];return console.log("this.category",this.personality.category),this.personality.category=="custom_personalities"?n.push({name:"edit",icon:"feather:settings",is_file:!1,value:this.edit}):n.push({name:"Copy to custom personas folder for editing",icon:"feather:copy",is_file:!1,value:this.copyToCustom}),this.isMounted&&n.push({name:"remount",icon:"feather:refresh-ccw",is_file:!1,value:this.reMount}),this.selected&&this.personality.has_scripts&&n.push({name:"settings",icon:"feather:settings",is_file:!1,value:this.toggleSettings}),n},selected_computed(){return this.selected}},mounted(){this.isMounted=this.personality.isMounted,Ve(()=>{qe.replace()})},methods:{getImgUrl(){return Dit+this.personality.avatar},defaultImg(n){n.target.src=yc},toggleTalk(){this.onTalk(this)},toggleCopyLink(){this.onCopyPersonalityName(this)},toggleSelected(){this.isMounted&&this.onSelected(this)},edit(){this.onEdit(this)},copyToCustom(){this.onCopyToCustom(this)},reMount(){this.onRemount(this)},mount(){console.log("Mounting"),this.onMount(this)},unmount(){console.log("Unmounting"),console.log(this.onUnMount),this.onUnMount(this),this.isMounted=!1},toggleSettings(){this.onSettings(this)},toggleReinstall(){this.onReinstall(this)}},watch:{selected(){Ve(()=>{qe.replace()})}}},Lit=["title"],Pit={class:"flex flex-row items-center flex-shrink-0 gap-3"},Uit=["src"],Fit=u("i",{"data-feather":"clipboard",class:"w-5"},null,-1),Bit=[Fit],Git={class:""},zit={class:""},Vit={class:"flex items-center"},Hit=u("i",{"data-feather":"user",class:"w-5 m-1"},null,-1),qit=u("b",null,"Author: ",-1),Yit={class:"flex items-center"},$it=u("i",{"data-feather":"git-commit",class:"w-5 m-1"},null,-1),Wit=u("b",null,"Version: ",-1),Kit={key:0,class:"flex items-center"},jit=u("i",{"data-feather":"globe",class:"w-5 m-1"},null,-1),Qit=u("b",null,"Languages: ",-1),Xit=["selected"],Zit={key:1,class:"flex items-center"},Jit=u("i",{"data-feather":"globe",class:"w-5 m-1"},null,-1),est=u("b",null,"Language: ",-1),tst={class:"flex items-center"},nst=u("i",{"data-feather":"bookmark",class:"w-5 m-1"},null,-1),ist=u("b",null,"Category: ",-1),sst=u("div",{class:"flex items-center"},[u("i",{"data-feather":"info",class:"w-5 m-1"}),u("b",null,"Description: "),u("br")],-1),rst=["title","innerHTML"],ost={class:"rounded bg-blue-300"},ast=u("i",{"data-feather":"check"},null,-1),lst=u("span",{class:"sr-only"},"Select",-1),cst=[ast,lst],dst=u("i",{"data-feather":"send",class:"w-5"},null,-1),ust=u("span",{class:"sr-only"},"Talk",-1),pst=[dst,ust];function _st(n,e,t,i,s,r){const o=mt("InteractiveMenu");return N(),M("div",{class:Ye(["min-w-96 items-start p-4 hover:bg-primary-light rounded-lg mb-2 shadow-lg border-2 cursor-pointer select-none",r.selected_computed?"border-2 border-primary-light":"border-transparent",s.isMounted?"bg-blue-200 dark:bg-blue-700":""]),tabindex:"-1",title:t.personality.installed?"":"Not installed"},[u("div",{class:Ye(t.personality.installed?"":"border-red-500")},[u("div",Pit,[u("img",{onClick:e[0]||(e[0]=(...a)=>r.toggleSelected&&r.toggleSelected(...a)),ref:"imgElement",src:r.getImgUrl(),onError:e[1]||(e[1]=a=>r.defaultImg(a)),class:"w-10 h-10 rounded-full object-fill text-red-700 cursor-pointer"},null,40,Uit),u("h3",{onClick:e[2]||(e[2]=(...a)=>r.toggleSelected&&r.toggleSelected(...a)),class:"font-bold font-large text-lg line-clamp-3 cursor-pointer"},ge(t.personality.name),1),u("button",{class:"hover:text-secondary duration-75 active:scale-90 font-medium rounded-lg text-sm p-2 text-center inline-flex items-center",title:"Copy link to clipboard",onClick:e[3]||(e[3]=xe(a=>r.toggleCopyLink(),["stop"]))},Bit)]),u("div",Git,[u("div",zit,[u("div",Vit,[Hit,qit,Ze(" "+ge(t.personality.author),1)]),u("div",Yit,[$it,Wit,Ze(" "+ge(t.personality.version),1)]),t.personality.languages&&t.select_language?(N(),M("div",Kit,[jit,Qit,s.isMounted?q("",!0):le((N(),M("select",{key:0,id:"languages","onUpdate:modelValue":e[4]||(e[4]=a=>t.personality.language=a),class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},[(N(!0),M($e,null,dt(t.personality.languages,(a,l)=>(N(),M("option",{key:l,selected:a==t.personality.languages[0]},ge(a),9,Xit))),128))],512)),[[zn,t.personality.language]])])):q("",!0),t.personality.language?(N(),M("div",Zit,[Jit,est,Ze(" "+ge(t.personality.language),1)])):q("",!0),u("div",tst,[nst,ist,Ze(" "+ge(t.personality.category),1)])]),sst,u("p",{class:"mx-1 opacity-80 h-20 overflow-y-auto scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary",title:t.personality.description,innerHTML:t.personality.description},null,8,rst)]),u("div",ost,[s.isMounted?(N(),M("button",{key:0,type:"button",title:"Select",onClick:[e[5]||(e[5]=(...a)=>r.toggleSelected&&r.toggleSelected(...a)),e[6]||(e[6]=xe(()=>{},["stop"]))],class:"hover:text-secondary duration-75 active:scale-90 font-medium rounded-lg text-sm p-2 text-center inline-flex items-center"},cst)):q("",!0),s.isMounted?(N(),M("button",{key:1,type:"button",title:"Talk",onClick:[e[7]||(e[7]=(...a)=>r.toggleTalk&&r.toggleTalk(...a)),e[8]||(e[8]=xe(()=>{},["stop"]))],class:"hover:text-secondary duration-75 active:scale-90 font-medium rounded-lg text-sm p-2 text-center inline-flex items-center"},pst)):q("",!0),Ie(o,{commands:r.commandsList,force_position:2,title:"Menu"},null,8,["commands"])])],2)],10,Lit)}const fO=bt(kit,[["render",_st]]);const hst={props:{code:String},data(){return{evaluatedCode:"",componentKey:0}},watch:{code:{handler(n){console.log("Code changed"),this.evaluateScriptTags(n),this.componentKey++},immediate:!0}},methods:{evaluateScriptTags(n){const e=document.createElement("div");e.innerHTML=n,e.querySelectorAll("script").forEach(i=>{const s=document.createElement("script");s.textContent=i.textContent,document.body.appendChild(s),document.body.removeChild(s)}),this.evaluatedCode=e.innerHTML,console.log("evaluated code: "+this.evaluatedCode)}}},fst=["innerHTML"];function mst(n,e,t,i,s,r){return N(),M("div",{innerHTML:s.evaluatedCode,key:s.componentKey},null,8,fst)}const mO=bt(hst,[["render",mst]]),gst="/",bst={components:{DynamicUIRenderer:mO},props:{binding:{},onSelected:Function,onReinstall:Function,onInstall:Function,onUnInstall:Function,onSettings:Function,onReloadBinding:Function,selected:Boolean},data(){return{isTemplate:!1}},mounted(){Ve(()=>{qe.replace()})},methods:{getImgUrl(){return gst+this.binding.icon},defaultImg(n){n.target.src=yc},toggleSelected(){this.onSelected(this)},toggleInstall(){this.onInstall(this)},toggleUnInstall(){this.onUnInstall(this)},toggleReinstall(){this.onReinstall(this)},toggleReloadBinding(){this.onReloadBinding(this)},toggleSettings(){this.onSettings(this)},getStatus(){(this.binding.folder==="backend_template"||this.binding.folder==="binding_template")&&(this.isTemplate=!0)}},watch:{selected(){Ve(()=>{qe.replace()})}}},Est=["title"],vst={class:"flex flex-row items-center gap-3"},yst=["src"],Sst={class:"font-bold font-large text-lg truncate"},Tst=u("div",{class:"grow"},null,-1),xst={class:"flex-none gap-1"},Cst=u("i",{"data-feather":"refresh-cw",class:"w-5"},null,-1),Rst=u("span",{class:"sr-only"},"Help",-1),Ast=[Cst,Rst],wst={class:"flex items-center flex-row-reverse gap-2 my-1"},Nst=u("span",{class:"sr-only"},"Click to install",-1),Ost=u("span",{class:"sr-only"},"Reinstall",-1),Ist=u("span",{class:"sr-only"},"UnInstall",-1),Mst=u("span",{class:"sr-only"},"Settings",-1),Dst={class:""},kst={class:""},Lst={class:"flex items-center"},Pst=u("i",{"data-feather":"user",class:"w-5 m-1"},null,-1),Ust=u("b",null,"Author: ",-1),Fst={class:"flex items-center"},Bst=u("i",{"data-feather":"folder",class:"w-5 m-1"},null,-1),Gst=u("b",null,"Folder: ",-1),zst={class:"flex items-center"},Vst=u("i",{"data-feather":"git-merge",class:"w-5 m-1"},null,-1),Hst=u("b",null,"Version: ",-1),qst={class:"flex items-center"},Yst=u("i",{"data-feather":"github",class:"w-5 m-1"},null,-1),$st=u("b",null,"Link: ",-1),Wst=["href"],Kst=u("div",{class:"flex items-center"},[u("i",{"data-feather":"info",class:"w-5 m-1"}),u("b",null,"Description: "),u("br")],-1),jst=["title","innerHTML"];function Qst(n,e,t,i,s,r){const o=mt("DynamicUIRenderer");return N(),M("div",{class:Ye(["items-start p-4 hover:bg-primary-light hover:border-primary-light rounded-lg mb-2 shadow-lg border-2 cursor-pointer select-none",t.selected?" border-primary bg-primary":"border-transparent"]),onClick:e[7]||(e[7]=xe((...a)=>r.toggleSelected&&r.toggleSelected(...a),["stop"])),title:t.binding.installed?t.binding.name:"Not installed"},[u("div",null,[u("div",vst,[u("img",{ref:"imgElement",src:r.getImgUrl(),onError:e[0]||(e[0]=a=>r.defaultImg(a)),class:"w-10 h-10 rounded-full object-fill text-blue-700"},null,40,yst),u("h3",Sst,ge(t.binding.name),1),Tst,u("div",xst,[t.selected?(N(),M("button",{key:0,type:"button",title:"Reload binding",onClick:[e[1]||(e[1]=(...a)=>r.toggleReloadBinding&&r.toggleReloadBinding(...a)),e[2]||(e[2]=xe(()=>{},["stop"]))],class:"hover:text-secondary duration-75 active:scale-90 font-medium rounded-lg text-sm p-2 text-center inline-flex items-center"},Ast)):q("",!0)])]),u("div",wst,[t.binding.installed?q("",!0):(N(),M("button",{key:0,title:"Click to install",type:"button",onClick:e[3]||(e[3]=xe((...a)=>r.toggleInstall&&r.toggleInstall(...a),["stop"])),class:"inline-flex items-center gap-2 px-3 py-2 text-xs font-medium text-center text-white bg-blue-700 rounded-lg hover:bg-blue-800 focus:ring-4 focus:outline-none focus:ring-blue-300 dark:bg-blue-600 dark:hover:bg-blue-700 dark:focus:ring-blue-800"},[Ze(" Install "),Nst])),t.binding.installed?(N(),M("button",{key:1,title:"Click to Reinstall binding",type:"button",onClick:e[4]||(e[4]=xe((...a)=>r.toggleReinstall&&r.toggleReinstall(...a),["stop"])),class:"inline-flex items-center gap-2 px-3 py-2 text-xs font-medium text-center focus:outline-none text-white bg-green-700 hover:bg-red-800 focus:ring-4 focus:ring-green-300 rounded-lg dark:bg-green-600 dark:hover:bg-green-700 dark:focus:ring-red-900"},[Ze(" Reinstall "),Ost])):q("",!0),t.binding.installed?(N(),M("button",{key:2,title:"Click to Reinstall binding",type:"button",onClick:e[5]||(e[5]=xe((...a)=>r.toggleUnInstall&&r.toggleUnInstall(...a),["stop"])),class:"inline-flex items-center gap-2 px-3 py-2 text-xs font-medium text-center focus:outline-none text-white bg-red-700 hover:bg-red-800 focus:ring-4 focus:ring-red-300 rounded-lg dark:bg-red-600 dark:hover:bg-red-700 dark:focus:ring-red-900"},[Ze(" Uninstall "),Ist])):q("",!0),t.selected?(N(),M("button",{key:3,title:"Click to open Settings",type:"button",onClick:e[6]||(e[6]=xe((...a)=>r.toggleSettings&&r.toggleSettings(...a),["stop"])),class:"inline-flex items-center gap-2 px-3 py-2 text-xs font-medium text-center text-white bg-blue-700 rounded-lg hover:bg-blue-800 focus:ring-4 focus:outline-none focus:ring-blue-300 dark:bg-blue-600 dark:hover:bg-blue-700 dark:focus:ring-blue-800"},[Ze(" Settings "),Mst])):q("",!0)]),t.binding.ui?(N(),Ot(o,{key:0,class:"w-full h-full",code:t.binding.ui},null,8,["code"])):q("",!0),u("div",Dst,[u("div",kst,[u("div",Lst,[Pst,Ust,Ze(" "+ge(t.binding.author),1)]),u("div",Fst,[Bst,Gst,Ze(" "+ge(t.binding.folder),1)]),u("div",zst,[Vst,Hst,Ze(" "+ge(t.binding.version),1)]),u("div",qst,[Yst,$st,u("a",{href:t.binding.link,target:"_blank",class:"flex items-center hover:text-secondary duration-75 active:scale-90"},ge(t.binding.link),9,Wst)])]),Kst,u("p",{class:"mx-1 opacity-80 line-clamp-3",title:t.binding.description,innerHTML:t.binding.description},null,8,jst)])])],10,Est)}const Xst=bt(bst,[["render",Qst]]),Zst="/assets/extension-59119348.png",Jst={data(){return{show:!1,model_path:"",resolve:null}},methods:{cancel(){this.resolve(null)},openInputBox(){return new Promise(n=>{this.resolve=n})},hide(n){this.show=!1,this.resolve&&(this.resolve(n),this.resolve=null)},showDialog(n){return new Promise(e=>{this.model_path=n,this.show=!0,this.resolve=e})}}},ert={key:0,class:"fixed top-0 left-0 right-0 bottom-0 flex items-center justify-center bg-black bg-opacity-50"},trt={class:"relative w-full max-w-md max-h-full"},nrt={class:"relative bg-white rounded-lg shadow dark:bg-gray-700"},irt=u("svg",{"aria-hidden":"true",class:"w-5 h-5",fill:"currentColor",viewBox:"0 0 20 20",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"fill-rule":"evenodd",d:"M4.293 4.293a1 1 0 011.414 0L10 8.586l4.293-4.293a1 1 0 111.414 1.414L11.414 10l4.293 4.293a1 1 0 01-1.414 1.414L10 11.414l-4.293 4.293a1 1 0 01-1.414-1.414L8.586 10 4.293 5.707a1 1 0 010-1.414z","clip-rule":"evenodd"})],-1),srt=u("span",{class:"sr-only"},"Close modal",-1),rrt=[irt,srt],ort={class:"p-4 text-center"},art=u("svg",{"aria-hidden":"true",class:"mx-auto mb-4 text-gray-400 w-14 h-14 dark:text-gray-200",fill:"none",stroke:"currentColor",viewBox:"0 0 24 24",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"stroke-linecap":"round","stroke-linejoin":"round","stroke-width":"2",d:"M12 8v4m0 4h.01M21 12a9 9 0 11-18 0 9 9 0 0118 0z"})],-1),lrt={class:"p-4 text-center mx-auto mb-4"},crt=u("label",{class:"mr-2"},"Model path",-1);function drt(n,e,t,i,s,r){return s.show?(N(),M("div",ert,[u("div",trt,[u("div",nrt,[u("button",{type:"button",onClick:e[0]||(e[0]=o=>r.hide(!1)),class:"absolute top-3 right-2.5 text-gray-400 bg-transparent hover:bg-gray-200 hover:text-gray-900 rounded-lg text-sm p-1.5 ml-auto inline-flex items-center dark:hover:bg-gray-800 dark:hover:text-white"},rrt),u("div",ort,[art,u("div",lrt,[crt,le(u("input",{"onUpdate:modelValue":e[1]||(e[1]=o=>s.model_path=o),class:"px-4 py-2 border border-gray-300 rounded-lg",type:"text"},null,512),[[Pe,s.model_path]])]),u("button",{onClick:e[2]||(e[2]=o=>r.hide(!0)),type:"button",class:"text-white bg-green-600 hover:bg-green-800 focus:ring-4 focus:outline-none focus:ring-red-300 dark:focus:ring-red-800 font-medium rounded-lg text-sm inline-flex items-center px-5 py-2.5 text-center mr-2"}," Add "),u("button",{onClick:e[3]||(e[3]=o=>r.hide(!1)),type:"button",class:"text-gray-500 bg-white hover:bg-gray-100 focus:ring-4 focus:outline-none focus:ring-gray-200 rounded-lg border border-gray-200 text-sm font-medium px-5 py-2.5 hover:text-gray-900 focus:z-10 dark:bg-gray-700 dark:text-gray-300 dark:border-gray-500 dark:hover:text-white dark:hover:bg-gray-600 dark:focus:ring-gray-600"},"No, cancel")])])])])):q("",!0)}const urt=bt(Jst,[["render",drt]]);const prt={props:{show:{type:Boolean,default:!1,required:!1},can_remove:{type:Boolean,default:!1},title:{type:String,default:"Select an option"},choices:{type:Array,required:!0}},data(){return{selectedChoice:null,showInput:!1,newFilename:""}},methods:{displayName(n){return console.log("choice:",n),typeof n=="string"?n:n&&n.name?n.name:""},selectChoice(n){this.selectedChoice=n,this.$emit("choice-selected",n)},closeDialog(){this.$emit("close-dialog")},validateChoice(){this.$emit("choice-validated",this.selectedChoice)},formatSize(n){return n<1024?n+" bytes":n<1024*1024?(n/1024).toFixed(2)+" KB":n<1024*1024*1024?(n/(1024*1024)).toFixed(2)+" MB":(n/(1024*1024*1024)).toFixed(2)+" GB"},toggleInput(){this.showInput=!this.showInput},addNewFilename(){const n=this.newFilename.trim();n!==""&&(this.choices.push(n),this.newFilename="",this.selectChoice(n)),this.showInput=!1},removeChoice(n,e){this.choices.splice(e,1),n===this.selectedChoice&&(this.selectedChoice=null),this.$emit("choice-removed",n)}}},_rt={key:0,class:"fixed inset-0 flex items-center justify-center bg-black bg-opacity-50"},hrt={class:"bg-white dark:bg-gray-800 rounded-lg p-6 w-96"},frt={class:"text-xl font-semibold mb-4"},mrt={class:"h-48 overflow-y-auto"},grt=["onClick"],brt={class:"font-bold"},Ert=u("br",null,null,-1),vrt={key:0,class:"text-xs text-gray-500"},yrt=["onClick"],Srt={key:0,class:"mt-4"},Trt={class:"flex justify-end mt-4"},xrt=["disabled"];function Crt(n,e,t,i,s,r){return N(),Ot(as,{name:"fade"},{default:tt(()=>[t.show?(N(),M("div",_rt,[u("div",hrt,[u("h2",frt,ge(t.title),1),u("div",mrt,[u("ul",null,[(N(!0),M($e,null,dt(t.choices,(o,a)=>(N(),M("li",{key:a,onClick:l=>r.selectChoice(o),class:Ye([{"selected-choice":o===s.selectedChoice},"py-2 px-4 cursor-pointer hover:bg-gray-200 dark:hover:bg-gray-700"])},[u("span",brt,ge(r.displayName(o)),1),Ert,o.size?(N(),M("span",vrt,ge(r.formatSize(o.size)),1)):q("",!0),t.can_remove?(N(),M("button",{key:1,onClick:l=>r.removeChoice(o,a),class:"ml-2 text-red-500 hover:text-red-600"}," X ",8,yrt)):q("",!0)],10,grt))),128))])]),s.showInput?(N(),M("div",Srt,[le(u("input",{"onUpdate:modelValue":e[0]||(e[0]=o=>s.newFilename=o),placeholder:"Enter a filename",class:"border border-gray-300 p-2 rounded-lg w-full"},null,512),[[Pe,s.newFilename]]),u("button",{onClick:e[1]||(e[1]=(...o)=>r.addNewFilename&&r.addNewFilename(...o)),class:"mt-2 py-2 px-4 bg-green-500 hover:bg-green-600 text-white rounded-lg transition duration-300"}," Add ")])):q("",!0),u("div",Trt,[u("button",{onClick:e[2]||(e[2]=(...o)=>r.closeDialog&&r.closeDialog(...o)),class:"py-2 px-4 mr-2 bg-red-500 hover:bg-red-600 text-white rounded-lg transition duration-300"}," Cancel "),u("button",{onClick:e[3]||(e[3]=(...o)=>r.validateChoice&&r.validateChoice(...o)),class:Ye([{"bg-gray-400 cursor-not-allowed":!s.selectedChoice,"bg-blue-500 hover:bg-blue-600":s.selectedChoice,"text-white":s.selectedChoice,"text-gray-500":!s.selectedChoice},"py-2 px-4 rounded-lg transition duration-300"]),disabled:!s.selectedChoice}," Validate ",10,xrt),u("button",{onClick:e[4]||(e[4]=(...o)=>r.toggleInput&&r.toggleInput(...o)),class:"py-2 px-4 ml-2 bg-blue-500 hover:bg-blue-600 text-white rounded-lg transition duration-300"}," Add New ")])])])):q("",!0)]),_:1})}const AE=bt(prt,[["render",Crt]]),Rrt={props:{radioOptions:{type:Array,required:!0},defaultValue:{type:String,default:0}},data(){return{selectedValue:this.defaultValue}},methods:{handleRadioChange(n){this.selectedValue!==null&&this.$emit("radio-selected",this.selectedValue,n)}}},Art={class:"flex space-x-4"},wrt=["value","onChange"],Nrt={class:"text-gray-700"};function Ort(n,e,t,i,s,r){return N(),M("div",Art,[(N(!0),M($e,null,dt(t.radioOptions,(o,a)=>(N(),M("label",{key:o.value,class:"flex items-center space-x-2"},[le(u("input",{type:"radio",value:o.value,"onUpdate:modelValue":e[0]||(e[0]=l=>s.selectedValue=l),onChange:l=>r.handleRadioChange(a),class:"text-blue-500 focus:ring-2 focus:ring-blue-200"},null,40,wrt),[[$D,s.selectedValue]]),u("span",Nrt,ge(o.label),1)]))),128))])}const Irt=bt(Rrt,[["render",Ort]]),Mrt="/",Drt={props:{extension:{},select_language:Boolean,selected:Boolean,full_path:String,onTalk:Function,onSelected:Function,onMount:Function,onUnMount:Function,onRemount:Function,onReinstall:Function,onSettings:Function},components:{InteractiveMenu:lp},data(){return{isMounted:!1,name:this.extension.name}},computed:{commandsList(){let n=[{name:this.isMounted?"unmount":"mount",icon:"feather:settings",is_file:!1,value:this.isMounted?this.unmount:this.mount},{name:"reinstall",icon:"feather:terminal",is_file:!1,value:this.toggleReinstall}];return this.isMounted&&n.push({name:"remount",icon:"feather:refresh-ccw",is_file:!1,value:this.reMount}),n.push({name:"settings",icon:"feather:settings",is_file:!1,value:this.toggleSettings}),n},selected_computed(){return this.selected}},mounted(){this.isMounted=this.extension.isMounted,Ve(()=>{qe.replace()})},methods:{getImgUrl(){return Mrt+this.extension.avatar},defaultImg(n){n.target.src=yc},toggleTalk(){this.onTalk(this)},toggleSelected(){this.isMounted&&this.onSelected(this)},reMount(){this.onRemount(this)},mount(){console.log("Mounting"),this.onMount(this)},unmount(){console.log("Unmounting"),console.log(this.onUnMount),this.onUnMount(this)},toggleSettings(){this.onSettings(this)},toggleReinstall(){this.onReinstall(this)}},watch:{selected(){Ve(()=>{qe.replace()})}}},krt=["title"],Lrt={class:"flex flex-row items-center flex-shrink-0 gap-3"},Prt=["src"],Urt={class:""},Frt={class:""},Brt={class:"flex items-center"},Grt=u("i",{"data-feather":"user",class:"w-5 m-1"},null,-1),zrt=u("b",null,"Author: ",-1),Vrt={class:"flex items-center"},Hrt=u("i",{"data-feather":"bookmark",class:"w-5 m-1"},null,-1),qrt=u("b",null,"Based on: ",-1),Yrt={key:0,class:"flex items-center"},$rt=u("i",{"data-feather":"globe",class:"w-5 m-1"},null,-1),Wrt=u("b",null,"Languages: ",-1),Krt=["selected"],jrt={key:1,class:"flex items-center"},Qrt=u("i",{"data-feather":"globe",class:"w-5 m-1"},null,-1),Xrt=u("b",null,"Language: ",-1),Zrt={class:"flex items-center"},Jrt=u("i",{"data-feather":"bookmark",class:"w-5 m-1"},null,-1),eot=u("b",null,"Category: ",-1),tot=u("div",{class:"flex items-center"},[u("i",{"data-feather":"info",class:"w-5 m-1"}),u("b",null,"Description: "),u("br")],-1),not=["title","innerHTML"],iot={class:"rounded bg-blue-300"},sot=u("i",{"data-feather":"check"},null,-1),rot=u("span",{class:"sr-only"},"Select",-1),oot=[sot,rot],aot=u("i",{"data-feather":"send",class:"w-5"},null,-1),lot=u("span",{class:"sr-only"},"Talk",-1),cot=[aot,lot];function dot(n,e,t,i,s,r){const o=mt("InteractiveMenu");return N(),M("div",{class:Ye(["min-w-96 items-start p-4 hover:bg-primary-light rounded-lg mb-2 shadow-lg border-2 cursor-pointer select-none",r.selected_computed?"border-2 border-primary-light":"border-transparent",s.isMounted?"bg-blue-200 dark:bg-blue-700":""]),tabindex:"-1",title:t.extension.installed?"":"Not installed"},[u("div",{class:Ye(t.extension.installed?"":"border-red-500")},[u("div",Lrt,[u("img",{onClick:e[0]||(e[0]=(...a)=>r.toggleSelected&&r.toggleSelected(...a)),ref:"imgElement",src:r.getImgUrl(),onError:e[1]||(e[1]=a=>r.defaultImg(a)),class:"w-10 h-10 rounded-full object-fill text-red-700 cursor-pointer"},null,40,Prt),u("h3",{onClick:e[2]||(e[2]=(...a)=>r.toggleSelected&&r.toggleSelected(...a)),class:"font-bold font-large text-lg line-clamp-3 cursor-pointer"},ge(t.extension.name),1)]),u("div",Urt,[u("div",Frt,[u("div",Brt,[Grt,zrt,Ze(" "+ge(t.extension.author),1)]),u("div",Vrt,[Hrt,qrt,Ze(" "+ge(t.extension.based_on),1)]),t.extension.languages&&t.select_language?(N(),M("div",Yrt,[$rt,Wrt,le(u("select",{id:"languages","onUpdate:modelValue":e[3]||(e[3]=a=>t.extension.language=a),class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},[(N(!0),M($e,null,dt(t.extension.languages,(a,l)=>(N(),M("option",{key:l,selected:a==t.extension.languages[0]},ge(a),9,Krt))),128))],512),[[zn,t.extension.language]])])):q("",!0),t.extension.language?(N(),M("div",jrt,[Qrt,Xrt,Ze(" "+ge(t.extension.language),1)])):q("",!0),u("div",Zrt,[Jrt,eot,Ze(" "+ge(t.extension.category),1)])]),tot,u("p",{class:"mx-1 opacity-80 h-20 overflow-y-auto scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary",title:t.extension.description,innerHTML:t.extension.description},null,8,not)]),u("div",iot,[s.isMounted?(N(),M("button",{key:0,type:"button",title:"Select",onClick:[e[4]||(e[4]=(...a)=>r.toggleSelected&&r.toggleSelected(...a)),e[5]||(e[5]=xe(()=>{},["stop"]))],class:"hover:text-secondary duration-75 active:scale-90 font-medium rounded-lg text-sm p-2 text-center inline-flex items-center"},oot)):q("",!0),s.isMounted?(N(),M("button",{key:1,type:"button",title:"Talk",onClick:[e[6]||(e[6]=(...a)=>r.toggleTalk&&r.toggleTalk(...a)),e[7]||(e[7]=xe(()=>{},["stop"]))],class:"hover:text-secondary duration-75 active:scale-90 font-medium rounded-lg text-sm p-2 text-center inline-flex items-center"},cot)):q("",!0),Ie(o,{commands:r.commandsList,force_position:2,title:"Menu"},null,8,["commands"])])],2)],10,krt)}const uot=bt(Drt,[["render",dot]]),pot="/assets/gpu-df72bf63.svg";const _ot="/";Le.defaults.baseURL="/";const hot={components:{AddModelDialog:urt,ModelEntry:mit,PersonalityViewer:Mit,PersonalityEntry:fO,BindingEntry:Xst,ChoiceDialog:AE,Card:vc,RadioOptions:Irt,ExtensionEntry:uot},data(){return{posts_headers:{accept:"application/json","Content-Type":"application/json"},defaultModelImgPlaceholder:Li,voices:[],voice_languages:{Arabic:"ar","Brazilian Portuguese":"pt",Chinese:"zh-cn",Czech:"cs",Dutch:"nl",English:"en",French:"fr",German:"de",Italian:"it",Polish:"pl",Russian:"ru",Spanish:"es",Turkish:"tr",Japanese:"ja",Korean:"ko",Hungarian:"hu",Hindi:"hi"},binding_changed:!1,SVGGPU:pot,models_zoo:[],models_zoo_initialLoadCount:10,models_zoo_loadMoreCount:5,models_zoo_loadedEntries:[],models_zoo_scrollThreshold:200,sortOptions:[{label:"Sort by Date",value:0},{label:"Sort by Rank",value:1},{label:"Sort by Name",value:2},{label:"Sort by Maker",value:3},{label:"Sort by Quantizer",value:4}],show_only_installed_models:!1,reference_path:"",audioVoices:[],has_updates:!1,variant_choices:[],variantSelectionDialogVisible:!1,currenModelToInstall:null,loading_text:"",extension_category:"bound_extensions",personality_category:null,addModelDialogVisibility:!1,modelPath:"",personalitiesFiltered:[],modelsFiltered:[],extensionsFiltered:[],collapsedArr:[],all_collapsed:!0,servers_conf_collapsed:!0,minconf_collapsed:!0,bec_collapsed:!0,sort_type:0,is_loading_zoo:!1,mzc_collapsed:!0,mzdc_collapsed:!0,pzc_collapsed:!0,ezc_collapsed:!0,mep_collapsed:!0,bzc_collapsed:!0,pc_collapsed:!0,mc_collapsed:!0,sc_collapsed:!0,mzl_collapsed:!1,pzl_collapsed:!1,ezl_collapsed:!1,bzl_collapsed:!1,extCatgArr:[],persCatgArr:[],persArr:[],showConfirmation:!1,showToast:!1,isLoading:!1,settingsChanged:!1,isModelSelected:!1,isMounted:!1,bUrl:_ot,searchPersonality:"",searchExtension:"",searchModel:"",searchPersonalityTimer:{},searchPersonalityTimerInterval:1500,searchModelTimerInterval:1500,searchPersonalityInProgress:!1,searchExtensionInProgress:!1,searchModelInProgress:!1,addModel:{},modelDownlaodInProgress:!1,uploadData:[]}},async created(){je.on("loading_text",this.on_loading_text),this.updateHasUpdates()},methods:{reinstallSDService(){Le.get("install_sd").then(n=>{}).catch(n=>{console.error(n)})},startSDService(){Le.get("start_sd").then(n=>{}).catch(n=>{console.error(n)})},reinstallMotionCtrlService(){Le.get("install_motion_ctrl").then(n=>{}).catch(n=>{console.error(n)})},reinstallvLLMService(){Le.get("install_vllm").then(n=>{}).catch(n=>{console.error(n)})},startvLLMService(){Le.get("start_vllm").then(n=>{}).catch(n=>{console.error(n)})},startollamaService(){Le.get("start_ollama").then(n=>{}).catch(n=>{console.error(n)})},reinstallPetalsService(){Le.get("install_petals").then(n=>{}).catch(n=>{console.error(n)})},reinstallOLLAMAService(){Le.get("install_ollama").then(n=>{}).catch(n=>{console.error(n)})},reinstallAudioService(){Le.get("install_xtts").then(n=>{}).catch(n=>{console.error(n)})},startAudioService(){Le.get("start_xtts").then(n=>{}).catch(n=>{console.error(n)})},reinstallElasticSearchService(){Le.get("install_vllm").then(n=>{}).catch(n=>{console.error(n)})},getSeviceVoices(){Le.get("list_voices").then(n=>{this.voices=n.data.voices}).catch(n=>{console.error(n)})},load_more_models(){this.models_zoo_initialLoadCount+10<this.models_zoo.length?this.models_zoo_initialLoadCount+=10:this.models_zoo_initialLoadCount=this.models_zoo.length},async modelsZooToggleCollapse(){this.mzc_collapsed=!this.mzc_collapsed,Ve(()=>{qe.replace()}),this.binding_changed&&!this.mzc_collapsed&&(this.modelsZoo==null||this.modelsZoo.length==0)&&(console.log("Refreshing models"),await this.$store.dispatch("refreshConfig"),this.models_zoo=[],this.refreshModelsZoo(),this.binding_changed=!1)},async selectSortOption(n){this.$store.state.sort_type=n,this.updateModelsZoo(),console.log(`Selected sorting:${n}`),console.log(`models:${this.models_zoo}`)},handleRadioSelected(n){this.isLoading=!0,this.selectSortOption(n).then(()=>{this.isLoading=!1})},filter_installed(n){return console.log("filtering"),n.filter(e=>e.isInstalled===!0)},getVoices(){"speechSynthesis"in window&&(this.audioVoices=speechSynthesis.getVoices(),console.log("Voices:"+this.audioVoices),!this.audio_out_voice&&this.audioVoices.length>0&&(this.audio_out_voice=this.audioVoices[0].name),speechSynthesis.onvoiceschanged=()=>{})},async updateHasUpdates(){let n=await this.api_get_req("check_update");this.has_updates=n.update_availability,console.log("has_updates",this.has_updates)},onVariantChoiceSelected(n){this.selected_variant=n},oncloseVariantChoiceDialog(){this.variantSelectionDialogVisible=!1},onvalidateVariantChoice(n){this.variantSelectionDialogVisible=!1,this.currenModelToInstall.installing=!0;let e=this.currenModelToInstall;if(e.linkNotValid){e.installing=!1,this.$store.state.toast.showToast("Link is not valid, file does not exist",4,!1);return}let t="https://huggingface.co/"+e.model.quantizer+"/"+e.model.name+"/resolve/main/"+this.selected_variant.name;this.showProgress=!0,this.progress=0,this.addModel={model_name:this.selected_variant.name,binding_folder:this.configFile.binding_name,model_url:t},console.log("installing...",this.addModel);const i=s=>{if(console.log("received something"),s.status&&s.progress<=100){if(this.addModel=s,console.log("Progress",s),e.progress=s.progress,e.speed=s.speed,e.total_size=s.total_size,e.downloaded_size=s.downloaded_size,e.start_time=s.start_time,e.installing=!0,e.progress==100){const r=this.models_zoo.findIndex(o=>o.name===e.model.name);this.models_zoo[r].isInstalled=!0,this.showProgress=!1,e.installing=!1,console.log("Received succeeded"),je.off("install_progress",i),console.log("Installed successfully"),this.$store.state.toast.showToast(`Model:
`+e.model.name+`
installed!`,4,!0),this.$store.dispatch("refreshDiskUsage")}}else je.off("install_progress",i),console.log("Install failed"),e.installing=!1,this.showProgress=!1,console.error("Installation failed:",s.error),this.$store.state.toast.showToast(`Model:
`+e.model.name+`
failed to install!`,4,!1),this.$store.dispatch("refreshDiskUsage");console.log("Here")};je.on("install_progress",i),je.emit("install_model",{path:t,name:e.model.name,variant_name:this.selected_variant.name,type:e.model.type}),console.log("Started installation, please wait")},uploadAvatar(n){const e=n.target.files[0],t=new FormData;t.append("avatar",e),console.log("Uploading avatar"),Le.post("/upload_avatar",t).then(i=>{console.log("Avatar uploaded successfully"),this.$store.state.toast.showToast("Avatar uploaded successfully!",4,!0);const s=i.data.fileName;console.log("response",i),this.user_avatar=s,this.update_setting("user_avatar",s,()=>{}).then(()=>{})}).catch(i=>{console.error("Error uploading avatar:",i)})},async update_software(){console.log("Posting");const n=await this.api_get_req("update_software");console.log("Posting done"),n.status?this.$store.state.toast.showToast("Success!",4,!0):this.$store.state.toast.showToast("Failure!",4,!1)},async restart_software(){console.log("Posting");const n=await this.api_get_req("restart_program");console.log("Posting done"),n.status?this.$store.state.toast.showToast("Success!",4,!0):this.$store.state.toast.showToast("Failure!",4,!1)},on_loading_text(n){console.log("Loading text",n),this.loading_text=n},async load_everything(){for(this.isLoading=!0,Ve(()=>{qe.replace()});this.isReady===!1;)await new Promise(n=>setTimeout(n,100));this.refresh(),console.log("Ready"),this.configFile.model_name&&(this.isModelSelected=!0),this.persCatgArr=await this.api_get_req("list_personalities_categories"),this.persArr=await this.api_get_req("list_personalities?category="+this.configFile.personality_category),console.log("category");try{this.extCatgArr=await this.api_get_req("list_extensions_categories"),this.extension_category=this.extCatgArr[0],console.log(this.extCatgArr)}catch{console.log("Couldn't list catergories"),this.extCatgArr=[]}try{console.log("Loading extension category content"),this.extArr=await this.api_get_req("list_extensions?category="+this.extension_category),console.log(this.extArr)}catch{console.log("Couldn't list extensions"),this.extCatgArr=[]}this.personality_category=this.configFile.personality_category,this.personalitiesFiltered=this.personalities.filter(n=>n.category===this.configFile.personality_category),this.modelsFiltered=[],this.extension_category=this.configFile.extension_category,this.extensionsFiltered=this.$store.state.extensionsZoo.filter(n=>n.category===this.configFile.extension_category),this.updateModelsZoo(),this.isLoading=!1,this.isMounted=!0,this.extension_category=this.configFile.extension_category,console.log("READY Stuff")},async open_mzl(){this.mzl_collapsed=!this.mzl_collapsed,console.log("Fetching models")},async getVramUsage(){await this.api_get_req("vram_usage")},async progressListener(n){if(console.log("received something"),n.status==="progress"){if(this.$refs.modelZoo){const e=this.$refs.modelZoo.findIndex(i=>i.model.name==n.model_name&&this.configFile.binding_name==n.binding_folder),t=this.models_zoo[e];t&&(console.log("model entry",t),t.installing=!0,t.progress=n.progress,console.log(`Progress = ${n.progress}`),n.progress>=100?(t.installing=!1,t.isInstalled=!0):(t.installing=!0,t.isInstalled=!0))}}else if(n.status==="succeeded"){if(console.log("Received succeeded"),this.$refs.modelZoo){const e=this.$refs.modelZoo.findIndex(i=>i.model.name==n.model_name&&this.configFile.binding_name==n.binding_folder),t=this.models_zoo[e];n.progress>=100&&(t.installing=!1,t.isInstalled=!0)}if(console.log("Installed successfully"),this.$refs.modelZoo){const e=this.$refs.modelZoo.findIndex(i=>i.model.name==n.model_name&&this.configFile.binding_name==n.binding_folder),t=this.models_zoo[e];t&&(t.installing=!1,t.isInstalled=!0)}this.$store.state.toast.showToast(`Model:
`+model_object.name+`
installed!`,4,!0),this.$store.dispatch("refreshDiskUsage")}else if(n.status==="failed"&&(console.log("Install failed"),this.$refs.modelZoo)){const e=this.$refs.modelZoo.findIndex(i=>i.model.name==n.model_name&&this.configFile.binding_name==n.binding_folder),t=this.models_zoo[e];t&&(t.installing=!1,t.isInstalled=!1),console.error("Installation failed:",n.error),this.$store.state.toast.showToast(`Model:
`+model_object.name+`
failed to install!`,4,!1),this.$store.dispatch("refreshDiskUsage")}},showAddModelDialog(){this.$refs.addmodeldialog.showDialog("").then(()=>{console.log(this.$refs.addmodeldialog.model_path);const n=this.$refs.addmodeldialog.model_path;je.emit("install_model",{path:n,type:this.models_zoo[0].type},e=>{console.log("Model installation successful:",e)}),console.log(this.$refs.addmodeldialog.model_path)})},closeAddModelDialog(){this.addModelDialogVisibility=!1},collapseAll(n){this.servers_conf_collapsed=n,this.minconf_collapsed=n,this.bec_collapsed=n,this.mzc_collapsed=n,this.pzc_collapsed=n,this.bzc_collapsed=n,this.pc_collapsed=n,this.mc_collapsed=n,this.sc_collapsed=n,this.mzdc_collapsed=n},fetchPersonalities(){this.api_get_req("list_personalities_categories").then(n=>{this.persCatgArr=n,this.persCatgArr.sort()}),this.api_get_req("list_personalities").then(n=>{this.persArr=n,this.persArr.sort(),console.log(`Listed personalities:
${n}`)})},fetchExtensions(){this.api_get_req("list_extensions_categories").then(n=>{this.extCatgArr=n,this.extCatgArr.sort()}),this.api_get_req("list_extensions").then(n=>{this.extArr=n,this.extArr.sort(),console.log(`Listed extensions:
${n}`)})},fetchHardwareInfos(){this.$store.dispatch("refreshDiskUsage"),this.$store.dispatch("refreshRamUsage")},async onPersonalitySelected(n){if(console.log("on pers",n),this.isLoading&&this.$store.state.toast.showToast("Loading... please wait",4,!1),this.isLoading=!0,console.log("selecting ",n),n){if(n.selected){this.$store.state.toast.showToast("Personality already selected",4,!0),this.isLoading=!1;return}let e=n.language==null?n.full_path:n.full_path+":"+n.language;if(console.log("pth",e),n.isMounted&&this.configFile.personalities.includes(e)){const t=await this.select_personality(n);console.log("pers is mounted",t),t&&t.status&&t.active_personality_id>-1?this.$store.state.toast.showToast(`Selected personality:
`+n.name,4,!0):this.$store.state.toast.showToast(`Error on select personality:
`+n.name,4,!1),this.isLoading=!1}else console.log("mounting pers"),this.mountPersonality(n);Ve(()=>{qe.replace()})}},onModelSelected(n){if(this.isLoading){this.$store.state.toast.showToast("Loading... please wait",4,!1);return}n&&(n.isInstalled?this.update_model(n.model.name).then(e=>{console.log("update_model",e),this.configFile.model_name=n.model.name,e.status?(this.$store.state.toast.showToast(`Selected model:
`+n.name,4,!0),Ve(()=>{qe.replace(),this.is_loading_zoo=!1}),this.updateModelsZoo(),this.api_get_req("get_model_status").then(t=>{this.$store.commit("setIsModelOk",t)})):(this.$store.state.toast.showToast(`Couldn't select model:
`+n.name,4,!1),Ve(()=>{qe.replace()})),this.settingsChanged=!0,this.isModelSelected=!0}):this.$store.state.toast.showToast(`Model:
`+n.model.name+`
is not installed`,4,!1),Ve(()=>{qe.replace()}))},onCopy(n){let e;n.model.isCustomModel?e=`Model name: ${n.name}
File size: ${n.fileSize}
Manually downloaded model `:e=`Model name: ${n.name}
File size: ${n.fileSize}
Download: ${"https://huggingface.co/"+n.quantizer+"/"+n.name}
License: ${n.license}
Owner: ${n.quantizer}
Website: ${"https://huggingface.co/"+n.quantizer}
Description: ${n.description}`,this.$store.state.toast.showToast("Copied model info to clipboard!",4,!0),navigator.clipboard.writeText(e.trim())},onCopyLink(n){this.$store.state.toast.showToast("Copied link to clipboard!",4,!0),navigator.clipboard.writeText("https://huggingface.co/"+n.model.quantizer+"/"+n.model.name)},onCopyPersonalityName(n){this.$store.state.toast.showToast("Copied name to clipboard!",4,!0),navigator.clipboard.writeText(n.name)},onCancelInstall(){const n=this.addModel;console.log("cancel install",n),this.modelDownlaodInProgress=!1,this.addModel={},je.emit("cancel_install",{model_name:n.model_name,binding_folder:n.binding_folder,model_url:n.model_url,patreon:n.patreon?n.patreon:"None"}),this.$store.state.toast.showToast("Model installation aborted",4,!1)},onInstall(n){this.variant_choices=n.model.variants,this.currenModelToInstall=n,console.log("variant_choices"),console.log(this.variant_choices),console.log(n),this.variantSelectionDialogVisible=!0},onCreateReference(){Le.post("/add_reference_to_local_model",{path:this.reference_path}).then(n=>{n.status?(this.$store.state.toast.showToast("Reference created",4,!0),this.is_loading_zoo=!0,this.refreshModelsZoo().then(()=>{this.updateModelsZoo(),this.is_loading_zoofalse})):this.$store.state.toast.showToast("Couldn't create reference",4,!1)})},onInstallAddModel(){if(!this.addModel.url){this.$store.state.toast.showToast("Link is empty",4,!1);return}let n=this.addModel.url;this.addModel.progress=0,console.log("installing..."),console.log("value ",this.addModel.url),this.modelDownlaodInProgress=!0;const e=t=>{console.log("received something"),t.status&&t.progress<=100?(console.log("Progress",t),this.addModel=t,this.addModel.url=n,this.addModel.progress==100&&(this.modelDownlaodInProgress=!1,console.log("Received succeeded"),je.off("install_progress",e),console.log("Installed successfully"),this.addModel={},this.$store.state.toast.showToast(`Model:
`+this.addModel.model_name+`
installed!`,4,!0),this.$store.dispatch("refreshDiskUsage"))):(je.off("install_progress",e),console.log("Install failed"),this.modelDownlaodInProgress=!1,console.error("Installation failed:",t.error),this.$store.state.toast.showToast(`Model:
`+this.addModel.model_name+`
failed to install!`,4,!1),this.$store.dispatch("refreshDiskUsage"))};je.on("install_progress",e),je.emit("install_model",{path:n,type:this.models_zoo[0].type}),console.log("Started installation, please wait")},uploadLocalModel(){if(this.uploadData.length==0){this.$store.state.toast.showToast("No files to upload",4,!1);return}let n=this.addModel.url;this.addModel.progress=0,console.log("installing..."),console.log("value ",this.addModel.url),this.modelDownlaodInProgress=!0;const e=t=>{console.log("received something"),t.status&&t.progress<=100?(console.log("Progress",t),this.addModel=t,this.addModel.url=n,this.addModel.progress==100&&(this.modelDownlaodInProgress=!1,console.log("Received succeeded"),je.off("progress",e),console.log("Installed successfully"),this.addModel={},this.$store.state.toast.showToast(`Model:
`+this.addModel.model_name+`
installed!`,4,!0),this.$store.dispatch("refreshDiskUsage"))):(je.off("progress",e),console.log("Install failed"),this.modelDownlaodInProgress=!1,console.error("Installation failed:",t.error),this.$store.state.toast.showToast(`Model:
`+this.addModel.model_name+`
failed to install!`,4,!1),this.$store.dispatch("refreshDiskUsage"))};je.on("progress",e),console.log("Started installation, please wait")},setFileList(n){this.uploadData=n.target.files,console.log("set file list",this.uploadData)},onUninstall(n){this.$store.state.yesNoDialog.askQuestion(`Are you sure you want to delete this model?
[`+n.name+"]","Yes","Cancel").then(e=>{if(e){console.log("uninstalling model...");const t=i=>{console.log("uninstalling res",i),i.status?(console.log("uninstalling success",i),n.uninstalling=!1,je.off("install_progress",t),this.showProgress=!1,this.is_loading_zoo=!0,this.refreshModelsZoo().then(()=>{this.updateModelsZoo(),this.is_loading_zoo=!1}),this.modelsFiltered=this.models_zoo,this.$store.state.toast.showToast(`Model:
`+n.model.name+`
was uninstalled!`,4,!0),this.$store.dispatch("refreshDiskUsage")):(console.log("uninstalling failed",i),n.uninstalling=!1,this.showProgress=!1,je.off("uninstall_progress",t),console.error("Uninstallation failed:",i.error),this.$store.state.toast.showToast(`Model:
`+n.model.name+`
failed to uninstall!`,4,!1),this.$store.dispatch("refreshDiskUsage"))};je.on("uninstall_progress",t),self.selected_variant!=null?je.emit("uninstall_model",{path:"https://huggingface.co/"+n.model.quantizer+"/"+n.model.name+"/resolve/main/"+this.selected_variant.name,type:n.model.type}):je.emit("uninstall_model",{path:"https://huggingface.co/"+n.model.quantizer+"/"+n.model.name,type:n.model.type})}})},onBindingSelected(n){if(console.log("Binding selected"),!n.binding.installed){this.$store.state.toast.showToast(`Binding is not installed:
`+n.binding.name,4,!1);return}this.mzc_collapsed=!0,this.configFile.binding_name!=n.binding.folder&&(this.update_binding(n.binding.folder),this.binding_changed=!0),this.api_get_req("get_model_status").then(e=>{this.$store.commit("setIsModelOk",e)})},onInstallBinding(n){this.configFile.binding_name!=n.binding.folder?(this.isLoading=!0,n.disclaimer&&this.$store.state.yesNoDialog.askQuestion(n.disclaimer,"Proceed","Cancel"),Le.post("/install_binding",{name:n.binding.folder}).then(e=>{if(e)return this.isLoading=!1,console.log("install_binding",e),e.data.status?(this.$store.state.toast.showToast("Binding installed successfully!",4,!0),this.$store.state.messageBox.showMessage(`It is advised to reboot the application after installing a binding.
Page will refresh in 5s.`),setTimeout(()=>{window.location.href="/"},5e3)):this.$store.state.toast.showToast("Could not reinstall binding",4,!1),this.isLoading=!1,e.data;this.isLoading=!1}).catch(e=>(this.isLoading=!1,this.$store.state.toast.showToast(`Could not reinstall binding
`+e.message,4,!1),{status:!1}))):this.update_binding(n.binding.folder)},onUnInstallBinding(n){this.isLoading=!0,Le.post("/unInstall_binding",{name:n.binding.folder}).then(e=>{if(e){if(this.isLoading=!1,console.log("unInstall_binding",e),e.data.status){const t=this.bindingsZoo.findIndex(s=>s.folder==n.binding.folder),i=this.bindingsZoo[t];i?i.installed=!0:i.installed=!1,this.settingsChanged=!0,this.binding_changed=!0,this.$store.state.toast.showToast("Binding uninstalled successfully!",4,!0)}else this.$store.state.toast.showToast("Could not uninstall binding",4,!1);return e.data}this.isLoading=!1,n.isInstalled=False}).catch(e=>(this.isLoading=!1,this.$store.state.toast.showToast(`Could not uninstall binding
`+e.message,4,!1),{status:!1}))},onReinstallBinding(n){this.isLoading=!0,Le.post("/reinstall_binding",{name:n.binding.folder}).then(e=>{if(e)return this.isLoading=!1,console.log("reinstall_binding",e),e.data.status?(this.$store.state.toast.showToast("Binding reinstalled successfully!",4,!0),this.$store.state.messageBox.showMessage("It is advised to reboot the application after installing a binding")):this.$store.state.toast.showToast("Could not reinstall binding",4,!1),e.data;this.isLoading=!1}).catch(e=>(this.isLoading=!1,this.$store.state.toast.showToast(`Could not reinstall binding
`+e.message,4,!1),{status:!1}))},onSettingsExtension(n){try{this.isLoading=!0,Le.get("/get_extension_settings",{name:n.name}).then(e=>{this.isLoading=!1,e&&(console.log("ext sett",e),e.data&&Object.keys(e.data).length>0?this.$store.state.universalForm.showForm(e.data,"Extension settings - "+n.extension.name,"Save changes","Cancel").then(t=>{try{Le.post("/set_extension_settings",{name:n.name,config:t}).then(i=>{i&&i.data?(console.log("extension set with new settings",i.data),this.$store.state.toast.showToast("Extension settings updated successfully!",4,!0)):(this.$store.state.toast.showToast(`Did not get Extension settings responses.
`+i,4,!1),this.isLoading=!1)})}catch(i){this.$store.state.toast.showToast(`Did not get Extension settings responses.
Endpoint error: `+i.message,4,!1),this.isLoading=!1}}):(this.$store.state.toast.showToast("Extension has no settings",4,!1),this.isLoading=!1))})}catch(e){this.isLoading=!1,this.$store.state.toast.showToast("Could not open personality settings. Endpoint error: "+e.message,4,!1)}},onSettingsBinding(n){try{this.isLoading=!0,Le.get("/get_active_binding_settings").then(e=>{console.log(e),this.isLoading=!1,e&&(console.log("binding sett",e),e.data&&Object.keys(e.data).length>0?this.$store.state.universalForm.showForm(e.data,"Binding settings - "+n.binding.name,"Save changes","Cancel").then(t=>{try{Le.post("/set_active_binding_settings",t,{headers:this.posts_headers}).then(i=>{i&&i.data?(console.log("binding set with new settings",i.data),this.$store.state.toast.showToast("Binding settings updated successfully!",4,!0),Le.get("/update_binding_settings").then(s=>{this.$store.state.toast.showToast("Binding settings committed successfully!",4,!0),window.location.href="/"})):(this.$store.state.toast.showToast(`Did not get binding settings responses.
`+i,4,!1),this.isLoading=!1)})}catch(i){this.$store.state.toast.showToast(`Did not get binding settings responses.
Endpoint error: `+i.message,4,!1),this.isLoading=!1}}):(this.$store.state.toast.showToast("Binding has no settings",4,!1),this.isLoading=!1))})}catch(e){this.isLoading=!1,this.$store.state.toast.showToast("Could not open binding settings. Endpoint error: "+e.message,4,!1)}},onReloadBinding(n){console.log("Reloading binding"),this.isLoading=!0,Le.post("/reload_binding",{name:n.binding.folder},{headers:this.posts_headers}).then(e=>{if(e)return this.isLoading=!1,console.log("reload_binding",e),e.data.status?this.$store.state.toast.showToast("Binding reloaded successfully!",4,!0):this.$store.state.toast.showToast("Could not reload binding",4,!1),e.data;this.isLoading=!1}).catch(e=>(this.isLoading=!1,this.$store.state.toast.showToast(`Could not reload binding
`+e.message,4,!1),{status:!1}))},onSettingsPersonality(n){try{this.isLoading=!0,Le.get("/get_active_personality_settings").then(e=>{this.isLoading=!1,e&&(console.log("pers sett",e),e.data&&Object.keys(e.data).length>0?this.$store.state.universalForm.showForm(e.data,"Personality settings - "+n.personality.name,"Save changes","Cancel").then(t=>{try{Le.post("/set_active_personality_settings",t).then(i=>{i&&i.data?(console.log("personality set with new settings",i.data),this.$store.state.toast.showToast("Personality settings updated successfully!",4,!0)):(this.$store.state.toast.showToast(`Did not get Personality settings responses.
`+i,4,!1),this.isLoading=!1)})}catch(i){this.$store.state.toast.showToast(`Did not get Personality settings responses.
Endpoint error: `+i.message,4,!1),this.isLoading=!1}}):(this.$store.state.toast.showToast("Personality has no settings",4,!1),this.isLoading=!1))})}catch(e){this.isLoading=!1,this.$store.state.toast.showToast("Could not open personality settings. Endpoint error: "+e.message,4,!1)}},onMessageBoxOk(){console.log("OK button clicked")},update_personality_category(n,e){this.personality_category=n,e()},update_extension_category(n,e){this.extension_category=n,e()},refresh(){console.log("Refreshing"),this.$store.dispatch("refreshConfig").then(()=>{console.log(this.personality_category),this.api_get_req("list_personalities_categories").then(n=>{console.log("cats",n),this.persCatgArr=n,this.personalitiesFiltered=this.personalities.filter(e=>e.category===this.personality_category),this.personalitiesFiltered.sort()}),this.api_get_req("list_extensions_categories").then(n=>{console.log("cats",n),this.extCatgArr=n,console.log("this.$store.state.extensionsZoo",this.$store.state.extensionsZoo),console.log("this.extension_category",this.extension_category),this.extensionsFiltered=this.$store.state.extensionsZoo.filter(e=>e.category===this.extension_category),this.extensionsFiltered.sort(),console.log("this.extensionsFiltered",this.extensionsFiltered)})})},toggleAccordion(){this.showAccordion=!this.showAccordion},async update_setting(n,e,t){this.isLoading=!0;const i={setting_name:n,setting_value:e};console.log("Updating setting",n,":",e);let s=await Le.post("/update_setting",i);if(s)return this.isLoading=!1,console.log("update_setting",s),s.status?this.$store.state.toast.showToast(`Setting updated successfully.
`,4,!0):this.$store.state.toast.showToast(`Setting update failed.
Please view the console for more details.`,4,!1),t!==void 0&&t(s),s.data;this.isLoading=!1},async refreshModelsZoo(){this.models_zoo=[],console.log("refreshing models"),this.is_loading_zoo=!0,await this.$store.dispatch("refreshModelsZoo"),console.log("ModelsZoo refreshed"),await this.$store.dispatch("refreshModels"),console.log("Models refreshed"),this.updateModelsZoo(),console.log("Models updated"),this.is_loading_zoo=!1},async updateModelsZoo(){let n=this.$store.state.modelsZoo;if(n.length!=0){console.log(`REFRESHING models using sorting ${this.sort_type}`),n.length>1?(this.sort_type==0?(n.sort((e,t)=>{const i=new Date(e.last_commit_time);return new Date(t.last_commit_time)-i}),console.log("Sorted")):this.sort_type==1?n.sort((e,t)=>t.rank-e.rank):this.sort_type==2?n.sort((e,t)=>e.name.localeCompare(t.name)):this.sort_type==3&&n.sort((e,t)=>e.name.localeCompare(t.name)),console.log("Sorted")):console.log("No sorting needed"),n.forEach(e=>{e.name==this.$store.state.config.model_name?e.selected=!0:e.selected=!1}),console.log("Selected models");for(let e=0;e<this.$store.state.modelsArr.length;e++){const t=this.$store.state.modelsArr[e];let i=n.findIndex(s=>s.name==t);if(i==-1)for(let s=0;s<n.length;s++){let r=n[s].variants;if(r!=null&&(i=r.findIndex(o=>o.name==t),i!=-1)){i=s,console.log(`Found ${t} at index ${i}`);break}}if(i==-1){let s={};s.name=t,s.icon=this.imgBinding,s.isCustomModel=!0,s.isInstalled=!0,n.push(s)}else n[i].isInstalled=!0}console.log("Determined models"),n.sort((e,t)=>e.isInstalled&&!t.isInstalled?-1:!e.isInstalled&&t.isInstalled?1:0),console.log("Done"),this.models_zoo=this.$store.state.modelsZoo}},update_binding(n){this.isLoading=!0,this.$store.state.modelsZoo=[],this.configFile.model_name=null,this.$store.state.config.model_name=null,console.log("updating binding_name"),this.update_setting("binding_name",n,async e=>{console.log("updated binding_name"),await this.$store.dispatch("refreshConfig"),this.models_zoo=[],this.mzc_collapsed=!0;const t=this.bindingsZoo.findIndex(s=>s.folder==n),i=this.bindingsZoo[t];i?i.installed=!0:i.installed=!1,this.settingsChanged=!0,this.isLoading=!1,Ve(()=>{qe.replace()}),console.log("updating model"),this.update_model(null).then(()=>{}),Ve(()=>{qe.replace()})}),Ve(()=>{qe.replace()})},async update_model(n){n||(this.isModelSelected=!1),this.isLoading=!0;let e=await this.update_setting("model_name",n);return this.isLoading=!1,Ve(()=>{qe.replace()}),e},applyConfiguration(){this.isLoading=!0,Le.post("/apply_settings",{config:this.configFile}).then(n=>{this.isLoading=!1,n.data.status?(this.$store.state.toast.showToast("Configuration changed successfully.",4,!0),this.settingsChanged=!1):this.$store.state.toast.showToast("Configuration change failed.",4,!1),Ve(()=>{qe.replace()})})},save_configuration(){this.showConfirmation=!1,Le.post("/save_settings",{}).then(n=>{if(n)return n.status||this.$store.state.messageBox.showMessage("Error: Couldn't save settings!"),n.data}).catch(n=>(console.log(n.message,"save_configuration"),this.$store.state.messageBox.showMessage("Couldn't save settings!"),{status:!1}))},reset_configuration(){this.$store.state.yesNoDialog.askQuestion(`Are you sure?
This will delete all your configurations and get back to default configuration.`).then(n=>{n&&Le.post("/reset_settings",{}).then(e=>{if(e)return e.status?this.$store.state.messageBox.showMessage("Settings have been reset correctly"):this.$store.state.messageBox.showMessage("Couldn't reset settings!"),e.data}).catch(e=>(console.log(e.message,"reset_configuration"),this.$store.state.messageBox.showMessage("Couldn't reset settings!"),{status:!1}))})},async api_get_req(n){try{const e=await Le.get("/"+n);if(e)return e.data}catch(e){console.log(e.message,"api_get_req - settings");return}},closeToast(){this.showToast=!1},async getPersonalitiesArr(){this.isLoading=!0,this.personalities=[];const n=await this.api_get_req("get_all_personalities"),e=this.$store.state.config,t=Object.keys(n);for(let i=0;i<t.length;i++){const s=t[i],o=n[s].map(a=>{const l=e.personalities.includes(s+"/"+a.folder);let d={};return d=a,d.category=s,d.language="",d.full_path=s+"/"+a.folder,d.isMounted=l,d});this.personalities.length==0?this.personalities=o:this.personalities=this.personalities.concat(o)}this.personalities.sort((i,s)=>i.name.localeCompare(s.name)),this.personalitiesFiltered=this.personalities.filter(i=>i.category===this.configFile.personality_category),this.personalitiesFiltered.sort(),console.log("per filtered",this.personalitiesFiltered),this.isLoading=!1},async getExtensionsArr(){this.isLoading=!0,this.extensions=[];const n=await this.api_get_req("get_all_extensions"),e=this.$store.state.config,t=Object.keys(n);for(let i=0;i<t.length;i++){const s=t[i],o=n[s].map(a=>{const l=e.extensions.includes(s+"/"+a.folder);let d={};return d=a,d.category=s,d.language="",d.full_path=s+"/"+a.folder,d.isMounted=l,d});this.extensions.length==0?this.extensions=o:this.extensions=this.extensions.concat(o)}this.extensions.sort((i,s)=>i.name.localeCompare(s.name)),this.extensions=this.extensions.filter(i=>i.category===this.configFile.personality_category),this.extensions.sort(),console.log("per filtered",this.extensionsFiltered),this.isLoading=!1},async filterPersonalities(){if(!this.searchPersonality){this.personalitiesFiltered=this.personalities.filter(t=>t.category===this.configFile.personality_category),this.personalitiesFiltered.sort(),this.searchPersonalityInProgress=!1;return}const n=this.searchPersonality.toLowerCase(),e=this.personalities.filter(t=>{if(t.name&&t.name.toLowerCase().includes(n)||t.description&&t.description.toLowerCase().includes(n)||t.full_path&&t.full_path.toLowerCase().includes(n))return t});e.length>0?this.personalitiesFiltered=e.sort():(this.personalitiesFiltered=this.personalities.filter(t=>t.category===this.configFile.personality_category),this.personalitiesFiltered.sort()),this.searchPersonalityInProgress=!1},async filterExtensions(){if(!this.searchExtension){this.personalitiesFiltered=this.extensions.filter(t=>t.category===this.extension_category),this.personalitiesFiltered.sort(),this.searchExtensionInProgress=!1;return}const n=this.searchExtension.toLowerCase(),e=this.personalities.filter(t=>{if(t.name&&t.name.toLowerCase().includes(n)||t.description&&t.description.toLowerCase().includes(n)||t.full_path&&t.full_path.toLowerCase().includes(n))return t});e.length>0?this.personalitiesFiltered=e.sort():(this.personalitiesFiltered=this.personalities.filter(t=>t.category===this.configFile.personality_category),this.personalitiesFiltered.sort()),this.searchExtensionInProgress=!1},async filterModels(){const n=this.searchModel.toLowerCase();this.is_loading_zoo=!0,console.log("filtering models"),console.log(this.models_zoo);const e=this.models_zoo.filter(t=>{if(t.name&&t.name.toLowerCase().includes(n)||t.description&&t.description.toLowerCase().includes(n)||t.category&&t.category.toLowerCase().includes(n))return t});this.is_loading_zoo=!1,e.length>0?this.modelsFiltered=e:this.modelsFiltered=[],this.searchModelInProgress=!1},computedFileSize(n){return ss(n)},async mount_personality(n){if(!n)return{status:!1,error:"no personality - mount_personality"};try{const e={language:n.language?n.language:"",category:n.category?n.category:"",folder:n.folder?n.folder:""},t=await Le.post("/mount_personality",e);if(t)return t.data}catch(e){console.log(e.message,"mount_personality - settings");return}},async unmount_personality(n){if(!n)return{status:!1,error:"no personality - unmount_personality"};const e={language:n.language,category:n.category,folder:n.folder};try{const t=await Le.post("/unmount_personality",e);if(t)return t.data}catch(t){console.log(t.message,"unmount_personality - settings");return}},async select_personality(n){if(!n)return{status:!1,error:"no personality - select_personality"};let e=n.language==null?n.full_path:n.full_path+":"+n.language;console.log("pth",e);const i={id:this.configFile.personalities.findIndex(s=>s===e)};try{const s=await Le.post("/select_personality",i);if(s)return this.$store.dispatch("refreshConfig").then(()=>{this.$store.dispatch("refreshPersonalitiesZoo").then(()=>{this.$store.dispatch("refreshMountedPersonalities")})}),s.data}catch(s){console.log(s.message,"select_personality - settings");return}},async mount_extension(n){if(!n)return{status:!1,error:"no extension - mount_extension"};try{const e={category:n.category,folder:n.folder},t=await Le.post("/mount_extension",e);if(t)return t.data}catch(e){console.log(e.message,"mount_extension - settings");return}},async unmount_extension(n){if(!n)return{status:!1,error:"no extension - unmount_extension"};const e={language:n.language,category:n.category,folder:n.folder};try{const t=await Le.post("/unmount_extension",e);if(t)return t.data}catch(t){console.log(t.message,"unmount_extension - settings");return}},async mountPersonality(n){if(this.isLoading=!0,console.log("mount pers",n),n.personality.disclaimer!=""&&this.$store.state.messageBox.showMessage(n.personality.disclaimer),!n)return;if(this.configFile.personalities.includes(n.personality.full_path)){this.isLoading=!1,this.$store.state.toast.showToast("Personality already mounted",4,!1);return}const e=await this.mount_personality(n.personality);console.log("mount_personality res",e),e&&e.status&&e.active_personality_id>-1&&e.personalities.includes(n.personality.full_path)?(this.configFile.personalities=e.personalities,this.$store.state.toast.showToast("Personality mounted",4,!0),n.isMounted=!0,(await this.select_personality(n.personality)).status&&this.$store.state.toast.showToast(`Selected personality:
`+n.personality.name,4,!0),this.$store.dispatch("refreshMountedPersonalities")):(n.isMounted=!1,this.$store.state.toast.showToast(`Could not mount personality
Error: `+e.error+`
Response:
`+e,4,!1)),this.isLoading=!1},async unmountAll(){await Le.get("/unmount_all_personalities"),this.$store.dispatch("refreshMountedPersonalities"),this.$store.dispatch("refreshConfig"),this.$store.state.toast.showToast("All personas unmounted",4,!0)},async unmountPersonality(n){if(this.isLoading=!0,!n)return;const e=await this.unmount_personality(n.personality||n);if(e.status){this.configFile.personalities=e.personalities,this.$store.state.toast.showToast("Personality unmounted",4,!0);const t=this.personalities.findIndex(a=>a.full_path==n.full_path),i=this.personalitiesFiltered.findIndex(a=>a.full_path==n.full_path),s=this.$refs.personalitiesZoo.findIndex(a=>a.full_path==n.full_path);console.log("ppp",this.personalities[t]),this.personalities[t].isMounted=!1,i>-1&&(this.personalitiesFiltered[i].isMounted=!1),s>-1&&(this.$refs.personalitiesZoo[s].isMounted=!1),this.$store.dispatch("refreshMountedPersonalities");const r=this.mountedPersArr[this.mountedPersArr.length-1];console.log(r,this.mountedPersArr.length),(await this.select_personality(n.personality)).status&&this.$store.state.toast.showToast(`Selected personality:
`+r.name,4,!0)}else this.$store.state.toast.showToast(`Could not unmount personality
Error: `+e.error,4,!1);this.isLoading=!1},editPersonality(n){n=n.personality,Le.post("/get_personality_config",{category:n.category,name:n.folder}).then(e=>{const t=e.data;console.log("Done"),t.status?(this.$store.state.currentPersonConfig=t.config,this.$store.state.showPersonalityEditor=!0,this.$store.state.personality_editor.showPanel(),this.$store.state.selectedPersonality=n):console.error(t.error)}).catch(e=>{console.error(e)})},copyToCustom(n){n=n.personality,Le.post("/copy_to_custom_personas",{category:n.category,name:n.folder}).then(e=>{e.status?(this.$store.state.messageBox.showMessage(`Personality copied to the custom personalities folder:
Now it's up to you to modify it, enhance it, and maybe even share it.
Feel free to add your name as an author, but please remember to keep the original creator's name as well.
You have the freedom to give, sell, or keep the personas you create for yourself.
The possibilities are endless, they are now yours to mold and customize as you see fit.`),this.$store.dispatch("refreshPersonalitiesZoo")):this.$store.state.toast.showToast(`Personality couldn't be copied to the custom personalities folder:
Verify that the personality is not already copied there.`,4,!1)}).catch(e=>{this.$store.state.toast.showToast(`Personality couldn't be copied to the custom personalities folder:
`,4,!1),console.error(e)})},async remountPersonality(n){await this.unmountPersonality(n),await this.mountPersonality(n)},async mountExtension(n){if(this.isLoading=!0,console.log("mount ext",n),!n)return;if(this.configFile.personalities.includes(n.extension.full_path)){this.isLoading=!1,this.$store.state.toast.showToast("Extension already mounted",4,!1);return}const e=await this.mount_extension(n.extension);console.log("mount_extension res",e),e&&e.status&&e.extensions.includes(n.extension.full_path)?(this.configFile.extensions=e.extensions,this.$store.state.toast.showToast("Extension mounted",4,!0),n.isMounted=!0,this.$store.dispatch("refreshMountedExtensions")):(n.isMounted=!1,this.$store.state.toast.showToast(`Could not mount extension
Error: `+e.error+`
Response:
`+e,4,!1)),this.isLoading=!1},async unmountExtension(n){if(this.isLoading=!0,!n)return;const e=await this.p_unmount_extension(n.extension||n);if(e.status){this.configFile.extensions=e.extensions,this.$store.state.toast.showToast("Extension unmounted",4,!0);const t=this.extensions.findIndex(o=>o.full_path==n.full_path),i=this.extensionsFiltered.findIndex(o=>o.full_path==n.full_path),s=this.$refs.extensionsZoo.findIndex(o=>o.full_path==n.full_path);console.log("ext",this.extensions[t]),this.extensions[t].isMounted=!1,i>-1&&(this.extensionsFiltered[i].isMounted=!1),s>-1&&(this.$refs.extensionsZoo[s].isMounted=!1),this.$store.dispatch("refreshMountedPersonalities");const r=this.mountedExtensions[this.mountedExtensions.length-1];console.log(r,this.mountedExtensions.length)}else this.$store.state.toast.showToast(`Could not unmount extension
Error: `+e.error,4,!1);this.isLoading=!1},async remountExtension(n){await this.unmountExtension(n),await this.mountExtension(n)},onExtensionReinstall(n){console.log("on reinstall ",n),this.isLoading=!0,console.log(n),Le.post("/reinstall_extension",{name:n.extension.full_path}).then(e=>{if(e)return this.isLoading=!1,console.log("reinstall_extension",e),e.data.status?this.$store.state.toast.showToast("Extension reinstalled successfully!",4,!0):this.$store.state.toast.showToast("Could not reinstall extension",4,!1),e.data;this.isLoading=!1}).catch(e=>(this.isLoading=!1,this.$store.state.toast.showToast(`Could not reinstall personality
`+e.message,4,!1),{status:!1}))},onPersonalityReinstall(n){console.log("on reinstall ",n),this.isLoading=!0,Le.post("/reinstall_personality",{name:n.personality.path}).then(e=>{if(e)return this.isLoading=!1,console.log("reinstall_personality",e),e.data.status?this.$store.state.toast.showToast("Personality reinstalled successfully!",4,!0):this.$store.state.toast.showToast("Could not reinstall personality",4,!1),e.data;this.isLoading=!1}).catch(e=>(this.isLoading=!1,this.$store.state.toast.showToast(`Could not reinstall personality
`+e.message,4,!1),{status:!1}))},personalityImgPlacehodler(n){n.target.src=ga},extensionImgPlacehodler(n){n.target.src=Zst},searchPersonality_func(){clearTimeout(this.searchPersonalityTimer),this.searchPersonality&&(this.searchPersonalityInProgress=!0,setTimeout(this.filterPersonalities,this.searchPersonalityTimerInterval))},searchModel_func(){this.filterModels()}},async mounted(){console.log("Getting voices"),this.getVoices(),console.log("Constructing"),this.load_everything(),this.getSeviceVoices()},activated(){},computed:{rendered_models_zoo:{get(){return this.searchModel?this.show_only_installed_models?this.modelsFiltered.filter(n=>n.isInstalled===!0):this.modelsFiltered.slice(0,Math.min(this.models_zoo.length,this.models_zoo_initialLoadCount)):(console.log("this.models_zoo"),console.log(this.models_zoo),console.log(this.models_zoo_initialLoadCount),this.show_only_installed_models?this.models_zoo.filter(n=>n.isInstalled===!0):this.models_zoo.slice(0,Math.min(this.models_zoo.length,this.models_zoo_initialLoadCount)))}},imgBinding:{get(){if(!this.isMounted)return Li;try{return this.$refs.bindingZoo[this.$refs.bindingZoo.findIndex(n=>n.binding.folder==this.configFile.binding_name)].$refs.imgElement.src}catch{return Li}}},imgModel:{get(){try{let n=this.$store.state.modelsZoo.findIndex(e=>e.name==this.$store.state.selectedModel);return n>=0?(console.log(`model avatar : ${this.$store.state.modelsZoo[n].icon}`),this.$store.state.modelsZoo[n].icon):Li}catch{console.log("error")}if(!this.isMounted)return Li;try{return this.$refs.bindingZoo[this.$refs.bindingZoo.findIndex(n=>n.binding.folder==this.configFile.binding_name)].$refs.imgElement.src}catch{return Li}}},isReady:{get(){return this.$store.state.ready}},audio_out_voice:{get(){return this.$store.state.config.audio_out_voice},set(n){this.$store.state.config.audio_out_voice=n}},whisperModels(){return["base","base.en","base.fr","base.es","small","small.en","small.fr","small.es","medium","medium.en","medium.fr","medium.es","large","large.en","large.fr","large.es"]},audioLanguages(){return[{code:"en-US",name:"English (US)"},{code:"en-GB",name:"English (UK)"},{code:"es-ES",name:"Spanish (Spain)"},{code:"es-MX",name:"Spanish (Mexico)"},{code:"fr-FR",name:"French (France)"},{code:"fr-CA",name:"French (Canada)"},{code:"de-DE",name:"German (Germany)"},{code:"it-IT",name:"Italian (Italy)"},{code:"pt-BR",name:"Portuguese (Brazil)"},{code:"pt-PT",name:"Portuguese (Portugal)"},{code:"ru-RU",name:"Russian (Russia)"},{code:"zh-CN",name:"Chinese (China)"},{code:"ja-JP",name:"Japanese (Japan)"},{code:"ar-SA",name:"Arabic (Saudi Arabia)"},{code:"tr-TR",name:"Turkish (Turkey)"},{code:"ms-MY",name:"Malay (Malaysia)"},{code:"ko-KR",name:"Korean (South Korea)"},{code:"nl-NL",name:"Dutch (Netherlands)"},{code:"sv-SE",name:"Swedish (Sweden)"},{code:"da-DK",name:"Danish (Denmark)"},{code:"fi-FI",name:"Finnish (Finland)"},{code:"no-NO",name:"Norwegian (Norway)"},{code:"pl-PL",name:"Polish (Poland)"},{code:"el-GR",name:"Greek (Greece)"},{code:"hu-HU",name:"Hungarian (Hungary)"},{code:"cs-CZ",name:"Czech (Czech Republic)"},{code:"th-TH",name:"Thai (Thailand)"},{code:"hi-IN",name:"Hindi (India)"},{code:"he-IL",name:"Hebrew (Israel)"},{code:"id-ID",name:"Indonesian (Indonesia)"},{code:"vi-VN",name:"Vietnamese (Vietnam)"},{code:"uk-UA",name:"Ukrainian (Ukraine)"},{code:"ro-RO",name:"Romanian (Romania)"},{code:"bg-BG",name:"Bulgarian (Bulgaria)"},{code:"hr-HR",name:"Croatian (Croatia)"},{code:"sr-RS",name:"Serbian (Serbia)"},{code:"sk-SK",name:"Slovak (Slovakia)"},{code:"sl-SI",name:"Slovenian (Slovenia)"},{code:"et-EE",name:"Estonian (Estonia)"},{code:"lv-LV",name:"Latvian (Latvia)"},{code:"lt-LT",name:"Lithuanian (Lithuania)"},{code:"ka-GE",name:"Georgian (Georgia)"},{code:"hy-AM",name:"Armenian (Armenia)"},{code:"az-AZ",name:"Azerbaijani (Azerbaijan)"},{code:"kk-KZ",name:"Kazakh (Kazakhstan)"},{code:"uz-UZ",name:"Uzbek (Uzbekistan)"},{code:"kkj-CM",name:"Kako (Cameroon)"},{code:"my-MM",name:"Burmese (Myanmar)"},{code:"ne-NP",name:"Nepali (Nepal)"},{code:"si-LK",name:"Sinhala (Sri Lanka)"}]},configFile:{get(){return this.$store.state.config},set(n){this.$store.commit("setConfig",n)}},userName:{get(){return this.$store.state.config.user_name},set(n){this.$store.state.config.user_name=n}},user_avatar:{get(){return"/user_infos/"+this.$store.state.config.user_avatar},set(n){this.$store.state.config.user_avatar=n}},hardware_mode:{get(){return this.$store.state.config.hardware_mode},set(n){this.$store.state.config.hardware_mode=n}},auto_update:{get(){return this.$store.state.config.auto_update},set(n){this.$store.state.config.auto_update=n}},auto_speak:{get(){return this.$store.state.config.auto_speak},set(n){this.$store.state.config.auto_speak=n}},auto_read:{get(){return this.$store.state.config.auto_read},set(n){this.$store.state.config.auto_read=n}},enable_voice_service:{get(){return this.$store.state.config.enable_voice_service},set(n){this.$store.state.config.enable_voice_service=n}},current_language:{get(){return this.$store.state.config.current_language},set(n){console.log("Current voice set to ",n),this.$store.state.config.current_language=n}},current_voice:{get(){return this.$store.state.config.current_voice===null||this.$store.state.config.current_voice===void 0?(console.log("current voice",this.$store.state.config.current_voice),"main_voice"):this.$store.state.config.current_voice},set(n){n=="main_voice"||n===void 0?(console.log("Current voice set to None"),this.$store.state.config.current_voice=null):(console.log("Current voice set to ",n),this.$store.state.config.current_voice=n)}},audio_pitch:{get(){return this.$store.state.config.audio_pitch},set(n){this.$store.state.config.audio_pitch=n}},audio_in_language:{get(){return this.$store.state.config.audio_in_language},set(n){this.$store.state.config.audio_in_language=n}},use_user_name_in_discussions:{get(){return this.$store.state.config.use_user_name_in_discussions},set(n){this.$store.state.config.use_user_name_in_discussions=n}},discussion_db_name:{get(){return this.$store.state.config.discussion_db_name},set(n){this.$store.state.config.discussion_db_name=n}},personalities:{get(){return this.$store.state.personalities},set(n){this.$store.commit("setPersonalities",n)}},mountedPersArr:{get(){return this.$store.state.mountedPersArr},set(n){this.$store.commit("setMountedPers",n)}},mountedExtensions:{get(){return console.log("this.$store.state.mountedExtensions:",this.$store.state.mountedExtensions),this.$store.state.mountedExtensions},set(n){this.$store.commit("setActiveExtensions",n)}},bindingsZoo:{get(){return this.$store.state.bindingsZoo},set(n){this.$store.commit("setbindingsZoo",n)}},modelsArr:{get(){return this.$store.state.modelsArr},set(n){this.$store.commit("setModelsArr",n)}},models:{get(){return this.models_zoo},set(n){this.$store.commit("setModelsZoo",n)}},installed_models:{get(){return this.models_zoo},set(n){this.$store.commit("setModelsZoo",n)}},diskUsage:{get(){return this.$store.state.diskUsage},set(n){this.$store.commit("setDiskUsage",n)}},ramUsage:{get(){return this.$store.state.ramUsage},set(n){this.$store.commit("setRamUsage",n)}},vramUsage:{get(){return this.$store.state.vramUsage},set(n){this.$store.commit("setVramUsage",n)}},disk_available_space(){return this.computedFileSize(this.diskUsage.available_space)},disk_binding_models_usage(){return console.log(`this.diskUsage : ${this.diskUsage}`),this.computedFileSize(this.diskUsage.binding_models_usage)},disk_percent_usage(){return this.diskUsage.percent_usage},disk_total_space(){return this.computedFileSize(this.diskUsage.total_space)},ram_available_space(){return this.computedFileSize(this.ramUsage.available_space)},ram_usage(){return this.computedFileSize(this.ramUsage.ram_usage)},ram_percent_usage(){return this.ramUsage.percent_usage},ram_total_space(){return this.computedFileSize(this.ramUsage.total_space)},model_name(){if(this.isMounted)return this.configFile.model_name},binding_name(){if(!this.isMounted)return;const n=this.bindingsZoo.findIndex(e=>e.folder===this.configFile.binding_name);if(n>-1)return this.bindingsZoo[n].name},active_pesonality(){if(!this.isMounted)return;const n=this.personalities.findIndex(e=>e.full_path===this.configFile.personalities[this.configFile.active_personality_id]);if(n>-1)return this.personalities[n].name},speed_computed(){return ss(this.addModel.speed)},total_size_computed(){return ss(this.addModel.total_size)},downloaded_size_computed(){return ss(this.addModel.downloaded_size)}},watch:{enable_voice_service(n){n||(this.configFile.auto_read=!1)},bec_collapsed(){Ve(()=>{qe.replace()})},pc_collapsed(){Ve(()=>{qe.replace()})},mc_collapsed(){Ve(()=>{qe.replace()})},sc_collapsed(){Ve(()=>{qe.replace()})},showConfirmation(){Ve(()=>{qe.replace()})},mzl_collapsed(){Ve(()=>{qe.replace()})},pzl_collapsed(){Ve(()=>{qe.replace()})},ezl_collapsed(){Ve(()=>{qe.replace()})},bzl_collapsed(){Ve(()=>{qe.replace()})},all_collapsed(n){this.collapseAll(n),Ve(()=>{qe.replace()})},settingsChanged(n){this.$store.state.settingsChanged=n,Ve(()=>{qe.replace()})},isLoading(){Ve(()=>{qe.replace()})},searchPersonality(n){n==""&&this.filterPersonalities()},mzdc_collapsed(){Ve(()=>{qe.replace()})}},async beforeRouteLeave(n){if(await this.$router.isReady(),this.settingsChanged)return await this.$store.state.yesNoDialog.askQuestion(`Did You forget to apply changes?
You need to apply changes before you leave, or else.`,"Apply configuration","Cancel")&&this.applyConfiguration(),!1}},pe=n=>(wr("data-v-749e7a9f"),n=n(),Nr(),n),fot={class:"container overflow-y-scroll flex flex-row shadow-lg p-10 pt-0 overflow-y-scroll w-full dark:bg-bg-dark scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary"},mot={class:"sticky top-0 z-10 flex flex-row mb-2 p-3 gap-3 w-full rounded-b-lg bg-bg-light-tone dark:bg-bg-dark-tone shadow-lg"},got={key:0,class:"flex gap-3 flex-1 items-center duration-75"},bot=pe(()=>u("i",{"data-feather":"x"},null,-1)),Eot=[bot],vot=pe(()=>u("i",{"data-feather":"check"},null,-1)),yot=[vot],Sot={key:1,class:"flex gap-3 flex-1 items-center"},Tot=pe(()=>u("i",{"data-feather":"save"},null,-1)),xot=[Tot],Cot=pe(()=>u("i",{"data-feather":"refresh-ccw"},null,-1)),Rot=[Cot],Aot=pe(()=>u("i",{"data-feather":"list"},null,-1)),wot=[Aot],Not={class:"flex gap-3 flex-1 items-center justify-end"},Oot=pe(()=>u("i",{"data-feather":"trash-2"},null,-1)),Iot=[Oot],Mot=pe(()=>u("i",{"data-feather":"refresh-ccw"},null,-1)),Dot=[Mot],kot=pe(()=>u("i",{"data-feather":"arrow-up-circle"},null,-1)),Lot={key:0},Pot=pe(()=>u("i",{"data-feather":"alert-circle"},null,-1)),Uot=[Pot],Fot={class:"flex gap-3 items-center"},Bot={key:0,class:"flex gap-3 items-center"},Got=pe(()=>u("p",{class:"text-red-600 font-bold"},"Apply changes:",-1)),zot=pe(()=>u("i",{"data-feather":"check"},null,-1)),Vot=[zot],Hot={key:1,role:"status"},qot=pe(()=>u("svg",{"aria-hidden":"true",class:"w-6 h-6 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})],-1)),Yot=pe(()=>u("span",{class:"sr-only"},"Loading...",-1)),$ot={class:"flex flex-col mb-2 rounded-lg bg-bg-light-tone dark:bg-bg-dark-tone hover:bg-bg-light-tone-panel hover:dark:bg-bg-dark-tone-panel duration-150 shadow-lg"},Wot={class:"flex flex-row p-3"},Kot=pe(()=>u("i",{"data-feather":"chevron-right"},null,-1)),jot=[Kot],Qot=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),Xot=[Qot],Zot=pe(()=>u("h3",{class:"text-lg font-semibold cursor-pointer select-none mr-2"}," System status",-1)),Jot=pe(()=>u("div",{class:"mr-2"},"|",-1)),eat={class:"text-base font-semibold cursor-pointer select-none items-center"},tat={class:"flex gap-2 items-center"},nat={key:0},iat={class:"flex gap-2 items-center"},sat=["src"],rat={class:"font-bold font-large text-lg"},oat={key:1},aat={class:"flex gap-2 items-center"},lat=["src"],cat={class:"font-bold font-large text-lg"},dat=pe(()=>u("i",{"data-feather":"cpu",title:"CPU Ram",class:"w-5 h-5 mx-1 flex-shrink-0"},null,-1)),uat={class:"font-bold font-large text-lg"},pat=pe(()=>u("i",{"data-feather":"hard-drive",title:"Hard drive",class:"w-5 h-5 mx-1 flex-shrink-0"},null,-1)),_at={class:"font-bold font-large text-lg"},hat={class:"mb-2"},fat=pe(()=>u("label",{class:"flex items-center gap-1 ml-2 mb-2 text-sm font-medium text-gray-900 dark:text-white"},[u("svg",{xmlns:"http://www.w3.org/2000/svg",width:"24",height:"24",viewBox:"0 0 24 24"},[u("path",{fill:"currentColor",d:"M17 17H7V7h10m4 4V9h-2V7a2 2 0 0 0-2-2h-2V3h-2v2h-2V3H9v2H7c-1.11 0-2 .89-2 2v2H3v2h2v2H3v2h2v2a2 2 0 0 0 2 2h2v2h2v-2h2v2h2v-2h2a2 2 0 0 0 2-2v-2h2v-2h-2v-2m-6 2h-2v-2h2m2-2H9v6h6V9Z"})]),Ze(" CPU Ram usage: ")],-1)),mat={class:"flex flex-col mx-2"},gat=pe(()=>u("b",null,"Avaliable ram: ",-1)),bat=pe(()=>u("b",null,"Ram usage: ",-1)),Eat={class:"p-2"},vat={class:"w-full bg-gray-200 rounded-full h-2.5 dark:bg-gray-700"},yat={class:"mb-2"},Sat=pe(()=>u("label",{class:"flex items-center gap-1 ml-2 mb-2 text-sm font-medium text-gray-900 dark:text-white"},[u("i",{"data-feather":"hard-drive",class:"w-5 h-5"}),Ze(" Disk usage: ")],-1)),Tat={class:"flex flex-col mx-2"},xat=pe(()=>u("b",null,"Avaliable disk space: ",-1)),Cat=pe(()=>u("b",null,"Disk usage: ",-1)),Rat={class:"p-2"},Aat={class:"w-full bg-gray-200 rounded-full h-2.5 dark:bg-gray-700"},wat={class:"flex items-center gap-1 ml-2 mb-2 text-sm font-medium text-gray-900 dark:text-white"},Nat=["src"],Oat={class:"flex flex-col mx-2"},Iat=pe(()=>u("b",null,"Model: ",-1)),Mat=pe(()=>u("b",null,"Avaliable vram: ",-1)),Dat=pe(()=>u("b",null,"GPU usage: ",-1)),kat={class:"p-2"},Lat={class:"w-full bg-gray-200 rounded-full h-2.5 dark:bg-gray-700"},Pat={class:"flex flex-col mb-2 rounded-lg bg-bg-light-tone dark:bg-bg-dark-tone hover:bg-bg-light-tone-panel hover:dark:bg-bg-dark-tone-panel duration-150 shadow-lg"},Uat={class:"flex flex-row p-3"},Fat=pe(()=>u("i",{"data-feather":"chevron-right"},null,-1)),Bat=[Fat],Gat=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),zat=[Gat],Vat=pe(()=>u("h3",{class:"text-lg font-semibold cursor-pointer select-none mr-2"}," Main configurations",-1)),Hat={class:"flex flex-col mb-2 px-3 pb-2"},qat={class:"expand-to-fit bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Yat=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"hardware_mode",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Hardware mode:")],-1)),$at={class:"text-center items-center"},Wat={class:"flex flex-row"},Kat=pe(()=>u("option",{value:"cpu"},"CPU",-1)),jat=pe(()=>u("option",{value:"cpu-noavx"},"CPU (No AVX)",-1)),Qat=pe(()=>u("option",{value:"nvidia-tensorcores"},"NVIDIA (Tensor Cores)",-1)),Xat=pe(()=>u("option",{value:"nvidia"},"NVIDIA",-1)),Zat=pe(()=>u("option",{value:"amd-noavx"},"AMD (No AVX)",-1)),Jat=pe(()=>u("option",{value:"amd"},"AMD",-1)),elt=pe(()=>u("option",{value:"apple-intel"},"Apple Intel",-1)),tlt=pe(()=>u("option",{value:"apple-silicon"},"Apple Silicon",-1)),nlt=[Kat,jat,Qat,Xat,Zat,Jat,elt,tlt],ilt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"discussion_db_name",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Database path:")],-1)),slt={style:{width:"100%"}},rlt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"copy_to_clipboard_add_all_details",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Add details to messages copied to clipboard:")],-1)),olt={class:"flex flex-row"},alt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"auto_show_browser",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Auto show browser:")],-1)),llt={class:"flex flex-row"},clt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"activate_debug",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate debug mode:")],-1)),dlt={class:"flex flex-row"},ult=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"debug_log_file_path",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Debug file path:")],-1)),plt={class:"flex flex-row"},_lt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"show_news_panel",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Show news panel:")],-1)),hlt={class:"flex flex-row"},flt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"auto_save",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Auto save:")],-1)),mlt={class:"flex flex-row"},glt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"auto_update",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Auto update:")],-1)),blt={class:"flex flex-row"},Elt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"auto_update",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Auto title:")],-1)),vlt={class:"flex flex-row"},ylt={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Slt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"user_name",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"User name:")],-1)),Tlt={style:{width:"100%"}},xlt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"user_description",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"User description:")],-1)),Clt={style:{width:"100%"}},Rlt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"use_user_informations_in_discussion",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Use user description in discussion:")],-1)),Alt={style:{width:"100%"}},wlt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"user_name",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"User avatar:")],-1)),Nlt={style:{width:"100%"}},Olt={for:"avatar-upload"},Ilt=["src"],Mlt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"use_user_name_in_discussions",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Use User Name in discussions:")],-1)),Dlt={class:"flex flex-row"},klt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"max_n_predict",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Maximum number of output tokens space (forces the model to have more space to speak):")],-1)),Llt={style:{width:"100%"}},Plt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"min_n_predict",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Minimum number of output tokens space (forces the model to have more space to speak):")],-1)),Ult={style:{width:"100%"}},Flt={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Blt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"turn_on_code_execution",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"turn on code execution:")],-1)),Glt={style:{width:"100%"}},zlt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"turn_on_code_validation",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"turn on code validation:")],-1)),Vlt={style:{width:"100%"}},Hlt={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},qlt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"activate_skills_lib",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate Skills library:")],-1)),Ylt={class:"flex flex-row"},$lt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"discussion_db_name",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Skills library database name:")],-1)),Wlt={style:{width:"100%"}},Klt={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},jlt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"use_files",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate files support:")],-1)),Qlt={class:"flex flex-row"},Xlt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"activate_ltm",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate Long term memory:")],-1)),Zlt={class:"flex flex-row"},Jlt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"summerize_discussion",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate Continuous Learning from discussions:")],-1)),ect={class:"flex flex-row"},tct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"data_vectorization_visualize_on_vectorization",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"show vectorized data:")],-1)),nct={class:"flex flex-row"},ict=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"data_vectorization_activate",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate data Vectorization:")],-1)),sct={class:"flex flex-row"},rct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"data_vectorization_build_keys_words",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Build keywords when querying the vectorized database:")],-1)),oct={class:"flex flex-row"},act=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"data_vectorization_method",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Data vectorization method:")],-1)),lct=pe(()=>u("option",{value:"tfidf_vectorizer"},"tfidf Vectorizer",-1)),cct=pe(()=>u("option",{value:"model_embedding"},"Model Embedding",-1)),dct=[lct,cct],uct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"data_visualization_method",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Data visualization method:")],-1)),pct=pe(()=>u("option",{value:"PCA"},"PCA",-1)),_ct=pe(()=>u("option",{value:"TSNE"},"TSNE",-1)),hct=[pct,_ct],fct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"data_vectorization_save_db",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Save the new files to the database (The database wil always grow and continue to be the same over many sessions):")],-1)),mct={class:"flex flex-row"},gct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"data_vectorization_chunk_size",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Data vectorization chunk size(tokens):")],-1)),bct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"data_vectorization_overlap_size",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Data vectorization overlap size(tokens):")],-1)),Ect=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"data_vectorization_overlap_size",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Number of chunks to use for each message:")],-1)),vct={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},yct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"activate_internet_search",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate internet search:")],-1)),Sct={class:"flex flex-row"},Tct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"internet_quick_search",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate quick search:")],-1)),xct={class:"flex flex-row"},Cct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"internet_activate_search_decision",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate search decision:")],-1)),Rct={class:"flex flex-row"},Act=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"internet_vectorization_chunk_size",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Internet vectorization chunk size:")],-1)),wct={class:"flex flex-col"},Nct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"internet_vectorization_overlap_size",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Internet vectorization overlap size:")],-1)),Oct={class:"flex flex-col"},Ict=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"internet_vectorization_nb_chunks",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Internet vectorization number of chunks:")],-1)),Mct={class:"flex flex-col"},Dct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"internet_nb_search_pages",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Internet number of search pages:")],-1)),kct={class:"flex flex-col"},Lct={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Pct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"pdf_latex_path",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"PDF LaTeX path:")],-1)),Uct={class:"flex flex-row"},Fct={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Bct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"positive_boost",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Positive Boost:")],-1)),Gct={class:"flex flex-row"},zct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"negative_boost",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Negative Boost:")],-1)),Vct={class:"flex flex-row"},Hct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"force_output_language_to_be",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Force AI to answer in this language:")],-1)),qct={class:"flex flex-row"},Yct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"fun_mode",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Fun mode:")],-1)),$ct={class:"flex flex-row"},Wct={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Kct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"whisper_model",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Whisper model:")],-1)),jct={class:"flex flex-row"},Qct=["value"],Xct={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Zct=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"activate_audio_infos",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate audio infos:")],-1)),Jct={class:"flex flex-row"},edt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"audio_auto_send_input",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Send audio input automatically:")],-1)),tdt={class:"flex flex-row"},ndt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"auto_speak",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Enable auto speak:")],-1)),idt={class:"flex flex-row"},sdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"audio_pitch",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"audio pitch:")],-1)),rdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"audio_silenceTimer",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"audio in silence timer (ms):")],-1)),odt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"audio_in_language",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Input Audio Language:")],-1)),adt=["value"],ldt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"audio_out_voice",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Output Audio Voice:")],-1)),cdt=["value"],ddt={class:"flex flex-col mb-2 rounded-lg bg-bg-light-tone dark:bg-bg-dark-tone hover:bg-bg-light-tone-panel hover:dark:bg-bg-dark-tone-panel duration-150 shadow-lg"},udt={class:"flex flex-row p-3"},pdt=pe(()=>u("i",{"data-feather":"chevron-right"},null,-1)),_dt=[pdt],hdt=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),fdt=[hdt],mdt=pe(()=>u("h3",{class:"text-lg font-semibold cursor-pointer select-none mr-2"}," Servers configurations",-1)),gdt={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},bdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"discussion_db_name",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Host:")],-1)),Edt={style:{width:"100%"}},vdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"discussion_db_name",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Port:")],-1)),ydt={style:{width:"100%"}},Sdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"discussion_db_name",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Activate headless server mode (deactivates all code exectuion to protect the PC from attacks):")],-1)),Tdt={style:{width:"100%"}},xdt={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Cdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"enable_sd_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Enable sd service:")],-1)),Rdt={class:"flex flex-row"},Adt=pe(()=>u("i",{"data-feather":"help-circle",class:"w-5 h-5"},null,-1)),wdt=[Adt],Ndt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"install_sd_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Install SD service:")],-1)),Odt={class:"flex flex-row"},Idt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"sd_base_url",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"sd base url:")],-1)),Mdt={class:"flex flex-row"},Ddt={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},kdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"enable_sd_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Enable Motion Ctrl service:")],-1)),Ldt={class:"flex flex-row"},Pdt=pe(()=>u("i",{"data-feather":"help-circle",class:"w-5 h-5"},null,-1)),Udt=[Pdt],Fdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"install_sd_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Install Motion Ctrl service:")],-1)),Bdt={class:"flex flex-row"},Gdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"sd_base_url",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"sd base url:")],-1)),zdt={class:"flex flex-row"},Vdt={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Hdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"enable_ollama_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Enable ollama service:")],-1)),qdt={class:"flex flex-row"},Ydt=pe(()=>u("i",{"data-feather":"help-circle",class:"w-5 h-5"},null,-1)),$dt=[Ydt],Wdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"ollama_base_url",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Install Ollama service:")],-1)),Kdt={class:"flex flex-row"},jdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"ollama_base_url",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"ollama base url:")],-1)),Qdt={class:"flex flex-row"},Xdt={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Zdt=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"enable_vllm_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Enable vLLM service:")],-1)),Jdt={class:"flex flex-row"},eut=pe(()=>u("i",{"data-feather":"help-circle",class:"w-5 h-5"},null,-1)),tut=[eut],nut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"vllm_url",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Install vLLM service:")],-1)),iut={class:"flex flex-row"},sut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"vllm_url",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"vllm base url:")],-1)),rut={class:"flex flex-row"},out=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"vllm_gpu_memory_utilization",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"gpu memory utilization:")],-1)),aut={class:"flex flex-col align-bottom"},lut={class:"relative"},cut=pe(()=>u("p",{class:"absolute left-0 mt-6"},[u("label",{for:"vllm_gpu_memory_utilization",class:"text-sm font-medium"}," vllm gpu memory utilization: ")],-1)),dut={class:"absolute right-0"},uut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"vllm_max_num_seqs",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"vllm max num seqs:")],-1)),put={class:"flex flex-row"},_ut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"vllm_max_model_len",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"max model len:")],-1)),hut={class:"flex flex-row"},fut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"vllm_model_path",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"vllm model path:")],-1)),mut={class:"flex flex-row"},gut={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},but=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"enable_petals_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Enable petals service:")],-1)),Eut={class:"flex flex-row"},vut=pe(()=>u("i",{"data-feather":"help-circle",class:"w-5 h-5"},null,-1)),yut=[vut],Sut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"petals_base_url",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Install Petals service:")],-1)),Tut={class:"flex flex-row"},xut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"petals_base_url",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"petals base url:")],-1)),Cut={class:"flex flex-row"},Rut={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},Aut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"enable_voice_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Enable elastic search service:")],-1)),wut={class:"flex flex-row"},Nut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"install_elastic_search_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Reinstall Elastic Search service:")],-1)),Out={class:"flex flex-row"},Iut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"elastic_search_url",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"elastic search base url:")],-1)),Mut={class:"flex flex-row"},Dut={class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},kut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"enable_voice_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Enable voice service:")],-1)),Lut={class:"flex flex-row"},Put=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"install_xtts_service",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"xTTS service:")],-1)),Uut={class:"flex flex-row"},Fut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"xtts_base_url",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"xtts base url:")],-1)),But={class:"flex flex-row"},Gut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"current_language",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Current language:")],-1)),zut={class:"flex flex-row"},Vut=["disabled"],Hut=["value"],qut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"current_voice",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Current voice:")],-1)),Yut={class:"flex flex-row"},$ut=["disabled"],Wut=["value"],Kut=pe(()=>u("td",{style:{"min-width":"200px"}},[u("label",{for:"auto_read",class:"text-sm font-bold",style:{"margin-right":"1rem"}},"Enable auto read:")],-1)),jut={class:"flex flex-row"},Qut=["disabled"],Xut={class:"flex flex-col mb-2 rounded-lg bg-bg-light-tone dark:bg-bg-dark-tone hover:bg-bg-light-tone-panel hover:dark:bg-bg-dark-tone-panel duration-150 shadow-lg"},Zut={class:"flex flex-row p-3"},Jut=pe(()=>u("i",{"data-feather":"chevron-right"},null,-1)),ept=[Jut],tpt=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),npt=[tpt],ipt=pe(()=>u("h3",{class:"text-lg font-semibold cursor-pointer select-none mr-2"}," Binding zoo",-1)),spt={key:0,class:"text-base text-red-600 flex gap-3 items-center mr-2"},rpt=pe(()=>u("i",{"data-feather":"alert-triangle",class:"flex-shrink-0"},null,-1)),opt={key:1,class:"mr-2"},apt={key:2,class:"text-base font-semibold cursor-pointer select-none items-center"},lpt={class:"flex gap-1 items-center"},cpt=["src"],dpt={class:"font-bold font-large text-lg line-clamp-1"},upt={key:0,class:"mb-2"},ppt={for:"binding",class:"block ml-2 mb-2 text-sm font-medium text-gray-900 dark:text-white"},_pt=pe(()=>u("i",{"data-feather":"chevron-up"},null,-1)),hpt=[_pt],fpt=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),mpt=[fpt],gpt={class:"flex flex-col mb-2 rounded-lg bg-bg-light-tone dark:bg-bg-dark-tone hover:bg-bg-light-tone-panel hover:dark:bg-bg-dark-tone-panel duration-150 shadow-lg"},bpt={class:"flex flex-row p-3"},Ept=pe(()=>u("i",{"data-feather":"chevron-right"},null,-1)),vpt=[Ept],ypt=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),Spt=[ypt],Tpt=pe(()=>u("h3",{class:"text-lg font-semibold cursor-pointer select-none mr-2"}," Models zoo",-1)),xpt={class:"flex flex-row items-center"},Cpt={key:0,class:"text-base text-red-600 flex gap-3 items-center mr-2"},Rpt=pe(()=>u("i",{"data-feather":"alert-triangle",class:"flex-shrink-0"},null,-1)),Apt={key:1,class:"text-base text-red-600 flex gap-3 items-center mr-2"},wpt=pe(()=>u("i",{"data-feather":"alert-triangle",class:"flex-shrink-0"},null,-1)),Npt={key:2,class:"mr-2"},Opt={key:3,class:"text-base font-semibold cursor-pointer select-none items-center"},Ipt={class:"flex gap-1 items-center"},Mpt=["src"],Dpt={class:"font-bold font-large text-lg line-clamp-1"},kpt={class:"mx-2 mb-4"},Lpt={class:"relative"},Ppt={class:"absolute inset-y-0 left-0 flex items-center pl-3 pointer-events-none"},Upt={key:0},Fpt=pe(()=>u("div",{role:"status"},[u("svg",{"aria-hidden":"true",class:"inline w-4 h-4 mr-2 text-gray-200 animate-spin dark:text-gray-600 fill-blue-600",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})]),u("span",{class:"sr-only"},"Loading...")],-1)),Bpt=[Fpt],Gpt={key:1},zpt=pe(()=>u("svg",{"aria-hidden":"true",class:"w-5 h-5 text-gray-500 dark:text-gray-400",fill:"none",stroke:"currentColor",viewBox:"0 0 24 24",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"stroke-linecap":"round","stroke-linejoin":"round","stroke-width":"2",d:"M21 21l-6-6m2-5a7 7 0 11-14 0 7 7 0 0114 0z"})],-1)),Vpt=[zpt],Hpt=pe(()=>u("label",{for:"only_installed"},"Show only installed models",-1)),qpt=pe(()=>u("a",{href:"https://huggingface.co/spaces/HuggingFaceH4/open_llm_leaderboard",target:"_blank",class:"mb-4 font-bold underline text-blue-500 pb-4"},"Hugging face Leaderboard",-1)),Ypt={key:0,role:"status",class:"text-center w-full display: flex;align-items: center;"},$pt=pe(()=>u("svg",{"aria-hidden":"true",class:"text-center w-full display: flex;align-items: center; h-20 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})],-1)),Wpt=pe(()=>u("p",{class:"heartbeat-text"},"Loading models Zoo",-1)),Kpt=[$pt,Wpt],jpt={key:1,class:"mb-2"},Qpt={for:"model",class:"block ml-2 mb-2 text-sm font-medium text-gray-900 dark:text-white"},Xpt=pe(()=>u("i",{"data-feather":"chevron-up"},null,-1)),Zpt=[Xpt],Jpt=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),e_t=[Jpt],t_t={class:"mb-2"},n_t={class:"p-2"},i_t={class:"mb-3"},s_t=pe(()=>u("label",{class:"block mb-2 text-sm font-medium text-gray-900 dark:text-white"},"Create a reference from local file path:",-1)),r_t={key:0},o_t={class:"mb-3"},a_t=pe(()=>u("label",{class:"block mb-2 text-sm font-medium text-gray-900 dark:text-white"},"Download from web:",-1)),l_t={key:1,class:"relative flex flex-col items-center justify-center flex-grow h-full"},c_t=pe(()=>u("div",{role:"status",class:"justify-center"},null,-1)),d_t={class:"relative flex flex-row flex-grow items-center w-full h-full bottom-0"},u_t={class:"w-full p-2"},p_t={class:"flex justify-between mb-1"},__t=zu('<span class="flex flex-row items-center gap-2 text-base font-medium text-blue-700 dark:text-white" data-v-749e7a9f> Downloading <svg aria-hidden="true" class="w-4 h-4 mr-2 text-gray-200 animate-spin dark:text-gray-600 fill-secondary" viewBox="0 0 100 101" fill="none" xmlns="http://www.w3.org/2000/svg" data-v-749e7a9f><path d="M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z" fill="currentColor" data-v-749e7a9f></path><path d="M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z" fill="currentFill" data-v-749e7a9f></path></svg><span class="sr-only" data-v-749e7a9f>Loading...</span></span>',1),h_t={class:"text-sm font-medium text-blue-700 dark:text-white"},f_t=["title"],m_t={class:"w-full bg-gray-200 rounded-full h-2.5 dark:bg-gray-700"},g_t={class:"flex justify-between mb-1"},b_t={class:"text-base font-medium text-blue-700 dark:text-white"},E_t={class:"text-sm font-medium text-blue-700 dark:text-white"},v_t={class:"flex flex-grow"},y_t={class:"flex flex-row flex-grow gap-3"},S_t={class:"p-2 text-center grow"},T_t={class:"flex flex-col mb-2 rounded-lg bg-bg-light-tone dark:bg-bg-dark-tone hover:bg-bg-light-tone-panel hover:dark:bg-bg-dark-tone-panel duration-150 shadow-lg"},x_t={class:"flex flex-row p-3 items-center"},C_t=pe(()=>u("i",{"data-feather":"chevron-right"},null,-1)),R_t=[C_t],A_t=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),w_t=[A_t],N_t=pe(()=>u("h3",{class:"text-lg font-semibold cursor-pointer select-none mr-2"}," Personalities zoo",-1)),O_t={key:0,class:"mr-2"},I_t={class:"mr-2 font-bold font-large text-lg line-clamp-1"},M_t={key:1,class:"mr-2"},D_t={key:2,class:"text-base font-semibold cursor-pointer select-none items-center flex flex-row"},k_t={key:0,class:"flex -space-x-4 items-center"},L_t={class:"group items-center flex flex-row"},P_t=["onClick"],U_t=["src","title"],F_t=["onClick"],B_t=pe(()=>u("span",{class:"hidden group-hover:block top-0 left-7 absolute active:scale-90 bg-bg-light dark:bg-bg-dark rounded-full border-2 border-transparent",title:"Unmount personality"},[u("svg",{"aria-hidden":"true",class:"w-4 h-4 text-red-600 hover:text-red-500",fill:"currentColor",viewBox:"0 0 20 20",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"fill-rule":"evenodd",d:"M4.293 4.293a1 1 0 011.414 0L10 8.586l4.293-4.293a1 1 0 111.414 1.414L11.414 10l4.293 4.293a1 1 0 01-1.414 1.414L10 11.414l-4.293 4.293a1 1 0 01-1.414-1.414L8.586 10 4.293 5.707a1 1 0 010-1.414z","clip-rule":"evenodd"})])],-1)),G_t=[B_t],z_t=pe(()=>u("svg",{"aria-hidden":"true",class:"w-4 h-4 text-red-600 hover:text-red-500",fill:"currentColor",viewBox:"0 0 20 20",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"fill-rule":"evenodd",d:"M4.293 4.293a1 1 0 011.414 0L10 8.586l4.293-4.293a1 1 0 111.414 1.414L11.414 10l4.293 4.293a1 1 0 01-1.414 1.414L10 11.414l-4.293 4.293a1 1 0 01-1.414-1.414L8.586 10 4.293 5.707a1 1 0 010-1.414z","clip-rule":"evenodd"})],-1)),V_t=[z_t],H_t={class:"mx-2 mb-4"},q_t=pe(()=>u("label",{for:"personality-search",class:"mb-2 text-sm font-medium text-gray-900 sr-only dark:text-white"},"Search",-1)),Y_t={class:"relative"},$_t={class:"absolute inset-y-0 left-0 flex items-center pl-3 pointer-events-none"},W_t={key:0},K_t=pe(()=>u("div",{role:"status"},[u("svg",{"aria-hidden":"true",class:"inline w-4 h-4 mr-2 text-gray-200 animate-spin dark:text-gray-600 fill-blue-600",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})]),u("span",{class:"sr-only"},"Loading...")],-1)),j_t=[K_t],Q_t={key:1},X_t=pe(()=>u("svg",{"aria-hidden":"true",class:"w-5 h-5 text-gray-500 dark:text-gray-400",fill:"none",stroke:"currentColor",viewBox:"0 0 24 24",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"stroke-linecap":"round","stroke-linejoin":"round","stroke-width":"2",d:"M21 21l-6-6m2-5a7 7 0 11-14 0 7 7 0 0114 0z"})],-1)),Z_t=[X_t],J_t={key:0,class:"mx-2 mb-4"},eht={for:"persCat",class:"block mb-2 text-sm font-medium text-gray-900 dark:text-white"},tht=["selected"],nht={key:0,class:"mb-2"},iht={for:"model",class:"block ml-2 mb-2 text-sm font-medium text-gray-900 dark:text-white"},sht=pe(()=>u("i",{"data-feather":"chevron-up"},null,-1)),rht=[sht],oht=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),aht=[oht],lht={class:"flex flex-col mb-2 rounded-lg bg-bg-light-tone dark:bg-bg-dark-tone hover:bg-bg-light-tone-panel hover:dark:bg-bg-dark-tone-panel duration-150 shadow-lg"},cht={class:"flex flex-row p-3 items-center"},dht=pe(()=>u("i",{"data-feather":"chevron-right"},null,-1)),uht=[dht],pht=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),_ht=[pht],hht=pe(()=>u("h3",{class:"text-lg font-semibold cursor-pointer select-none mr-2"}," Extensions zoo",-1)),fht={key:0,class:"mr-2"},mht={key:1,class:"text-base font-semibold cursor-pointer select-none items-center flex flex-row"},ght={key:0,class:"flex -space-x-4 items-center"},bht={class:"group items-center flex flex-row"},Eht=["src","title"],vht=["onClick"],yht=pe(()=>u("span",{class:"hidden group-hover:block top-0 left-7 absolute active:scale-90 bg-bg-light dark:bg-bg-dark rounded-full border-2 border-transparent",title:"Unmount personality"},[u("svg",{"aria-hidden":"true",class:"w-4 h-4 text-red-600 hover:text-red-500",fill:"currentColor",viewBox:"0 0 20 20",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"fill-rule":"evenodd",d:"M4.293 4.293a1 1 0 011.414 0L10 8.586l4.293-4.293a1 1 0 111.414 1.414L11.414 10l4.293 4.293a1 1 0 01-1.414 1.414L10 11.414l-4.293 4.293a1 1 0 01-1.414-1.414L8.586 10 4.293 5.707a1 1 0 010-1.414z","clip-rule":"evenodd"})])],-1)),Sht=[yht],Tht={class:"mx-2 mb-4"},xht=pe(()=>u("label",{for:"personality-search",class:"mb-2 text-sm font-medium text-gray-900 sr-only dark:text-white"},"Search",-1)),Cht={class:"relative"},Rht={class:"absolute inset-y-0 left-0 flex items-center pl-3 pointer-events-none"},Aht={key:0},wht=pe(()=>u("div",{role:"status"},[u("svg",{"aria-hidden":"true",class:"inline w-4 h-4 mr-2 text-gray-200 animate-spin dark:text-gray-600 fill-blue-600",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})]),u("span",{class:"sr-only"},"Loading...")],-1)),Nht=[wht],Oht={key:1},Iht=pe(()=>u("svg",{"aria-hidden":"true",class:"w-5 h-5 text-gray-500 dark:text-gray-400",fill:"none",stroke:"currentColor",viewBox:"0 0 24 24",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"stroke-linecap":"round","stroke-linejoin":"round","stroke-width":"2",d:"M21 21l-6-6m2-5a7 7 0 11-14 0 7 7 0 0114 0z"})],-1)),Mht=[Iht],Dht={key:0,class:"mx-2 mb-4"},kht={for:"extCat",class:"block mb-2 text-sm font-medium text-gray-900 dark:text-white"},Lht=["selected"],Pht={key:0,class:"mb-2"},Uht={for:"model",class:"block ml-2 mb-2 text-sm font-medium text-gray-900 dark:text-white"},Fht=pe(()=>u("i",{"data-feather":"chevron-up"},null,-1)),Bht=[Fht],Ght=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),zht=[Ght],Vht={class:"flex flex-col mb-2 rounded-lg bg-bg-light-tone dark:bg-bg-dark-tone hover:bg-bg-light-tone-panel hover:dark:bg-bg-dark-tone-panel duration-150 shadow-lg"},Hht={class:"flex flex-row p-3 items-center"},qht=pe(()=>u("i",{"data-feather":"chevron-right"},null,-1)),Yht=[qht],$ht=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),Wht=[$ht],Kht=pe(()=>u("h3",{class:"text-lg font-semibold cursor-pointer select-none mr-2"}," Mounted Extensions Priority",-1)),jht={class:"flex flex-col mb-2 p-3 rounded-lg bg-bg-light-tone dark:bg-bg-dark-tone hover:bg-bg-light-tone-panel hover:dark:bg-bg-dark-tone-panel duration-150 shadow-lg"},Qht={class:"flex flex-row"},Xht=pe(()=>u("i",{"data-feather":"chevron-right"},null,-1)),Zht=[Xht],Jht=pe(()=>u("i",{"data-feather":"chevron-down"},null,-1)),eft=[Jht],tft=pe(()=>u("h3",{class:"text-lg font-semibold cursor-pointer select-none"}," Model Configuration",-1)),nft={class:"m-2"},ift={class:"flex flex-row gap-2 items-center"},sft=pe(()=>u("label",{for:"override-model-parameters",class:"block text-sm font-medium"}," Override personality model parameters ",-1)),rft={class:"m-2"},oft=pe(()=>u("label",{for:"seed",class:"block mb-2 text-sm font-medium"}," Seed: ",-1)),aft={class:"m-2"},lft={class:"flex flex-col align-bottom"},cft={class:"relative"},dft=pe(()=>u("p",{class:"absolute left-0 mt-6"},[u("label",{for:"temperature",class:"text-sm font-medium"}," Temperature: ")],-1)),uft={class:"absolute right-0"},pft={class:"m-2"},_ft={class:"flex flex-col align-bottom"},hft={class:"relative"},fft=pe(()=>u("p",{class:"absolute left-0 mt-6"},[u("label",{for:"predict",class:"text-sm font-medium"}," N Predict: ")],-1)),mft={class:"absolute right-0"},gft={class:"m-2"},bft={class:"flex flex-col align-bottom"},Eft={class:"relative"},vft=pe(()=>u("p",{class:"absolute left-0 mt-6"},[u("label",{for:"top_k",class:"text-sm font-medium"}," Top-K: ")],-1)),yft={class:"absolute right-0"},Sft={class:"m-2"},Tft={class:"flex flex-col align-bottom"},xft={class:"relative"},Cft=pe(()=>u("p",{class:"absolute left-0 mt-6"},[u("label",{for:"top_p",class:"text-sm font-medium"}," Top-P: ")],-1)),Rft={class:"absolute right-0"},Aft={class:"m-2"},wft={class:"flex flex-col align-bottom"},Nft={class:"relative"},Oft=pe(()=>u("p",{class:"absolute left-0 mt-6"},[u("label",{for:"repeat_penalty",class:"text-sm font-medium"}," Repeat penalty: ")],-1)),Ift={class:"absolute right-0"},Mft={class:"m-2"},Dft={class:"flex flex-col align-bottom"},kft={class:"relative"},Lft=pe(()=>u("p",{class:"absolute left-0 mt-6"},[u("label",{for:"repeat_last_n",class:"text-sm font-medium"}," Repeat last N: ")],-1)),Pft={class:"absolute right-0"};function Uft(n,e,t,i,s,r){const o=mt("Card"),a=mt("BindingEntry"),l=mt("RadioOptions"),d=mt("model-entry"),c=mt("personality-entry"),_=mt("ExtensionEntry"),f=mt("AddModelDialog"),m=mt("ChoiceDialog");return N(),M($e,null,[u("div",fot,[u("div",mot,[s.showConfirmation?(N(),M("div",got,[u("button",{class:"text-2xl hover:text-red-600 duration-75 active:scale-90",title:"Cancel",type:"button",onClick:e[0]||(e[0]=xe(h=>s.showConfirmation=!1,["stop"]))},Eot),u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Confirm save changes",type:"button",onClick:e[1]||(e[1]=xe(h=>r.save_configuration(),["stop"]))},yot)])):q("",!0),s.showConfirmation?q("",!0):(N(),M("div",Sot,[u("button",{title:"Save configuration",class:"text-2xl hover:text-secondary duration-75 active:scale-90",onClick:e[2]||(e[2]=h=>s.showConfirmation=!0)},xot),u("button",{title:"Reset configuration",class:"text-2xl hover:text-secondary duration-75 active:scale-90",onClick:e[3]||(e[3]=h=>r.reset_configuration())},Rot),u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Collapse / Expand all panels",type:"button",onClick:e[4]||(e[4]=xe(h=>s.all_collapsed=!s.all_collapsed,["stop"]))},wot)])),u("div",Not,[u("button",{title:"Clear uploads",class:"text-2xl hover:text-secondary duration-75 active:scale-90",onClick:e[5]||(e[5]=h=>r.api_get_req("clear_uploads").then(E=>{E.status?this.$store.state.toast.showToast("Success!",4,!0):this.$store.state.toast.showToast(["failed!"],4,!1)}))},Iot),u("button",{title:"Restart program",class:"text-2xl hover:text-secondary duration-75 active:scale-90",onClick:e[6]||(e[6]=h=>r.api_get_req("restart_program").then(E=>{E.status?this.$store.state.toast.showToast("Success!",4,!0):this.$store.state.toast.showToast(["failed!"],4,!1)}))},Dot),u("button",{title:"Upgrade program ",class:"text-2xl hover:text-secondary duration-75 active:scale-90",onClick:e[7]||(e[7]=h=>r.api_get_req("update_software").then(E=>{E.status?this.$store.state.toast.showToast("Success!",4,!0):this.$store.state.toast.showToast("Success!",4,!0)}))},[kot,s.has_updates?(N(),M("div",Lot,Uot)):q("",!0)]),u("div",Fot,[s.settingsChanged?(N(),M("div",Bot,[Got,s.isLoading?q("",!0):(N(),M("button",{key:0,class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Apply changes",type:"button",onClick:e[8]||(e[8]=xe(h=>r.applyConfiguration(),["stop"]))},Vot))])):q("",!0),s.isLoading?(N(),M("div",Hot,[u("p",null,ge(s.loading_text),1),qot,Yot])):q("",!0)])])]),u("div",{class:Ye(s.isLoading?"pointer-events-none opacity-30 w-full":"w-full")},[u("div",$ot,[u("div",Wot,[u("button",{onClick:e[9]||(e[9]=xe(h=>s.sc_collapsed=!s.sc_collapsed,["stop"])),class:"text-2xl hover:text-primary p-2 -m-2 w-full text-left flex flex-row items-center"},[le(u("div",null,jot,512),[[Mt,s.sc_collapsed]]),le(u("div",null,Xot,512),[[Mt,!s.sc_collapsed]]),Zot,Jot,u("div",eat,[u("div",tat,[u("div",null,[r.vramUsage&&r.vramUsage.gpus&&r.vramUsage.gpus.length==1?(N(),M("div",nat,[(N(!0),M($e,null,dt(r.vramUsage.gpus,h=>(N(),M("div",iat,[u("img",{src:s.SVGGPU,width:"25",height:"25"},null,8,sat),u("h3",rat,[u("div",null,ge(r.computedFileSize(h.used_vram))+" / "+ge(r.computedFileSize(h.total_vram))+" ("+ge(h.percentage)+"%) ",1)])]))),256))])):q("",!0),r.vramUsage&&r.vramUsage.gpus&&r.vramUsage.gpus.length>1?(N(),M("div",oat,[u("div",aat,[u("img",{src:s.SVGGPU,width:"25",height:"25"},null,8,lat),u("h3",cat,[u("div",null,ge(r.vramUsage.gpus.length)+"x ",1)])])])):q("",!0)]),dat,u("h3",uat,[u("div",null,ge(r.ram_usage)+" / "+ge(r.ram_total_space)+" ("+ge(r.ram_percent_usage)+"%)",1)]),pat,u("h3",_at,[u("div",null,ge(r.disk_binding_models_usage)+" / "+ge(r.disk_total_space)+" ("+ge(r.disk_percent_usage)+"%)",1)])])])])]),u("div",{class:Ye([{hidden:s.sc_collapsed},"flex flex-col mb-2 px-3 pb-0"])},[u("div",hat,[fat,u("div",mat,[u("div",null,[gat,Ze(ge(r.ram_available_space),1)]),u("div",null,[bat,Ze(" "+ge(r.ram_usage)+" / "+ge(r.ram_total_space)+" ("+ge(r.ram_percent_usage)+")% ",1)])]),u("div",Eat,[u("div",vat,[u("div",{class:"bg-blue-600 h-2.5 rounded-full",style:Jt("width: "+r.ram_percent_usage+"%;")},null,4)])])]),u("div",yat,[Sat,u("div",Tat,[u("div",null,[xat,Ze(ge(r.disk_available_space),1)]),u("div",null,[Cat,Ze(" "+ge(r.disk_binding_models_usage)+" / "+ge(r.disk_total_space)+" ("+ge(r.disk_percent_usage)+"%)",1)])]),u("div",Rat,[u("div",Aat,[u("div",{class:"bg-blue-600 h-2.5 rounded-full",style:Jt("width: "+r.disk_percent_usage+"%;")},null,4)])])]),(N(!0),M($e,null,dt(r.vramUsage.gpus,h=>(N(),M("div",{class:"mb-2",key:h},[u("label",wat,[u("img",{src:s.SVGGPU,width:"25",height:"25"},null,8,Nat),Ze(" GPU usage: ")]),u("div",Oat,[u("div",null,[Iat,Ze(ge(h.gpu_model),1)]),u("div",null,[Mat,Ze(ge(this.computedFileSize(h.available_space)),1)]),u("div",null,[Dat,Ze(" "+ge(this.computedFileSize(h.used_vram))+" / "+ge(this.computedFileSize(h.total_vram))+" ("+ge(h.percentage)+"%)",1)])]),u("div",kat,[u("div",Lat,[u("div",{class:"bg-blue-600 h-2.5 rounded-full",style:Jt("width: "+h.percentage+"%;")},null,4)])])]))),128))],2)]),u("div",Pat,[u("div",Uat,[u("button",{onClick:e[10]||(e[10]=xe(h=>s.minconf_collapsed=!s.minconf_collapsed,["stop"])),class:"text-2xl hover:text-primary p-2 -m-2 w-full text-left flex flex-row items-center"},[le(u("div",null,Bat,512),[[Mt,s.minconf_collapsed]]),le(u("div",null,zat,512),[[Mt,!s.minconf_collapsed]]),Vat])]),u("div",{class:Ye([{hidden:s.minconf_collapsed},"flex flex-col mb-2 px-3 pb-0"])},[u("div",Hat,[Ie(o,{title:"General",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",qat,[u("tr",null,[Yat,u("td",$at,[u("div",Wat,[le(u("select",{id:"hardware_mode",required:"","onUpdate:modelValue":e[11]||(e[11]=h=>r.configFile.hardware_mode=h),onChange:e[12]||(e[12]=h=>s.settingsChanged=!0),class:"m-2 h-50 w-50 py-1 border border-gray-300 rounded dark:bg-gray-600"},nlt,544),[[zn,r.configFile.hardware_mode]])])])]),u("tr",null,[ilt,u("td",slt,[le(u("input",{type:"text",id:"discussion_db_name",required:"","onUpdate:modelValue":e[13]||(e[13]=h=>r.configFile.discussion_db_name=h),onChange:e[14]||(e[14]=h=>s.settingsChanged=!0),class:"w-full w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600 dark:bg-gray-600"},null,544),[[Pe,r.configFile.discussion_db_name]])])]),u("tr",null,[rlt,u("td",null,[u("div",olt,[le(u("input",{type:"checkbox",id:"copy_to_clipboard_add_all_details",required:"","onUpdate:modelValue":e[15]||(e[15]=h=>r.configFile.copy_to_clipboard_add_all_details=h),onChange:e[16]||(e[16]=h=>s.settingsChanged=!0),class:"m-2 h-50 w-50 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.copy_to_clipboard_add_all_details]])])])]),u("tr",null,[alt,u("td",null,[u("div",llt,[le(u("input",{type:"checkbox",id:"auto_show_browser",required:"","onUpdate:modelValue":e[17]||(e[17]=h=>r.configFile.auto_show_browser=h),onChange:e[18]||(e[18]=h=>s.settingsChanged=!0),class:"m-2 h-50 w-50 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.auto_show_browser]])])])]),u("tr",null,[clt,u("td",null,[u("div",dlt,[le(u("input",{type:"checkbox",id:"activate_debug",required:"","onUpdate:modelValue":e[19]||(e[19]=h=>r.configFile.debug=h),onChange:e[20]||(e[20]=h=>s.settingsChanged=!0),class:"m-2 h-50 w-50 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.debug]])])])]),u("tr",null,[ult,u("td",null,[u("div",plt,[le(u("input",{type:"text",id:"debug_log_file_path",required:"","onUpdate:modelValue":e[21]||(e[21]=h=>r.configFile.debug_log_file_path=h),onChange:e[22]||(e[22]=h=>s.settingsChanged=!0),class:"m-2 h-50 w-50 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.debug_log_file_path]])])])]),u("tr",null,[_lt,u("td",null,[u("div",hlt,[le(u("input",{type:"checkbox",id:"show_news_panel",required:"","onUpdate:modelValue":e[23]||(e[23]=h=>r.configFile.show_news_panel=h),onChange:e[24]||(e[24]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.show_news_panel]])])])]),u("tr",null,[flt,u("td",null,[u("div",mlt,[le(u("input",{type:"checkbox",id:"auto_save",required:"","onUpdate:modelValue":e[25]||(e[25]=h=>r.configFile.auto_save=h),onChange:e[26]||(e[26]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.auto_save]])])])]),u("tr",null,[glt,u("td",null,[u("div",blt,[le(u("input",{type:"checkbox",id:"auto_update",required:"","onUpdate:modelValue":e[27]||(e[27]=h=>r.configFile.auto_update=h),onChange:e[28]||(e[28]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.auto_update]])])])]),u("tr",null,[Elt,u("td",null,[u("div",vlt,[le(u("input",{type:"checkbox",id:"auto_title",required:"","onUpdate:modelValue":e[29]||(e[29]=h=>r.configFile.auto_title=h),onChange:e[30]||(e[30]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.auto_title]])])])])])]),_:1}),Ie(o,{title:"User",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",ylt,[u("tr",null,[Slt,u("td",Tlt,[le(u("input",{type:"text",id:"user_name",required:"","onUpdate:modelValue":e[31]||(e[31]=h=>r.configFile.user_name=h),onChange:e[32]||(e[32]=h=>s.settingsChanged=!0),class:"w-full w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.user_name]])])]),u("tr",null,[xlt,u("td",Clt,[le(u("textarea",{id:"user_description",required:"","onUpdate:modelValue":e[33]||(e[33]=h=>r.configFile.user_description=h),onChange:e[34]||(e[34]=h=>s.settingsChanged=!0),class:"w-full w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.user_description]])])]),u("tr",null,[Rlt,u("td",Alt,[le(u("input",{type:"checkbox",id:"use_user_informations_in_discussion",required:"","onUpdate:modelValue":e[35]||(e[35]=h=>r.configFile.use_user_informations_in_discussion=h),onChange:e[36]||(e[36]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.use_user_informations_in_discussion]])])]),u("tr",null,[wlt,u("td",Nlt,[u("label",Olt,[u("img",{src:"/user_infos/"+r.configFile.user_avatar,class:"w-50 h-50 rounded-full",style:{"max-width":"50px","max-height":"50px",cursor:"pointer"}},null,8,Ilt)]),u("input",{type:"file",id:"avatar-upload",style:{display:"none"},onChange:e[37]||(e[37]=(...h)=>r.uploadAvatar&&r.uploadAvatar(...h))},null,32)])]),u("tr",null,[Mlt,u("td",null,[u("div",Dlt,[le(u("input",{type:"checkbox",id:"use_user_name_in_discussions",required:"","onUpdate:modelValue":e[38]||(e[38]=h=>r.configFile.use_user_name_in_discussions=h),onChange:e[39]||(e[39]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.use_user_name_in_discussions]])])])]),u("tr",null,[klt,u("td",Llt,[le(u("input",{type:"number",id:"max_n_predict",required:"","onUpdate:modelValue":e[40]||(e[40]=h=>r.configFile.min_n_predict=h),onChange:e[41]||(e[41]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.min_n_predict]])])]),u("tr",null,[Plt,u("td",Ult,[le(u("input",{type:"number",id:"min_n_predict",required:"","onUpdate:modelValue":e[42]||(e[42]=h=>r.configFile.min_n_predict=h),onChange:e[43]||(e[43]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.min_n_predict]])])])])]),_:1}),Ie(o,{title:"Code execution",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Flt,[u("tr",null,[Blt,u("td",Glt,[le(u("input",{type:"checkbox",id:"turn_on_code_execution",required:"","onUpdate:modelValue":e[44]||(e[44]=h=>r.configFile.turn_on_code_execution=h),onChange:e[45]||(e[45]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.turn_on_code_execution]])])]),u("tr",null,[zlt,u("td",Vlt,[le(u("input",{type:"checkbox",id:"turn_on_code_validation",required:"","onUpdate:modelValue":e[46]||(e[46]=h=>r.configFile.turn_on_code_validation=h),onChange:e[47]||(e[47]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.turn_on_code_validation]])])])])]),_:1}),Ie(o,{title:"Knowledge database",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Hlt,[u("tr",null,[qlt,u("td",null,[u("div",Ylt,[le(u("input",{type:"checkbox",id:"activate_skills_lib",required:"","onUpdate:modelValue":e[48]||(e[48]=h=>r.configFile.activate_skills_lib=h),onChange:e[49]||(e[49]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.activate_skills_lib]])])])]),u("tr",null,[$lt,u("td",Wlt,[le(u("input",{type:"text",id:"skills_lib_database_name",required:"","onUpdate:modelValue":e[50]||(e[50]=h=>r.configFile.skills_lib_database_name=h),onChange:e[51]||(e[51]=h=>s.settingsChanged=!0),class:"w-full w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600 dark:bg-gray-600"},null,544),[[Pe,r.configFile.skills_lib_database_name]])])])])]),_:1}),Ie(o,{title:"Data Vectorization",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Klt,[u("tr",null,[jlt,u("td",null,[u("div",Qlt,[le(u("input",{type:"checkbox",id:"use_files",required:"","onUpdate:modelValue":e[52]||(e[52]=h=>r.configFile.use_files=h),onChange:e[53]||(e[53]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.use_files]])])])]),u("tr",null,[Xlt,u("td",null,[u("div",Zlt,[le(u("input",{type:"checkbox",id:"activate_ltm",required:"","onUpdate:modelValue":e[54]||(e[54]=h=>r.configFile.activate_ltm=h),onChange:e[55]||(e[55]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.activate_ltm]])])])]),u("tr",null,[Jlt,u("td",null,[u("div",ect,[le(u("input",{type:"checkbox",id:"summerize_discussion",required:"","onUpdate:modelValue":e[56]||(e[56]=h=>r.configFile.summerize_discussion=h),onChange:e[57]||(e[57]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.summerize_discussion]])])])]),u("tr",null,[tct,u("td",null,[u("div",nct,[le(u("input",{type:"checkbox",id:"data_vectorization_visualize_on_vectorization",required:"","onUpdate:modelValue":e[58]||(e[58]=h=>r.configFile.data_vectorization_visualize_on_vectorization=h),onChange:e[59]||(e[59]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.data_vectorization_visualize_on_vectorization]])])])]),u("tr",null,[ict,u("td",null,[u("div",sct,[le(u("input",{type:"checkbox",id:"data_vectorization_activate",required:"","onUpdate:modelValue":e[60]||(e[60]=h=>r.configFile.data_vectorization_activate=h),onChange:e[61]||(e[61]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.data_vectorization_activate]])])])]),u("tr",null,[rct,u("td",null,[u("div",oct,[le(u("input",{type:"checkbox",id:"data_vectorization_build_keys_words",required:"","onUpdate:modelValue":e[62]||(e[62]=h=>r.configFile.data_vectorization_build_keys_words=h),onChange:e[63]||(e[63]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.data_vectorization_build_keys_words]])])])]),u("tr",null,[act,u("td",null,[le(u("select",{id:"data_vectorization_method",required:"","onUpdate:modelValue":e[64]||(e[64]=h=>r.configFile.data_vectorization_method=h),onChange:e[65]||(e[65]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},dct,544),[[zn,r.configFile.data_vectorization_method]])])]),u("tr",null,[uct,u("td",null,[le(u("select",{id:"data_visualization_method",required:"","onUpdate:modelValue":e[66]||(e[66]=h=>r.configFile.data_visualization_method=h),onChange:e[67]||(e[67]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},hct,544),[[zn,r.configFile.data_visualization_method]])])]),u("tr",null,[fct,u("td",null,[u("div",mct,[le(u("input",{type:"checkbox",id:"data_vectorization_save_db",required:"","onUpdate:modelValue":e[68]||(e[68]=h=>r.configFile.data_vectorization_save_db=h),onChange:e[69]||(e[69]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.data_vectorization_save_db]])])])]),u("tr",null,[gct,u("td",null,[le(u("input",{id:"data_vectorization_chunk_size","onUpdate:modelValue":e[70]||(e[70]=h=>r.configFile.data_vectorization_chunk_size=h),onChange:e[71]||(e[71]=h=>s.settingsChanged=!0),type:"range",min:"0",max:"64000",step:"1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.data_vectorization_chunk_size]]),le(u("input",{"onUpdate:modelValue":e[72]||(e[72]=h=>r.configFile.data_vectorization_chunk_size=h),type:"number",onChange:e[73]||(e[73]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.data_vectorization_chunk_size]])])]),u("tr",null,[bct,u("td",null,[le(u("input",{id:"data_vectorization_overlap_size","onUpdate:modelValue":e[74]||(e[74]=h=>r.configFile.data_vectorization_overlap_size=h),onChange:e[75]||(e[75]=h=>s.settingsChanged=!0),type:"range",min:"0",max:"64000",step:"1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.data_vectorization_overlap_size]]),le(u("input",{"onUpdate:modelValue":e[76]||(e[76]=h=>r.configFile.data_vectorization_overlap_size=h),type:"number",onChange:e[77]||(e[77]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.data_vectorization_overlap_size]])])]),u("tr",null,[Ect,u("td",null,[le(u("input",{id:"data_vectorization_nb_chunks","onUpdate:modelValue":e[78]||(e[78]=h=>r.configFile.data_vectorization_nb_chunks=h),onChange:e[79]||(e[79]=h=>s.settingsChanged=!0),type:"range",min:"0",max:"1000",step:"1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.data_vectorization_nb_chunks]]),le(u("input",{"onUpdate:modelValue":e[80]||(e[80]=h=>r.configFile.data_vectorization_nb_chunks=h),type:"number",onChange:e[81]||(e[81]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.data_vectorization_nb_chunks]])])])])]),_:1}),Ie(o,{title:"Internet search",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",vct,[u("tr",null,[yct,u("td",null,[u("div",Sct,[le(u("input",{type:"checkbox",id:"fun_mode",required:"","onUpdate:modelValue":e[82]||(e[82]=h=>r.configFile.activate_internet_search=h),onChange:e[83]||(e[83]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.activate_internet_search]])])])]),u("tr",null,[Tct,u("td",null,[u("div",xct,[le(u("input",{type:"checkbox",id:"internet_quick_search",required:"","onUpdate:modelValue":e[84]||(e[84]=h=>r.configFile.internet_quick_search=h),onChange:e[85]||(e[85]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.internet_quick_search]])])])]),u("tr",null,[Cct,u("td",null,[u("div",Rct,[le(u("input",{type:"checkbox",id:"internet_activate_search_decision",required:"","onUpdate:modelValue":e[86]||(e[86]=h=>r.configFile.internet_activate_search_decision=h),onChange:e[87]||(e[87]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.internet_activate_search_decision]])])])]),u("tr",null,[Act,u("td",null,[u("div",wct,[le(u("input",{id:"internet_vectorization_chunk_size","onUpdate:modelValue":e[88]||(e[88]=h=>r.configFile.internet_vectorization_chunk_size=h),onChange:e[89]||(e[89]=h=>s.settingsChanged=!0),type:"range",min:"0",max:"64000",step:"1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.internet_vectorization_chunk_size]]),le(u("input",{"onUpdate:modelValue":e[90]||(e[90]=h=>r.configFile.internet_vectorization_chunk_size=h),type:"number",onChange:e[91]||(e[91]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.internet_vectorization_chunk_size]])])])]),u("tr",null,[Nct,u("td",null,[u("div",Oct,[le(u("input",{id:"internet_vectorization_overlap_size","onUpdate:modelValue":e[92]||(e[92]=h=>r.configFile.internet_vectorization_overlap_size=h),onChange:e[93]||(e[93]=h=>s.settingsChanged=!0),type:"range",min:"0",max:"1000",step:"1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.internet_vectorization_overlap_size]]),le(u("input",{"onUpdate:modelValue":e[94]||(e[94]=h=>r.configFile.internet_vectorization_overlap_size=h),type:"number",onChange:e[95]||(e[95]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.internet_vectorization_overlap_size]])])])]),u("tr",null,[Ict,u("td",null,[u("div",Mct,[le(u("input",{id:"internet_vectorization_nb_chunks","onUpdate:modelValue":e[96]||(e[96]=h=>r.configFile.internet_vectorization_nb_chunks=h),onChange:e[97]||(e[97]=h=>s.settingsChanged=!0),type:"range",min:"0",max:"100",step:"1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.internet_vectorization_nb_chunks]]),le(u("input",{"onUpdate:modelValue":e[98]||(e[98]=h=>r.configFile.internet_vectorization_nb_chunks=h),type:"number",onChange:e[99]||(e[99]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.internet_vectorization_nb_chunks]])])])]),u("tr",null,[Dct,u("td",null,[u("div",kct,[le(u("input",{id:"internet_nb_search_pages","onUpdate:modelValue":e[100]||(e[100]=h=>r.configFile.internet_nb_search_pages=h),onChange:e[101]||(e[101]=h=>s.settingsChanged=!0),type:"range",min:"1",max:"100",step:"1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.internet_nb_search_pages]]),le(u("input",{"onUpdate:modelValue":e[102]||(e[102]=h=>r.configFile.internet_nb_search_pages=h),type:"number",onChange:e[103]||(e[103]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.internet_nb_search_pages]])])])])])]),_:1}),Ie(o,{title:"Latex",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Lct,[u("tr",null,[Pct,u("td",null,[u("div",Uct,[le(u("input",{type:"text",id:"pdf_latex_path",required:"","onUpdate:modelValue":e[104]||(e[104]=h=>r.configFile.pdf_latex_path=h),onChange:e[105]||(e[105]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.pdf_latex_path]])])])])])]),_:1}),Ie(o,{title:"Boost",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Fct,[u("tr",null,[Bct,u("td",null,[u("div",Gct,[le(u("input",{type:"text",id:"positive_boost",required:"","onUpdate:modelValue":e[106]||(e[106]=h=>r.configFile.positive_boost=h),onChange:e[107]||(e[107]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.positive_boost]])])])]),u("tr",null,[zct,u("td",null,[u("div",Vct,[le(u("input",{type:"text",id:"negative_boost",required:"","onUpdate:modelValue":e[108]||(e[108]=h=>r.configFile.negative_boost=h),onChange:e[109]||(e[109]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.negative_boost]])])])]),u("tr",null,[Hct,u("td",null,[u("div",qct,[le(u("input",{type:"text",id:"force_output_language_to_be",required:"","onUpdate:modelValue":e[110]||(e[110]=h=>r.configFile.force_output_language_to_be=h),onChange:e[111]||(e[111]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.force_output_language_to_be]])])])]),u("tr",null,[Yct,u("td",null,[u("div",$ct,[le(u("input",{type:"checkbox",id:"fun_mode",required:"","onUpdate:modelValue":e[112]||(e[112]=h=>r.configFile.fun_mode=h),onChange:e[113]||(e[113]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.fun_mode]])])])])])]),_:1}),Ie(o,{title:"Whisper audio transcription",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Wct,[u("tr",null,[Kct,u("td",null,[u("div",jct,[le(u("select",{id:"whisper_model","onUpdate:modelValue":e[114]||(e[114]=h=>r.configFile.whisper_model=h),onChange:e[115]||(e[115]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},[(N(!0),M($e,null,dt(r.whisperModels,h=>(N(),M("option",{key:h,value:h},ge(h),9,Qct))),128))],544),[[zn,r.configFile.whisper_model]])])])])])]),_:1}),Ie(o,{title:"Browser Audio",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Xct,[u("tr",null,[Zct,u("td",null,[u("div",Jct,[le(u("input",{type:"checkbox",id:"activate_audio_infos",required:"","onUpdate:modelValue":e[116]||(e[116]=h=>r.configFile.activate_audio_infos=h),onChange:e[117]||(e[117]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.activate_audio_infos]])])])]),u("tr",null,[edt,u("td",null,[u("div",tdt,[le(u("input",{type:"checkbox",id:"audio_auto_send_input",required:"","onUpdate:modelValue":e[118]||(e[118]=h=>r.configFile.audio_auto_send_input=h),onChange:e[119]||(e[119]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.audio_auto_send_input]])])])]),u("tr",null,[ndt,u("td",null,[u("div",idt,[le(u("input",{type:"checkbox",id:"auto_speak",required:"","onUpdate:modelValue":e[120]||(e[120]=h=>r.configFile.auto_speak=h),onChange:e[121]||(e[121]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.auto_speak]])])])]),u("tr",null,[sdt,u("td",null,[le(u("input",{id:"audio_pitch","onUpdate:modelValue":e[122]||(e[122]=h=>r.configFile.audio_pitch=h),onChange:e[123]||(e[123]=h=>s.settingsChanged=!0),type:"range",min:"0",max:"10",step:"0.1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.audio_pitch]]),le(u("input",{"onUpdate:modelValue":e[124]||(e[124]=h=>r.configFile.audio_pitch=h),onChange:e[125]||(e[125]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.audio_pitch]])])]),u("tr",null,[rdt,u("td",null,[le(u("input",{id:"audio_silenceTimer","onUpdate:modelValue":e[126]||(e[126]=h=>r.configFile.audio_silenceTimer=h),onChange:e[127]||(e[127]=h=>s.settingsChanged=!0),type:"range",min:"0",max:"10000",step:"1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.audio_silenceTimer]]),le(u("input",{"onUpdate:modelValue":e[128]||(e[128]=h=>r.configFile.audio_silenceTimer=h),onChange:e[129]||(e[129]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.audio_silenceTimer]])])]),u("tr",null,[odt,u("td",null,[le(u("select",{id:"audio_in_language","onUpdate:modelValue":e[130]||(e[130]=h=>r.configFile.audio_in_language=h),onChange:e[131]||(e[131]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},[(N(!0),M($e,null,dt(r.audioLanguages,h=>(N(),M("option",{key:h.code,value:h.code},ge(h.name),9,adt))),128))],544),[[zn,r.configFile.audio_in_language]])])]),u("tr",null,[ldt,u("td",null,[le(u("select",{id:"audio_out_voice","onUpdate:modelValue":e[132]||(e[132]=h=>r.configFile.audio_out_voice=h),onChange:e[133]||(e[133]=h=>s.settingsChanged=!0),class:"w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},[(N(!0),M($e,null,dt(s.audioVoices,h=>(N(),M("option",{key:h.name,value:h.name},ge(h.name),9,cdt))),128))],544),[[zn,r.configFile.audio_out_voice]])])])])]),_:1})])],2)]),u("div",ddt,[u("div",udt,[u("button",{onClick:e[134]||(e[134]=xe(h=>s.servers_conf_collapsed=!s.servers_conf_collapsed,["stop"])),class:"text-2xl hover:text-primary p-2 -m-2 w-full text-left flex flex-row items-center"},[le(u("div",null,_dt,512),[[Mt,s.servers_conf_collapsed]]),le(u("div",null,fdt,512),[[Mt,!s.servers_conf_collapsed]]),mdt])]),u("div",{class:Ye([{hidden:s.servers_conf_collapsed},"flex flex-col mb-2 px-3 pb-0"])},[Ie(o,{title:"Lollms service",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",gdt,[u("tr",null,[bdt,u("td",Edt,[le(u("input",{type:"text",id:"host",required:"","onUpdate:modelValue":e[135]||(e[135]=h=>r.configFile.host=h),onChange:e[136]||(e[136]=h=>s.settingsChanged=!0),class:"w-full w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600 dark:bg-gray-600"},null,544),[[Pe,r.configFile.host]])])]),u("tr",null,[vdt,u("td",ydt,[le(u("input",{type:"number",step:"1",id:"port",required:"","onUpdate:modelValue":e[137]||(e[137]=h=>r.configFile.port=h),onChange:e[138]||(e[138]=h=>s.settingsChanged=!0),class:"w-full w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600 dark:bg-gray-600"},null,544),[[Pe,r.configFile.port]])])]),u("tr",null,[Sdt,u("td",Tdt,[le(u("input",{type:"checkbox",id:"headless_server_mode",required:"","onUpdate:modelValue":e[139]||(e[139]=h=>r.configFile.headless_server_mode=h),onChange:e[140]||(e[140]=h=>s.settingsChanged=!0),class:"w-full w-full mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600 dark:bg-gray-600"},null,544),[[ht,r.configFile.headless_server_mode]])])])])]),_:1}),Ie(o,{title:"Stable diffusion service",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",xdt,[u("tr",null,[Cdt,u("td",null,[u("div",Rdt,[le(u("input",{type:"checkbox",id:"enable_sd_service",required:"","onUpdate:modelValue":e[141]||(e[141]=h=>r.configFile.enable_sd_service=h),onChange:e[142]||(e[142]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.enable_sd_service]])])]),u("td",null,[u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary",onClick:e[143]||(e[143]=h=>this.$store.state.messageBox.showMessage("Activates Stable diffusion service. The service will be automatically loaded at startup alowing you to use the stable diffusion endpoint to generate images"))},wdt)])]),u("tr",null,[Ndt,u("td",null,[u("div",Odt,[u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[144]||(e[144]=(...h)=>r.reinstallSDService&&r.reinstallSDService(...h))},"install sd service"),u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[145]||(e[145]=(...h)=>r.startSDService&&r.startSDService(...h))},"start sd service")])])]),u("tr",null,[Idt,u("td",null,[u("div",Mdt,[le(u("input",{type:"text",id:"sd_base_url",required:"","onUpdate:modelValue":e[146]||(e[146]=h=>r.configFile.sd_base_url=h),onChange:e[147]||(e[147]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.sd_base_url]])])])])])]),_:1}),Ie(o,{title:"Motion Ctrl service",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Ddt,[u("tr",null,[kdt,u("td",null,[u("div",Ldt,[le(u("input",{type:"checkbox",id:"enable_motion_ctrl_service",required:"","onUpdate:modelValue":e[148]||(e[148]=h=>r.configFile.enable_motion_ctrl_service=h),onChange:e[149]||(e[149]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.enable_motion_ctrl_service]])])]),u("td",null,[u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary",onClick:e[150]||(e[150]=h=>this.$store.state.messageBox.showMessage("Activates Motion ctrl service. The service will be automatically loaded at startup alowing you to use the motoin control endpoint to generate videos"))},Udt)])]),u("tr",null,[Fdt,u("td",null,[u("div",Bdt,[u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[151]||(e[151]=(...h)=>r.reinstallMotionCtrlService&&r.reinstallMotionCtrlService(...h))},"install Motion Ctrl service")])])]),u("tr",null,[Gdt,u("td",null,[u("div",zdt,[le(u("input",{type:"text",id:"sd_base_url",required:"","onUpdate:modelValue":e[152]||(e[152]=h=>r.configFile.sd_base_url=h),onChange:e[153]||(e[153]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.sd_base_url]])])])])])]),_:1}),Ie(o,{title:"Ollama service",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Vdt,[u("tr",null,[Hdt,u("td",null,[u("div",qdt,[le(u("input",{type:"checkbox",id:"enable_ollama_service",required:"","onUpdate:modelValue":e[154]||(e[154]=h=>r.configFile.enable_ollama_service=h),onChange:e[155]||(e[155]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.enable_ollama_service]])])]),u("td",null,[u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary",onClick:e[156]||(e[156]=h=>this.$store.state.messageBox.showMessage(`Activates ollama service. The service will be automatically loaded at startup alowing you to use the ollama binding.
If you are using windows, this uses wsl which requires you to have it installed or at least activated.
If You are using windows, this will install wsl so you need to activate it.
<a href='https://techcommunity.microsoft.com/t5/windows-11/how-to-install-the-linux-windows-subsystem-in-windows-11/m-p/2701207' target='_blank'>Here is how you can do that</a>`))},$dt)])]),u("tr",null,[Wdt,u("td",null,[u("div",Kdt,[u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[157]||(e[157]=(...h)=>r.reinstallOLLAMAService&&r.reinstallOLLAMAService(...h))},"install ollama service"),u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[158]||(e[158]=(...h)=>r.startollamaService&&r.startollamaService(...h))},"start ollama service")])])]),u("tr",null,[jdt,u("td",null,[u("div",Qdt,[le(u("input",{type:"text",id:"ollama_base_url",required:"","onUpdate:modelValue":e[159]||(e[159]=h=>r.configFile.ollama_base_url=h),onChange:e[160]||(e[160]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.ollama_base_url]])])])])])]),_:1}),Ie(o,{title:"vLLM service",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Xdt,[u("tr",null,[Zdt,u("td",null,[u("div",Jdt,[le(u("input",{type:"checkbox",id:"enable_vllm_service",required:"","onUpdate:modelValue":e[161]||(e[161]=h=>r.configFile.enable_vllm_service=h),onChange:e[162]||(e[162]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.enable_vllm_service]])])]),u("td",null,[u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary",onClick:e[163]||(e[163]=h=>this.$store.state.messageBox.showMessage(`Activates vllm service. The service will be automatically loaded at startup alowing you to use the elf binding.
If you are using windows, this uses wsl which requires you to have it installed or at least activated.
If You are using windows, this will install wsl so you need to activate it.
<a href='https://techcommunity.microsoft.com/t5/windows-11/how-to-install-the-linux-windows-subsystem-in-windows-11/m-p/2701207' target='_blank'>Here is how you can do that</a>`))},tut)])]),u("tr",null,[nut,u("td",null,[u("div",iut,[u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[164]||(e[164]=(...h)=>r.reinstallvLLMService&&r.reinstallvLLMService(...h))},"install vLLM service"),u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[165]||(e[165]=(...h)=>r.startvLLMService&&r.startvLLMService(...h))},"start vllm service")])])]),u("tr",null,[sut,u("td",null,[u("div",rut,[le(u("input",{type:"text",id:"vllm_url",required:"","onUpdate:modelValue":e[166]||(e[166]=h=>r.configFile.vllm_url=h),onChange:e[167]||(e[167]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.vllm_url]])])])]),u("tr",null,[out,u("td",null,[u("div",aut,[u("div",lut,[cut,u("p",dut,[le(u("input",{type:"text",id:"temp-val","onUpdate:modelValue":e[168]||(e[168]=h=>r.configFile.vllm_gpu_memory_utilization=h),onChange:e[169]||(e[169]=h=>s.settingsChanged=!0),class:"mt-2 w-16 text-right p-2 border border-gray-300 rounded-lg bg-gray-50 sm:text-xs focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.vllm_gpu_memory_utilization]])])]),le(u("input",{id:"vllm_gpu_memory_utilization",onChange:e[170]||(e[170]=h=>s.settingsChanged=!0),type:"range","onUpdate:modelValue":e[171]||(e[171]=h=>r.configFile.vllm_gpu_memory_utilization=h),min:"0.10",max:"1",step:"0.01",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.vllm_gpu_memory_utilization]])])])]),u("tr",null,[uut,u("td",null,[u("div",put,[le(u("input",{type:"number",id:"vllm_max_num_seqs",min:"64",max:"2048",required:"","onUpdate:modelValue":e[172]||(e[172]=h=>r.configFile.vllm_max_num_seqs=h),onChange:e[173]||(e[173]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.vllm_max_num_seqs]])])])]),u("tr",null,[_ut,u("td",null,[u("div",hut,[le(u("input",{type:"number",id:"vllm_max_model_len",min:"2048",max:"1000000",required:"","onUpdate:modelValue":e[174]||(e[174]=h=>r.configFile.vllm_max_model_len=h),onChange:e[175]||(e[175]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.vllm_max_model_len]])])])]),u("tr",null,[fut,u("td",null,[u("div",mut,[le(u("input",{type:"text",id:"vllm_model_path",required:"","onUpdate:modelValue":e[176]||(e[176]=h=>r.configFile.vllm_model_path=h),onChange:e[177]||(e[177]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.vllm_model_path]])])])])])]),_:1}),Ie(o,{title:"Petals service",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",gut,[u("tr",null,[but,u("td",null,[u("div",Eut,[le(u("input",{type:"checkbox",id:"enable_petals_service",required:"","onUpdate:modelValue":e[178]||(e[178]=h=>r.configFile.enable_petals_service=h),onChange:e[179]||(e[179]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.enable_petals_service]])])]),u("td",null,[u("div",{class:"hover:text-secondary duration-75 active:scale-90 peer-checked:text-primary",onClick:e[180]||(e[180]=h=>this.$store.state.messageBox.showMessage(`Activates Petals service. The service will be automatically loaded at startup alowing you to use the petals endpoint to generate text in a distributed network.
If You are using windows, this will install wsl so you need to activate it.
<a href='https://techcommunity.microsoft.com/t5/windows-11/how-to-install-the-linux-windows-subsystem-in-windows-11/m-p/2701207' target='_blank'>Here is how you can do that</a>`))},yut)])]),u("tr",null,[Sut,u("td",null,[u("div",Tut,[u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[181]||(e[181]=(...h)=>r.reinstallPetalsService&&r.reinstallPetalsService(...h))},"install petals service")])])]),u("tr",null,[xut,u("td",null,[u("div",Cut,[le(u("input",{type:"text",id:"petals_base_url",required:"","onUpdate:modelValue":e[182]||(e[182]=h=>r.configFile.petals_base_url=h),onChange:e[183]||(e[183]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.petals_base_url]])])])])])]),_:1}),Ie(o,{title:"Elastic search Service (under construction)",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Rut,[u("tr",null,[Aut,u("td",null,[u("div",wut,[le(u("input",{type:"checkbox",id:"elastic_search_service",required:"","onUpdate:modelValue":e[184]||(e[184]=h=>r.configFile.elastic_search_service=h),onChange:e[185]||(e[185]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.elastic_search_service]])])])]),u("tr",null,[Nut,u("td",null,[u("div",Out,[u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[186]||(e[186]=(...h)=>r.reinstallElasticSearchService&&r.reinstallElasticSearchService(...h))},"install ElasticSearch service")])])]),u("tr",null,[Iut,u("td",null,[u("div",Mut,[le(u("input",{type:"text",id:"elastic_search_url",required:"","onUpdate:modelValue":e[187]||(e[187]=h=>r.configFile.elastic_search_url=h),onChange:e[188]||(e[188]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.elastic_search_url]])])])])])]),_:1}),Ie(o,{title:"XTTS service",is_subcard:!0,class:"pb-2 m-2"},{default:tt(()=>[u("table",Dut,[u("tr",null,[kut,u("td",null,[u("div",Lut,[le(u("input",{type:"checkbox",id:"enable_voice_service",required:"","onUpdate:modelValue":e[189]||(e[189]=h=>r.configFile.enable_voice_service=h),onChange:e[190]||(e[190]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[ht,r.configFile.enable_voice_service]])])])]),u("tr",null,[Put,u("td",null,[u("div",Uut,[u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[191]||(e[191]=(...h)=>r.reinstallAudioService&&r.reinstallAudioService(...h))},"install xtts service"),u("button",{class:"hover:text-primary bg-green-200 rounded-lg p-4 m-4 w-full text-center items-center",onClick:e[192]||(e[192]=(...h)=>r.startAudioService&&r.startAudioService(...h))},"start xtts service")])])]),u("tr",null,[Fut,u("td",null,[u("div",But,[le(u("input",{type:"text",id:"xtts_base_url",required:"","onUpdate:modelValue":e[193]||(e[193]=h=>r.configFile.xtts_base_url=h),onChange:e[194]||(e[194]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600"},null,544),[[Pe,r.configFile.xtts_base_url]])])])]),u("tr",null,[Gut,u("td",null,[u("div",zut,[le(u("select",{"onUpdate:modelValue":e[195]||(e[195]=h=>r.current_language=h),onChange:e[196]||(e[196]=h=>s.settingsChanged=!0),disabled:!r.enable_voice_service},[(N(!0),M($e,null,dt(s.voice_languages,(h,E)=>(N(),M("option",{key:E,value:h},ge(E),9,Hut))),128))],40,Vut),[[zn,r.current_language]])])])]),u("tr",null,[qut,u("td",null,[u("div",Yut,[le(u("select",{"onUpdate:modelValue":e[197]||(e[197]=h=>r.current_voice=h),onChange:e[198]||(e[198]=h=>s.settingsChanged=!0),disabled:!r.enable_voice_service},[(N(!0),M($e,null,dt(s.voices,h=>(N(),M("option",{key:h,value:h},ge(h),9,Wut))),128))],40,$ut),[[zn,r.current_voice]])])])]),u("tr",null,[Kut,u("td",null,[u("div",jut,[le(u("input",{type:"checkbox",id:"auto_read",required:"","onUpdate:modelValue":e[199]||(e[199]=h=>r.configFile.auto_read=h),onChange:e[200]||(e[200]=h=>s.settingsChanged=!0),class:"mt-1 px-2 py-1 border border-gray-300 rounded dark:bg-gray-600",disabled:!r.enable_voice_service},null,40,Qut),[[ht,r.configFile.auto_read]])])])])])]),_:1})],2)]),u("div",Xut,[u("div",Zut,[u("button",{onClick:e[201]||(e[201]=xe(h=>s.bzc_collapsed=!s.bzc_collapsed,["stop"])),class:"text-2xl hover:text-primary p-2 -m-2 w-full text-left flex flex-row items-center"},[le(u("div",null,ept,512),[[Mt,s.bzc_collapsed]]),le(u("div",null,npt,512),[[Mt,!s.bzc_collapsed]]),ipt,r.configFile.binding_name?q("",!0):(N(),M("div",spt,[rpt,Ze(" No binding selected! ")])),r.configFile.binding_name?(N(),M("div",opt,"|")):q("",!0),r.configFile.binding_name?(N(),M("div",apt,[u("div",lpt,[u("img",{src:r.imgBinding,class:"w-8 h-8 rounded-full object-fill text-blue-700"},null,8,cpt),u("h3",dpt,ge(r.binding_name),1)])])):q("",!0)])]),u("div",{class:Ye([{hidden:s.bzc_collapsed},"flex flex-col mb-2 px-3 pb-0"])},[r.bindingsZoo&&r.bindingsZoo.length>0?(N(),M("div",upt,[u("label",ppt," Bindings: ("+ge(r.bindingsZoo.length)+") ",1),u("div",{class:Ye(["overflow-y-auto no-scrollbar p-2 pb-0 grid lg:grid-cols-3 md:grid-cols-2 gap-4",s.bzl_collapsed?"":"max-h-96"])},[Ie(Ls,{name:"list"},{default:tt(()=>[(N(!0),M($e,null,dt(r.bindingsZoo,(h,E)=>(N(),Ot(a,{ref_for:!0,ref:"bindingZoo",key:"index-"+E+"-"+h.folder,binding:h,"on-selected":r.onBindingSelected,"on-reinstall":r.onReinstallBinding,"on-unInstall":r.onUnInstallBinding,"on-install":r.onInstallBinding,"on-settings":r.onSettingsBinding,"on-reload-binding":r.onReloadBinding,selected:h.folder===r.configFile.binding_name},null,8,["binding","on-selected","on-reinstall","on-unInstall","on-install","on-settings","on-reload-binding","selected"]))),128))]),_:1})],2)])):q("",!0),s.bzl_collapsed?(N(),M("button",{key:1,class:"text-2xl hover:text-secondary duration-75 flex justify-center hover:bg-bg-light-tone hover:dark:bg-bg-dark-tone rounded-lg",title:"Collapse",type:"button",onClick:e[202]||(e[202]=h=>s.bzl_collapsed=!s.bzl_collapsed)},hpt)):(N(),M("button",{key:2,class:"text-2xl hover:text-secondary duration-75 flex justify-center hover:bg-bg-light-tone hover:dark:bg-bg-dark-tone rounded-lg",title:"Expand",type:"button",onClick:e[203]||(e[203]=h=>s.bzl_collapsed=!s.bzl_collapsed)},mpt))],2)]),u("div",gpt,[u("div",bpt,[u("button",{onClick:e[204]||(e[204]=xe(h=>r.modelsZooToggleCollapse(),["stop"])),class:"text-2xl hover:text-primary p-2 -m-2 w-full text-left flex items-center"},[le(u("div",null,vpt,512),[[Mt,s.mzc_collapsed]]),le(u("div",null,Spt,512),[[Mt,!s.mzc_collapsed]]),Tpt,u("div",xpt,[r.configFile.binding_name?q("",!0):(N(),M("div",Cpt,[Rpt,Ze(" Select binding first! ")])),!r.configFile.model_name&&r.configFile.binding_name?(N(),M("div",Apt,[wpt,Ze(" No model selected! ")])):q("",!0),r.configFile.model_name?(N(),M("div",Npt,"|")):q("",!0),r.configFile.model_name?(N(),M("div",Opt,[u("div",Ipt,[u("img",{src:r.imgModel,class:"w-8 h-8 rounded-lg object-fill"},null,8,Mpt),u("h3",Dpt,ge(r.configFile.model_name),1)])])):q("",!0)])])]),u("div",{class:Ye([{hidden:s.mzc_collapsed},"flex flex-col mb-2 px-3 pb-0"])},[u("div",kpt,[u("div",Lpt,[u("div",Ppt,[s.searchModelInProgress?(N(),M("div",Upt,Bpt)):q("",!0),s.searchModelInProgress?q("",!0):(N(),M("div",Gpt,Vpt))]),le(u("input",{type:"search",class:"block w-full p-4 pl-10 text-sm text-gray-900 border border-gray-300 rounded-lg bg-gray-50 focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Search models...",required:"","onUpdate:modelValue":e[205]||(e[205]=h=>s.searchModel=h),onKeyup:e[206]||(e[206]=Ar((...h)=>r.searchModel_func&&r.searchModel_func(...h),["enter"]))},null,544),[[Pe,s.searchModel]]),s.searchModel?(N(),M("button",{key:0,onClick:e[207]||(e[207]=xe(h=>s.searchModel="",["stop"])),type:"button",class:"text-white absolute right-2.5 bottom-2.5 bg-blue-700 hover:bg-blue-800 focus:ring-4 focus:outline-none focus:ring-blue-300 font-medium rounded-lg text-sm px-4 py-2 dark:bg-blue-600 dark:hover:bg-blue-700 dark:focus:ring-blue-800"}," Clear search")):q("",!0)])]),u("div",null,[le(u("input",{"onUpdate:modelValue":e[208]||(e[208]=h=>s.show_only_installed_models=h),class:"m-2 p-2",type:"checkbox",ref:"only_installed"},null,512),[[ht,s.show_only_installed_models]]),Hpt]),u("div",null,[Ie(l,{radioOptions:s.sortOptions,onRadioSelected:r.handleRadioSelected},null,8,["radioOptions","onRadioSelected"])]),qpt,s.is_loading_zoo?(N(),M("div",Ypt,Kpt)):q("",!0),s.models_zoo&&s.models_zoo.length>0?(N(),M("div",jpt,[u("label",Qpt," Models: ("+ge(s.models_zoo.length)+") ",1),u("div",{class:Ye(["overflow-y-auto p-2 pb-0 grid lg:grid-cols-3 md:grid-cols-2 gap-4 overflow-y-scroll w-full dark:bg-bg-dark scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary",s.mzl_collapsed?"":"max-h-96"])},[Ie(Ls,{name:"list"},{default:tt(()=>[(N(!0),M($e,null,dt(r.rendered_models_zoo,(h,E)=>(N(),Ot(d,{ref_for:!0,ref:"modelZoo",key:"index-"+E+"-"+h.name,model:h,"is-installed":h.isInstalled,"on-install":r.onInstall,"on-uninstall":r.onUninstall,"on-selected":r.onModelSelected,selected:h.name===r.configFile.model_name,model_type:h.model_type,"on-copy":r.onCopy,"on-copy-link":r.onCopyLink,"on-cancel-install":r.onCancelInstall},null,8,["model","is-installed","on-install","on-uninstall","on-selected","selected","model_type","on-copy","on-copy-link","on-cancel-install"]))),128)),u("button",{ref:"load_more_models",class:"relative items-start p-4 hover:bg-primary-light rounded-lg mb-2 shadow-lg border-2 select-none",onClick:e[209]||(e[209]=(...h)=>r.load_more_models&&r.load_more_models(...h))},"Load more models",512)]),_:1})],2)])):q("",!0),s.mzl_collapsed?(N(),M("button",{key:2,class:"text-2xl hover:text-secondary duration-75 flex justify-center hover:bg-bg-light-tone hover:dark:bg-bg-dark-tone rounded-lg",title:"Collapse",type:"button",onClick:e[210]||(e[210]=(...h)=>r.open_mzl&&r.open_mzl(...h))},Zpt)):(N(),M("button",{key:3,class:"text-2xl hover:text-secondary duration-75 flex justify-center hover:bg-bg-light-tone hover:dark:bg-bg-dark-tone rounded-lg",title:"Expand",type:"button",onClick:e[211]||(e[211]=(...h)=>r.open_mzl&&r.open_mzl(...h))},e_t)),u("div",t_t,[u("div",n_t,[u("div",null,[u("div",i_t,[s_t,le(u("input",{type:"text","onUpdate:modelValue":e[212]||(e[212]=h=>s.reference_path=h),class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Enter Path ...",required:""},null,512),[[Pe,s.reference_path]])]),u("button",{type:"button",onClick:e[213]||(e[213]=xe(h=>r.onCreateReference(),["stop"])),class:"text-white bg-blue-700 hover:bg-blue-800 focus:ring-4 focus:outline-none focus:ring-blue-300 font-medium rounded-lg text-sm w-full sm:w-auto px-5 py-2.5 text-center dark:bg-blue-600 dark:hover:bg-blue-700 dark:focus:ring-blue-800"},"Add reference")]),s.modelDownlaodInProgress?q("",!0):(N(),M("div",r_t,[u("div",o_t,[a_t,le(u("input",{type:"text","onUpdate:modelValue":e[214]||(e[214]=h=>s.addModel.url=h),class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Enter URL ...",required:""},null,512),[[Pe,s.addModel.url]])]),u("button",{type:"button",onClick:e[215]||(e[215]=xe(h=>r.onInstallAddModel(),["stop"])),class:"text-white bg-blue-700 hover:bg-blue-800 focus:ring-4 focus:outline-none focus:ring-blue-300 font-medium rounded-lg text-sm w-full sm:w-auto px-5 py-2.5 text-center dark:bg-blue-600 dark:hover:bg-blue-700 dark:focus:ring-blue-800"},"Download")])),s.modelDownlaodInProgress?(N(),M("div",l_t,[c_t,u("div",d_t,[u("div",u_t,[u("div",p_t,[__t,u("span",h_t,ge(Math.floor(s.addModel.progress))+"%",1)]),u("div",{class:"mx-1 opacity-80 line-clamp-1",title:s.addModel.url},ge(s.addModel.url),9,f_t),u("div",m_t,[u("div",{class:"bg-blue-600 h-2.5 rounded-full",style:Jt({width:s.addModel.progress+"%"})},null,4)]),u("div",g_t,[u("span",b_t,"Download speed: "+ge(r.speed_computed)+"/s",1),u("span",E_t,ge(r.downloaded_size_computed)+"/"+ge(r.total_size_computed),1)])])]),u("div",v_t,[u("div",y_t,[u("div",S_t,[u("button",{onClick:e[216]||(e[216]=xe((...h)=>r.onCancelInstall&&r.onCancelInstall(...h),["stop"])),type:"button",title:"Cancel download",class:"text-gray-500 bg-white hover:bg-gray-100 focus:ring-4 focus:outline-none focus:ring-gray-200 rounded-lg border border-gray-200 text-sm font-medium px-5 py-2.5 hover:text-gray-900 focus:z-10 dark:bg-gray-700 dark:text-gray-300 dark:border-gray-500 dark:hover:text-white dark:hover:bg-gray-600 dark:focus:ring-gray-600"}," Cancel ")])])])])):q("",!0)])])],2)]),u("div",T_t,[u("div",x_t,[u("button",{onClick:e[219]||(e[219]=xe(h=>s.pzc_collapsed=!s.pzc_collapsed,["stop"])),class:"text-2xl hover:text-primary p-2 -m-2 text-left w-full flex items-center"},[le(u("div",null,R_t,512),[[Mt,s.pzc_collapsed]]),le(u("div",null,w_t,512),[[Mt,!s.pzc_collapsed]]),N_t,r.configFile.personalities?(N(),M("div",O_t,"|")):q("",!0),u("div",I_t,ge(r.active_pesonality),1),r.configFile.personalities?(N(),M("div",M_t,"|")):q("",!0),r.configFile.personalities?(N(),M("div",D_t,[r.mountedPersArr.length>0?(N(),M("div",k_t,[(N(!0),M($e,null,dt(r.mountedPersArr,(h,E)=>(N(),M("div",{class:"relative hover:-translate-y-2 duration-300 hover:z-10 shrink-0",key:E+"-"+h.name,ref_for:!0,ref:"mountedPersonalities"},[u("div",L_t,[u("button",{onClick:xe(b=>r.onPersonalitySelected(h),["stop"])},[u("img",{src:s.bUrl+h.avatar,onError:e[217]||(e[217]=(...b)=>r.personalityImgPlacehodler&&r.personalityImgPlacehodler(...b)),class:Ye(["w-8 h-8 rounded-full object-fill text-red-700 border-2 active:scale-90 group-hover:border-secondary",r.configFile.active_personality_id==r.configFile.personalities.indexOf(h.full_path)?"border-secondary":"border-transparent z-0"]),title:h.name},null,42,U_t)],8,P_t),u("button",{onClick:xe(b=>r.unmountPersonality(h),["stop"])},G_t,8,F_t)])]))),128))])):q("",!0)])):q("",!0),u("button",{onClick:e[218]||(e[218]=xe(h=>r.unmountAll(),["stop"])),class:"bg-bg-light hover:border-green-200 ml-5 dark:bg-bg-dark rounded-full border-2 border-transparent",title:"Unmount All"},V_t)])]),u("div",{class:Ye([{hidden:s.pzc_collapsed},"flex flex-col mb-2 px-3 pb-0"])},[u("div",H_t,[q_t,u("div",Y_t,[u("div",$_t,[s.searchPersonalityInProgress?(N(),M("div",W_t,j_t)):q("",!0),s.searchPersonalityInProgress?q("",!0):(N(),M("div",Q_t,Z_t))]),le(u("input",{type:"search",id:"personality-search",class:"block w-full p-4 pl-10 text-sm text-gray-900 border border-gray-300 rounded-lg bg-gray-50 focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Search personality...",required:"","onUpdate:modelValue":e[220]||(e[220]=h=>s.searchPersonality=h),onKeyup:e[221]||(e[221]=xe((...h)=>r.searchPersonality_func&&r.searchPersonality_func(...h),["stop"]))},null,544),[[Pe,s.searchPersonality]]),s.searchPersonality?(N(),M("button",{key:0,onClick:e[222]||(e[222]=xe(h=>s.searchPersonality="",["stop"])),type:"button",class:"text-white absolute right-2.5 bottom-2.5 bg-blue-700 hover:bg-blue-800 focus:ring-4 focus:outline-none focus:ring-blue-300 font-medium rounded-lg text-sm px-4 py-2 dark:bg-blue-600 dark:hover:bg-blue-700 dark:focus:ring-blue-800"}," Clear search")):q("",!0)])]),s.searchPersonality?q("",!0):(N(),M("div",J_t,[u("label",eht," Personalities Category: ("+ge(s.persCatgArr.length)+") ",1),u("select",{id:"persCat",onChange:e[223]||(e[223]=h=>r.update_personality_category(h.target.value,r.refresh)),class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},[(N(!0),M($e,null,dt(s.persCatgArr,(h,E)=>(N(),M("option",{key:E,selected:h==this.configFile.personality_category},ge(h),9,tht))),128))],32)])),u("div",null,[s.personalitiesFiltered.length>0?(N(),M("div",nht,[u("label",iht,ge(s.searchPersonality?"Search results":"Personalities")+": ("+ge(s.personalitiesFiltered.length)+") ",1),u("div",{class:Ye(["overflow-y-auto no-scrollbar p-2 pb-0 grid lg:grid-cols-3 md:grid-cols-2 gap-4",s.pzl_collapsed?"":"max-h-96"])},[Ie(Ls,{name:"bounce"},{default:tt(()=>[(N(!0),M($e,null,dt(s.personalitiesFiltered,(h,E)=>(N(),Ot(c,{ref_for:!0,ref:"personalitiesZoo",key:"index-"+E+"-"+h.name,personality:h,select_language:!0,full_path:h.full_path,selected:r.configFile.active_personality_id==r.configFile.personalities.findIndex(b=>b===h.full_path||b===h.full_path+":"+h.language),"on-selected":r.onPersonalitySelected,"on-mount":r.mountPersonality,"on-un-mount":r.unmountPersonality,"on-remount":r.remountPersonality,"on-edit":r.editPersonality,"on-copy-to-custom":r.copyToCustom,"on-reinstall":r.onPersonalityReinstall,"on-settings":r.onSettingsPersonality,"on-copy-personality-name":r.onCopyPersonalityName},null,8,["personality","full_path","selected","on-selected","on-mount","on-un-mount","on-remount","on-edit","on-copy-to-custom","on-reinstall","on-settings","on-copy-personality-name"]))),128))]),_:1})],2)])):q("",!0)]),s.pzl_collapsed?(N(),M("button",{key:1,class:"text-2xl hover:text-secondary duration-75 flex justify-center hover:bg-bg-light-tone hover:dark:bg-bg-dark-tone rounded-lg",title:"Collapse",type:"button",onClick:e[224]||(e[224]=h=>s.pzl_collapsed=!s.pzl_collapsed)},rht)):(N(),M("button",{key:2,class:"text-2xl hover:text-secondary duration-75 flex justify-center hover:bg-bg-light-tone hover:dark:bg-bg-dark-tone rounded-lg",title:"Expand",type:"button",onClick:e[225]||(e[225]=h=>s.pzl_collapsed=!s.pzl_collapsed)},aht))],2)]),u("div",lht,[u("div",cht,[u("button",{onClick:e[227]||(e[227]=xe(h=>s.ezc_collapsed=!s.ezc_collapsed,["stop"])),class:"text-2xl hover:text-primary p-2 -m-2 text-left w-full flex items-center"},[le(u("div",null,uht,512),[[Mt,s.ezc_collapsed]]),le(u("div",null,_ht,512),[[Mt,!s.ezc_collapsed]]),hht,r.configFile.extensions?(N(),M("div",fht,"|")):q("",!0),r.configFile.extensions?(N(),M("div",mht,[r.mountedExtensions.length>0?(N(),M("div",ght,[(N(!0),M($e,null,dt(r.mountedExtensions,(h,E)=>(N(),M("div",{class:"relative hover:-translate-y-2 duration-300 hover:z-10 shrink-0",key:E+"-"+h.name,ref_for:!0,ref:"mountedExtensions"},[u("div",bht,[u("button",null,[u("img",{src:s.bUrl+h.avatar,onError:e[226]||(e[226]=(...b)=>r.extensionImgPlacehodler&&r.extensionImgPlacehodler(...b)),class:Ye(["w-8 h-8 rounded-full object-fill text-red-700 border-2 active:scale-90 group-hover:border-secondary","border-transparent z-0"]),title:h.name},null,40,Eht)]),u("button",{onClick:xe(b=>r.unmountExtension(h),["stop"])},Sht,8,vht)])]))),128))])):q("",!0)])):q("",!0)])]),u("div",{class:Ye([{hidden:s.ezc_collapsed},"flex flex-col mb-2 px-3 pb-0"])},[u("div",Tht,[xht,u("div",Cht,[u("div",Rht,[s.searchExtensionInProgress?(N(),M("div",Aht,Nht)):q("",!0),s.searchExtensionInProgress?q("",!0):(N(),M("div",Oht,Mht))]),le(u("input",{type:"search",id:"personality-search",class:"block w-full p-4 pl-10 text-sm text-gray-900 border border-gray-300 rounded-lg bg-gray-50 focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Search extension...",required:"","onUpdate:modelValue":e[228]||(e[228]=h=>s.searchExtension=h),onKeyup:e[229]||(e[229]=xe((...h)=>n.searchExtension_func&&n.searchExtension_func(...h),["stop"]))},null,544),[[Pe,s.searchExtension]]),s.searchExtension?(N(),M("button",{key:0,onClick:e[230]||(e[230]=xe(h=>s.searchExtension="",["stop"])),type:"button",class:"text-white absolute right-2.5 bottom-2.5 bg-blue-700 hover:bg-blue-800 focus:ring-4 focus:outline-none focus:ring-blue-300 font-medium rounded-lg text-sm px-4 py-2 dark:bg-blue-600 dark:hover:bg-blue-700 dark:focus:ring-blue-800"}," Clear search")):q("",!0)])]),s.searchExtension?q("",!0):(N(),M("div",Dht,[u("label",kht," Extensions Category: ("+ge(s.extCatgArr.length)+") ",1),u("select",{id:"extCat",onChange:e[231]||(e[231]=h=>r.update_extension_category(h.target.value,r.refresh)),class:"bg-gray-50 border border-gray-300 text-gray-900 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500"},[(N(!0),M($e,null,dt(s.extCatgArr,(h,E)=>(N(),M("option",{key:E,selected:h==this.extension_category},ge(h),9,Lht))),128))],32)])),u("div",null,[s.extensionsFiltered.length>0?(N(),M("div",Pht,[u("label",Uht,ge(s.searchExtension?"Search results":"Personalities")+": ("+ge(s.extensionsFiltered.length)+") ",1),u("div",{class:Ye(["overflow-y-auto no-scrollbar p-2 pb-0 grid lg:grid-cols-3 md:grid-cols-2 gap-4",s.ezl_collapsed?"":"max-h-96"])},[(N(!0),M($e,null,dt(s.extensionsFiltered,(h,E)=>(N(),Ot(_,{ref_for:!0,ref:"extensionsZoo",key:"index-"+E+"-"+h.name,extension:h,select_language:!0,full_path:h.full_path,"on-mount":r.mountExtension,"on-un-mount":r.unmountExtension,"on-remount":r.remountExtension,"on-reinstall":r.onExtensionReinstall,"on-settings":r.onSettingsExtension},null,8,["extension","full_path","on-mount","on-un-mount","on-remount","on-reinstall","on-settings"]))),128))],2)])):q("",!0)]),s.ezc_collapsed?(N(),M("button",{key:1,class:"text-2xl hover:text-secondary duration-75 flex justify-center hover:bg-bg-light-tone hover:dark:bg-bg-dark-tone rounded-lg",title:"Collapse",type:"button",onClick:e[232]||(e[232]=h=>s.ezl_collapsed=!s.ezl_collapsed)},Bht)):(N(),M("button",{key:2,class:"text-2xl hover:text-secondary duration-75 flex justify-center hover:bg-bg-light-tone hover:dark:bg-bg-dark-tone rounded-lg",title:"Expand",type:"button",onClick:e[233]||(e[233]=h=>s.ezl_collapsed=!s.ezl_collapsed)},zht))],2)]),u("div",Vht,[u("div",Hht,[u("button",{onClick:e[234]||(e[234]=xe(h=>s.mep_collapsed=!s.mep_collapsed,["stop"])),class:"text-2xl hover:text-primary p-2 -m-2 text-left w-full flex items-center"},[le(u("div",null,Yht,512),[[Mt,s.mep_collapsed]]),le(u("div",null,Wht,512),[[Mt,!s.mep_collapsed]]),Kht])]),u("div",{class:Ye([{hidden:s.mep_collapsed},"flex flex-col mb-2 px-3 pb-0"])},null,2)]),u("div",jht,[u("div",Qht,[u("button",{onClick:e[235]||(e[235]=xe(h=>s.mc_collapsed=!s.mc_collapsed,["stop"])),class:"text-2xl hover:text-primary p-2 -m-2 w-full text-left flex items-center"},[le(u("div",null,Zht,512),[[Mt,s.mc_collapsed]]),le(u("div",null,eft,512),[[Mt,!s.mc_collapsed]]),tft])]),u("div",{class:Ye([{hidden:s.mc_collapsed},"flex flex-col mb-2 p-2"])},[u("div",nft,[u("div",ift,[le(u("input",{id:"override-model-parameters",type:"checkbox",class:"w-4 h-4 text-blue-600 bg-gray-100 border-gray-300 rounded focus:ring-blue-500 dark:focus:ring-blue-600 dark:ring-offset-gray-700 dark:focus:ring-offset-gray-700 focus:ring-2 dark:bg-gray-600 dark:border-gray-500",onClick:e[236]||(e[236]=xe(()=>{},["stop"])),"onUpdate:modelValue":e[237]||(e[237]=h=>r.configFile.override_personality_model_parameters=h),onChange:e[238]||(e[238]=h=>r.update_setting("override_personality_model_parameters",r.configFile.override_personality_model_parameters))},null,544),[[ht,r.configFile.override_personality_model_parameters]]),sft])]),u("div",{class:Ye(r.configFile.override_personality_model_parameters?"":"pointer-events-none opacity-30")},[u("div",rft,[oft,le(u("input",{type:"text",id:"seed","onUpdate:modelValue":e[239]||(e[239]=h=>r.configFile.seed=h),class:"bg-gray-50 border border-gray-300 text-sm rounded-lg focus:ring-blue-500 focus:border-blue-500 block w-full p-2.5 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,512),[[Pe,r.configFile.seed]])]),u("div",aft,[u("div",lft,[u("div",cft,[dft,u("p",uft,[le(u("input",{type:"text",id:"temp-val","onUpdate:modelValue":e[240]||(e[240]=h=>r.configFile.temperature=h),onChange:e[241]||(e[241]=h=>s.settingsChanged=!0),class:"mt-2 w-16 text-right p-2 border border-gray-300 rounded-lg bg-gray-50 sm:text-xs focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.temperature]])])]),le(u("input",{id:"temperature",onChange:e[242]||(e[242]=h=>s.settingsChanged=!0),type:"range","onUpdate:modelValue":e[243]||(e[243]=h=>r.configFile.temperature=h),min:"0",max:"5",step:"0.1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.temperature]])])]),u("div",pft,[u("div",_ft,[u("div",hft,[fft,u("p",mft,[le(u("input",{type:"text",id:"predict-val","onUpdate:modelValue":e[244]||(e[244]=h=>r.configFile.n_predict=h),onChange:e[245]||(e[245]=h=>s.settingsChanged=!0),class:"mt-2 w-16 text-right p-2 border border-gray-300 rounded-lg bg-gray-50 sm:text-xs focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.n_predict]])])]),le(u("input",{id:"predict",type:"range",onChange:e[246]||(e[246]=h=>s.settingsChanged=!0),"onUpdate:modelValue":e[247]||(e[247]=h=>r.configFile.n_predict=h),min:"0",max:"2048",step:"32",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.n_predict]])])]),u("div",gft,[u("div",bft,[u("div",Eft,[vft,u("p",yft,[le(u("input",{type:"text",id:"top_k-val","onUpdate:modelValue":e[248]||(e[248]=h=>r.configFile.top_k=h),onChange:e[249]||(e[249]=h=>s.settingsChanged=!0),class:"mt-2 w-16 text-right p-2 border border-gray-300 rounded-lg bg-gray-50 sm:text-xs focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.top_k]])])]),le(u("input",{id:"top_k",type:"range",onChange:e[250]||(e[250]=h=>s.settingsChanged=!0),"onUpdate:modelValue":e[251]||(e[251]=h=>r.configFile.top_k=h),min:"0",max:"100",step:"1",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.top_k]])])]),u("div",Sft,[u("div",Tft,[u("div",xft,[Cft,u("p",Rft,[le(u("input",{type:"text",id:"top_p-val","onUpdate:modelValue":e[252]||(e[252]=h=>r.configFile.top_p=h),onChange:e[253]||(e[253]=h=>s.settingsChanged=!0),class:"mt-2 w-16 text-right p-2 border border-gray-300 rounded-lg bg-gray-50 sm:text-xs focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.top_p]])])]),le(u("input",{id:"top_p",type:"range","onUpdate:modelValue":e[254]||(e[254]=h=>r.configFile.top_p=h),min:"0",max:"1",step:"0.01",onChange:e[255]||(e[255]=h=>s.settingsChanged=!0),class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.top_p]])])]),u("div",Aft,[u("div",wft,[u("div",Nft,[Oft,u("p",Ift,[le(u("input",{type:"text",id:"repeat_penalty-val","onUpdate:modelValue":e[256]||(e[256]=h=>r.configFile.repeat_penalty=h),onChange:e[257]||(e[257]=h=>s.settingsChanged=!0),class:"mt-2 w-16 text-right p-2 border border-gray-300 rounded-lg bg-gray-50 sm:text-xs focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.repeat_penalty]])])]),le(u("input",{id:"repeat_penalty",onChange:e[258]||(e[258]=h=>s.settingsChanged=!0),type:"range","onUpdate:modelValue":e[259]||(e[259]=h=>r.configFile.repeat_penalty=h),min:"0",max:"2",step:"0.01",class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.repeat_penalty]])])]),u("div",Mft,[u("div",Dft,[u("div",kft,[Lft,u("p",Pft,[le(u("input",{type:"text",id:"repeat_last_n-val","onUpdate:modelValue":e[260]||(e[260]=h=>r.configFile.repeat_last_n=h),onChange:e[261]||(e[261]=h=>s.settingsChanged=!0),class:"mt-2 w-16 text-right p-2 border border-gray-300 rounded-lg bg-gray-50 sm:text-xs focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.repeat_last_n]])])]),le(u("input",{id:"repeat_last_n",type:"range","onUpdate:modelValue":e[262]||(e[262]=h=>r.configFile.repeat_last_n=h),min:"0",max:"100",step:"1",onChange:e[263]||(e[263]=h=>s.settingsChanged=!0),class:"flex-none h-2 mt-14 mb-2 w-full bg-gray-200 rounded-lg appearance-none cursor-pointer dark:bg-gray-700 focus:ring-blue-500 focus:border-blue-500 dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-blue-500 dark:focus:border-blue-500"},null,544),[[Pe,r.configFile.repeat_last_n]])])])],2)],2)])],2)]),Ie(f,{ref:"addmodeldialog"},null,512),Ie(m,{class:"z-20",show:s.variantSelectionDialogVisible,choices:s.variant_choices,onChoiceSelected:r.onVariantChoiceSelected,onCloseDialog:r.oncloseVariantChoiceDialog,onChoiceValidated:r.onvalidateVariantChoice},null,8,["show","choices","onChoiceSelected","onCloseDialog","onChoiceValidated"])],64)}const Fft=bt(hot,[["render",Uft],["__scopeId","data-v-749e7a9f"]]),Bft={components:{ClipBoardTextInput:RE,Card:vc},data(){return{dataset_path:"",max_length:1024,batch_size:4,lr:5e-5,num_epochs:2,selectedFolder:"",selectedDataset:""}},methods:{submitForm(){const n={model_name:this.selectedModel,dataset_file:this.selectedDataset,max_length:this.max_length,batch_size:this.batch_size,lr:this.lr,num_epochs:this.num_epochs,output_dir:this.selectedFolder};Le.post("/start_training",n).then(e=>{})},openFolderSelector(){this.$refs.folder_selector.click()},selectOutputDirectory(n){var t;console.log("here");const e=(t=n.target.files[0])==null?void 0:t.path;console.log(e),e&&(this.selectedFolder=e)},selectDataset(n){const e=n.target.files;e.length>0&&(this.selectedDataset=e[0])}},computed:{selectedModel:{get(){return this.$store.state.selectedModel}},models:{get(){return this.$store.state.modelsArr}}},watch:{model_name(n){console.log("watching model_name",n),this.$refs.clipboardInput.inputValue=n}}},Gft={key:0,class:"container overflow-y-scroll flex flex-col no-scrollbar shadow-lg p-10 pt-2 bg-bg-light-tone dark:bg-bg-dark-tone"},zft={class:"mb-4"},Vft=u("label",{for:"model_name",class:"text-sm"},"Model Name:",-1),Hft=["value"],qft={class:"mb-4"},Yft=u("label",{for:"dataset_path",class:"text-sm"},"Dataset:",-1),$ft={class:"mb-4"},Wft=u("label",{for:"lr",class:"text-sm"},"Learning Rate:",-1),Kft={class:"mb-4"},jft=u("label",{for:"num_epochs",class:"text-sm"},"Number of Epochs:",-1),Qft={class:"mb-4"},Xft=u("label",{for:"max_length",class:"text-sm"},"Max Length:",-1),Zft={class:"mb-4"},Jft=u("label",{for:"batch_size",class:"text-sm"},"Batch Size:",-1),emt={class:"mb-4"},tmt=u("label",{for:"output_dir",class:"text-sm"},"Output Directory:",-1),nmt=u("button",{class:"bg-blue-500 text-white px-4 py-2 rounded"},"Start training",-1),imt={key:1};function smt(n,e,t,i,s,r){const o=mt("Card"),a=mt("ClipBoardTextInput");return r.selectedModel!==null&&r.selectedModel.toLowerCase().includes("gptq")?(N(),M("div",Gft,[u("form",{onSubmit:e[2]||(e[2]=xe((...l)=>r.submitForm&&r.submitForm(...l),["prevent"])),class:""},[Ie(o,{title:"Training configuration",isHorizontal:!0,disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[Ie(o,{title:"Model",class:"",isHorizontal:!1},{default:tt(()=>[u("div",zft,[Vft,le(u("select",{"onUpdate:modelValue":e[0]||(e[0]=l=>r.selectedModel=l),onChange:e[1]||(e[1]=(...l)=>n.setModel&&n.setModel(...l)),class:"bg-white dark:bg-black m-0 border-2 rounded-md shadow-sm w-full"},[(N(!0),M($e,null,dt(r.models,l=>(N(),M("option",{key:l,value:l},ge(l),9,Hft))),128))],544),[[zn,r.selectedModel]])])]),_:1}),Ie(o,{title:"Data",isHorizontal:!1},{default:tt(()=>[u("div",qft,[Yft,Ie(a,{id:"model_path",inputType:"file",value:s.dataset_path,onchange:"selectDataset()"},null,8,["value"])])]),_:1}),Ie(o,{title:"Training",isHorizontal:!1},{default:tt(()=>[u("div",$ft,[Wft,Ie(a,{id:"model_path",inputType:"integer",value:s.lr},null,8,["value"])]),u("div",Kft,[jft,Ie(a,{id:"model_path",inputType:"integer",value:s.num_epochs},null,8,["value"])]),u("div",Qft,[Xft,Ie(a,{id:"model_path",inputType:"integer",value:s.max_length},null,8,["value"])]),u("div",Zft,[Jft,Ie(a,{id:"model_path",inputType:"integer",value:s.batch_size},null,8,["value"])])]),_:1}),Ie(o,{title:"Output",isHorizontal:!1},{default:tt(()=>[u("div",emt,[tmt,Ie(a,{id:"model_path",inputType:"text",value:n.output_dir},null,8,["value"])])]),_:1})]),_:1}),Ie(o,{disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[nmt]),_:1})],32)])):(N(),M("div",imt,[Ie(o,{title:"Info",class:"",isHorizontal:!1},{default:tt(()=>[Ze(" Only GPTQ models are supported for QLora fine tuning. Please select a GPTQ compatible binding. ")]),_:1})]))}const rmt=bt(Bft,[["render",smt]]),omt={components:{ClipBoardTextInput:RE,Card:vc},data(){return{model_name:"jondurbin/airoboros-7b-gpt4",tokenizer_name:"jondurbin/airoboros-7b-gpt4",dataset_path:"",max_length:1024,batch_size:4,lr:5e-5,num_epochs:2,selectedFolder:"",selectedDatasetPath:""}},methods:{submitForm(){this.model_name,this.tokenizer_name,this.selectedDatasetPath,this.max_length,this.batch_size,this.lr,this.num_epochs,this.selectedFolder},openFolderSelector(){this.$refs.folder_selector.click()},selectOutputDirectory(n){var t;console.log("here");const e=(t=n.target.files[0])==null?void 0:t.path;console.log(e),e&&(this.selectedFolder=e)},selectDatasetPath(n){const e=n.target.files;e.length>0&&(this.selectedDatasetPath=e[0].webkitRelativePath)}}},amt={class:"container overflow-y-scroll flex flex-col no-scrollbar shadow-lg p-10 pt-2 bg-bg-light-tone dark:bg-bg-dark-tone"},lmt={class:"mb-4"},cmt=u("label",{for:"model_name",class:"text-sm"},"Model Name:",-1),dmt={class:"mb-4"},umt=u("label",{for:"tokenizer_name",class:"text-sm"},"Tokenizer Name:",-1),pmt=u("button",{type:"submit",class:"bg-blue-500 text-white px-4 py-2 rounded"},"Quantize LLM",-1);function _mt(n,e,t,i,s,r){const o=mt("ClipBoardTextInput"),a=mt("Card");return N(),M("div",amt,[u("form",{onSubmit:e[0]||(e[0]=xe((...l)=>r.submitForm&&r.submitForm(...l),["prevent"])),class:"max-w-md mx-auto"},[Ie(a,{title:"Quantizing configuration",isHorizontal:!0,disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[Ie(a,{title:"Model",class:"",isHorizontal:!1},{default:tt(()=>[u("div",lmt,[cmt,Ie(o,{id:"model_path",inputType:"text",value:s.model_name},null,8,["value"])]),u("div",dmt,[umt,Ie(o,{id:"model_path",inputType:"text",value:s.tokenizer_name},null,8,["value"])])]),_:1})]),_:1}),Ie(a,{disableHoverAnimation:!0,disableFocus:!0},{default:tt(()=>[pmt]),_:1})],32)])}const hmt=bt(omt,[["render",_mt]]),fmt={name:"Discussion",emits:["delete","select","editTitle","makeTitle","checked"],props:{id:Number,title:String,selected:Boolean,loading:Boolean,isCheckbox:Boolean,checkBoxValue:Boolean},setup(){},data(){return{showConfirmation:!1,editTitleMode:!1,makeTitleMode:!1,deleteMode:!1,editTitle:!1,newTitle:String,checkBoxValue_local:!1}},methods:{cancel(){this.editTitleMode=!1,this.makeTitleMode=!1,this.deleteMode=!1,this.showConfirmation=!1},deleteEvent(){this.showConfirmation=!1,this.$emit("delete")},selectEvent(){this.$emit("select")},editTitleEvent(){this.editTitle=!1,this.editTitleMode=!1,this.makeTitleMode=!1,this.deleteMode=!1,this.showConfirmation=!1,this.$emit("editTitle",{title:this.newTitle,id:this.id})},makeTitleEvent(){this.$emit("makeTitle",{id:this.id}),this.showConfirmation=!1},chnageTitle(n){this.newTitle=n},checkedChangeEvent(n,e){this.$emit("checked",n,e)}},mounted(){this.newTitle=this.title,Ve(()=>{qe.replace()})},watch:{showConfirmation(){Ve(()=>{qe.replace()})},editTitleMode(n){this.showConfirmation=n,this.editTitle=n,n&&Ve(()=>{try{this.$refs.titleBox.focus()}catch{}})},deleteMode(n){this.showConfirmation=n,n&&Ve(()=>{this.$refs.titleBox.focus()})},makeTitleMode(n){this.showConfirmation=n},checkBoxValue(n,e){this.checkBoxValue_local=n}}},mmt=["id"],gmt={class:"flex flex-row items-center gap-2"},bmt={key:0},Emt=["title"],vmt=["value"],ymt={class:"flex items-center flex-1 max-h-6"},Smt={key:0,class:"flex gap-3 flex-1 items-center justify-end duration-75"},Tmt=u("i",{"data-feather":"x"},null,-1),xmt=[Tmt],Cmt=u("i",{"data-feather":"check"},null,-1),Rmt=[Cmt],Amt={key:1,class:"flex gap-3 flex-1 items-center justify-end invisible group-hover:visible duration-75"},wmt=u("i",{"data-feather":"type"},null,-1),Nmt=[wmt],Omt=u("i",{"data-feather":"edit-2"},null,-1),Imt=[Omt],Mmt=u("i",{"data-feather":"trash"},null,-1),Dmt=[Mmt];function kmt(n,e,t,i,s,r){return N(),M("div",{class:Ye([t.selected?"bg-bg-light-discussion dark:bg-bg-dark-discussion shadow-md min-w-[23rem] max-w-[23rem]":" min-w-[23rem] max-w-[23rem]","flex flex-row sm:flex-row flex-wrap flex-shrink: 0 item-center shadow-sm gap-2 py-2 my-2 hover:shadow-md hover:bg-primary-light dark:hover:bg-primary rounded-md p-2 duration-75 group cursor-pointer"]),id:"dis-"+t.id,onClick:e[12]||(e[12]=xe(o=>r.selectEvent(),["stop"]))},[u("div",gmt,[t.isCheckbox?(N(),M("div",bmt,[le(u("input",{type:"checkbox",class:"w-4 h-4 text-blue-600 bg-gray-100 border-gray-300 rounded focus:ring-blue-500 dark:focus:ring-blue-600 dark:ring-offset-gray-700 dark:focus:ring-offset-gray-700 focus:ring-2 dark:bg-gray-600 dark:border-gray-500",onClick:e[0]||(e[0]=xe(()=>{},["stop"])),"onUpdate:modelValue":e[1]||(e[1]=o=>s.checkBoxValue_local=o),onInput:e[2]||(e[2]=o=>r.checkedChangeEvent(o,t.id))},null,544),[[ht,s.checkBoxValue_local]])])):q("",!0),t.selected?(N(),M("div",{key:1,class:Ye(["min-h-full w-2 rounded-xl self-stretch",t.loading?"animate-bounce bg-accent ":" bg-secondary "])},null,2)):q("",!0),t.selected?q("",!0):(N(),M("div",{key:2,class:Ye(["w-2",t.loading?"min-h-full w-2 rounded-xl self-stretch animate-bounce bg-accent ":" "])},null,2))]),s.editTitle?q("",!0):(N(),M("p",{key:0,title:t.title,class:"line-clamp-1 w-4/6 ml-1 -mx-5"},ge(t.title?t.title==="untitled"?"New discussion":t.title:"New discussion"),9,Emt)),s.editTitle?(N(),M("input",{key:1,type:"text",id:"title-box",ref:"titleBox",class:"bg-bg-light dark:bg-bg-dark rounded-md border-0 w-full -m-1 p-1",value:t.title,required:"",onKeydown:[e[3]||(e[3]=Ar(xe(o=>r.editTitleEvent(),["exact"]),["enter"])),e[4]||(e[4]=Ar(xe(o=>s.editTitleMode=!1,["exact"]),["esc"]))],onInput:e[5]||(e[5]=o=>r.chnageTitle(o.target.value)),onClick:e[6]||(e[6]=xe(()=>{},["stop"]))},null,40,vmt)):q("",!0),u("div",ymt,[s.showConfirmation?(N(),M("div",Smt,[u("button",{class:"text-2xl hover:text-red-600 duration-75 active:scale-90",title:"Discard title changes",type:"button",onClick:e[7]||(e[7]=xe(o=>r.cancel(),["stop"]))},xmt),u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Confirm title changes",type:"button",onClick:e[8]||(e[8]=xe(o=>s.editTitleMode?r.editTitleEvent():s.deleteMode?r.deleteEvent():r.makeTitleEvent(),["stop"]))},Rmt)])):q("",!0),s.showConfirmation?q("",!0):(N(),M("div",Amt,[u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Make a title",type:"button",onClick:e[9]||(e[9]=xe(o=>s.makeTitleMode=!0,["stop"]))},Nmt),u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Edit title",type:"button",onClick:e[10]||(e[10]=xe(o=>s.editTitleMode=!0,["stop"]))},Imt),u("button",{class:"text-2xl hover:text-red-600 duration-75 active:scale-90",title:"Remove discussion",type:"button",onClick:e[11]||(e[11]=xe(o=>s.deleteMode=!0,["stop"]))},Dmt)]))])],10,mmt)}const gO=bt(fmt,[["render",kmt]]),Lmt={data(){return{show:!1,prompt:"",inputText:""}},methods:{showPanel(){this.show=!0},ok(){this.show=!1,this.$emit("ok",this.inputText)},cancel(){this.show=!1,this.inputText=""}},props:{promptText:{type:String,required:!0}},watch:{promptText(n){this.prompt=n}}},Pmt={key:0,class:"fixed top-0 left-0 w-full h-full flex justify-center items-center bg-black bg-opacity-50"},Umt={class:"bg-white p-8 rounded"},Fmt={class:"text-xl font-bold mb-4"};function Bmt(n,e,t,i,s,r){return N(),M("div",null,[s.show?(N(),M("div",Pmt,[u("div",Umt,[u("h2",Fmt,ge(t.promptText),1),le(u("input",{type:"text","onUpdate:modelValue":e[0]||(e[0]=o=>s.inputText=o),class:"border border-gray-300 px-4 py-2 rounded mb-4"},null,512),[[Pe,s.inputText]]),u("button",{onClick:e[1]||(e[1]=(...o)=>r.ok&&r.ok(...o)),class:"bg-blue-500 text-white px-4 py-2 rounded mr-2"},"OK"),u("button",{onClick:e[2]||(e[2]=(...o)=>r.cancel&&r.cancel(...o)),class:"bg-gray-500 text-white px-4 py-2 rounded"},"Cancel")])])):q("",!0)])}const bO=bt(Lmt,[["render",Bmt]]),Gmt={props:{htmlContent:{type:String,required:!0}}},zmt=["innerHTML"];function Vmt(n,e,t,i,s,r){return N(),M("div",null,[u("div",{innerHTML:t.htmlContent},null,8,zmt)])}const Hmt=bt(Gmt,[["render",Vmt]]);const qmt={props:{jsonData:{type:[Object,Array,String],default:null},jsonFormText:{type:String,default:"JSON Form"}},data(){return{collapsed:!0}},computed:{formattedJson(){return typeof this.jsonData=="string"?JSON.stringify(JSON.parse(this.jsonData),null," ").replace(/\n/g,"<br>"):JSON.stringify(this.jsonData,null," ").replace(/\n/g,"<br>")},isObject(){return typeof this.jsonData=="object"&&this.jsonData!==null},isContentPresent(){return this.jsonData!==null&&(typeof this.jsonData!="string"||this.jsonData.trim()!=="")}},methods:{toggleCollapsed(){this.collapsed=!this.collapsed},toggleCollapsible(){this.collapsed=!this.collapsed}}},Ymt={key:0},$mt={class:"toggle-icon mr-1"},Wmt={key:0,class:"fas fa-plus-circle text-gray-600"},Kmt={key:1,class:"fas fa-minus-circle text-gray-600"},jmt={class:"json-viewer max-h-64 overflow-auto p-4 bg-gray-100 border border-gray-300 rounded dark:bg-gray-600"},Qmt={key:0,class:"fas fa-plus-circle text-gray-600"},Xmt={key:1,class:"fas fa-minus-circle text-gray-600"},Zmt=["innerHTML"];function Jmt(n,e,t,i,s,r){return r.isContentPresent?(N(),M("div",Ymt,[u("div",{class:"collapsible-section cursor-pointer mb-4 font-bold hover:text-gray-900",onClick:e[0]||(e[0]=(...o)=>r.toggleCollapsible&&r.toggleCollapsible(...o))},[u("span",$mt,[s.collapsed?(N(),M("i",Wmt)):(N(),M("i",Kmt))]),Ze(" "+ge(t.jsonFormText),1)]),le(u("div",null,[u("div",jmt,[r.isObject?(N(),M("span",{key:0,onClick:e[1]||(e[1]=(...o)=>r.toggleCollapsed&&r.toggleCollapsed(...o)),class:"toggle-icon cursor-pointer mr-1"},[s.collapsed?(N(),M("i",Qmt)):(N(),M("i",Xmt))])):q("",!0),u("pre",{innerHTML:r.formattedJson},null,8,Zmt)])],512),[[Mt,!s.collapsed]])])):q("",!0)}const egt=bt(qmt,[["render",Jmt]]),tgt={props:{done:{type:Boolean,required:!0},message:{type:String,required:!0},status:{type:Boolean,required:!0},step_type:{type:String,required:!1,default:"start_end"}}},ngt={class:"flex items-start"},igt={class:"step flex items-center mb-4"},sgt={key:0,class:"flex items-center justify-center w-6 h-6 mr-2"},rgt={key:0},ogt=u("i",{"data-feather":"square",class:"text-gray-400 w-4 h-4"},null,-1),agt=[ogt],lgt={key:1},cgt=u("i",{"data-feather":"check-square",class:"text-green-500 w-4 h-4"},null,-1),dgt=[cgt],ugt={key:2},pgt=u("i",{"data-feather":"x-square",class:"text-red-500 w-4 h-4"},null,-1),_gt=[pgt],hgt={key:1,role:"status",class:"m-15"},fgt=u("svg",{"aria-hidden":"true",class:"w-6 h-6 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})],-1),mgt=[fgt],ggt={class:"text-sm"};function bgt(n,e,t,i,s,r){return N(),M("div",ngt,[u("div",igt,[t.step_type=="start_end"?(N(),M("div",sgt,[t.done?q("",!0):(N(),M("div",rgt,agt)),t.done&&t.status?(N(),M("div",lgt,dgt)):q("",!0),t.done&&!t.status?(N(),M("div",ugt,_gt)):q("",!0)])):q("",!0),t.done?q("",!0):(N(),M("div",hgt,mgt)),u("h3",ggt,ge(t.message),1)])])}const Egt=bt(tgt,[["render",bgt]]),vgt="/assets/process-61f7a21b.svg",ygt="/assets/ok-a0b56451.svg",Sgt="/assets/failed-183609e7.svg",EO="/assets/send_globe-775ba9b7.svg";const Tgt="/",xgt={name:"Message",emits:["copy","delete","rankUp","rankDown","updateMessage","resendMessage","continueMessage"],components:{MarkdownRenderer:EE,Step:Egt,RenderHTMLJS:Hmt,JsonViewer:egt,DynamicUIRenderer:mO},props:{host:{type:String,required:!1,default:"http://localhost:9600"},message:Object,avatar:{default:""}},data(){return{isSynthesizingVoice:!1,cpp_block:cO,html5_block:dO,LaTeX_block:uO,json_block:lO,javascript_block:aO,process_svg:vgt,ok_svg:ygt,failed_svg:Sgt,loading_svg:_O,sendGlobe:EO,code_block:rO,python_block:oO,bash_block:pO,audio_url:null,audio:null,msg:null,isSpeaking:!1,speechSynthesis:null,voices:[],expanded:!1,showConfirmation:!1,editMsgMode_:!1,deleteMsgMode:!1,mdRenderHeight:Number}},mounted(){if("speechSynthesis"in window?(this.speechSynthesis=window.speechSynthesis,this.voices=this.speechSynthesis.getVoices(),this.voices.length===0&&this.speechSynthesis.addEventListener("voiceschanged",this.onVoicesChanged)):console.error("Speech synthesis is not supported in this browser."),Ve(()=>{qe.replace(),this.mdRenderHeight=this.$refs.mdRender.$el.offsetHeight}),console.log("Checking metadata"),console.log(this.message),Object.prototype.hasOwnProperty.call(this.message,"metadata")&&this.message.metadata!=null){console.log("Metadata found!"),Array.isArray(this.message.metadata)||(this.message.metadata=[]),console.log(typeof this.message.metadata),console.log(this.message.metadata);for(let n of this.message.metadata)Object.prototype.hasOwnProperty.call(n,"audio_url")&&n.audio_url!=null&&(this.audio_url=n.audio_url,console.log("Audio URL:",this.audio_url))}},methods:{insertTab(n){const e=n.target,t=e.selectionStart,i=e.selectionEnd,s=n.shiftKey;if(t===i)if(s){if(e.value.substring(t-4,t)==" "){const r=e.value.substring(0,t-4),o=e.value.substring(i),a=r+o;this.message.content=a,this.$nextTick(()=>{e.selectionStart=e.selectionEnd=t-4})}}else{const r=e.value.substring(0,t),o=e.value.substring(i),a=r+" "+o;this.message.content=a,this.$nextTick(()=>{e.selectionStart=e.selectionEnd=t+4})}else{const o=e.value.substring(t,i).split(`
`).map(c=>c.trim()===""?c:s?c.startsWith(" ")?c.substring(4):c:" "+c),a=e.value.substring(0,t),l=e.value.substring(i),d=a+o.join(`
`)+l;this.message.content=d,this.$nextTick(()=>{e.selectionStart=t,e.selectionEnd=i+o.length*4})}n.preventDefault()},onVoicesChanged(){this.voices=this.speechSynthesis.getVoices()},read(){this.isSynthesizingVoice?(this.isSynthesizingVoice=!1,this.$refs.audio_player.pause()):(this.isSynthesizingVoice=!0,Le.post("./text2Audio",{text:this.message.content}).then(n=>{this.isSynthesizingVoice=!1;let e=n.data.url;console.log(e),this.audio_url=e,this.message.metadata||(this.message.metadata=[]);let t=!1;for(let i of this.message.metadata)Object.prototype.hasOwnProperty.call(i,"audio_url")&&(i.audio_url=this.audio_url,t=!0);t||this.message.metadata.push({audio_url:this.audio_url}),this.$emit("updateMessage",this.message.id,this.message.content,this.audio_url)}).catch(n=>{this.$store.state.toast.showToast(`Error: ${n}`,4,!1),this.isSynthesizingVoice=!1}))},speak(){if(this.msg){this.speechSynthesis.cancel(),this.msg=null,this.isSpeaking=!1;return}let n=0;console.log("voice on"),this.isSpeaking=!0;const e=200;this.message.content,this.msg=new SpeechSynthesisUtterance,this.msg.pitch=this.$store.state.config.audio_pitch,this.voices.length>0&&(this.msg.voice=this.voices.filter(s=>s.name===this.$store.state.config.audio_out_voice)[0]);const t=s=>{let r=this.message.content.substring(s,s+e);const o=[".","!","?",`
`];let a=-1;return o.forEach(l=>{const d=r.lastIndexOf(l);d>a&&(a=d)}),a==-1&&(a=r.length),console.log(a),a+s+1},i=()=>{if(this.message.content.includes(".")){const s=t(n),r=this.message.content.substring(n,s);this.msg.text=r,n=s+1,this.msg.onend=o=>{n<this.message.content.length-2?setTimeout(()=>{i()},1):(this.isSpeaking=!1,console.log("voice off :",this.message.content.length," ",s))},this.speechSynthesis.speak(this.msg)}else setTimeout(()=>{i()},1)};i()},toggleModel(){this.expanded=!this.expanded},addBlock(n){let e=this.$refs.mdTextarea.selectionStart,t=this.$refs.mdTextarea.selectionEnd;e==t?speechSynthesis==0||this.message.content[e-1]==`
`?(this.message.content=this.message.content.slice(0,e)+"```"+n+"\n\n```\n"+this.message.content.slice(e),e=e+4+n.length):(this.message.content=this.message.content.slice(0,e)+"\n```"+n+"\n\n```\n"+this.message.content.slice(e),e=e+3+n.length):speechSynthesis==0||this.message.content[e-1]==`
`?(this.message.content=this.message.content.slice(0,e)+"```"+n+`
`+this.message.content.slice(e,t)+"\n```\n"+this.message.content.slice(t),e=e+4+n.length):(this.message.content=this.message.content.slice(0,e)+"\n```"+n+`
`+this.message.content.slice(e,t)+"\n```\n"+this.message.content.slice(t),p=p+3+n.length),this.$refs.mdTextarea.focus(),this.$refs.mdTextarea.selectionStart=this.$refs.mdTextarea.selectionEnd=p},copyContentToClipboard(){this.$emit("copy",this)},deleteMsg(){this.$emit("delete",this.message.id),this.deleteMsgMode=!1},rankUp(){this.$emit("rankUp",this.message.id)},rankDown(){this.$emit("rankDown",this.message.id)},updateMessage(){this.$emit("updateMessage",this.message.id,this.message.content,this.audio_url),this.editMsgMode=!1},resendMessage(n){this.$emit("resendMessage",this.message.id,this.message.content,n)},continueMessage(){this.$emit("continueMessage",this.message.id,this.message.content)},getImgUrl(){return this.avatar?Tgt+this.avatar:(console.log("No avatar found"),ga)},defaultImg(n){n.target.src=ga},parseDate(n){let e=new Date(Date.parse(n)),i=Math.floor((new Date-e)/1e3);return i<=1?"just now":i<20?i+" seconds ago":i<40?"half a minute ago":i<60?"less than a minute ago":i<=90?"one minute ago":i<=3540?Math.round(i/60)+" minutes ago":i<=5400?"1 hour ago":i<=86400?Math.round(i/3600)+" hours ago":i<=129600?"1 day ago":i<604800?Math.round(i/86400)+" days ago":i<=777600?"1 week ago":n},prettyDate(n){let e=new Date((n||"").replace(/-/g,"/").replace(/[TZ]/g," ")),t=(new Date().getTime()-e.getTime())/1e3,i=Math.floor(t/86400);if(!(isNaN(i)||i<0||i>=31))return i==0&&(t<60&&"just now"||t<120&&"1 minute ago"||t<3600&&Math.floor(t/60)+" minutes ago"||t<7200&&"1 hour ago"||t<86400&&Math.floor(t/3600)+" hours ago")||i==1&&"Yesterday"||i<7&&i+" days ago"||i<31&&Math.ceil(i/7)+" weeks ago"},checkForFullSentence(){if(this.message.content.trim().split(" ").length>3){this.speak();return}}},watch:{audio_url(n){n&&(this.$refs.audio_player.src=n)},"message.content":function(n){this.$store.state.config.auto_speak&&(this.isSpeaking||this.checkForFullSentence())},"message.ui":function(n){console.log("ui changed"),console.log(this.message.ui)},showConfirmation(){Ve(()=>{qe.replace()})},deleteMsgMode(){Ve(()=>{qe.replace()})}},computed:{editMsgMode:{get(){return this.message.hasOwnProperty("open")?this.editMsgMode_||this.message.open:this.editMsgMode_},set(n){this.message.open=n,this.editMsgMode_=n,Ve(()=>{qe.replace()})}},isTalking:{get(){return this.isSpeaking}},created_at(){return this.prettyDate(this.message.created_at)},created_at_parsed(){return new Date(Date.parse(this.message.created_at)).toLocaleString()},finished_generating_at_parsed(){return new Date(Date.parse(this.message.finished_generating_at)).toLocaleString()},time_spent(){const n=new Date(Date.parse(this.message.created_at)),e=new Date(Date.parse(this.message.finished_generating_at));if(e.getTime()===n.getTime()||!e.getTime())return;let i=e.getTime()-n.getTime();const s=Math.floor(i/(1e3*60*60));i-=s*(1e3*60*60);const r=Math.floor(i/(1e3*60));i-=r*(1e3*60);const o=Math.floor(i/1e3);i-=o*1e3;function a(d){return d<10&&(d="0"+d),d}return a(s)+"h:"+a(r)+"m:"+a(o)+"s"}}},Cgt={class:"relative w-full group rounded-lg m-2 shadow-lg hover:border-primary dark:hover:border-primary hover:border-solid hover:border-2 border-2 border-transparent even:bg-bg-light-discussion-odd dark:even:bg-bg-dark-discussion-odd flex flex-col flex-grow flex-wrap overflow-visible p-4 pb-2"},Rgt={class:"flex flex-row gap-2"},Agt={class:"flex-shrink-0"},wgt={class:"group/avatar"},Ngt=["src","data-popover-target"],Ogt={class:"flex flex-col w-full flex-grow-0"},Igt={class:"flex flex-row flex-grow items-start"},Mgt={class:"flex flex-col mb-2"},Dgt={class:"drop-shadow-sm text-lg text-opacity-95 font-bold grow"},kgt=["title"],Lgt=u("div",{class:"flex-grow"},null,-1),Pgt={class:"flex-row justify-end mx-2"},Ugt={class:"invisible group-hover:visible flex flex-row"},Fgt={key:0,class:"flex items-center duration-75"},Bgt=u("i",{"data-feather":"x"},null,-1),Ggt=[Bgt],zgt=u("i",{"data-feather":"check"},null,-1),Vgt=[zgt],Hgt=u("i",{"data-feather":"edit"},null,-1),qgt=[Hgt],Ygt=["src"],$gt=["src"],Wgt=["src"],Kgt=["src"],jgt=["src"],Qgt=["src"],Xgt=["src"],Zgt=["src"],Jgt=u("i",{"data-feather":"copy"},null,-1),ebt=[Jgt],tbt=u("i",{"data-feather":"send"},null,-1),nbt=[tbt],ibt=["src"],sbt=u("i",{"data-feather":"send"},null,-1),rbt=[sbt],obt=u("i",{"data-feather":"fast-forward"},null,-1),abt=[obt],lbt={key:14,class:"flex items-center duration-75"},cbt=u("i",{"data-feather":"x"},null,-1),dbt=[cbt],ubt=u("i",{"data-feather":"check"},null,-1),pbt=[ubt],_bt=u("i",{"data-feather":"trash"},null,-1),hbt=[_bt],fbt=u("i",{"data-feather":"thumbs-up"},null,-1),mbt=[fbt],gbt={class:"flex flex-row items-center"},bbt=u("i",{"data-feather":"thumbs-down"},null,-1),Ebt=[bbt],vbt={class:"flex flex-row items-center"},ybt=u("i",{"data-feather":"volume-2"},null,-1),Sbt=[ybt],Tbt={class:"flex flex-row items-center"},xbt=u("i",{"data-feather":"voicemail"},null,-1),Cbt=[xbt],Rbt=["src"],Abt={class:"overflow-x-auto w-full"},wbt={class:"flex w-full cursor-pointer rounded-xl border border-gray-200 bg-white shadow-sm dark:border-gray-800 dark:bg-gray-900 mb-3.5 max-w-full svelte-1escu1z"},Nbt={class:"grid min-w-72 select-none grid-cols-[40px,1fr] items-center gap-2.5 p-2 svelte-1escu1z"},Obt={class:"relative grid aspect-square place-content-center overflow-hidden rounded-lg bg-gray-300 dark:bg-gray-200"},Ibt=["src"],Mbt=["src"],Dbt=["src"],kbt={class:"leading-4"},Lbt=u("dd",{class:"text-sm"},"Processing infos",-1),Pbt={class:"flex items-center gap-1 truncate whitespace-nowrap text-[.82rem] text-gray-400"},Ubt={class:"content px-5 pb-5 pt-4"},Fbt={class:"list-none"},Bbt=u("div",{class:"flex flex-col items-start w-full"},null,-1),Gbt={class:"flex flex-col items-start w-full"},zbt=["src"],Vbt={class:"text-sm text-gray-400 mt-2"},Hbt={class:"flex flex-row items-center gap-2"},qbt={key:0},Ybt={class:"font-thin"},$bt={key:1},Wbt={class:"font-thin"},Kbt={key:2},jbt={class:"font-thin"},Qbt={key:3},Xbt=["title"];function Zbt(n,e,t,i,s,r){const o=mt("Step"),a=mt("RenderHTMLJS"),l=mt("MarkdownRenderer"),d=mt("DynamicUIRenderer");return N(),M("div",Cgt,[u("div",Rgt,[u("div",Agt,[u("div",wgt,[u("img",{src:r.getImgUrl(),onError:e[0]||(e[0]=c=>r.defaultImg(c)),"data-popover-target":"avatar"+t.message.id,"data-popover-placement":"bottom",class:"w-10 h-10 rounded-full object-fill text-red-700"},null,40,Ngt)])]),u("div",Ogt,[u("div",Igt,[u("div",Mgt,[u("div",Dgt,ge(t.message.sender)+" ",1),t.message.created_at?(N(),M("div",{key:0,class:"text-sm text-gray-400 font-thin",title:"Created at: "+r.created_at_parsed},ge(r.created_at),9,kgt)):q("",!0)]),Lgt,u("div",Pgt,[u("div",Ugt,[r.editMsgMode?(N(),M("div",Fgt,[u("button",{class:"text-2xl hover:text-red-600 duration-75 active:scale-90 p-2",title:"Cancel edit",type:"button",onClick:e[1]||(e[1]=xe(c=>r.editMsgMode=!1,["stop"]))},Ggt),u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90 p-2",title:"Update message",type:"button",onClick:e[2]||(e[2]=xe((...c)=>r.updateMessage&&r.updateMessage(...c),["stop"]))},Vgt)])):q("",!0),r.editMsgMode?q("",!0):(N(),M("div",{key:1,class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Edit message",onClick:e[3]||(e[3]=xe(c=>r.editMsgMode=!0,["stop"]))},qgt)),r.editMsgMode?(N(),M("div",{key:2,class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Add generic block",onClick:e[4]||(e[4]=xe(c=>r.addBlock(""),["stop"]))},[u("img",{src:s.code_block,width:"25",height:"25"},null,8,Ygt)])):q("",!0),r.editMsgMode?(N(),M("div",{key:3,class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer hover:border-2",title:"Add python block",onClick:e[5]||(e[5]=xe(c=>r.addBlock("python"),["stop"]))},[u("img",{src:s.python_block,width:"25",height:"25"},null,8,$gt)])):q("",!0),r.editMsgMode?(N(),M("div",{key:4,class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Add javascript block",onClick:e[6]||(e[6]=xe(c=>r.addBlock("javascript"),["stop"]))},[u("img",{src:s.javascript_block,width:"25",height:"25"},null,8,Wgt)])):q("",!0),r.editMsgMode?(N(),M("div",{key:5,class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Add json block",onClick:e[7]||(e[7]=xe(c=>r.addBlock("json"),["stop"]))},[u("img",{src:s.json_block,width:"25",height:"25"},null,8,Kgt)])):q("",!0),r.editMsgMode?(N(),M("div",{key:6,class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Add c++ block",onClick:e[8]||(e[8]=xe(c=>r.addBlock("c++"),["stop"]))},[u("img",{src:s.cpp_block,width:"25",height:"25"},null,8,jgt)])):q("",!0),r.editMsgMode?(N(),M("div",{key:7,class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Add html block",onClick:e[9]||(e[9]=xe(c=>r.addBlock("html"),["stop"]))},[u("img",{src:s.html5_block,width:"25",height:"25"},null,8,Qgt)])):q("",!0),r.editMsgMode?(N(),M("div",{key:8,class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Add LaTex block",onClick:e[10]||(e[10]=xe(c=>r.addBlock("latex"),["stop"]))},[u("img",{src:s.LaTeX_block,width:"25",height:"25"},null,8,Xgt)])):q("",!0),r.editMsgMode?(N(),M("div",{key:9,class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Add bash block",onClick:e[11]||(e[11]=xe(c=>r.addBlock("bash"),["stop"]))},[u("img",{src:s.bash_block,width:"25",height:"25"},null,8,Zgt)])):q("",!0),u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Copy message to clipboard",onClick:e[12]||(e[12]=xe(c=>r.copyContentToClipboard(),["stop"]))},ebt),!r.editMsgMode&&t.message.sender!=this.$store.state.mountedPers.name?(N(),M("div",{key:10,class:Ye(["text-lg text-red-500 hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",{"text-5xl":r.editMsgMode}]),title:"Resend message with full context",onClick:e[13]||(e[13]=xe(c=>r.resendMessage("full_context"),["stop"]))},nbt,2)):q("",!0),!r.editMsgMode&&t.message.sender!=this.$store.state.mountedPers.name?(N(),M("div",{key:11,class:Ye(["text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",{"text-5xl":r.editMsgMode}]),title:"Resend message without the full context",onClick:e[14]||(e[14]=xe(c=>r.resendMessage("full_context_with_internet"),["stop"]))},[u("img",{src:s.sendGlobe,width:"25",height:"25"},null,8,ibt)],2)):q("",!0),!r.editMsgMode&&t.message.sender!=this.$store.state.mountedPers.name?(N(),M("div",{key:12,class:Ye(["text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",{"text-5xl":r.editMsgMode}]),title:"Resend message without the full context",onClick:e[15]||(e[15]=xe(c=>r.resendMessage("simple_question"),["stop"]))},rbt,2)):q("",!0),!r.editMsgMode&&t.message.sender==this.$store.state.mountedPers.name?(N(),M("div",{key:13,class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Resend message",onClick:e[16]||(e[16]=xe(c=>r.continueMessage(),["stop"]))},abt)):q("",!0),s.deleteMsgMode?(N(),M("div",lbt,[u("button",{class:"text-2xl hover:text-red-600 duration-75 active:scale-90 p-2 cursor-pointer",title:"Cancel removal",type:"button",onClick:e[17]||(e[17]=xe(c=>s.deleteMsgMode=!1,["stop"]))},dbt),u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Confirm removal",type:"button",onClick:e[18]||(e[18]=xe(c=>r.deleteMsg(),["stop"]))},pbt)])):q("",!0),!r.editMsgMode&&!s.deleteMsgMode?(N(),M("div",{key:15,class:"text-lg hover:text-red-600 duration-75 active:scale-90 p-2 cursor-pointer",title:"Remove message",onClick:e[19]||(e[19]=c=>s.deleteMsgMode=!0)},hbt)):q("",!0),u("div",{class:"text-lg hover:text-secondary duration-75 active:scale-90 p-2 cursor-pointer",title:"Upvote",onClick:e[20]||(e[20]=xe(c=>r.rankUp(),["stop"]))},mbt),u("div",gbt,[u("div",{class:"text-lg hover:text-red-600 duration-75 active:scale-90 p-2 cursor-pointer",title:"Downvote",onClick:e[21]||(e[21]=xe(c=>r.rankDown(),["stop"]))},Ebt),t.message.rank!=0?(N(),M("div",{key:0,class:Ye(["rounded-full px-2 text-sm flex items-center justify-center font-bold cursor-pointer",t.message.rank>0?"bg-secondary":"bg-red-600"]),title:"Rank"},ge(t.message.rank),3)):q("",!0)]),u("div",vbt,[u("div",{class:Ye(["text-lg hover:text-red-600 duration-75 active:scale-90 p-2 cursor-pointer",{"text-red-500":r.isTalking}]),title:"speak",onClick:e[22]||(e[22]=xe(c=>r.speak(),["stop"]))},Sbt,2)]),u("div",Tbt,[s.isSynthesizingVoice?(N(),M("img",{key:1,src:s.loading_svg},null,8,Rbt)):(N(),M("div",{key:0,class:"text-lg hover:text-red-600 duration-75 active:scale-90 p-2 cursor-pointer",title:"read",onClick:e[23]||(e[23]=xe(c=>r.read(),["stop"]))},Cbt))])])])]),u("div",Abt,[le(u("details",wbt,[u("summary",Nbt,[u("div",Obt,[t.message.status_message!="Done"&t.message.status_message!="Generation canceled"?(N(),M("img",{key:0,src:s.loading_svg,class:"absolute inset-0 text-gray-100 transition-opacity dark:text-gray-800 opacity-100"},null,8,Ibt)):q("",!0),t.message.status_message=="Generation canceled"?(N(),M("img",{key:1,src:s.failed_svg,class:"absolute inset-0 text-gray-100 transition-opacity dark:text-gray-800 opacity-100"},null,8,Mbt)):q("",!0),t.message.status_message=="Done"?(N(),M("img",{key:2,src:s.ok_svg,class:"absolute m-2 w-6 inset-0 text-geen-100 transition-opacity dark:text-gray-800 opacity-100"},null,8,Dbt)):q("",!0)]),u("dl",kbt,[Lbt,u("dt",Pbt,ge(t.message==null?"":t.message.status_message),1)])]),u("div",Ubt,[u("ol",Fbt,[(N(!0),M($e,null,dt(t.message.steps,(c,_)=>(N(),M("div",{key:"step-"+t.message.id+"-"+_,class:"group border-l pb-6 last:!border-transparent last:pb-0 dark:border-gray-800",style:Jt({backgroundColor:c.done?"transparent":"inherit"})},[Ie(o,{done:c.done,message:c.message,status:c.status,step_type:c.type},null,8,["done","message","status","step_type"])],4))),128))])])],512),[[Mt,t.message!=null&&t.message.steps!=null&&t.message.steps.length>0]]),Bbt,u("div",Gbt,[(N(!0),M($e,null,dt(t.message.html_js_s,(c,_)=>(N(),M("div",{key:"htmljs-"+t.message.id+"-"+_,class:"htmljs font-bold",style:Jt({backgroundColor:n.step.done?"transparent":"inherit"})},[Ie(a,{htmlContent:c},null,8,["htmlContent"])],4))),128))]),r.editMsgMode?q("",!0):(N(),Ot(l,{key:0,ref:"mdRender",host:t.host,"markdown-text":t.message.content,message_id:t.message.id,discussion_id:t.message.discussion_id,client_id:this.$store.state.client_id},null,8,["host","markdown-text","message_id","discussion_id","client_id"])),u("div",null,[t.message.open?le((N(),M("textarea",{key:0,ref:"mdTextarea",onKeydown:e[24]||(e[24]=Ar(xe((...c)=>r.insertTab&&r.insertTab(...c),["prevent"]),["tab"])),class:"block min-h-[900px] p-2.5 w-full text-gray-900 bg-gray-50 rounded-lg border border-gray-300 focus:ring-blue-500 focus:border-blue-500 dark:bg-gray-700 dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500 overflow-y-scroll flex flex-col shadow-lg p-10 pt-0 overflow-y-scroll dark:bg-bg-dark scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary",rows:4,style:Jt({minHeight:s.mdRenderHeight+"px"}),placeholder:"Enter message here...","onUpdate:modelValue":e[25]||(e[25]=c=>t.message.content=c)},`\r
`,36)),[[Pe,t.message.content]]):q("",!0)]),t.message.ui!==null&&t.message.ui!==void 0&&t.message.ui!==""?(N(),Ot(d,{key:1,class:"w-full h-full",code:t.message.ui},null,8,["code"])):q("",!0),s.audio_url!=null?(N(),M("audio",{controls:"",autoplay:"",key:s.audio_url},[u("source",{src:s.audio_url,type:"audio/wav",ref:"audio_player"},null,8,zbt),Ze(" Your browser does not support the audio element. ")])):q("",!0)]),u("div",Vbt,[u("div",Hbt,[t.message.binding?(N(),M("p",qbt,[Ze("Binding: "),u("span",Ybt,ge(t.message.binding),1)])):q("",!0),t.message.model?(N(),M("p",$bt,[Ze("Model: "),u("span",Wbt,ge(t.message.model),1)])):q("",!0),t.message.seed?(N(),M("p",Kbt,[Ze("Seed: "),u("span",jbt,ge(t.message.seed),1)])):q("",!0),r.time_spent?(N(),M("p",Qbt,[Ze("Time spent: "),u("span",{class:"font-thin",title:"Finished generating: "+r.finished_generating_at_parsed},ge(r.time_spent),9,Xbt)])):q("",!0)])])])])])}const vO=bt(xgt,[["render",Zbt]]),Jbt="/";Le.defaults.baseURL="/";const eEt={name:"MountedPersonalities",props:{onShowPersList:Function,onReady:Function},components:{Toast:fc,UniversalForm:Ec},data(){return{bUrl:Jbt,isMounted:!1,show:!1}},async mounted(){await this.constructor(),this.isMounted=!0},async activated(){this.isMounted&&await this.constructor()},computed:{configFile:{get(){return this.$store.state.config},set(n){this.$store.commit("setConfig",n)}},mountedPers:{get(){return this.$store.state.mountedPers},set(n){this.$store.commit("setMountedPers",n)}},personalities:{get(){return this.$store.state.personalities},set(n){this.$store.commit("setPersonalities",n)}},mountedPersArr:{get(){return this.$store.state.mountedPersArr},set(n){this.$store.commit("setMountedPers",n)}}},methods:{onSettingsPersonality(n){try{Le.get("/get_active_personality_settings").then(e=>{e&&(console.log("pers sett",e),e.data&&Object.keys(e.data).length>0?this.$refs.universalForm.showForm(e.data,"Personality settings - "+n.name,"Save changes","Cancel").then(t=>{try{Le.post("/set_active_personality_settings",t).then(i=>{i&&i.data?(console.log("personality set with new settings",i.data),this.$refs.toast.showToast("Personality settings updated successfully!",4,!0)):this.$refs.toast.showToast(`Did not get Personality settings responses.
`+i,4,!1)})}catch(i){this.$refs.toast.showToast(`Did not get Personality settings responses.
Endpoint error: `+i.message,4,!1)}}):this.$refs.toast.showToast("Personality has no settings",4,!1))})}catch(e){this.$refs.toast.showToast("Could not open personality settings. Endpoint error: "+e.message,4,!1)}},toggleShowPersList(){this.onShowPersList()},async constructor(){for(Ve(()=>{qe.replace()});this.$store.state.ready===!1;)await new Promise(n=>setTimeout(n,100));this.onReady()},async api_get_req(n){try{const e=await Le.get("/"+n);if(e)return e.data}catch(e){console.log(e.message,"api_get_req - mountedPersonalities");return}},personalityImgPlacehodler(n){n.target.src=yc}}},tEt={class:"w-fit select-none"},nEt={key:0,class:"flex -space-x-4"},iEt=["src","title"],sEt={key:1,class:"flex -space-x-4"},rEt=["src","title"],oEt={key:2,title:"Loading personalities"},aEt=u("div",{role:"status"},[u("svg",{"aria-hidden":"true",class:"w-6 h-6 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})]),u("span",{class:"sr-only"},"Loading...")],-1),lEt=[aEt];function cEt(n,e,t,i,s,r){const o=mt("UniversalForm");return N(),M($e,null,[u("div",tEt,[r.mountedPersArr.length>1?(N(),M("div",nEt,[u("img",{src:s.bUrl+r.mountedPers.avatar,onError:e[0]||(e[0]=(...a)=>r.personalityImgPlacehodler&&r.personalityImgPlacehodler(...a)),class:"w-8 h-8 rounded-full object-fill text-red-700 border-2 active:scale-90 hover:z-20 hover:-translate-y-2 duration-150 border-secondary cursor-pointer",title:"Active personality: "+r.mountedPers.name,onClick:e[1]||(e[1]=a=>r.onSettingsPersonality(r.mountedPers))},null,40,iEt),u("div",{class:"flex items-center justify-center w-8 h-8 cursor-pointer text-xs font-medium bg-bg-light dark:bg-bg-dark border-2 hover:border-secondary rounded-full hover:bg-bg-light-tone dark:hover:bg-bg-dark-tone dark:border-gray-800 hover:z-20 hover:-translate-y-2 duration-150 active:scale-90",onClick:e[2]||(e[2]=xe((...a)=>r.toggleShowPersList&&r.toggleShowPersList(...a),["stop"])),title:"Click to show more"},"+"+ge(r.mountedPersArr.length-1),1)])):q("",!0),r.mountedPersArr.length==1?(N(),M("div",sEt,[u("img",{src:s.bUrl+this.$store.state.mountedPers.avatar,onError:e[3]||(e[3]=(...a)=>r.personalityImgPlacehodler&&r.personalityImgPlacehodler(...a)),class:"w-8 h-8 rounded-full object-fill text-red-700 border-2 active:scale-90 hover:z-20 cursor-pointer border-secondary",title:"Active personality: "+this.$store.state.mountedPers.name,onClick:e[4]||(e[4]=xe((...a)=>r.toggleShowPersList&&r.toggleShowPersList(...a),["stop"]))},null,40,rEt)])):q("",!0),r.mountedPersArr.length==0?(N(),M("div",oEt,lEt)):q("",!0)]),Ie(o,{ref:"universalForm",class:"z-20"},null,512)],64)}const dEt=bt(eEt,[["render",cEt]]);const uEt="/";Le.defaults.baseURL="/";const pEt={props:{onTalk:Function,onMounted:Function,onUnmounted:Function,onRemounted:Function,discussionPersonalities:Array,onShowPersList:Function},components:{PersonalityEntry:fO,Toast:fc,UniversalForm:Ec},name:"MountedPersonalitiesList",data(){return{bUrl:uEt,isMounted:!1,isLoading:!1}},async mounted(){await this.constructor(),this.isMounted=!0},async activated(){this.isMounted&&await this.constructor()},computed:{configFile:{get(){return this.$store.state.config},set(n){this.$store.commit("setConfig",n)}},personalities:{get(){return this.$store.state.personalities},set(n){this.$store.commit("setPersonalities",n)}},mountedPersArr:{get(){return this.$store.state.mountedPersArr},set(n){this.$store.commit("setMountedPers",n)}}},methods:{toggleShowPersList(){this.onShowPersList()},async constructor(){},async api_get_req(n){try{const e=await Le.get("/"+n);if(e)return e.data}catch(e){console.log(e.message,"api_get_req - mountedPersonalities");return}},personalityImgPlacehodler(n){n.target.src=yc},onPersonalityReinstall(n){console.log("on reinstall ",n),this.isLoading=!0,Le.post("/reinstall_personality",{name:n.personality.full_path}).then(e=>{if(e)return this.isLoading=!1,console.log("reinstall_personality",e),e.data.status?this.$refs.toast.showToast("Personality reinstalled successfully!",4,!0):this.$refs.toast.showToast("Could not reinstall personality",4,!1),e.data;this.isLoading=!1}).catch(e=>(this.isLoading=!1,this.$refs.toast.showToast(`Could not reinstall personality
`+e.message,4,!1),{status:!1}))},editPersonality(n){n=n.personality,Le.post("/get_personality_config",{category:n.category,name:n.folder}).then(e=>{const t=e.data;console.log("Done"),t.status?(this.$store.state.currentPersonConfig=t.config,this.$store.state.showPersonalityEditor=!0,this.$store.state.personality_editor.showPanel(),this.$store.state.selectedPersonality=n):console.error(t.error)}).catch(e=>{console.error(e)})},onPersonalityMounted(n){this.mountPersonality(n)},onPersonalityUnMounted(n){this.unmountPersonality(n)},onPersonalityRemount(n){this.reMountPersonality(n)},async handleOnTalk(n){if(qe.replace(),console.log("ppa",n),n){if(n.isMounted){const e=await this.select_personality(n);e&&e.status&&(await this.constructor(),this.$refs.toast.showToast(`Selected personality:
`+n.name,4,!0))}else this.onPersonalityMounted(n);this.onTalk(n)}},async onPersonalitySelected(n){if(qe.replace(),console.log("Selected personality : ",JSON.stringify(n.personality)),n){if(n.selected){this.$refs.toast.showToast("Personality already selected",4,!0);return}if(n.isMounted){const e=await this.select_personality(n);e&&e.status&&(await this.constructor(),this.$refs.toast.showToast(`Selected personality:
`+n.name,4,!0))}else this.onPersonalityMounted(n)}},onSettingsPersonality(n){try{Le.get("/get_active_personality_settings").then(e=>{e&&(console.log("pers sett",e),e.data&&Object.keys(e.data).length>0?this.$refs.universalForm.showForm(e.data,"Personality settings - "+n.personality.name,"Save changes","Cancel").then(t=>{try{Le.post("/set_active_personality_settings",t).then(i=>{i&&i.data?(console.log("personality set with new settings",i.data),this.$refs.toast.showToast("Personality settings updated successfully!",4,!0)):this.$refs.toast.showToast(`Did not get Personality settings responses.
`+i,4,!1)})}catch(i){this.$refs.toast.showToast(`Did not get Personality settings responses.
Endpoint error: `+i.message,4,!1)}}):this.$refs.toast.showToast("Personality has no settings",4,!1))})}catch(e){this.$refs.toast.showToast("Could not open personality settings. Endpoint error: "+e.message,4,!1)}},async mount_personality(n){if(!n)return{status:!1,error:"no personality - mount_personality"};try{const e={category:n.category,folder:n.folder,language:n.language},t=await Le.post("/mount_personality",e);if(t)return t.data}catch(e){console.log(e.message,"mount_personality - settings");return}},async remount_personality(n){if(!n)return{status:!1,error:"no personality - mount_personality"};try{const e={category:n.category,folder:n.folder,language:n.language},t=await Le.post("/remount_personality",e);if(t)return t.data}catch(e){console.log(e.message,"remount_personality - settings");return}},async unmount_personality(n){if(!n)return{status:!1,error:"no personality - unmount_personality"};const e={category:n.category,folder:n.folder,language:n.language};try{const t=await Le.post("/unmount_personality",e);if(t)return t.data}catch(t){console.log(t.message,"unmount_personality - settings");return}},async select_personality(n){if(!n||!n.personality)return{status:!1,error:"no personality - select_personality"};let e=-1;if(console.log("Personality full path : ",n.full_path),console.log("Personality language : ",n.personality.language),n.personality.language!=null&&n.personality.language!=null?(console.log("Mounting a localized version of personality"),console.log("Mounted personalities :",JSON.stringify(this.configFile.personalities)),console.log("Personality to select: ",n.full_path+":"+n.personality.language),e=this.configFile.personalities.findIndex(t=>t===n.full_path+":"+n.personality.language)):(console.log("Mounted personalities :",JSON.stringify(this.configFile.personalities)),e=this.configFile.personalities.findIndex(t=>t===n.full_path)),e>-1){console.log("Selecting personality with id:",JSON.stringify(e));const t={id:e};try{const i=await Le.post("/select_personality",t);if(i)return this.$store.dispatch("refreshConfig").then(()=>{console.log("recovered config",this.configFile.active_personality_id),this.$store.dispatch("refreshPersonalitiesZoo").then(()=>{this.$store.dispatch("refreshMountedPersonalities")})}),i.data}catch(i){console.log(i,"select_personality - settings");return}}else return console.log("Personality id is wrong"),this.$refs.toast.showToast("Personality id is wrong!",4,!1),{status:!1,error:"Personality id is wrong"}},async mountPersonality(n){if(console.log("mount pers",n),!n)return;if(this.configFile.personalities.includes(n.personality.full_path)){this.$refs.toast.showToast("Personality already mounted",4,!1);return}const e=await this.mount_personality(n.personality);console.log("mount_personality res",e),e.status?(this.configFile.personalities=e.personalities,this.$refs.toast.showToast("Personality mounted",4,!0),n.isMounted=!0,this.onMounted(this),(await this.select_personality(n.personality)).status&&this.$refs.toast.showToast(`Selected personality:
`+n.personality.name,4,!0),this.getMountedPersonalities()):(n.isMounted=!1,this.$refs.toast.showToast(`Could not mount personality
Error: `+e.error,4,!1))},async reMountPersonality(n){if(console.log("remount pers",n),!n)return;if(!this.configFile.personalities.includes(n.personality.full_path)){this.$refs.toast.showToast("Personality not mounted",4,!1);return}const e=await this.remount_personality(n.personality);console.log("remount_personality res",e),e.status?(this.configFile.personalities=e.personalities,this.$refs.toast.showToast("Personality remounted",4,!0),n.isMounted=!0,this.onMounted(this),(await this.select_personality(n.personality)).status&&this.$refs.toast.showToast(`Selected personality:
`+n.personality.name,4,!0),this.getMountedPersonalities()):(n.isMounted=!1,this.$refs.toast.showToast(`Could not mount personality
Error: `+e.error,4,!1))},async unmountPersonality(n){if(!n)return;console.log(`Unmounting ${JSON.stringify(n.personality)}`);const e=await this.unmount_personality(n.personality);if(e.status){console.log("unmount response",e),this.configFile.active_personality_id=e.active_personality_id,this.configFile.personalities=e.personalities;const t=this.configFile.personalities[this.configFile.active_personality_id],i=this.personalities.findIndex(a=>a.full_path==t),s=this.$refs.personalitiesZoo.findIndex(a=>a.full_path==n.full_path),r=this.personalities[i];r.isMounted=!1,r.selected=!0,this.$refs.personalitiesZoo[s].isMounted=!1,this.getMountedPersonalities(),(await this.select_personality(r)).status&&qe.replace(),this.$refs.toast.showToast("Personality unmounted",4,!0),this.onUnMounted(this)}else this.$refs.toast.showToast(`Could not unmount personality
Error: `+e.error,4,!1)},getMountedPersonalities(){this.isLoading=!0;let n=[];console.log(this.configFile.personalities.length);for(let e=0;e<this.configFile.personalities.length;e++){const t=this.configFile.personalities[e],i=this.personalities.findIndex(r=>r.full_path==t),s=this.personalities[i];if(s)console.log("adding from config"),n.push(s);else{console.log("adding default");const r=this.personalities.findIndex(a=>a.full_path=="english/generic/lollms"),o=this.personalities[r];n.push(o)}}if(this.mountedPersArr=[],this.mountedPersArr=n,console.log("discussionPersonalities",this.discussionPersonalities),this.discussionPersonalities!=null&&this.discussionPersonalities.length>0)for(let e=0;e<this.discussionPersonalities.length;e++){const t=this.discussionPersonalities[e];console.log("discussionPersonalities - per",t);const i=this.mountedPersArr.findIndex(s=>s.full_path==t);if(console.log("discussionPersonalities -includes",i),console.log("discussionPersonalities -mounted list",this.mountedPersArr),i==-1){const s=this.personalities.findIndex(o=>o.full_path==t),r=this.personalities[s];console.log("adding discucc121",r,t),r&&(this.mountedPersArr.push(r),console.log("adding discucc",r))}}this.isLoading=!1,console.log("getMountedPersonalities",this.mountedPersArr),console.log("fig",this.configFile)}}},wE=n=>(wr("data-v-c50eee06"),n=n(),Nr(),n),_Et={class:"text-left overflow-visible text-base font-semibold cursor-pointer select-none items-center flex flex-col flex-grow w-full overflow-x-auto scrollbar-thin scrollbar-track-bg-light scrollbar-thumb-bg-light-tone hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark dark:scrollbar-thumb-bg-dark-tone dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary"},hEt={key:0,role:"status",class:"flex justify-center overflow-y-hidden"},fEt=wE(()=>u("svg",{"aria-hidden":"true",class:"w-6 h-6 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})],-1)),mEt=wE(()=>u("span",{class:"sr-only"},"Loading...",-1)),gEt=[fEt,mEt],bEt=wE(()=>u("i",{"data-feather":"chevron-down"},null,-1)),EEt=[bEt],vEt={class:"block my-2 text-sm font-medium text-gray-900 dark:text-white"},yEt={class:"overflow-y-auto no-scrollbar pb-0 grid lg:grid-cols-3 md:grid-cols-2 gap-4 max-h-96"};function SEt(n,e,t,i,s,r){const o=mt("personality-entry"),a=mt("Toast"),l=mt("UniversalForm");return N(),M("div",_Et,[s.isLoading?(N(),M("div",hEt,gEt)):q("",!0),u("div",null,[r.mountedPersArr.length>0?(N(),M("div",{key:0,class:Ye(s.isLoading?"pointer-events-none opacity-30 cursor-default":"")},[u("button",{class:"mt-0 w-full text-2xl hover:text-secondary duration-75 flex justify-center hover:bg-bg-light-tone hover:dark:bg-bg-dark-tone rounded-lg",title:"Close personality list",type:"button",onClick:e[0]||(e[0]=xe((...d)=>r.toggleShowPersList&&r.toggleShowPersList(...d),["stop"]))},EEt),u("label",vEt," Mounted Personalities: ("+ge(r.mountedPersArr.length)+") ",1),u("div",yEt,[Ie(Ls,{name:"bounce"},{default:tt(()=>[(N(!0),M($e,null,dt(this.$store.state.mountedPersArr,(d,c)=>(N(),Ot(o,{ref_for:!0,ref:"personalitiesZoo",key:"index-"+c+"-"+d.name,personality:d,full_path:d.full_path,select_language:!1,selected:r.configFile.personalities[r.configFile.active_personality_id]===d.full_path||r.configFile.personalities[r.configFile.active_personality_id]===d.full_path+":"+d.language,"on-selected":r.onPersonalitySelected,"on-mount":r.onPersonalityMounted,"on-edit":r.editPersonality,"on-un-mount":r.onPersonalityUnMounted,"on-remount":r.onPersonalityRemount,"on-settings":r.onSettingsPersonality,"on-reinstall":r.onPersonalityReinstall,"on-talk":r.handleOnTalk},null,8,["personality","full_path","selected","on-selected","on-mount","on-edit","on-un-mount","on-remount","on-settings","on-reinstall","on-talk"]))),128))]),_:1})])],2)):q("",!0)]),Ie(a,{ref:"toast"},null,512),Ie(l,{ref:"universalForm",class:"z-20"},null,512)])}const TEt=bt(pEt,[["render",SEt],["__scopeId","data-v-c50eee06"]]);const xEt={components:{InteractiveMenu:lp},props:{commandsList:{type:Array,required:!0},sendCommand:Function,onShowToastMessage:Function},data(){return{loading:!1,selectedFile:null,showMenu:!1,showHelpText:!1,helpText:"",commands:[]}},async mounted(){nextTick(()=>{qe.replace()})},methods:{isHTML(n){const t=new DOMParser().parseFromString(n,"text/html");return Array.from(t.body.childNodes).some(i=>i.nodeType===Node.ELEMENT_NODE)},selectFile(n,e){const t=document.createElement("input");t.type="file",t.accept=n,t.onchange=i=>{this.selectedFile=i.target.files[0],console.log("File selected"),e()},t.click()},uploadFile(){new FormData().append("file",this.selectedFile),console.log("Uploading file"),this.loading=!0;const e=new FileReader;e.onload=()=>{const t={filename:this.selectedFile.name,fileData:e.result};je.on("file_received",i=>{i.status?this.onShowToastMessage("File uploaded successfully",4,!0):this.onShowToastMessage(`Couldn't upload file
`+i.error,4,!1),this.loading=!1,je.off("file_received")}),je.emit("send_file",t)},e.readAsDataURL(this.selectedFile)},async constructor(){nextTick(()=>{qe.replace()})},toggleMenu(){this.showMenu=!this.showMenu},execute_cmd(n){this.showMenu=!this.showMenu,n.hasOwnProperty("is_file")?(console.log("Need to send a file."),this.selectFile(n.hasOwnProperty("file_types")?n.file_types:"*",()=>{this.selectedFile!=null&&this.uploadFile()})):this.sendCommand(n.value)},handleClickOutside(n){const e=this.$el.querySelector(".commands-menu-items-wrapper");e&&!e.contains(n.target)&&(this.showMenu=!1)}},mounted(){this.commands=this.commandsList,document.addEventListener("click",this.handleClickOutside)},beforeUnmount(){document.removeEventListener("click",this.handleClickOutside)}},CEt=n=>(wr("data-v-52cfa09c"),n=n(),Nr(),n),REt={key:0,title:"Loading..",class:"flex flex-row flex-grow justify-end"},AEt=CEt(()=>u("div",{role:"status"},[u("svg",{"aria-hidden":"true",class:"w-6 h-6 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})]),u("span",{class:"sr-only"},"Loading...")],-1)),wEt=[AEt];function NEt(n,e,t,i,s,r){const o=mt("InteractiveMenu");return s.loading?(N(),M("div",REt,wEt)):(N(),Ot(o,{key:1,commands:t.commandsList,execute_cmd:r.execute_cmd},null,8,["commands","execute_cmd"]))}const OEt=bt(xEt,[["render",NEt],["__scopeId","data-v-52cfa09c"]]),IEt="/assets/loader_v0-16906488.svg";console.log("modelImgPlaceholder:",Li);const MEt="/",DEt={name:"ChatBox",emits:["messageSentEvent","sendCMDEvent","stopGenerating","loaded","createEmptyUserMessage","createEmptyAIMessage","personalitySelected","addWebLink"],props:{onTalk:Function,discussionList:Array,loading:{default:!1},onShowToastMessage:Function},components:{UniversalForm:Ec,MountedPersonalities:dEt,MountedPersonalitiesList:TEt,PersonalitiesCommands:OEt},setup(){},data(){return{loader_v0:IEt,sendGlobe:EO,modelImgPlaceholder:Li,bUrl:MEt,message:"",selecting_model:!1,selectedModel:"",isLesteningToVoice:!1,filesList:[],isFileSentList:[],totalSize:0,showfilesList:!0,showPersonalities:!1,personalities_ready:!1,models_menu_icon:""}},computed:{currentModel(){if(this.$store.state.currentModel!=null)return console.log("Model found"),this.$store.state.currentModel;{console.log("No model found");let n={};return n.name="unknown",n}},installedModels(){return this.$store.state.installedModels},model_name(){return this.$store.state.config.model_name},config(){return this.$store.state.config},mountedPers(){return this.$store.state.mountedPers},allDiscussionPersonalities(){if(this.discussionList.length>0){let n=[];for(let e=0;e<this.discussionList.length;e++)!n.includes(this.discussionList[e].personality)&&!this.discussionList[e].personality==""&&n.push(this.discussionList[e].personality);return console.log("conputer pers",n),console.log("dis conputer pers",this.discussionList),n}return null}},methods:{toggleSwitch(){this.$store.state.config.activate_internet_search=!this.$store.state.config.activate_internet_search,this.isLoading=!0,Le.post("/apply_settings",{config:this.$store.state.config}).then(n=>{this.isLoading=!1,n.data.status?(this.$store.state.config.activate_internet_search?this.$store.state.toast.showToast("Websearch activated.",4,!0):this.$store.state.toast.showToast("Websearch deactivated.",4,!0),this.settingsChanged=!1):this.$store.state.toast.showToast("Configuration change failed.",4,!1),Ve(()=>{qe.replace()})})},showModelConfig(){try{this.isLoading=!0,Le.get("/get_active_binding_settings").then(n=>{this.isLoading=!1,n&&(console.log("binding sett",n),n.data&&Object.keys(n.data).length>0?this.$refs.universalForm.showForm(n.data,"Binding settings ","Save changes","Cancel").then(e=>{try{Le.post("/set_active_binding_settings",e).then(t=>{t&&t.data?(console.log("binding set with new settings",t.data),this.$store.state.toast.showToast("Binding settings updated successfully!",4,!0)):(this.$store.state.toast.showToast(`Did not get binding settings responses.
`+t,4,!1),this.isLoading=!1)})}catch(t){this.$store.state.toast.showToast(`Did not get binding settings responses.
Endpoint error: `+t.message,4,!1),this.isLoading=!1}}):(this.$store.state.toast.showToast("Binding has no settings",4,!1),this.isLoading=!1))})}catch(n){this.isLoading=!1,this.$store.state.toast.showToast("Could not open binding settings. Endpoint error: "+n.message,4,!1)}},async unmountPersonality(n){if(this.loading=!0,!n)return;const e=await this.unmount_personality(n.personality||n);if(e.status){this.$store.state.config.personalities=e.personalities,this.$store.state.toast.showToast("Personality unmounted",4,!0),this.$store.dispatch("refreshMountedPersonalities");const t=this.$store.state.mountedPersArr[this.$store.state.mountedPersArr.length-1];console.log(t,this.$store.state.mountedPersArr.length),(await this.select_personality(n.personality)).status&&this.$store.state.toast.showToast(`Selected personality:
`+t.name,4,!0)}else this.$store.state.toast.showToast(`Could not unmount personality
Error: `+e.error,4,!1);this.loading=!1},async unmount_personality(n){if(!n)return{status:!1,error:"no personality - unmount_personality"};const e={language:n.language,category:n.category,folder:n.folder};try{const t=await Le.post("/unmount_personality",e);if(t)return t.data}catch(t){console.log(t.message,"unmount_personality - settings");return}},async onPersonalitySelected(n){if(console.log("on pers",n),console.log("selecting ",n),n){if(n.selected){this.$store.state.toast.showToast("Personality already selected",4,!0);return}const e=n.language===null?n.full_path:n.full_path+":"+n.language;if(console.log("pers_path",e),console.log("this.$store.state.config.personalities",this.$store.state.config.personalities),this.$store.state.config.personalities.includes(e)){const t=await this.select_personality(n);console.log("pers is mounted",t),t&&t.status&&t.active_personality_id>-1?this.$store.state.toast.showToast(`Selected personality:
`+n.name,4,!0):this.$store.state.toast.showToast(`Error on select personality:
`+n.name,4,!1)}else console.log("mounting pers");this.$emit("personalitySelected"),Ve(()=>{qe.replace()})}},async select_personality(n){if(!n)return{status:!1,error:"no personality - select_personality"};const e=n.language===null?n.full_path:n.full_path+":"+n.language;console.log("Selecting personality ",e);const i={id:this.$store.state.config.personalities.findIndex(s=>s===e)};try{const s=await Le.post("/select_personality",i);if(s)return this.$store.dispatch("refreshConfig").then(()=>{this.$store.dispatch("refreshPersonalitiesZoo").then(()=>{this.$store.dispatch("refreshMountedPersonalities")})}),s.data}catch(s){console.log(s.message,"select_personality - settings");return}},emitloaded(){this.$emit("loaded")},showModels(n){n.preventDefault();const e=this.$refs.modelsSelectionList;console.log(e);const t=new MouseEvent("click");e.dispatchEvent(t)},setModel(n){console.log("Setting model to "+n.name),this.selecting_model=!0,this.selectedModel=n,Le.post("/update_setting",{setting_name:"model_name",setting_value:n.name}).then(async e=>{console.log("UPDATED"),console.log(e),await this.$store.dispatch("refreshConfig"),await this.$store.dispatch("refreshModels"),this.$store.state.toast.showToast(`Model changed to ${this.currentModel.name}`,4,!0),this.selecting_model=!1}).catch(e=>{this.$store.state.toast.showToast(`Error ${e}`,4,!0),this.selecting_model=!1})},download_files(){Le.get("/download_files")},remove_file(n){Le.get("/remove_file",{name:n}).then(e=>{console.log(e)})},clear_files(){Le.get("/clear_personality_files_list").then(n=>{console.log(n),n.data.state?(this.$store.state.toast.showToast("File removed successfully",4,!0),this.filesList.length=0,this.isFileSentList.length=0,this.totalSize=0):this.$store.state.toast.showToast("Files couldn't be removed",4,!1)})},send_file(n,e){console.log("Send file triggered");const t=new FileReader,i=24*1024;let s=0,r=0;t.onloadend=()=>{if(t.error){console.error("Error reading file:",t.error);return}const a=t.result,l=s+a.byteLength>=n.size;je.emit("send_file_chunk",{filename:n.name,chunk:a,offset:s,isLastChunk:l,chunkIndex:r}),s+=a.byteLength,r++,l?(console.log("File sent successfully"),this.isFileSentList[this.filesList.length-1]=!0,console.log(this.isFileSentList),this.$store.state.toast.showToast("File uploaded successfully",4,!0),e()):o()};function o(){const a=n.slice(s,s+i);t.readAsArrayBuffer(a)}console.log("Uploading file"),o()},makeAnEmptyUserMessage(){this.$emit("createEmptyUserMessage",this.message),this.message=""},makeAnEmptyAIMessage(){this.$emit("createEmptyAIMessage")},startSpeechRecognition(){"SpeechRecognition"in window||"webkitSpeechRecognition"in window?(this.recognition=new(window.SpeechRecognition||window.webkitSpeechRecognition),this.recognition.lang=this.$store.state.config.audio_in_language,this.recognition.interimResults=!0,this.recognition.onstart=()=>{this.isLesteningToVoice=!0,this.silenceTimer=setTimeout(()=>{this.recognition.stop()},this.silenceTimeout)},this.recognition.onresult=n=>{let e="";for(let t=n.resultIndex;t<n.results.length;t++)e+=n.results[t][0].transcript;this.message=e,clearTimeout(this.silenceTimer),this.silenceTimer=setTimeout(()=>{this.recognition.stop()},this.silenceTimeout)},this.recognition.onerror=n=>{console.error("Speech recognition error:",n.error),this.isLesteningToVoice=!1,clearTimeout(this.silenceTimer)},this.recognition.onend=()=>{console.log("Speech recognition ended."),this.isLesteningToVoice=!1,clearTimeout(this.silenceTimer),this.submit()},this.recognition.start()):console.error("Speech recognition is not supported in this browser.")},onPersonalitiesReadyFun(){this.personalities_ready=!0},onShowPersListFun(n){this.showPersonalities=!this.showPersonalities},handleOnTalk(n){this.showPersonalities=!1,this.onTalk(n)},onMountFun(n){console.log("Mounting personality"),this.$refs.mountedPers.constructor()},onUnmountFun(n){console.log("Unmounting personality"),this.$refs.mountedPers.constructor()},onRemount(n){console.log("Remounting chat"),this.$refs.mountedPers.constructor()},computedFileSize(n){return Ve(()=>{qe.replace()}),ss(n)},removeItem(n){console.log(n),Le.post("/remove_file",{file:n}).then(()=>{this.filesList=this.filesList.filter(e=>e!=n)}),console.log(this.filesList)},sendMessageEvent(n,e="no_internet"){this.$emit("messageSentEvent",n,e)},sendCMDEvent(n){this.$emit("sendCMDEvent",n)},addWebLink(){console.log("Emitting addWebLink"),this.$emit("addWebLink")},add_file(){const n=document.createElement("input");n.type="file",n.style.display="none",n.multiple=!0,document.body.appendChild(n),n.addEventListener("change",()=>{console.log("Calling Add file..."),this.addFiles(n.files),document.body.removeChild(n)}),n.click()},takePicture(){je.emit("take_picture"),je.on("picture_taken",()=>{Le.get("/get_current_personality_files_list").then(n=>{this.filesList=n.data.files,this.isFileSentList=n.data.files.map(e=>!0),console.log(`Files recovered: ${this.filesList}`)})})},submitOnEnter(n){this.loading||n.which===13&&(n.preventDefault(),n.repeat||(this.sendMessageEvent(this.message),this.message=""))},submit(){this.message&&(this.sendMessageEvent(this.message),this.message="")},submitWithInternetSearch(){this.message&&(this.sendMessageEvent(this.message,"internet"),this.message="")},stopGenerating(){this.$emit("stopGenerating")},addFiles(n){console.log("Adding files");const e=[...n];let t=0;const i=()=>{if(t>=e.length){console.log(`Files_list: ${this.filesList}`);return}const s=e[t];this.filesList.push(s),this.isFileSentList.push(!1),this.send_file(s,()=>{t++,i()})};i()}},watch:{installedModels:{immediate:!0,handler(n){this.$nextTick(()=>{this.installedModels=n})}},model_name:{immediate:!0,handler(n){this.$nextTick(()=>{this.model_name=n})}},showfilesList(){Ve(()=>{qe.replace()})},loading(n,e){Ve(()=>{qe.replace()})},filesList:{handler(n,e){let t=0;if(n.length>0)for(let i=0;i<n.length;i++)t=t+parseInt(n[i].size);this.totalSize=ss(t,!0),console.log("filesList changed")},deep:!0},discussionList(n){console.log("discussion arr",n)}},mounted(){this.emitloaded(),Ve(()=>{qe.replace()})},activated(){Ve(()=>{qe.replace()})}},zt=n=>(wr("data-v-3d2d8162"),n=n(),Nr(),n),kEt={class:"absolute bottom-0 left-0 w-fit min-w-96 w-full justify-center text-center p-4"},LEt={key:0,class:"items-center gap-2 rounded-lg border bg-bg-light-tone dark:bg-bg-dark-tone p-1.5 shadow-sm hover:shadow-none dark:border-gray-800 w-fit"},PEt={class:"flex"},UEt=["title"],FEt=zt(()=>u("i",{"data-feather":"list"},null,-1)),BEt=[FEt],GEt={key:0},zEt={class:"flex flex-col max-h-64"},VEt=["title"],HEt={class:"flex flex-row items-center gap-1 text-left p-2 text-sm font-medium items-center gap-2 rounded-lg border bg-gray-100 p-1.5 shadow-sm hover:shadow-none dark:border-gray-800 dark:bg-gray-700 hover:bg-primary dark:hover:bg-primary"},qEt={key:0,filesList:"",role:"status"},YEt=zt(()=>u("svg",{"aria-hidden":"true",class:"w-6 h-6 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})],-1)),$Et=zt(()=>u("span",{class:"sr-only"},"Loading...",-1)),WEt=[YEt,$Et],KEt=zt(()=>u("div",null,[u("i",{"data-feather":"file",class:"w-5 h-5"})],-1)),jEt=zt(()=>u("div",{class:"grow"},null,-1)),QEt={class:"flex flex-row items-center"},XEt={class:"whitespace-nowrap"},ZEt=["onClick"],JEt=zt(()=>u("i",{"data-feather":"x",class:"w-5 h-5"},null,-1)),evt=[JEt],tvt={key:1,class:"flex mx-1 w-500"},nvt={class:"whitespace-nowrap flex flex-row gap-2"},ivt=zt(()=>u("p",{class:"font-bold"}," Total size: ",-1)),svt=zt(()=>u("div",{class:"grow"},null,-1)),rvt=zt(()=>u("i",{"data-feather":"trash",class:"w-5 h-5"},null,-1)),ovt=[rvt],avt=zt(()=>u("i",{"data-feather":"download-cloud",class:"w-5 h-5"},null,-1)),lvt=[avt],cvt={key:2,class:"mx-1"},dvt={key:1,title:"Selecting model",class:"flex flex-row flex-grow justify-end bg-primary"},uvt={role:"status"},pvt=["src"],_vt=zt(()=>u("span",{class:"sr-only"},"Selecting model...",-1)),hvt={class:"flex w-fit pb-3 relative grow w-full"},fvt={class:"relative grow flex h-12.5 cursor-pointer select-none items-center gap-2 rounded-lg border bg-bg-light-tone dark:bg-bg-dark-tone p-1 shadow-sm hover:shadow-none dark:border-gray-800",tabindex:"0"},mvt={key:0,title:"Waiting for reply"},gvt=["src"],bvt=zt(()=>u("div",{role:"status"},[u("span",{class:"sr-only"},"Loading...")],-1)),Evt={key:1,class:"w-fit group relative"},vvt={class:"group w-full inline-flex absolute opacity-0 group-hover:opacity-100 transform group-hover:-translate-y-10 group-hover:translate-x-15 transition-all duration-300"},yvt={key:0,class:"group items-center flex flex-row"},Svt=["onClick"],Tvt=["src","title"],xvt={class:"group items-center flex flex-row"},Cvt=["src","title"],Rvt={class:"w-fit group relative"},Avt={class:"group w-full inline-flex absolute opacity-0 group-hover:opacity-100 transform group-hover:-translate-y-10 group-hover:translate-x-15 transition-all duration-300"},wvt={key:0,class:"group items-center flex flex-row"},Nvt=["onClick"],Ovt=["src","title"],Ivt=["onClick"],Mvt=zt(()=>u("span",{class:"hidden hover:block top-3 left-9 absolute active:scale-90 bg-bg-light dark:bg-bg-dark rounded-full border-2 border-transparent",title:"Unmount personality"},[u("svg",{"aria-hidden":"true",class:"w-4 h-4 text-red-600 hover:text-red-500",fill:"currentColor",viewBox:"0 0 20 20",xmlns:"http://www.w3.org/2000/svg"},[u("path",{"fill-rule":"evenodd",d:"M4.293 4.293a1 1 0 011.414 0L10 8.586l4.293-4.293a1 1 0 111.414 1.414L11.414 10l4.293 4.293a1 1 0 01-1.414 1.414L10 11.414l-4.293 4.293a1 1 0 01-1.414-1.414L8.586 10 4.293 5.707a1 1 0 010-1.414z","clip-rule":"evenodd"})])],-1)),Dvt=[Mvt],kvt={class:"w-fit"},Lvt={class:"relative grow"},Pvt={class:"group relative w-max"},Uvt=zt(()=>u("i",{"data-feather":"send"},null,-1)),Fvt=[Uvt],Bvt=zt(()=>u("div",{class:"pointer-events-none absolute -top-20 left-1/2 w-max -translate-x-1/2 rounded-md bg-gray-100 p-2 opacity-0 transition-opacity group-hover:opacity-100 dark:bg-gray-800"},[u("p",{class:"max-w-sm text-sm text-gray-800 dark:text-gray-200"},"Sends your message to the AI.")],-1)),Gvt={class:"group relative w-max"},zvt=["src"],Vvt=zt(()=>u("div",{class:"pointer-events-none absolute -top-20 left-1/2 w-max -translate-x-1/2 rounded-md bg-gray-100 p-2 opacity-0 transition-opacity group-hover:opacity-100 dark:bg-gray-800"},[u("p",{class:"max-w-sm text-sm text-gray-800 dark:text-gray-200"},"Sends your message to the AI with internet search.")],-1)),Hvt={class:"group relative w-max"},qvt=zt(()=>u("i",{"data-feather":"mic"},null,-1)),Yvt=[qvt],$vt=zt(()=>u("div",{class:"pointer-events-none absolute -top-20 left-1/2 w-max -translate-x-1/2 rounded-md bg-gray-100 p-2 opacity-0 transition-opacity group-hover:opacity-100 dark:bg-gray-800"},[u("p",{class:"max-w-sm text-sm text-gray-800 dark:text-gray-200"},"Press and talk.")],-1)),Wvt={key:3,class:"group relative w-max"},Kvt=zt(()=>u("i",{"data-feather":"file-plus"},null,-1)),jvt=[Kvt],Qvt=zt(()=>u("div",{class:"pointer-events-none absolute -top-20 left-1/2 w-max -translate-x-1/2 rounded-md bg-gray-100 p-2 opacity-0 transition-opacity group-hover:opacity-100 dark:bg-gray-800"},[u("p",{class:"max-w-sm text-sm text-gray-800 dark:text-gray-200"},"Send File to the AI.")],-1)),Xvt={class:"group relative w-max"},Zvt=zt(()=>u("i",{"data-feather":"camera"},null,-1)),Jvt=[Zvt],eyt=zt(()=>u("div",{class:"pointer-events-none absolute -top-20 left-1/2 w-max -translate-x-1/2 rounded-md bg-gray-100 p-2 opacity-0 transition-opacity group-hover:opacity-100 dark:bg-gray-800"},[u("p",{class:"max-w-sm text-sm text-gray-800 dark:text-gray-200"},"Take a shot from webcam.")],-1)),tyt={class:"group relative w-max"},nyt=zt(()=>u("i",{"data-feather":"globe"},null,-1)),iyt=[nyt],syt=zt(()=>u("div",{class:"pointer-events-none absolute -top-20 left-1/2 w-max -translate-x-1/2 rounded-md bg-gray-100 p-2 opacity-0 transition-opacity group-hover:opacity-100 dark:bg-gray-800"},[u("p",{class:"max-w-sm text-sm text-gray-800 dark:text-gray-200"},"Add a weblink to the discussion.")],-1)),ryt={class:"group relative w-max"},oyt=zt(()=>u("i",{"data-feather":"message-square"},null,-1)),ayt=[oyt],lyt=zt(()=>u("div",{class:"pointer-events-none absolute -top-20 left-1/2 w-max -translate-x-1/2 rounded-md bg-gray-100 p-2 opacity-0 transition-opacity group-hover:opacity-100 dark:bg-gray-800"},[u("p",{class:"max-w-sm text-sm text-gray-800 dark:text-gray-200"},"New empty User message.")],-1)),cyt={class:"group relative w-max"},dyt=zt(()=>u("i",{"data-feather":"message-square"},null,-1)),uyt=[dyt],pyt=zt(()=>u("div",{class:"pointer-events-none absolute -top-20 left-1/2 w-max -translate-x-1/2 rounded-md bg-gray-100 p-2 opacity-0 transition-opacity group-hover:opacity-100 dark:bg-gray-800"},[u("p",{class:"max-w-sm text-sm text-gray-800 dark:text-gray-200"},"New empty ai message.")],-1)),_yt=zt(()=>u("div",{class:"ml-auto gap-2"},null,-1));function hyt(n,e,t,i,s,r){const o=mt("MountedPersonalitiesList"),a=mt("MountedPersonalities"),l=mt("PersonalitiesCommands"),d=mt("UniversalForm");return N(),M($e,null,[u("form",null,[u("div",kEt,[s.filesList.length>0||s.showPersonalities?(N(),M("div",LEt,[u("div",PEt,[u("button",{class:"mx-1 w-full text-2xl hover:text-secondary duration-75 flex justify-center hover:bg-bg-light-tone hover:dark:bg-bg-dark-tone rounded-lg",title:s.showfilesList?"Hide file list":"Show file list",type:"button",onClick:e[0]||(e[0]=xe(c=>s.showfilesList=!s.showfilesList,["stop"]))},BEt,8,UEt)]),s.filesList.length>0&&s.showfilesList==!0?(N(),M("div",GEt,[u("div",zEt,[Ie(Ls,{name:"list",tag:"div",class:"flex flex-col flex-grow overflow-y-auto scrollbar-thin scrollbar-track-bg-light scrollbar-thumb-bg-light-tone hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark dark:scrollbar-thumb-bg-dark-tone dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary"},{default:tt(()=>[(N(!0),M($e,null,dt(s.filesList,(c,_)=>(N(),M("div",{key:_+"-"+c.name},[u("div",{class:"m-1",title:c.name},[u("div",HEt,[s.isFileSentList[_]?q("",!0):(N(),M("div",qEt,WEt)),KEt,u("div",{class:Ye(["line-clamp-1 w-3/5",s.isFileSentList[_]?"text-green-500":"text-red-200"])},ge(c.name),3),jEt,u("div",QEt,[u("p",XEt,ge(r.computedFileSize(c.size)),1),u("button",{type:"button",title:"Remove item",class:"flex items-center p-0.5 text-sm rounded-sm hover:text-red-600 active:scale-75",onClick:f=>r.removeItem(c)},evt,8,ZEt)])])],8,VEt)]))),128))]),_:1})])])):q("",!0),s.filesList.length>0?(N(),M("div",tvt,[u("div",nvt,[ivt,Ze(" "+ge(s.totalSize)+" ("+ge(s.filesList.length)+") ",1)]),svt,u("button",{type:"button",title:"Clear all",class:"flex items-center p-0.5 text-sm rounded-sm hover:text-red-600 active:scale-75",onClick:e[1]||(e[1]=(...c)=>r.clear_files&&r.clear_files(...c))},ovt),u("button",{type:"button",title:"Download database",class:"flex items-center p-0.5 text-sm rounded-sm hover:text-red-600 active:scale-75",onClick:e[2]||(e[2]=(...c)=>r.download_files&&r.download_files(...c))},lvt)])):q("",!0),s.showPersonalities?(N(),M("div",cvt,[Ie(o,{ref:"mountedPersList",onShowPersList:r.onShowPersListFun,"on-mounted":r.onMountFun,"on-un-mounted":r.onUnmountFun,"on-remounted":n.onRemountFun,"on-talk":r.handleOnTalk,discussionPersonalities:r.allDiscussionPersonalities},null,8,["onShowPersList","on-mounted","on-un-mounted","on-remounted","on-talk","discussionPersonalities"])])):q("",!0)])):q("",!0),s.selecting_model?(N(),M("div",dvt,[u("div",uvt,[u("img",{src:s.loader_v0,class:"w-50 h-50"},null,8,pvt),_vt])])):q("",!0),u("div",hvt,[u("div",fvt,[t.loading?(N(),M("div",mvt,[u("img",{src:s.loader_v0},null,8,gvt),bvt])):q("",!0),t.loading?q("",!0):(N(),M("div",Evt,[u("div",vvt,[(N(!0),M($e,null,dt(r.installedModels,(c,_)=>(N(),M("div",{class:"w-full",key:_+"-"+c.name,ref_for:!0,ref:"installedModels"},[c.name!=r.model_name?(N(),M("div",yvt,[u("button",{onClick:xe(f=>r.setModel(c),["prevent"]),class:"w-8 h-8"},[u("img",{src:c.icon?c.icon:s.modelImgPlaceholder,class:"w-8 h-8 rounded-full object-fill text-red-700 border-2 active:scale-90 hover:border-secondary",title:c.name},null,8,Tvt)],8,Svt)])):q("",!0)]))),128))]),u("div",xvt,[u("button",{onClick:e[3]||(e[3]=xe(c=>r.showModelConfig(),["prevent"])),class:"w-8 h-8"},[u("img",{src:r.currentModel.icon?r.currentModel.icon:s.modelImgPlaceholder,class:"w-8 h-8 rounded-full object-fill text-red-700 border-2 active:scale-90 hover:border-secondary",title:r.currentModel?r.currentModel.name:"unknown"},null,8,Cvt)])])])),u("div",Rvt,[u("div",Avt,[(N(!0),M($e,null,dt(this.$store.state.mountedPersArr,(c,_)=>(N(),M("div",{class:"w-full",key:_+"-"+c.name,ref_for:!0,ref:"mountedPersonalities"},[_!=this.$store.state.config.active_personality_id?(N(),M("div",wvt,[u("button",{onClick:xe(f=>r.onPersonalitySelected(c),["prevent"]),class:"w-8 h-8"},[u("img",{src:s.bUrl+c.avatar,onError:e[4]||(e[4]=(...f)=>n.personalityImgPlacehodler&&n.personalityImgPlacehodler(...f)),class:Ye(["w-8 h-8 rounded-full object-fill text-red-700 border-2 active:scale-90 hover:border-secondary",this.$store.state.active_personality_id==this.$store.state.personalities.indexOf(c.full_path)?"border-secondary":"border-transparent z-0"]),title:c.name},null,42,Ovt)],8,Nvt),u("button",{onClick:xe(f=>r.unmountPersonality(c),["prevent"])},Dvt,8,Ivt)])):q("",!0)]))),128))]),Ie(a,{ref:"mountedPers",onShowPersList:r.onShowPersListFun,onReady:r.onPersonalitiesReadyFun},null,8,["onShowPersList","onReady"])]),u("div",kvt,[s.personalities_ready&&this.$store.state.mountedPersArr[this.$store.state.config.active_personality_id].commands!=""?(N(),Ot(l,{key:0,commandsList:this.$store.state.mountedPersArr[this.$store.state.config.active_personality_id].commands,sendCommand:r.sendCMDEvent,"on-show-toast-message":t.onShowToastMessage,ref:"personalityCMD"},null,8,["commandsList","sendCommand","on-show-toast-message"])):q("",!0)]),u("div",Lvt,[le(u("textarea",{id:"chat",rows:"1","onUpdate:modelValue":e[5]||(e[5]=c=>s.message=c),title:"Hold SHIFT + ENTER to add new line",class:"inline-block no-scrollbar p-2.5 w-full text-sm text-gray-900 bg-bg-light rounded-lg border border-gray-300 focus:ring-blue-500 focus:border-blue-500 dark:bg-bg-dark dark:border-gray-600 dark:placeholder-gray-400 dark:text-white dark:focus:ring-blue-500 dark:focus:border-blue-500",placeholder:"Send message...",onKeydown:e[6]||(e[6]=Ar(xe(c=>r.submitOnEnter(c),["exact"]),["enter"]))},`\r
`,544),[[Pe,s.message]])]),t.loading?(N(),M("button",{key:2,type:"button",class:"bg-red-500 dark:bg-red-800 hover:bg-red-600 focus:ring-4 focus:ring-blue-300 font-medium rounded-lg text-sm px-5 py-2.5 mr-2 mb-2 dark:hover:bg-bg-dark-tone focus:outline-none dark:focus:ring-blue-800",onClick:e[7]||(e[7]=xe((...c)=>r.stopGenerating&&r.stopGenerating(...c),["stop"]))}," Stop generating ")):q("",!0),u("div",Pvt,[t.loading?q("",!0):(N(),M("button",{key:0,type:"button",onClick:e[8]||(e[8]=(...c)=>r.submit&&r.submit(...c)),title:"Send",class:"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer transform transition-transform hover:translate-y-[-5px] active:scale-90"},Fvt)),Bvt]),u("div",Gvt,[t.loading?q("",!0):(N(),M("button",{key:0,type:"button",onClick:e[9]||(e[9]=(...c)=>r.submitWithInternetSearch&&r.submitWithInternetSearch(...c)),title:"Send With internet",class:"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer transform transition-transform hover:translate-y-[-5px] active:scale-90"},[u("img",{src:s.sendGlobe,width:"50",height:"50"},null,8,zvt)])),Vvt]),u("div",Hvt,[t.loading?q("",!0):(N(),M("button",{key:0,type:"button",onClick:e[10]||(e[10]=(...c)=>r.startSpeechRecognition&&r.startSpeechRecognition(...c)),class:Ye([{"text-red-500":s.isLesteningToVoice},"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer transform transition-transform hover:translate-y-[-5px] active:scale-90"])},Yvt,2)),$vt]),t.loading?q("",!0):(N(),M("div",Wvt,[u("input",{type:"file",ref:"fileDialog",style:{display:"none"},onChange:e[11]||(e[11]=(...c)=>r.addFiles&&r.addFiles(...c)),multiple:""},null,544),u("button",{type:"button",onClick:e[12]||(e[12]=xe((...c)=>r.add_file&&r.add_file(...c),["prevent"])),class:"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer transform transition-transform hover:translate-y-[-5px] active:scale-90"},jvt),Qvt])),u("div",Xvt,[t.loading?q("",!0):(N(),M("button",{key:0,type:"button",onClick:e[13]||(e[13]=xe((...c)=>r.takePicture&&r.takePicture(...c),["stop"])),class:"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer transform transition-transform hover:translate-y-[-5px] active:scale-90"},Jvt)),eyt]),u("div",tyt,[t.loading?q("",!0):(N(),M("button",{key:0,type:"button",onClick:e[14]||(e[14]=xe((...c)=>r.addWebLink&&r.addWebLink(...c),["stop"])),class:"w-6 hover:text-secondary duration-75 active:scale-90 cursor-pointer transform transition-transform hover:translate-y-[-5px] active:scale-90"},iyt)),syt]),u("div",ryt,[t.loading?q("",!0):(N(),M("button",{key:0,type:"button",onClick:e[15]||(e[15]=xe((...c)=>r.makeAnEmptyUserMessage&&r.makeAnEmptyUserMessage(...c),["stop"])),class:"w-6 text-blue-400 hover:text-secondary duration-75 active:scale-90"},ayt)),lyt]),u("div",cyt,[t.loading?q("",!0):(N(),M("button",{key:0,type:"button",onClick:e[16]||(e[16]=xe((...c)=>r.makeAnEmptyAIMessage&&r.makeAnEmptyAIMessage(...c),["stop"])),class:"w-6 text-red-400 hover:text-secondary duration-75 active:scale-90"},uyt)),pyt])]),_yt])])]),Ie(d,{ref:"universalForm",class:"z-20"},null,512)],64)}const yO=bt(DEt,[["render",hyt],["__scopeId","data-v-3d2d8162"]]),fyt={name:"WelcomeComponent",setup(){return{}}},myt={class:"flex flex-col text-center"},gyt=zu('<div class="flex flex-col text-center items-center"><div class="flex items-center gap-3 text-5xl drop-shadow-md align-middle pt-24"><img class="w-24 animate-bounce" title="LoLLMS WebUI" src="'+ga+'" alt="Logo"><div class="flex flex-col items-start"><p class="text-2xl">LoLLMS</p><p class="text-gray-400 text-base">One tool to rule them all</p></div></div><hr class="mt-1 w-96 h-1 mx-auto my-2 md:my-2 dark:bg-bg-dark-tone-panel bg-bg-light-tone-panel border-0 rounded"><p class="text-2xl">Welcome</p><p class="text-lg">Please create a new discussion or select existing one to start</p></div>',1),byt=[gyt];function Eyt(n,e,t,i,s,r){return N(),M("div",myt,byt)}const SO=bt(fyt,[["render",Eyt]]);var vyt=function(){function n(e,t){t===void 0&&(t=[]),this._eventType=e,this._eventFunctions=t}return n.prototype.init=function(){var e=this;this._eventFunctions.forEach(function(t){typeof window<"u"&&window.addEventListener(e._eventType,t)})},n}(),ou=globalThis&&globalThis.__assign||function(){return ou=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},ou.apply(this,arguments)},au={alwaysOpen:!1,activeClasses:"bg-gray-100 dark:bg-gray-800 text-gray-900 dark:text-white",inactiveClasses:"text-gray-500 dark:text-gray-400",onOpen:function(){},onClose:function(){},onToggle:function(){}},TO=function(){function n(e,t){e===void 0&&(e=[]),t===void 0&&(t=au),this._items=e,this._options=ou(ou({},au),t),this._init()}return n.prototype._init=function(){var e=this;this._items.length&&this._items.map(function(t){t.active&&e.open(t.id),t.triggerEl.addEventListener("click",function(){e.toggle(t.id)})})},n.prototype.getItem=function(e){return this._items.filter(function(t){return t.id===e})[0]},n.prototype.open=function(e){var t,i,s=this,r=this.getItem(e);this._options.alwaysOpen||this._items.map(function(o){var a,l;o!==r&&((a=o.triggerEl.classList).remove.apply(a,s._options.activeClasses.split(" ")),(l=o.triggerEl.classList).add.apply(l,s._options.inactiveClasses.split(" ")),o.targetEl.classList.add("hidden"),o.triggerEl.setAttribute("aria-expanded","false"),o.active=!1,o.iconEl&&o.iconEl.classList.remove("rotate-180"))}),(t=r.triggerEl.classList).add.apply(t,this._options.activeClasses.split(" ")),(i=r.triggerEl.classList).remove.apply(i,this._options.inactiveClasses.split(" ")),r.triggerEl.setAttribute("aria-expanded","true"),r.targetEl.classList.remove("hidden"),r.active=!0,r.iconEl&&r.iconEl.classList.add("rotate-180"),this._options.onOpen(this,r)},n.prototype.toggle=function(e){var t=this.getItem(e);t.active?this.close(e):this.open(e),this._options.onToggle(this,t)},n.prototype.close=function(e){var t,i,s=this.getItem(e);(t=s.triggerEl.classList).remove.apply(t,this._options.activeClasses.split(" ")),(i=s.triggerEl.classList).add.apply(i,this._options.inactiveClasses.split(" ")),s.targetEl.classList.add("hidden"),s.triggerEl.setAttribute("aria-expanded","false"),s.active=!1,s.iconEl&&s.iconEl.classList.remove("rotate-180"),this._options.onClose(this,s)},n}();function NE(){document.querySelectorAll("[data-accordion]").forEach(function(n){var e=n.getAttribute("data-accordion"),t=n.getAttribute("data-active-classes"),i=n.getAttribute("data-inactive-classes"),s=[];n.querySelectorAll("[data-accordion-target]").forEach(function(r){if(r.closest("[data-accordion]")===n){var o={id:r.getAttribute("data-accordion-target"),triggerEl:r,targetEl:document.querySelector(r.getAttribute("data-accordion-target")),iconEl:r.querySelector("[data-accordion-icon]"),active:r.getAttribute("aria-expanded")==="true"};s.push(o)}}),new TO(s,{alwaysOpen:e==="open",activeClasses:t||au.activeClasses,inactiveClasses:i||au.inactiveClasses})})}typeof window<"u"&&(window.Accordion=TO,window.initAccordions=NE);var lu=globalThis&&globalThis.__assign||function(){return lu=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},lu.apply(this,arguments)},OC={onCollapse:function(){},onExpand:function(){},onToggle:function(){}},xO=function(){function n(e,t,i){e===void 0&&(e=null),t===void 0&&(t=null),i===void 0&&(i=OC),this._targetEl=e,this._triggerEl=t,this._options=lu(lu({},OC),i),this._visible=!1,this._init()}return n.prototype._init=function(){var e=this;this._triggerEl&&(this._triggerEl.hasAttribute("aria-expanded")?this._visible=this._triggerEl.getAttribute("aria-expanded")==="true":this._visible=!this._targetEl.classList.contains("hidden"),this._triggerEl.addEventListener("click",function(){e.toggle()}))},n.prototype.collapse=function(){this._targetEl.classList.add("hidden"),this._triggerEl&&this._triggerEl.setAttribute("aria-expanded","false"),this._visible=!1,this._options.onCollapse(this)},n.prototype.expand=function(){this._targetEl.classList.remove("hidden"),this._triggerEl&&this._triggerEl.setAttribute("aria-expanded","true"),this._visible=!0,this._options.onExpand(this)},n.prototype.toggle=function(){this._visible?this.collapse():this.expand(),this._options.onToggle(this)},n}();function OE(){document.querySelectorAll("[data-collapse-toggle]").forEach(function(n){var e=n.getAttribute("data-collapse-toggle"),t=document.getElementById(e);t?new xO(t,n):console.error('The target element with id "'.concat(e,'" does not exist. Please check the data-collapse-toggle attribute.'))})}typeof window<"u"&&(window.Collapse=xO,window.initCollapses=OE);var Xr=globalThis&&globalThis.__assign||function(){return Xr=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},Xr.apply(this,arguments)},Gd={defaultPosition:0,indicators:{items:[],activeClasses:"bg-white dark:bg-gray-800",inactiveClasses:"bg-white/50 dark:bg-gray-800/50 hover:bg-white dark:hover:bg-gray-800"},interval:3e3,onNext:function(){},onPrev:function(){},onChange:function(){}},CO=function(){function n(e,t){e===void 0&&(e=[]),t===void 0&&(t=Gd),this._items=e,this._options=Xr(Xr(Xr({},Gd),t),{indicators:Xr(Xr({},Gd.indicators),t.indicators)}),this._activeItem=this.getItem(this._options.defaultPosition),this._indicators=this._options.indicators.items,this._intervalDuration=this._options.interval,this._intervalInstance=null,this._init()}return n.prototype._init=function(){var e=this;this._items.map(function(t){t.el.classList.add("absolute","inset-0","transition-transform","transform")}),this._getActiveItem()?this.slideTo(this._getActiveItem().position):this.slideTo(0),this._indicators.map(function(t,i){t.el.addEventListener("click",function(){e.slideTo(i)})})},n.prototype.getItem=function(e){return this._items[e]},n.prototype.slideTo=function(e){var t=this._items[e],i={left:t.position===0?this._items[this._items.length-1]:this._items[t.position-1],middle:t,right:t.position===this._items.length-1?this._items[0]:this._items[t.position+1]};this._rotate(i),this._setActiveItem(t),this._intervalInstance&&(this.pause(),this.cycle()),this._options.onChange(this)},n.prototype.next=function(){var e=this._getActiveItem(),t=null;e.position===this._items.length-1?t=this._items[0]:t=this._items[e.position+1],this.slideTo(t.position),this._options.onNext(this)},n.prototype.prev=function(){var e=this._getActiveItem(),t=null;e.position===0?t=this._items[this._items.length-1]:t=this._items[e.position-1],this.slideTo(t.position),this._options.onPrev(this)},n.prototype._rotate=function(e){this._items.map(function(t){t.el.classList.add("hidden")}),e.left.el.classList.remove("-translate-x-full","translate-x-full","translate-x-0","hidden","z-20"),e.left.el.classList.add("-translate-x-full","z-10"),e.middle.el.classList.remove("-translate-x-full","translate-x-full","translate-x-0","hidden","z-10"),e.middle.el.classList.add("translate-x-0","z-20"),e.right.el.classList.remove("-translate-x-full","translate-x-full","translate-x-0","hidden","z-20"),e.right.el.classList.add("translate-x-full","z-10")},n.prototype.cycle=function(){var e=this;typeof window<"u"&&(this._intervalInstance=window.setInterval(function(){e.next()},this._intervalDuration))},n.prototype.pause=function(){clearInterval(this._intervalInstance)},n.prototype._getActiveItem=function(){return this._activeItem},n.prototype._setActiveItem=function(e){var t,i,s=this;this._activeItem=e;var r=e.position;this._indicators.length&&(this._indicators.map(function(o){var a,l;o.el.setAttribute("aria-current","false"),(a=o.el.classList).remove.apply(a,s._options.indicators.activeClasses.split(" ")),(l=o.el.classList).add.apply(l,s._options.indicators.inactiveClasses.split(" "))}),(t=this._indicators[r].el.classList).add.apply(t,this._options.indicators.activeClasses.split(" ")),(i=this._indicators[r].el.classList).remove.apply(i,this._options.indicators.inactiveClasses.split(" ")),this._indicators[r].el.setAttribute("aria-current","true"))},n}();function IE(){document.querySelectorAll("[data-carousel]").forEach(function(n){var e=n.getAttribute("data-carousel-interval"),t=n.getAttribute("data-carousel")==="slide",i=[],s=0;n.querySelectorAll("[data-carousel-item]").length&&Array.from(n.querySelectorAll("[data-carousel-item]")).map(function(d,c){i.push({position:c,el:d}),d.getAttribute("data-carousel-item")==="active"&&(s=c)});var r=[];n.querySelectorAll("[data-carousel-slide-to]").length&&Array.from(n.querySelectorAll("[data-carousel-slide-to]")).map(function(d){r.push({position:parseInt(d.getAttribute("data-carousel-slide-to")),el:d})});var o=new CO(i,{defaultPosition:s,indicators:{items:r},interval:e||Gd.interval});t&&o.cycle();var a=n.querySelector("[data-carousel-next]"),l=n.querySelector("[data-carousel-prev]");a&&a.addEventListener("click",function(){o.next()}),l&&l.addEventListener("click",function(){o.prev()})})}typeof window<"u"&&(window.Carousel=CO,window.initCarousels=IE);var cu=globalThis&&globalThis.__assign||function(){return cu=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},cu.apply(this,arguments)},IC={transition:"transition-opacity",duration:300,timing:"ease-out",onHide:function(){}},RO=function(){function n(e,t,i){e===void 0&&(e=null),t===void 0&&(t=null),i===void 0&&(i=IC),this._targetEl=e,this._triggerEl=t,this._options=cu(cu({},IC),i),this._init()}return n.prototype._init=function(){var e=this;this._triggerEl&&this._triggerEl.addEventListener("click",function(){e.hide()})},n.prototype.hide=function(){var e=this;this._targetEl.classList.add(this._options.transition,"duration-".concat(this._options.duration),this._options.timing,"opacity-0"),setTimeout(function(){e._targetEl.classList.add("hidden")},this._options.duration),this._options.onHide(this,this._targetEl)},n}();function ME(){document.querySelectorAll("[data-dismiss-target]").forEach(function(n){var e=n.getAttribute("data-dismiss-target"),t=document.querySelector(e);t?new RO(t,n):console.error('The dismiss element with id "'.concat(e,'" does not exist. Please check the data-dismiss-target attribute.'))})}typeof window<"u"&&(window.Dismiss=RO,window.initDismisses=ME);var Qn="top",Ai="bottom",wi="right",Xn="left",DE="auto",Sc=[Qn,Ai,wi,Xn],va="start",sc="end",yyt="clippingParents",AO="viewport",fl="popper",Syt="reference",MC=Sc.reduce(function(n,e){return n.concat([e+"-"+va,e+"-"+sc])},[]),wO=[].concat(Sc,[DE]).reduce(function(n,e){return n.concat([e,e+"-"+va,e+"-"+sc])},[]),Tyt="beforeRead",xyt="read",Cyt="afterRead",Ryt="beforeMain",Ayt="main",wyt="afterMain",Nyt="beforeWrite",Oyt="write",Iyt="afterWrite",Myt=[Tyt,xyt,Cyt,Ryt,Ayt,wyt,Nyt,Oyt,Iyt];function cs(n){return n?(n.nodeName||"").toLowerCase():null}function ai(n){if(n==null)return window;if(n.toString()!=="[object Window]"){var e=n.ownerDocument;return e&&e.defaultView||window}return n}function mo(n){var e=ai(n).Element;return n instanceof e||n instanceof Element}function xi(n){var e=ai(n).HTMLElement;return n instanceof e||n instanceof HTMLElement}function kE(n){if(typeof ShadowRoot>"u")return!1;var e=ai(n).ShadowRoot;return n instanceof e||n instanceof ShadowRoot}function Dyt(n){var e=n.state;Object.keys(e.elements).forEach(function(t){var i=e.styles[t]||{},s=e.attributes[t]||{},r=e.elements[t];!xi(r)||!cs(r)||(Object.assign(r.style,i),Object.keys(s).forEach(function(o){var a=s[o];a===!1?r.removeAttribute(o):r.setAttribute(o,a===!0?"":a)}))})}function kyt(n){var e=n.state,t={popper:{position:e.options.strategy,left:"0",top:"0",margin:"0"},arrow:{position:"absolute"},reference:{}};return Object.assign(e.elements.popper.style,t.popper),e.styles=t,e.elements.arrow&&Object.assign(e.elements.arrow.style,t.arrow),function(){Object.keys(e.elements).forEach(function(i){var s=e.elements[i],r=e.attributes[i]||{},o=Object.keys(e.styles.hasOwnProperty(i)?e.styles[i]:t[i]),a=o.reduce(function(l,d){return l[d]="",l},{});!xi(s)||!cs(s)||(Object.assign(s.style,a),Object.keys(r).forEach(function(l){s.removeAttribute(l)}))})}}const Lyt={name:"applyStyles",enabled:!0,phase:"write",fn:Dyt,effect:kyt,requires:["computeStyles"]};function rs(n){return n.split("-")[0]}var ao=Math.max,du=Math.min,ya=Math.round;function nb(){var n=navigator.userAgentData;return n!=null&&n.brands&&Array.isArray(n.brands)?n.brands.map(function(e){return e.brand+"/"+e.version}).join(" "):navigator.userAgent}function NO(){return!/^((?!chrome|android).)*safari/i.test(nb())}function Sa(n,e,t){e===void 0&&(e=!1),t===void 0&&(t=!1);var i=n.getBoundingClientRect(),s=1,r=1;e&&xi(n)&&(s=n.offsetWidth>0&&ya(i.width)/n.offsetWidth||1,r=n.offsetHeight>0&&ya(i.height)/n.offsetHeight||1);var o=mo(n)?ai(n):window,a=o.visualViewport,l=!NO()&&t,d=(i.left+(l&&a?a.offsetLeft:0))/s,c=(i.top+(l&&a?a.offsetTop:0))/r,_=i.width/s,f=i.height/r;return{width:_,height:f,top:c,right:d+_,bottom:c+f,left:d,x:d,y:c}}function LE(n){var e=Sa(n),t=n.offsetWidth,i=n.offsetHeight;return Math.abs(e.width-t)<=1&&(t=e.width),Math.abs(e.height-i)<=1&&(i=e.height),{x:n.offsetLeft,y:n.offsetTop,width:t,height:i}}function OO(n,e){var t=e.getRootNode&&e.getRootNode();if(n.contains(e))return!0;if(t&&kE(t)){var i=e;do{if(i&&n.isSameNode(i))return!0;i=i.parentNode||i.host}while(i)}return!1}function zs(n){return ai(n).getComputedStyle(n)}function Pyt(n){return["table","td","th"].indexOf(cs(n))>=0}function Ir(n){return((mo(n)?n.ownerDocument:n.document)||window.document).documentElement}function cp(n){return cs(n)==="html"?n:n.assignedSlot||n.parentNode||(kE(n)?n.host:null)||Ir(n)}function DC(n){return!xi(n)||zs(n).position==="fixed"?null:n.offsetParent}function Uyt(n){var e=/firefox/i.test(nb()),t=/Trident/i.test(nb());if(t&&xi(n)){var i=zs(n);if(i.position==="fixed")return null}var s=cp(n);for(kE(s)&&(s=s.host);xi(s)&&["html","body"].indexOf(cs(s))<0;){var r=zs(s);if(r.transform!=="none"||r.perspective!=="none"||r.contain==="paint"||["transform","perspective"].indexOf(r.willChange)!==-1||e&&r.willChange==="filter"||e&&r.filter&&r.filter!=="none")return s;s=s.parentNode}return null}function Tc(n){for(var e=ai(n),t=DC(n);t&&Pyt(t)&&zs(t).position==="static";)t=DC(t);return t&&(cs(t)==="html"||cs(t)==="body"&&zs(t).position==="static")?e:t||Uyt(n)||e}function PE(n){return["top","bottom"].indexOf(n)>=0?"x":"y"}function Ll(n,e,t){return ao(n,du(e,t))}function Fyt(n,e,t){var i=Ll(n,e,t);return i>t?t:i}function IO(){return{top:0,right:0,bottom:0,left:0}}function MO(n){return Object.assign({},IO(),n)}function DO(n,e){return e.reduce(function(t,i){return t[i]=n,t},{})}var Byt=function(e,t){return e=typeof e=="function"?e(Object.assign({},t.rects,{placement:t.placement})):e,MO(typeof e!="number"?e:DO(e,Sc))};function Gyt(n){var e,t=n.state,i=n.name,s=n.options,r=t.elements.arrow,o=t.modifiersData.popperOffsets,a=rs(t.placement),l=PE(a),d=[Xn,wi].indexOf(a)>=0,c=d?"height":"width";if(!(!r||!o)){var _=Byt(s.padding,t),f=LE(r),m=l==="y"?Qn:Xn,h=l==="y"?Ai:wi,E=t.rects.reference[c]+t.rects.reference[l]-o[l]-t.rects.popper[c],b=o[l]-t.rects.reference[l],g=Tc(r),v=g?l==="y"?g.clientHeight||0:g.clientWidth||0:0,y=E/2-b/2,T=_[m],C=v-f[c]-_[h],x=v/2-f[c]/2+y,O=Ll(T,x,C),R=l;t.modifiersData[i]=(e={},e[R]=O,e.centerOffset=O-x,e)}}function zyt(n){var e=n.state,t=n.options,i=t.element,s=i===void 0?"[data-popper-arrow]":i;s!=null&&(typeof s=="string"&&(s=e.elements.popper.querySelector(s),!s)||OO(e.elements.popper,s)&&(e.elements.arrow=s))}const Vyt={name:"arrow",enabled:!0,phase:"main",fn:Gyt,effect:zyt,requires:["popperOffsets"],requiresIfExists:["preventOverflow"]};function Ta(n){return n.split("-")[1]}var Hyt={top:"auto",right:"auto",bottom:"auto",left:"auto"};function qyt(n,e){var t=n.x,i=n.y,s=e.devicePixelRatio||1;return{x:ya(t*s)/s||0,y:ya(i*s)/s||0}}function kC(n){var e,t=n.popper,i=n.popperRect,s=n.placement,r=n.variation,o=n.offsets,a=n.position,l=n.gpuAcceleration,d=n.adaptive,c=n.roundOffsets,_=n.isFixed,f=o.x,m=f===void 0?0:f,h=o.y,E=h===void 0?0:h,b=typeof c=="function"?c({x:m,y:E}):{x:m,y:E};m=b.x,E=b.y;var g=o.hasOwnProperty("x"),v=o.hasOwnProperty("y"),y=Xn,T=Qn,C=window;if(d){var x=Tc(t),O="clientHeight",R="clientWidth";if(x===ai(t)&&(x=Ir(t),zs(x).position!=="static"&&a==="absolute"&&(O="scrollHeight",R="scrollWidth")),x=x,s===Qn||(s===Xn||s===wi)&&r===sc){T=Ai;var S=_&&x===C&&C.visualViewport?C.visualViewport.height:x[O];E-=S-i.height,E*=l?1:-1}if(s===Xn||(s===Qn||s===Ai)&&r===sc){y=wi;var A=_&&x===C&&C.visualViewport?C.visualViewport.width:x[R];m-=A-i.width,m*=l?1:-1}}var U=Object.assign({position:a},d&&Hyt),F=c===!0?qyt({x:m,y:E},ai(t)):{x:m,y:E};if(m=F.x,E=F.y,l){var K;return Object.assign({},U,(K={},K[T]=v?"0":"",K[y]=g?"0":"",K.transform=(C.devicePixelRatio||1)<=1?"translate("+m+"px, "+E+"px)":"translate3d("+m+"px, "+E+"px, 0)",K))}return Object.assign({},U,(e={},e[T]=v?E+"px":"",e[y]=g?m+"px":"",e.transform="",e))}function Yyt(n){var e=n.state,t=n.options,i=t.gpuAcceleration,s=i===void 0?!0:i,r=t.adaptive,o=r===void 0?!0:r,a=t.roundOffsets,l=a===void 0?!0:a,d={placement:rs(e.placement),variation:Ta(e.placement),popper:e.elements.popper,popperRect:e.rects.popper,gpuAcceleration:s,isFixed:e.options.strategy==="fixed"};e.modifiersData.popperOffsets!=null&&(e.styles.popper=Object.assign({},e.styles.popper,kC(Object.assign({},d,{offsets:e.modifiersData.popperOffsets,position:e.options.strategy,adaptive:o,roundOffsets:l})))),e.modifiersData.arrow!=null&&(e.styles.arrow=Object.assign({},e.styles.arrow,kC(Object.assign({},d,{offsets:e.modifiersData.arrow,position:"absolute",adaptive:!1,roundOffsets:l})))),e.attributes.popper=Object.assign({},e.attributes.popper,{"data-popper-placement":e.placement})}const $yt={name:"computeStyles",enabled:!0,phase:"beforeWrite",fn:Yyt,data:{}};var Wc={passive:!0};function Wyt(n){var e=n.state,t=n.instance,i=n.options,s=i.scroll,r=s===void 0?!0:s,o=i.resize,a=o===void 0?!0:o,l=ai(e.elements.popper),d=[].concat(e.scrollParents.reference,e.scrollParents.popper);return r&&d.forEach(function(c){c.addEventListener("scroll",t.update,Wc)}),a&&l.addEventListener("resize",t.update,Wc),function(){r&&d.forEach(function(c){c.removeEventListener("scroll",t.update,Wc)}),a&&l.removeEventListener("resize",t.update,Wc)}}const Kyt={name:"eventListeners",enabled:!0,phase:"write",fn:function(){},effect:Wyt,data:{}};var jyt={left:"right",right:"left",bottom:"top",top:"bottom"};function zd(n){return n.replace(/left|right|bottom|top/g,function(e){return jyt[e]})}var Qyt={start:"end",end:"start"};function LC(n){return n.replace(/start|end/g,function(e){return Qyt[e]})}function UE(n){var e=ai(n),t=e.pageXOffset,i=e.pageYOffset;return{scrollLeft:t,scrollTop:i}}function FE(n){return Sa(Ir(n)).left+UE(n).scrollLeft}function Xyt(n,e){var t=ai(n),i=Ir(n),s=t.visualViewport,r=i.clientWidth,o=i.clientHeight,a=0,l=0;if(s){r=s.width,o=s.height;var d=NO();(d||!d&&e==="fixed")&&(a=s.offsetLeft,l=s.offsetTop)}return{width:r,height:o,x:a+FE(n),y:l}}function Zyt(n){var e,t=Ir(n),i=UE(n),s=(e=n.ownerDocument)==null?void 0:e.body,r=ao(t.scrollWidth,t.clientWidth,s?s.scrollWidth:0,s?s.clientWidth:0),o=ao(t.scrollHeight,t.clientHeight,s?s.scrollHeight:0,s?s.clientHeight:0),a=-i.scrollLeft+FE(n),l=-i.scrollTop;return zs(s||t).direction==="rtl"&&(a+=ao(t.clientWidth,s?s.clientWidth:0)-r),{width:r,height:o,x:a,y:l}}function BE(n){var e=zs(n),t=e.overflow,i=e.overflowX,s=e.overflowY;return/auto|scroll|overlay|hidden/.test(t+s+i)}function kO(n){return["html","body","#document"].indexOf(cs(n))>=0?n.ownerDocument.body:xi(n)&&BE(n)?n:kO(cp(n))}function Pl(n,e){var t;e===void 0&&(e=[]);var i=kO(n),s=i===((t=n.ownerDocument)==null?void 0:t.body),r=ai(i),o=s?[r].concat(r.visualViewport||[],BE(i)?i:[]):i,a=e.concat(o);return s?a:a.concat(Pl(cp(o)))}function ib(n){return Object.assign({},n,{left:n.x,top:n.y,right:n.x+n.width,bottom:n.y+n.height})}function Jyt(n,e){var t=Sa(n,!1,e==="fixed");return t.top=t.top+n.clientTop,t.left=t.left+n.clientLeft,t.bottom=t.top+n.clientHeight,t.right=t.left+n.clientWidth,t.width=n.clientWidth,t.height=n.clientHeight,t.x=t.left,t.y=t.top,t}function PC(n,e,t){return e===AO?ib(Xyt(n,t)):mo(e)?Jyt(e,t):ib(Zyt(Ir(n)))}function eSt(n){var e=Pl(cp(n)),t=["absolute","fixed"].indexOf(zs(n).position)>=0,i=t&&xi(n)?Tc(n):n;return mo(i)?e.filter(function(s){return mo(s)&&OO(s,i)&&cs(s)!=="body"}):[]}function tSt(n,e,t,i){var s=e==="clippingParents"?eSt(n):[].concat(e),r=[].concat(s,[t]),o=r[0],a=r.reduce(function(l,d){var c=PC(n,d,i);return l.top=ao(c.top,l.top),l.right=du(c.right,l.right),l.bottom=du(c.bottom,l.bottom),l.left=ao(c.left,l.left),l},PC(n,o,i));return a.width=a.right-a.left,a.height=a.bottom-a.top,a.x=a.left,a.y=a.top,a}function LO(n){var e=n.reference,t=n.element,i=n.placement,s=i?rs(i):null,r=i?Ta(i):null,o=e.x+e.width/2-t.width/2,a=e.y+e.height/2-t.height/2,l;switch(s){case Qn:l={x:o,y:e.y-t.height};break;case Ai:l={x:o,y:e.y+e.height};break;case wi:l={x:e.x+e.width,y:a};break;case Xn:l={x:e.x-t.width,y:a};break;default:l={x:e.x,y:e.y}}var d=s?PE(s):null;if(d!=null){var c=d==="y"?"height":"width";switch(r){case va:l[d]=l[d]-(e[c]/2-t[c]/2);break;case sc:l[d]=l[d]+(e[c]/2-t[c]/2);break}}return l}function rc(n,e){e===void 0&&(e={});var t=e,i=t.placement,s=i===void 0?n.placement:i,r=t.strategy,o=r===void 0?n.strategy:r,a=t.boundary,l=a===void 0?yyt:a,d=t.rootBoundary,c=d===void 0?AO:d,_=t.elementContext,f=_===void 0?fl:_,m=t.altBoundary,h=m===void 0?!1:m,E=t.padding,b=E===void 0?0:E,g=MO(typeof b!="number"?b:DO(b,Sc)),v=f===fl?Syt:fl,y=n.rects.popper,T=n.elements[h?v:f],C=tSt(mo(T)?T:T.contextElement||Ir(n.elements.popper),l,c,o),x=Sa(n.elements.reference),O=LO({reference:x,element:y,strategy:"absolute",placement:s}),R=ib(Object.assign({},y,O)),S=f===fl?R:x,A={top:C.top-S.top+g.top,bottom:S.bottom-C.bottom+g.bottom,left:C.left-S.left+g.left,right:S.right-C.right+g.right},U=n.modifiersData.offset;if(f===fl&&U){var F=U[s];Object.keys(A).forEach(function(K){var L=[wi,Ai].indexOf(K)>=0?1:-1,H=[Qn,Ai].indexOf(K)>=0?"y":"x";A[K]+=F[H]*L})}return A}function nSt(n,e){e===void 0&&(e={});var t=e,i=t.placement,s=t.boundary,r=t.rootBoundary,o=t.padding,a=t.flipVariations,l=t.allowedAutoPlacements,d=l===void 0?wO:l,c=Ta(i),_=c?a?MC:MC.filter(function(h){return Ta(h)===c}):Sc,f=_.filter(function(h){return d.indexOf(h)>=0});f.length===0&&(f=_);var m=f.reduce(function(h,E){return h[E]=rc(n,{placement:E,boundary:s,rootBoundary:r,padding:o})[rs(E)],h},{});return Object.keys(m).sort(function(h,E){return m[h]-m[E]})}function iSt(n){if(rs(n)===DE)return[];var e=zd(n);return[LC(n),e,LC(e)]}function sSt(n){var e=n.state,t=n.options,i=n.name;if(!e.modifiersData[i]._skip){for(var s=t.mainAxis,r=s===void 0?!0:s,o=t.altAxis,a=o===void 0?!0:o,l=t.fallbackPlacements,d=t.padding,c=t.boundary,_=t.rootBoundary,f=t.altBoundary,m=t.flipVariations,h=m===void 0?!0:m,E=t.allowedAutoPlacements,b=e.options.placement,g=rs(b),v=g===b,y=l||(v||!h?[zd(b)]:iSt(b)),T=[b].concat(y).reduce(function(fe,ve){return fe.concat(rs(ve)===DE?nSt(e,{placement:ve,boundary:c,rootBoundary:_,padding:d,flipVariations:h,allowedAutoPlacements:E}):ve)},[]),C=e.rects.reference,x=e.rects.popper,O=new Map,R=!0,S=T[0],A=0;A<T.length;A++){var U=T[A],F=rs(U),K=Ta(U)===va,L=[Qn,Ai].indexOf(F)>=0,H=L?"width":"height",G=rc(e,{placement:U,boundary:c,rootBoundary:_,altBoundary:f,padding:d}),P=L?K?wi:Xn:K?Ai:Qn;C[H]>x[H]&&(P=zd(P));var j=zd(P),Y=[];if(r&&Y.push(G[F]<=0),a&&Y.push(G[P]<=0,G[j]<=0),Y.every(function(fe){return fe})){S=U,R=!1;break}O.set(U,Y)}if(R)for(var Q=h?3:1,re=function(ve){var Ae=T.find(function(J){var me=O.get(J);if(me)return me.slice(0,ve).every(function(ee){return ee})});if(Ae)return S=Ae,"break"},te=Q;te>0;te--){var Z=re(te);if(Z==="break")break}e.placement!==S&&(e.modifiersData[i]._skip=!0,e.placement=S,e.reset=!0)}}const rSt={name:"flip",enabled:!0,phase:"main",fn:sSt,requiresIfExists:["offset"],data:{_skip:!1}};function UC(n,e,t){return t===void 0&&(t={x:0,y:0}),{top:n.top-e.height-t.y,right:n.right-e.width+t.x,bottom:n.bottom-e.height+t.y,left:n.left-e.width-t.x}}function FC(n){return[Qn,wi,Ai,Xn].some(function(e){return n[e]>=0})}function oSt(n){var e=n.state,t=n.name,i=e.rects.reference,s=e.rects.popper,r=e.modifiersData.preventOverflow,o=rc(e,{elementContext:"reference"}),a=rc(e,{altBoundary:!0}),l=UC(o,i),d=UC(a,s,r),c=FC(l),_=FC(d);e.modifiersData[t]={referenceClippingOffsets:l,popperEscapeOffsets:d,isReferenceHidden:c,hasPopperEscaped:_},e.attributes.popper=Object.assign({},e.attributes.popper,{"data-popper-reference-hidden":c,"data-popper-escaped":_})}const aSt={name:"hide",enabled:!0,phase:"main",requiresIfExists:["preventOverflow"],fn:oSt};function lSt(n,e,t){var i=rs(n),s=[Xn,Qn].indexOf(i)>=0?-1:1,r=typeof t=="function"?t(Object.assign({},e,{placement:n})):t,o=r[0],a=r[1];return o=o||0,a=(a||0)*s,[Xn,wi].indexOf(i)>=0?{x:a,y:o}:{x:o,y:a}}function cSt(n){var e=n.state,t=n.options,i=n.name,s=t.offset,r=s===void 0?[0,0]:s,o=wO.reduce(function(c,_){return c[_]=lSt(_,e.rects,r),c},{}),a=o[e.placement],l=a.x,d=a.y;e.modifiersData.popperOffsets!=null&&(e.modifiersData.popperOffsets.x+=l,e.modifiersData.popperOffsets.y+=d),e.modifiersData[i]=o}const dSt={name:"offset",enabled:!0,phase:"main",requires:["popperOffsets"],fn:cSt};function uSt(n){var e=n.state,t=n.name;e.modifiersData[t]=LO({reference:e.rects.reference,element:e.rects.popper,strategy:"absolute",placement:e.placement})}const pSt={name:"popperOffsets",enabled:!0,phase:"read",fn:uSt,data:{}};function _St(n){return n==="x"?"y":"x"}function hSt(n){var e=n.state,t=n.options,i=n.name,s=t.mainAxis,r=s===void 0?!0:s,o=t.altAxis,a=o===void 0?!1:o,l=t.boundary,d=t.rootBoundary,c=t.altBoundary,_=t.padding,f=t.tether,m=f===void 0?!0:f,h=t.tetherOffset,E=h===void 0?0:h,b=rc(e,{boundary:l,rootBoundary:d,padding:_,altBoundary:c}),g=rs(e.placement),v=Ta(e.placement),y=!v,T=PE(g),C=_St(T),x=e.modifiersData.popperOffsets,O=e.rects.reference,R=e.rects.popper,S=typeof E=="function"?E(Object.assign({},e.rects,{placement:e.placement})):E,A=typeof S=="number"?{mainAxis:S,altAxis:S}:Object.assign({mainAxis:0,altAxis:0},S),U=e.modifiersData.offset?e.modifiersData.offset[e.placement]:null,F={x:0,y:0};if(x){if(r){var K,L=T==="y"?Qn:Xn,H=T==="y"?Ai:wi,G=T==="y"?"height":"width",P=x[T],j=P+b[L],Y=P-b[H],Q=m?-R[G]/2:0,re=v===va?O[G]:R[G],te=v===va?-R[G]:-O[G],Z=e.elements.arrow,fe=m&&Z?LE(Z):{width:0,height:0},ve=e.modifiersData["arrow#persistent"]?e.modifiersData["arrow#persistent"].padding:IO(),Ae=ve[L],J=ve[H],me=Ll(0,O[G],fe[G]),ee=y?O[G]/2-Q-me-Ae-A.mainAxis:re-me-Ae-A.mainAxis,Se=y?-O[G]/2+Q+me+J+A.mainAxis:te+me+J+A.mainAxis,Oe=e.elements.arrow&&Tc(e.elements.arrow),k=Oe?T==="y"?Oe.clientTop||0:Oe.clientLeft||0:0,B=(K=U==null?void 0:U[T])!=null?K:0,$=P+ee-B-k,ce=P+Se-B,ne=Ll(m?du(j,$):j,P,m?ao(Y,ce):Y);x[T]=ne,F[T]=ne-P}if(a){var Ce,we=T==="x"?Qn:Xn,V=T==="x"?Ai:wi,_e=x[C],ie=C==="y"?"height":"width",ae=_e+b[we],D=_e-b[V],I=[Qn,Xn].indexOf(g)!==-1,z=(Ce=U==null?void 0:U[C])!=null?Ce:0,he=I?ae:_e-O[ie]-R[ie]-z+A.altAxis,X=I?_e+O[ie]+R[ie]-z-A.altAxis:D,se=m&&I?Fyt(he,_e,X):Ll(m?he:ae,_e,m?X:D);x[C]=se,F[C]=se-_e}e.modifiersData[i]=F}}const fSt={name:"preventOverflow",enabled:!0,phase:"main",fn:hSt,requiresIfExists:["offset"]};function mSt(n){return{scrollLeft:n.scrollLeft,scrollTop:n.scrollTop}}function gSt(n){return n===ai(n)||!xi(n)?UE(n):mSt(n)}function bSt(n){var e=n.getBoundingClientRect(),t=ya(e.width)/n.offsetWidth||1,i=ya(e.height)/n.offsetHeight||1;return t!==1||i!==1}function ESt(n,e,t){t===void 0&&(t=!1);var i=xi(e),s=xi(e)&&bSt(e),r=Ir(e),o=Sa(n,s,t),a={scrollLeft:0,scrollTop:0},l={x:0,y:0};return(i||!i&&!t)&&((cs(e)!=="body"||BE(r))&&(a=gSt(e)),xi(e)?(l=Sa(e,!0),l.x+=e.clientLeft,l.y+=e.clientTop):r&&(l.x=FE(r))),{x:o.left+a.scrollLeft-l.x,y:o.top+a.scrollTop-l.y,width:o.width,height:o.height}}function vSt(n){var e=new Map,t=new Set,i=[];n.forEach(function(r){e.set(r.name,r)});function s(r){t.add(r.name);var o=[].concat(r.requires||[],r.requiresIfExists||[]);o.forEach(function(a){if(!t.has(a)){var l=e.get(a);l&&s(l)}}),i.push(r)}return n.forEach(function(r){t.has(r.name)||s(r)}),i}function ySt(n){var e=vSt(n);return Myt.reduce(function(t,i){return t.concat(e.filter(function(s){return s.phase===i}))},[])}function SSt(n){var e;return function(){return e||(e=new Promise(function(t){Promise.resolve().then(function(){e=void 0,t(n())})})),e}}function TSt(n){var e=n.reduce(function(t,i){var s=t[i.name];return t[i.name]=s?Object.assign({},s,i,{options:Object.assign({},s.options,i.options),data:Object.assign({},s.data,i.data)}):i,t},{});return Object.keys(e).map(function(t){return e[t]})}var BC={placement:"bottom",modifiers:[],strategy:"absolute"};function GC(){for(var n=arguments.length,e=new Array(n),t=0;t<n;t++)e[t]=arguments[t];return!e.some(function(i){return!(i&&typeof i.getBoundingClientRect=="function")})}function xSt(n){n===void 0&&(n={});var e=n,t=e.defaultModifiers,i=t===void 0?[]:t,s=e.defaultOptions,r=s===void 0?BC:s;return function(a,l,d){d===void 0&&(d=r);var c={placement:"bottom",orderedModifiers:[],options:Object.assign({},BC,r),modifiersData:{},elements:{reference:a,popper:l},attributes:{},styles:{}},_=[],f=!1,m={state:c,setOptions:function(g){var v=typeof g=="function"?g(c.options):g;E(),c.options=Object.assign({},r,c.options,v),c.scrollParents={reference:mo(a)?Pl(a):a.contextElement?Pl(a.contextElement):[],popper:Pl(l)};var y=ySt(TSt([].concat(i,c.options.modifiers)));return c.orderedModifiers=y.filter(function(T){return T.enabled}),h(),m.update()},forceUpdate:function(){if(!f){var g=c.elements,v=g.reference,y=g.popper;if(GC(v,y)){c.rects={reference:ESt(v,Tc(y),c.options.strategy==="fixed"),popper:LE(y)},c.reset=!1,c.placement=c.options.placement,c.orderedModifiers.forEach(function(A){return c.modifiersData[A.name]=Object.assign({},A.data)});for(var T=0;T<c.orderedModifiers.length;T++){if(c.reset===!0){c.reset=!1,T=-1;continue}var C=c.orderedModifiers[T],x=C.fn,O=C.options,R=O===void 0?{}:O,S=C.name;typeof x=="function"&&(c=x({state:c,options:R,name:S,instance:m})||c)}}}},update:SSt(function(){return new Promise(function(b){m.forceUpdate(),b(c)})}),destroy:function(){E(),f=!0}};if(!GC(a,l))return m;m.setOptions(d).then(function(b){!f&&d.onFirstUpdate&&d.onFirstUpdate(b)});function h(){c.orderedModifiers.forEach(function(b){var g=b.name,v=b.options,y=v===void 0?{}:v,T=b.effect;if(typeof T=="function"){var C=T({state:c,name:g,instance:m,options:y}),x=function(){};_.push(C||x)}})}function E(){_.forEach(function(b){return b()}),_=[]}return m}}var CSt=[Kyt,pSt,$yt,Lyt,dSt,rSt,fSt,Vyt,aSt],GE=xSt({defaultModifiers:CSt}),dr=globalThis&&globalThis.__assign||function(){return dr=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},dr.apply(this,arguments)},Kc=globalThis&&globalThis.__spreadArray||function(n,e,t){if(t||arguments.length===2)for(var i=0,s=e.length,r;i<s;i++)(r||!(i in e))&&(r||(r=Array.prototype.slice.call(e,0,i)),r[i]=e[i]);return n.concat(r||Array.prototype.slice.call(e))},ur={placement:"bottom",triggerType:"click",offsetSkidding:0,offsetDistance:10,delay:300,ignoreClickOutsideClass:!1,onShow:function(){},onHide:function(){},onToggle:function(){}},PO=function(){function n(e,t,i){e===void 0&&(e=null),t===void 0&&(t=null),i===void 0&&(i=ur),this._targetEl=e,this._triggerEl=t,this._options=dr(dr({},ur),i),this._popperInstance=this._createPopperInstance(),this._visible=!1,this._init()}return n.prototype._init=function(){this._triggerEl&&this._setupEventListeners()},n.prototype._setupEventListeners=function(){var e=this,t=this._getTriggerEvents();this._options.triggerType==="click"&&t.showEvents.forEach(function(i){e._triggerEl.addEventListener(i,function(){e.toggle()})}),this._options.triggerType==="hover"&&(t.showEvents.forEach(function(i){e._triggerEl.addEventListener(i,function(){i==="click"?e.toggle():setTimeout(function(){e.show()},e._options.delay)}),e._targetEl.addEventListener(i,function(){e.show()})}),t.hideEvents.forEach(function(i){e._triggerEl.addEventListener(i,function(){setTimeout(function(){e._targetEl.matches(":hover")||e.hide()},e._options.delay)}),e._targetEl.addEventListener(i,function(){setTimeout(function(){e._triggerEl.matches(":hover")||e.hide()},e._options.delay)})}))},n.prototype._createPopperInstance=function(){return GE(this._triggerEl,this._targetEl,{placement:this._options.placement,modifiers:[{name:"offset",options:{offset:[this._options.offsetSkidding,this._options.offsetDistance]}}]})},n.prototype._setupClickOutsideListener=function(){var e=this;this._clickOutsideEventListener=function(t){e._handleClickOutside(t,e._targetEl)},document.body.addEventListener("click",this._clickOutsideEventListener,!0)},n.prototype._removeClickOutsideListener=function(){document.body.removeEventListener("click",this._clickOutsideEventListener,!0)},n.prototype._handleClickOutside=function(e,t){var i=e.target,s=this._options.ignoreClickOutsideClass,r=!1;if(s){var o=document.querySelectorAll(".".concat(s));o.forEach(function(a){if(a.contains(i)){r=!0;return}})}i!==t&&!t.contains(i)&&!this._triggerEl.contains(i)&&!r&&this.isVisible()&&this.hide()},n.prototype._getTriggerEvents=function(){switch(this._options.triggerType){case"hover":return{showEvents:["mouseenter","click"],hideEvents:["mouseleave"]};case"click":return{showEvents:["click"],hideEvents:[]};case"none":return{showEvents:[],hideEvents:[]};default:return{showEvents:["click"],hideEvents:[]}}},n.prototype.toggle=function(){this.isVisible()?this.hide():this.show(),this._options.onToggle(this)},n.prototype.isVisible=function(){return this._visible},n.prototype.show=function(){this._targetEl.classList.remove("hidden"),this._targetEl.classList.add("block"),this._popperInstance.setOptions(function(e){return dr(dr({},e),{modifiers:Kc(Kc([],e.modifiers,!0),[{name:"eventListeners",enabled:!0}],!1)})}),this._setupClickOutsideListener(),this._popperInstance.update(),this._visible=!0,this._options.onShow(this)},n.prototype.hide=function(){this._targetEl.classList.remove("block"),this._targetEl.classList.add("hidden"),this._popperInstance.setOptions(function(e){return dr(dr({},e),{modifiers:Kc(Kc([],e.modifiers,!0),[{name:"eventListeners",enabled:!1}],!1)})}),this._visible=!1,this._removeClickOutsideListener(),this._options.onHide(this)},n}();function zE(){document.querySelectorAll("[data-dropdown-toggle]").forEach(function(n){var e=n.getAttribute("data-dropdown-toggle"),t=document.getElementById(e);if(t){var i=n.getAttribute("data-dropdown-placement"),s=n.getAttribute("data-dropdown-offset-skidding"),r=n.getAttribute("data-dropdown-offset-distance"),o=n.getAttribute("data-dropdown-trigger"),a=n.getAttribute("data-dropdown-delay"),l=n.getAttribute("data-dropdown-ignore-click-outside-class");new PO(t,n,{placement:i||ur.placement,triggerType:o||ur.triggerType,offsetSkidding:s?parseInt(s):ur.offsetSkidding,offsetDistance:r?parseInt(r):ur.offsetDistance,delay:a?parseInt(a):ur.delay,ignoreClickOutsideClass:l||ur.ignoreClickOutsideClass})}else console.error('The dropdown element with id "'.concat(e,'" does not exist. Please check the data-dropdown-toggle attribute.'))})}typeof window<"u"&&(window.Dropdown=PO,window.initDropdowns=zE);var uu=globalThis&&globalThis.__assign||function(){return uu=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},uu.apply(this,arguments)},Qo={placement:"center",backdropClasses:"bg-gray-900 bg-opacity-50 dark:bg-opacity-80 fixed inset-0 z-40",backdrop:"dynamic",closable:!0,onHide:function(){},onShow:function(){},onToggle:function(){}},sb=function(){function n(e,t){e===void 0&&(e=null),t===void 0&&(t=Qo),this._targetEl=e,this._options=uu(uu({},Qo),t),this._isHidden=!0,this._backdropEl=null,this._init()}return n.prototype._init=function(){var e=this;this._targetEl&&this._getPlacementClasses().map(function(t){e._targetEl.classList.add(t)})},n.prototype._createBackdrop=function(){var e;if(this._isHidden){var t=document.createElement("div");t.setAttribute("modal-backdrop",""),(e=t.classList).add.apply(e,this._options.backdropClasses.split(" ")),document.querySelector("body").append(t),this._backdropEl=t}},n.prototype._destroyBackdropEl=function(){this._isHidden||document.querySelector("[modal-backdrop]").remove()},n.prototype._setupModalCloseEventListeners=function(){var e=this;this._options.backdrop==="dynamic"&&(this._clickOutsideEventListener=function(t){e._handleOutsideClick(t.target)},this._targetEl.addEventListener("click",this._clickOutsideEventListener,!0)),this._keydownEventListener=function(t){t.key==="Escape"&&e.hide()},document.body.addEventListener("keydown",this._keydownEventListener,!0)},n.prototype._removeModalCloseEventListeners=function(){this._options.backdrop==="dynamic"&&this._targetEl.removeEventListener("click",this._clickOutsideEventListener,!0),document.body.removeEventListener("keydown",this._keydownEventListener,!0)},n.prototype._handleOutsideClick=function(e){(e===this._targetEl||e===this._backdropEl&&this.isVisible())&&this.hide()},n.prototype._getPlacementClasses=function(){switch(this._options.placement){case"top-left":return["justify-start","items-start"];case"top-center":return["justify-center","items-start"];case"top-right":return["justify-end","items-start"];case"center-left":return["justify-start","items-center"];case"center":return["justify-center","items-center"];case"center-right":return["justify-end","items-center"];case"bottom-left":return["justify-start","items-end"];case"bottom-center":return["justify-center","items-end"];case"bottom-right":return["justify-end","items-end"];default:return["justify-center","items-center"]}},n.prototype.toggle=function(){this._isHidden?this.show():this.hide(),this._options.onToggle(this)},n.prototype.show=function(){this.isHidden&&(this._targetEl.classList.add("flex"),this._targetEl.classList.remove("hidden"),this._targetEl.setAttribute("aria-modal","true"),this._targetEl.setAttribute("role","dialog"),this._targetEl.removeAttribute("aria-hidden"),this._createBackdrop(),this._isHidden=!1,document.body.classList.add("overflow-hidden"),this._options.closable&&this._setupModalCloseEventListeners(),this._options.onShow(this))},n.prototype.hide=function(){this.isVisible&&(this._targetEl.classList.add("hidden"),this._targetEl.classList.remove("flex"),this._targetEl.setAttribute("aria-hidden","true"),this._targetEl.removeAttribute("aria-modal"),this._targetEl.removeAttribute("role"),this._destroyBackdropEl(),this._isHidden=!0,document.body.classList.remove("overflow-hidden"),this._options.closable&&this._removeModalCloseEventListeners(),this._options.onHide(this))},n.prototype.isVisible=function(){return!this._isHidden},n.prototype.isHidden=function(){return this._isHidden},n}(),jc=function(n,e){return e.some(function(t){return t.id===n})?e.find(function(t){return t.id===n}):null};function VE(){var n=[];document.querySelectorAll("[data-modal-target]").forEach(function(e){var t=e.getAttribute("data-modal-target"),i=document.getElementById(t);if(i){var s=i.getAttribute("data-modal-placement"),r=i.getAttribute("data-modal-backdrop");jc(t,n)||n.push({id:t,object:new sb(i,{placement:s||Qo.placement,backdrop:r||Qo.backdrop})})}else console.error("Modal with id ".concat(t," does not exist. Are you sure that the data-modal-target attribute points to the correct modal id?."))}),document.querySelectorAll("[data-modal-toggle]").forEach(function(e){var t=e.getAttribute("data-modal-toggle"),i=document.getElementById(t);if(i){var s=i.getAttribute("data-modal-placement"),r=i.getAttribute("data-modal-backdrop"),o=jc(t,n);o||(o={id:t,object:new sb(i,{placement:s||Qo.placement,backdrop:r||Qo.backdrop})},n.push(o)),e.addEventListener("click",function(){o.object.toggle()})}else console.error("Modal with id ".concat(t," does not exist. Are you sure that the data-modal-toggle attribute points to the correct modal id?"))}),document.querySelectorAll("[data-modal-show]").forEach(function(e){var t=e.getAttribute("data-modal-show"),i=document.getElementById(t);if(i){var s=jc(t,n);s?e.addEventListener("click",function(){s.object.isHidden&&s.object.show()}):console.error("Modal with id ".concat(t," has not been initialized. Please initialize it using the data-modal-target attribute."))}else console.error("Modal with id ".concat(t," does not exist. Are you sure that the data-modal-show attribute points to the correct modal id?"))}),document.querySelectorAll("[data-modal-hide]").forEach(function(e){var t=e.getAttribute("data-modal-hide"),i=document.getElementById(t);if(i){var s=jc(t,n);s?e.addEventListener("click",function(){s.object.isVisible&&s.object.hide()}):console.error("Modal with id ".concat(t," has not been initialized. Please initialize it using the data-modal-target attribute."))}else console.error("Modal with id ".concat(t," does not exist. Are you sure that the data-modal-hide attribute points to the correct modal id?"))})}typeof window<"u"&&(window.Modal=sb,window.initModals=VE);var pu=globalThis&&globalThis.__assign||function(){return pu=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},pu.apply(this,arguments)},Zr={placement:"left",bodyScrolling:!1,backdrop:!0,edge:!1,edgeOffset:"bottom-[60px]",backdropClasses:"bg-gray-900 bg-opacity-50 dark:bg-opacity-80 fixed inset-0 z-30",onShow:function(){},onHide:function(){},onToggle:function(){}},UO=function(){function n(e,t){e===void 0&&(e=null),t===void 0&&(t=Zr),this._targetEl=e,this._options=pu(pu({},Zr),t),this._visible=!1,this._init()}return n.prototype._init=function(){var e=this;this._targetEl&&(this._targetEl.setAttribute("aria-hidden","true"),this._targetEl.classList.add("transition-transform")),this._getPlacementClasses(this._options.placement).base.map(function(t){e._targetEl.classList.add(t)}),document.addEventListener("keydown",function(t){t.key==="Escape"&&e.isVisible()&&e.hide()})},n.prototype.hide=function(){var e=this;this._options.edge?(this._getPlacementClasses(this._options.placement+"-edge").active.map(function(t){e._targetEl.classList.remove(t)}),this._getPlacementClasses(this._options.placement+"-edge").inactive.map(function(t){e._targetEl.classList.add(t)})):(this._getPlacementClasses(this._options.placement).active.map(function(t){e._targetEl.classList.remove(t)}),this._getPlacementClasses(this._options.placement).inactive.map(function(t){e._targetEl.classList.add(t)})),this._targetEl.setAttribute("aria-hidden","true"),this._targetEl.removeAttribute("aria-modal"),this._targetEl.removeAttribute("role"),this._options.bodyScrolling||document.body.classList.remove("overflow-hidden"),this._options.backdrop&&this._destroyBackdropEl(),this._visible=!1,this._options.onHide(this)},n.prototype.show=function(){var e=this;this._options.edge?(this._getPlacementClasses(this._options.placement+"-edge").active.map(function(t){e._targetEl.classList.add(t)}),this._getPlacementClasses(this._options.placement+"-edge").inactive.map(function(t){e._targetEl.classList.remove(t)})):(this._getPlacementClasses(this._options.placement).active.map(function(t){e._targetEl.classList.add(t)}),this._getPlacementClasses(this._options.placement).inactive.map(function(t){e._targetEl.classList.remove(t)})),this._targetEl.setAttribute("aria-modal","true"),this._targetEl.setAttribute("role","dialog"),this._targetEl.removeAttribute("aria-hidden"),this._options.bodyScrolling||document.body.classList.add("overflow-hidden"),this._options.backdrop&&this._createBackdrop(),this._visible=!0,this._options.onShow(this)},n.prototype.toggle=function(){this.isVisible()?this.hide():this.show()},n.prototype._createBackdrop=function(){var e,t=this;if(!this._visible){var i=document.createElement("div");i.setAttribute("drawer-backdrop",""),(e=i.classList).add.apply(e,this._options.backdropClasses.split(" ")),document.querySelector("body").append(i),i.addEventListener("click",function(){t.hide()})}},n.prototype._destroyBackdropEl=function(){this._visible&&document.querySelector("[drawer-backdrop]").remove()},n.prototype._getPlacementClasses=function(e){switch(e){case"top":return{base:["top-0","left-0","right-0"],active:["transform-none"],inactive:["-translate-y-full"]};case"right":return{base:["right-0","top-0"],active:["transform-none"],inactive:["translate-x-full"]};case"bottom":return{base:["bottom-0","left-0","right-0"],active:["transform-none"],inactive:["translate-y-full"]};case"left":return{base:["left-0","top-0"],active:["transform-none"],inactive:["-translate-x-full"]};case"bottom-edge":return{base:["left-0","top-0"],active:["transform-none"],inactive:["translate-y-full",this._options.edgeOffset]};default:return{base:["left-0","top-0"],active:["transform-none"],inactive:["-translate-x-full"]}}},n.prototype.isHidden=function(){return!this._visible},n.prototype.isVisible=function(){return this._visible},n}(),Qc=function(n,e){if(e.some(function(t){return t.id===n}))return e.find(function(t){return t.id===n})};function HE(){var n=[];document.querySelectorAll("[data-drawer-target]").forEach(function(e){var t=e.getAttribute("data-drawer-target"),i=document.getElementById(t);if(i){var s=e.getAttribute("data-drawer-placement"),r=e.getAttribute("data-drawer-body-scrolling"),o=e.getAttribute("data-drawer-backdrop"),a=e.getAttribute("data-drawer-edge"),l=e.getAttribute("data-drawer-edge-offset");Qc(t,n)||n.push({id:t,object:new UO(i,{placement:s||Zr.placement,bodyScrolling:r?r==="true":Zr.bodyScrolling,backdrop:o?o==="true":Zr.backdrop,edge:a?a==="true":Zr.edge,edgeOffset:l||Zr.edgeOffset})})}else console.error("Drawer with id ".concat(t," not found. Are you sure that the data-drawer-target attribute points to the correct drawer id?"))}),document.querySelectorAll("[data-drawer-toggle]").forEach(function(e){var t=e.getAttribute("data-drawer-toggle"),i=document.getElementById(t);if(i){var s=Qc(t,n);s?e.addEventListener("click",function(){s.object.toggle()}):console.error("Drawer with id ".concat(t," has not been initialized. Please initialize it using the data-drawer-target attribute."))}else console.error("Drawer with id ".concat(t," not found. Are you sure that the data-drawer-target attribute points to the correct drawer id?"))}),document.querySelectorAll("[data-drawer-dismiss], [data-drawer-hide]").forEach(function(e){var t=e.getAttribute("data-drawer-dismiss")?e.getAttribute("data-drawer-dismiss"):e.getAttribute("data-drawer-hide"),i=document.getElementById(t);if(i){var s=Qc(t,n);s?e.addEventListener("click",function(){s.object.hide()}):console.error("Drawer with id ".concat(t," has not been initialized. Please initialize it using the data-drawer-target attribute."))}else console.error("Drawer with id ".concat(t," not found. Are you sure that the data-drawer-target attribute points to the correct drawer id"))}),document.querySelectorAll("[data-drawer-show]").forEach(function(e){var t=e.getAttribute("data-drawer-show"),i=document.getElementById(t);if(i){var s=Qc(t,n);s?e.addEventListener("click",function(){s.object.show()}):console.error("Drawer with id ".concat(t," has not been initialized. Please initialize it using the data-drawer-target attribute."))}else console.error("Drawer with id ".concat(t," not found. Are you sure that the data-drawer-target attribute points to the correct drawer id?"))})}typeof window<"u"&&(window.Drawer=UO,window.initDrawers=HE);var _u=globalThis&&globalThis.__assign||function(){return _u=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},_u.apply(this,arguments)},zC={defaultTabId:null,activeClasses:"text-blue-600 hover:text-blue-600 dark:text-blue-500 dark:hover:text-blue-500 border-blue-600 dark:border-blue-500",inactiveClasses:"dark:border-transparent text-gray-500 hover:text-gray-600 dark:text-gray-400 border-gray-100 hover:border-gray-300 dark:border-gray-700 dark:hover:text-gray-300",onShow:function(){}},FO=function(){function n(e,t){e===void 0&&(e=[]),t===void 0&&(t=zC),this._items=e,this._activeTab=t?this.getTab(t.defaultTabId):null,this._options=_u(_u({},zC),t),this._init()}return n.prototype._init=function(){var e=this;this._items.length&&(this._activeTab||this._setActiveTab(this._items[0]),this.show(this._activeTab.id,!0),this._items.map(function(t){t.triggerEl.addEventListener("click",function(){e.show(t.id)})}))},n.prototype.getActiveTab=function(){return this._activeTab},n.prototype._setActiveTab=function(e){this._activeTab=e},n.prototype.getTab=function(e){return this._items.filter(function(t){return t.id===e})[0]},n.prototype.show=function(e,t){var i,s,r=this;t===void 0&&(t=!1);var o=this.getTab(e);o===this._activeTab&&!t||(this._items.map(function(a){var l,d;a!==o&&((l=a.triggerEl.classList).remove.apply(l,r._options.activeClasses.split(" ")),(d=a.triggerEl.classList).add.apply(d,r._options.inactiveClasses.split(" ")),a.targetEl.classList.add("hidden"),a.triggerEl.setAttribute("aria-selected","false"))}),(i=o.triggerEl.classList).add.apply(i,this._options.activeClasses.split(" ")),(s=o.triggerEl.classList).remove.apply(s,this._options.inactiveClasses.split(" ")),o.triggerEl.setAttribute("aria-selected","true"),o.targetEl.classList.remove("hidden"),this._setActiveTab(o),this._options.onShow(this,o))},n}();function qE(){document.querySelectorAll("[data-tabs-toggle]").forEach(function(n){var e=[],t=null;n.querySelectorAll('[role="tab"]').forEach(function(i){var s=i.getAttribute("aria-selected")==="true",r={id:i.getAttribute("data-tabs-target"),triggerEl:i,targetEl:document.querySelector(i.getAttribute("data-tabs-target"))};e.push(r),s&&(t=r.id)}),new FO(e,{defaultTabId:t})})}typeof window<"u"&&(window.Tabs=FO,window.initTabs=qE);var pr=globalThis&&globalThis.__assign||function(){return pr=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},pr.apply(this,arguments)},Xc=globalThis&&globalThis.__spreadArray||function(n,e,t){if(t||arguments.length===2)for(var i=0,s=e.length,r;i<s;i++)(r||!(i in e))&&(r||(r=Array.prototype.slice.call(e,0,i)),r[i]=e[i]);return n.concat(r||Array.prototype.slice.call(e))},hu={placement:"top",triggerType:"hover",onShow:function(){},onHide:function(){},onToggle:function(){}},BO=function(){function n(e,t,i){e===void 0&&(e=null),t===void 0&&(t=null),i===void 0&&(i=hu),this._targetEl=e,this._triggerEl=t,this._options=pr(pr({},hu),i),this._popperInstance=this._createPopperInstance(),this._visible=!1,this._init()}return n.prototype._init=function(){this._triggerEl&&this._setupEventListeners()},n.prototype._setupEventListeners=function(){var e=this,t=this._getTriggerEvents();t.showEvents.forEach(function(i){e._triggerEl.addEventListener(i,function(){e.show()})}),t.hideEvents.forEach(function(i){e._triggerEl.addEventListener(i,function(){e.hide()})})},n.prototype._createPopperInstance=function(){return GE(this._triggerEl,this._targetEl,{placement:this._options.placement,modifiers:[{name:"offset",options:{offset:[0,8]}}]})},n.prototype._getTriggerEvents=function(){switch(this._options.triggerType){case"hover":return{showEvents:["mouseenter","focus"],hideEvents:["mouseleave","blur"]};case"click":return{showEvents:["click","focus"],hideEvents:["focusout","blur"]};case"none":return{showEvents:[],hideEvents:[]};default:return{showEvents:["mouseenter","focus"],hideEvents:["mouseleave","blur"]}}},n.prototype._setupKeydownListener=function(){var e=this;this._keydownEventListener=function(t){t.key==="Escape"&&e.hide()},document.body.addEventListener("keydown",this._keydownEventListener,!0)},n.prototype._removeKeydownListener=function(){document.body.removeEventListener("keydown",this._keydownEventListener,!0)},n.prototype._setupClickOutsideListener=function(){var e=this;this._clickOutsideEventListener=function(t){e._handleClickOutside(t,e._targetEl)},document.body.addEventListener("click",this._clickOutsideEventListener,!0)},n.prototype._removeClickOutsideListener=function(){document.body.removeEventListener("click",this._clickOutsideEventListener,!0)},n.prototype._handleClickOutside=function(e,t){var i=e.target;i!==t&&!t.contains(i)&&!this._triggerEl.contains(i)&&this.isVisible()&&this.hide()},n.prototype.isVisible=function(){return this._visible},n.prototype.toggle=function(){this.isVisible()?this.hide():this.show()},n.prototype.show=function(){this._targetEl.classList.remove("opacity-0","invisible"),this._targetEl.classList.add("opacity-100","visible"),this._popperInstance.setOptions(function(e){return pr(pr({},e),{modifiers:Xc(Xc([],e.modifiers,!0),[{name:"eventListeners",enabled:!0}],!1)})}),this._setupClickOutsideListener(),this._setupKeydownListener(),this._popperInstance.update(),this._visible=!0,this._options.onShow(this)},n.prototype.hide=function(){this._targetEl.classList.remove("opacity-100","visible"),this._targetEl.classList.add("opacity-0","invisible"),this._popperInstance.setOptions(function(e){return pr(pr({},e),{modifiers:Xc(Xc([],e.modifiers,!0),[{name:"eventListeners",enabled:!1}],!1)})}),this._removeClickOutsideListener(),this._removeKeydownListener(),this._visible=!1,this._options.onHide(this)},n}();function YE(){document.querySelectorAll("[data-tooltip-target]").forEach(function(n){var e=n.getAttribute("data-tooltip-target"),t=document.getElementById(e);if(t){var i=n.getAttribute("data-tooltip-trigger"),s=n.getAttribute("data-tooltip-placement");new BO(t,n,{placement:s||hu.placement,triggerType:i||hu.triggerType})}else console.error('The tooltip element with id "'.concat(e,'" does not exist. Please check the data-tooltip-target attribute.'))})}typeof window<"u"&&(window.Tooltip=BO,window.initTooltips=YE);var _r=globalThis&&globalThis.__assign||function(){return _r=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},_r.apply(this,arguments)},Zc=globalThis&&globalThis.__spreadArray||function(n,e,t){if(t||arguments.length===2)for(var i=0,s=e.length,r;i<s;i++)(r||!(i in e))&&(r||(r=Array.prototype.slice.call(e,0,i)),r[i]=e[i]);return n.concat(r||Array.prototype.slice.call(e))},Ul={placement:"top",offset:10,triggerType:"hover",onShow:function(){},onHide:function(){},onToggle:function(){}},GO=function(){function n(e,t,i){e===void 0&&(e=null),t===void 0&&(t=null),i===void 0&&(i=Ul),this._targetEl=e,this._triggerEl=t,this._options=_r(_r({},Ul),i),this._popperInstance=this._createPopperInstance(),this._visible=!1,this._init()}return n.prototype._init=function(){this._triggerEl&&this._setupEventListeners()},n.prototype._setupEventListeners=function(){var e=this,t=this._getTriggerEvents();t.showEvents.forEach(function(i){e._triggerEl.addEventListener(i,function(){e.show()}),e._targetEl.addEventListener(i,function(){e.show()})}),t.hideEvents.forEach(function(i){e._triggerEl.addEventListener(i,function(){setTimeout(function(){e._targetEl.matches(":hover")||e.hide()},100)}),e._targetEl.addEventListener(i,function(){setTimeout(function(){e._triggerEl.matches(":hover")||e.hide()},100)})})},n.prototype._createPopperInstance=function(){return GE(this._triggerEl,this._targetEl,{placement:this._options.placement,modifiers:[{name:"offset",options:{offset:[0,this._options.offset]}}]})},n.prototype._getTriggerEvents=function(){switch(this._options.triggerType){case"hover":return{showEvents:["mouseenter","focus"],hideEvents:["mouseleave","blur"]};case"click":return{showEvents:["click","focus"],hideEvents:["focusout","blur"]};case"none":return{showEvents:[],hideEvents:[]};default:return{showEvents:["mouseenter","focus"],hideEvents:["mouseleave","blur"]}}},n.prototype._setupKeydownListener=function(){var e=this;this._keydownEventListener=function(t){t.key==="Escape"&&e.hide()},document.body.addEventListener("keydown",this._keydownEventListener,!0)},n.prototype._removeKeydownListener=function(){document.body.removeEventListener("keydown",this._keydownEventListener,!0)},n.prototype._setupClickOutsideListener=function(){var e=this;this._clickOutsideEventListener=function(t){e._handleClickOutside(t,e._targetEl)},document.body.addEventListener("click",this._clickOutsideEventListener,!0)},n.prototype._removeClickOutsideListener=function(){document.body.removeEventListener("click",this._clickOutsideEventListener,!0)},n.prototype._handleClickOutside=function(e,t){var i=e.target;i!==t&&!t.contains(i)&&!this._triggerEl.contains(i)&&this.isVisible()&&this.hide()},n.prototype.isVisible=function(){return this._visible},n.prototype.toggle=function(){this.isVisible()?this.hide():this.show(),this._options.onToggle(this)},n.prototype.show=function(){this._targetEl.classList.remove("opacity-0","invisible"),this._targetEl.classList.add("opacity-100","visible"),this._popperInstance.setOptions(function(e){return _r(_r({},e),{modifiers:Zc(Zc([],e.modifiers,!0),[{name:"eventListeners",enabled:!0}],!1)})}),this._setupClickOutsideListener(),this._setupKeydownListener(),this._popperInstance.update(),this._visible=!0,this._options.onShow(this)},n.prototype.hide=function(){this._targetEl.classList.remove("opacity-100","visible"),this._targetEl.classList.add("opacity-0","invisible"),this._popperInstance.setOptions(function(e){return _r(_r({},e),{modifiers:Zc(Zc([],e.modifiers,!0),[{name:"eventListeners",enabled:!1}],!1)})}),this._removeClickOutsideListener(),this._removeKeydownListener(),this._visible=!1,this._options.onHide(this)},n}();function $E(){document.querySelectorAll("[data-popover-target]").forEach(function(n){var e=n.getAttribute("data-popover-target"),t=document.getElementById(e);if(t){var i=n.getAttribute("data-popover-trigger"),s=n.getAttribute("data-popover-placement"),r=n.getAttribute("data-popover-offset");new GO(t,n,{placement:s||Ul.placement,offset:r?parseInt(r):Ul.offset,triggerType:i||Ul.triggerType})}else console.error('The popover element with id "'.concat(e,'" does not exist. Please check the data-popover-target attribute.'))})}typeof window<"u"&&(window.Popover=GO,window.initPopovers=$E);var fu=globalThis&&globalThis.__assign||function(){return fu=Object.assign||function(n){for(var e,t=1,i=arguments.length;t<i;t++){e=arguments[t];for(var s in e)Object.prototype.hasOwnProperty.call(e,s)&&(n[s]=e[s])}return n},fu.apply(this,arguments)},rb={triggerType:"hover",onShow:function(){},onHide:function(){},onToggle:function(){}},zO=function(){function n(e,t,i,s){e===void 0&&(e=null),t===void 0&&(t=null),i===void 0&&(i=null),s===void 0&&(s=rb),this._parentEl=e,this._triggerEl=t,this._targetEl=i,this._options=fu(fu({},rb),s),this._visible=!1,this._init()}return n.prototype._init=function(){var e=this;if(this._triggerEl){var t=this._getTriggerEventTypes(this._options.triggerType);t.showEvents.forEach(function(i){e._triggerEl.addEventListener(i,function(){e.show()}),e._targetEl.addEventListener(i,function(){e.show()})}),t.hideEvents.forEach(function(i){e._parentEl.addEventListener(i,function(){e._parentEl.matches(":hover")||e.hide()})})}},n.prototype.hide=function(){this._targetEl.classList.add("hidden"),this._triggerEl&&this._triggerEl.setAttribute("aria-expanded","false"),this._visible=!1,this._options.onHide(this)},n.prototype.show=function(){this._targetEl.classList.remove("hidden"),this._triggerEl&&this._triggerEl.setAttribute("aria-expanded","true"),this._visible=!0,this._options.onShow(this)},n.prototype.toggle=function(){this._visible?this.hide():this.show()},n.prototype.isHidden=function(){return!this._visible},n.prototype.isVisible=function(){return this._visible},n.prototype._getTriggerEventTypes=function(e){switch(e){case"hover":return{showEvents:["mouseenter","focus"],hideEvents:["mouseleave","blur"]};case"click":return{showEvents:["click","focus"],hideEvents:["focusout","blur"]};case"none":return{showEvents:[],hideEvents:[]};default:return{showEvents:["mouseenter","focus"],hideEvents:["mouseleave","blur"]}}},n}();function WE(){document.querySelectorAll("[data-dial-init]").forEach(function(n){var e=n.querySelector("[data-dial-toggle]");if(e){var t=e.getAttribute("data-dial-toggle"),i=document.getElementById(t);if(i){var s=e.getAttribute("data-dial-trigger");new zO(n,e,i,{triggerType:s||rb.triggerType})}else console.error("Dial with id ".concat(t," does not exist. Are you sure that the data-dial-toggle attribute points to the correct modal id?"))}else console.error("Dial with id ".concat(n.id," does not have a trigger element. Are you sure that the data-dial-toggle attribute exists?"))})}typeof window<"u"&&(window.Dial=zO,window.initDials=WE);function VO(){NE(),OE(),IE(),ME(),zE(),VE(),HE(),qE(),YE(),$E(),WE()}typeof window<"u"&&(window.initFlowbite=VO);var RSt=new vyt("load",[NE,OE,IE,ME,zE,VE,HE,qE,YE,$E,WE]);RSt.init();const HO="/assets/memory_icon-c56ac42c.svg",qO="/assets/active-80ac3366.svg",YO="/assets/inactive-36ac9976.svg";const Vt=n=>(wr("data-v-d648f041"),n=n(),Nr(),n),ASt={key:0,class:"fixed top-0 left-0 w-screen h-screen flex items-center justify-center"},wSt={class:"flex flex-col text-center"},NSt={class:"flex flex-col text-center items-center"},OSt={class:"flex items-center gap-3 text-5xl drop-shadow-md align-middle pt-24"},ISt=Vt(()=>u("img",{class:"w-24 animate-bounce",title:"LoLLMS WebUI",src:ga,alt:"Logo"},null,-1)),MSt={class:"flex flex-col items-start"},DSt={class:"text-2xl"},kSt=Vt(()=>u("p",{class:"text-gray-400 text-base"},"One tool to rule them all",-1)),LSt=Vt(()=>u("p",{class:"text-gray-400 text-base"},"by ParisNeo",-1)),PSt=Vt(()=>u("hr",{class:"mt-1 w-96 h-1 mx-auto my-2 md:my-2 dark:bg-bg-dark-tone-panel bg-bg-light-tone-panel border-0 rounded"},null,-1)),USt=Vt(()=>u("p",{class:"text-2xl mb-10"},"Welcome",-1)),FSt={role:"status",class:"text-center w-full display: flex; flex-row align-items: center;"},BSt={class:"text-2xl animate-pulse mt-2"},GSt=Vt(()=>u("i",{"data-feather":"chevron-right"},null,-1)),zSt=[GSt],VSt=Vt(()=>u("i",{"data-feather":"chevron-left"},null,-1)),HSt=[VSt],qSt={key:0,class:"relative flex flex-col no-scrollbar shadow-lg min-w-[24rem] max-w-[24rem] bg-bg-light-tone dark:bg-bg-dark-tone"},YSt={class:"sticky z-10 top-0 bg-bg-light-tone dark:bg-bg-dark-tone shadow-md"},$St={class:"flex-row p-4 flex items-center gap-3 flex-0"},WSt=Vt(()=>u("i",{"data-feather":"plus"},null,-1)),KSt=[WSt],jSt=Vt(()=>u("i",{"data-feather":"check-square"},null,-1)),QSt=[jSt],XSt=Vt(()=>u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Reset database, remove all discussions"},[u("i",{"data-feather":"refresh-ccw"})],-1)),ZSt=Vt(()=>u("i",{"data-feather":"database"},null,-1)),JSt=[ZSt],e0t=Vt(()=>u("i",{"data-feather":"log-in"},null,-1)),t0t=[e0t],n0t={key:0,class:"dropdown"},i0t=Vt(()=>u("i",{"data-feather":"search"},null,-1)),s0t=[i0t],r0t=Vt(()=>u("i",{"data-feather":"save"},null,-1)),o0t=[r0t],a0t={key:2,class:"flex gap-3 flex-1 items-center duration-75"},l0t=Vt(()=>u("i",{"data-feather":"x"},null,-1)),c0t=[l0t],d0t=Vt(()=>u("i",{"data-feather":"check"},null,-1)),u0t=[d0t],p0t=["src"],_0t=["src"],h0t=["src"],f0t={key:6,title:"Loading..",class:"flex flex-row flex-grow justify-end"},m0t=Vt(()=>u("div",{role:"status"},[u("svg",{"aria-hidden":"true",class:"w-6 h-6 animate-spin fill-secondary",viewBox:"0 0 100 101",fill:"none",xmlns:"http://www.w3.org/2000/svg"},[u("path",{d:"M100 50.5908C100 78.2051 77.6142 100.591 50 100.591C22.3858 100.591 0 78.2051 0 50.5908C0 22.9766 22.3858 0.59082 50 0.59082C77.6142 0.59082 100 22.9766 100 50.5908ZM9.08144 50.5908C9.08144 73.1895 27.4013 91.5094 50 91.5094C72.5987 91.5094 90.9186 73.1895 90.9186 50.5908C90.9186 27.9921 72.5987 9.67226 50 9.67226C27.4013 9.67226 9.08144 27.9921 9.08144 50.5908Z",fill:"currentColor"}),u("path",{d:"M93.9676 39.0409C96.393 38.4038 97.8624 35.9116 97.0079 33.5539C95.2932 28.8227 92.871 24.3692 89.8167 20.348C85.8452 15.1192 80.8826 10.7238 75.2124 7.41289C69.5422 4.10194 63.2754 1.94025 56.7698 1.05124C51.7666 0.367541 46.6976 0.446843 41.7345 1.27873C39.2613 1.69328 37.813 4.19778 38.4501 6.62326C39.0873 9.04874 41.5694 10.4717 44.0505 10.1071C47.8511 9.54855 51.7191 9.52689 55.5402 10.0491C60.8642 10.7766 65.9928 12.5457 70.6331 15.2552C75.2735 17.9648 79.3347 21.5619 82.5849 25.841C84.9175 28.9121 86.7997 32.2913 88.1811 35.8758C89.083 38.2158 91.5421 39.6781 93.9676 39.0409Z",fill:"currentFill"})]),u("span",{class:"sr-only"},"Loading...")],-1)),g0t=[m0t],b0t={key:0,class:"flex-row items-center gap-3 flex-0 w-full"},E0t={class:"p-4 pt-2"},v0t={class:"relative"},y0t=Vt(()=>u("div",{class:"absolute inset-y-0 left-0 flex items-center pl-3 pointer-events-none"},[u("div",{class:"scale-75"},[u("i",{"data-feather":"search"})])],-1)),S0t={class:"absolute inset-y-0 right-0 flex items-center pr-3"},T0t=Vt(()=>u("i",{"data-feather":"x"},null,-1)),x0t=[T0t],C0t={key:1,class:"h-px bg-bg-light p-0 mb-4 px-4 mx-4 border-0 dark:bg-bg-dark"},R0t={key:2,class:"flex flex-row flex-grow p-4 pt-0 items-center"},A0t={class:"flex flex-row flex-grow"},w0t={key:0},N0t={class:"flex flex-row"},O0t={key:0,class:"flex gap-3"},I0t=Vt(()=>u("i",{"data-feather":"trash"},null,-1)),M0t=[I0t],D0t={key:1,class:"flex gap-3 mx-3 flex-1 items-center justify-end group-hover:visible duration-75"},k0t=Vt(()=>u("i",{"data-feather":"check"},null,-1)),L0t=[k0t],P0t=Vt(()=>u("i",{"data-feather":"x"},null,-1)),U0t=[P0t],F0t={class:"flex gap-3"},B0t=Vt(()=>u("i",{"data-feather":"log-out"},null,-1)),G0t=[B0t],z0t=Vt(()=>u("i",{"data-feather":"bookmark"},null,-1)),V0t=[z0t],H0t=Vt(()=>u("i",{"data-feather":"list"},null,-1)),q0t=[H0t],Y0t={class:"relative flex flex-row flex-grow mb-10 z-0 w-full"},$0t={key:1,class:"gap-2 py-2 my-2 hover:shadow-md hover:bg-primary-light dark:hover:bg-primary rounded-md p-2 duration-75 group cursor-pointer"},W0t=Vt(()=>u("p",{class:"px-3"},"No discussions are found",-1)),K0t=[W0t],j0t=Vt(()=>u("div",{class:"sticky bottom-0 bg-gradient-to-t pointer-events-none from-bg-light-tone dark:from-bg-dark-tone flex flex-grow"},null,-1)),Q0t={class:"ml-2"},X0t={key:1,class:"relative flex flex-col flex-grow w-full"},Z0t={class:"container pt-4 pb-50 mb-50 w-full"},J0t=Vt(()=>u("div",null,[u("br"),u("br"),u("br"),u("br"),u("br"),u("br"),u("br")],-1)),eTt=Vt(()=>u("div",{class:"absolute w-full bottom-0 bg-transparent p-10 pt-16 bg-gradient-to-t from-bg-light dark:from-bg-dark from-5% via-bg-light dark:via-bg-dark via-10% to-transparent to-100%"},null,-1)),tTt={key:0,class:"bottom-0 flex flex-row items-center justify-center"},nTt={role:"status",class:"fixed m-0 p-2 left-2 bottom-2 min-w-[24rem] max-w-[24rem] h-20 flex flex-col justify-center items-center pb-4 bg-blue-500 rounded-lg shadow-lg z-50 background-a"},iTt={class:"text-2xl animate-pulse mt-2 text-white"},sTt={setup(){},data(){return{memory_icon:HO,active_skills:qO,inactive_skills:YO,posts_headers:{accept:"application/json","Content-Type":"application/json"},host:"",progress_visibility_val:!0,progress_value:0,msgTypes:{MSG_TYPE_CHUNK:0,MSG_TYPE_FULL:1,MSG_TYPE_FULL_INVISIBLE_TO_AI:2,MSG_TYPE_FULL_INVISIBLE_TO_USER:3,MSG_TYPE_EXCEPTION:4,MSG_TYPE_WARNING:5,MSG_TYPE_INFO:6,MSG_TYPE_STEP:7,MSG_TYPE_STEP_START:8,MSG_TYPE_STEP_PROGRESS:9,MSG_TYPE_STEP_END:10,MSG_TYPE_JSON_INFOS:11,MSG_TYPE_REF:12,MSG_TYPE_CODE:13,MSG_TYPE_UI:14,MSG_TYPE_NEW_MESSAGE:15,MSG_TYPE_FINISHED_MESSAGE:17},senderTypes:{SENDER_TYPES_USER:0,SENDER_TYPES_AI:1,SENDER_TYPES_SYSTEM:2},list:[],tempList:[],currentDiscussion:{},discussionArr:[],loading:!1,filterTitle:"",filterInProgress:!1,isCreated:!1,isCheckbox:!1,isSelectAll:!1,showSaveConfirmation:!1,showBrainConfirmation:!1,showConfirmation:!1,chime:new Audio("chime_aud.wav"),showToast:!1,isSearch:!1,isDiscussionBottom:!1,personalityAvatars:[],fileList:[],database_selectorDialogVisible:!1,isDragOverDiscussion:!1,isDragOverChat:!1,panelCollapsed:!1,isOpen:!1,discussion_id:0}},methods:{add_webpage(){console.log("addWebLink received"),this.$refs.web_url_input_box.showPanel()},handleOk(){console.log("OK"),je.on("web_page_added",()=>{Le.get("/get_current_personality_files_list").then(n=>{this.filesList=n.data.files,console.log("this.filesList",this.filesList),this.isFileSentList=n.data.files.map(e=>!0),console.log(`Files recovered: ${this.filesList}`)})}),je.emit("add_webpage",{url:this.$refs.web_url_input_box.inputText})},show_progress(n){this.progress_visibility_val=!0},hide_progress(n){this.progress_visibility_val=!1},update_progress(n){console.log("Progress update"),this.progress_value=n.value},onSettingsBinding(){try{this.isLoading=!0,Le.get("/get_active_binding_settings").then(n=>{this.isLoading=!1,n&&(n.data&&Object.keys(n.data).length>0?this.$store.state.universalForm.showForm(n.data,"Binding settings - "+bindingEntry.binding.name,"Save changes","Cancel").then(e=>{try{Le.post("/set_active_binding_settings",e).then(t=>{t&&t.data?(console.log("binding set with new settings",t.data),this.$store.state.toast.showToast("Binding settings updated successfully!",4,!0)):(this.$store.state.toast.showToast(`Did not get binding settings responses.
`+t,4,!1),this.isLoading=!1)})}catch(t){this.$store.state.toast.showToast(`Did not get binding settings responses.
Endpoint error: `+t.message,4,!1),this.isLoading=!1}}):(this.$store.state.toast.showToast("Binding has no settings",4,!1),this.isLoading=!1))})}catch(n){this.isLoading=!1,this.$store.state.toast.showToast("Could not open binding settings. Endpoint error: "+n.message,4,!1)}},showDatabaseSelector(){this.database_selectorDialogVisible=!0},async ondatabase_selectorDialogSelected(n){console.log("Selected:",n)},onclosedatabase_selectorDialog(){this.database_selectorDialogVisible=!1},async onvalidatedatabase_selectorChoice(n){if(this.database_selectorDialogVisible=!1,(await Le.post("/select_database",{client_id:this.client_id,name:n},{headers:this.posts_headers})).status){console.log("Selected database"),this.$store.state.config=await Le.get("/get_config"),console.log("new config loaded :",this.$store.state.config);let t=await Le.get("/list_databases").data;console.log("New list of database: ",t),this.$store.state.databases=t,console.log("New list of database: ",this.$store.state.databases),location.reload()}},async addDiscussion2SkillsLibrary(){(await Le.post("/add_discussion_to_skills_library",{client_id:this.client_id},{headers:this.posts_headers})).status&&console.log("done")},async toggleSkillsLib(){this.$store.state.config.activate_skills_lib=!this.$store.state.config.activate_skills_lib,await this.applyConfiguration(),je.emit("upgrade_vectorization")},async applyConfiguration(){this.loading=!0;const n=await Le.post("/apply_settings",{config:this.$store.state.config});this.loading=!1,n.data.status?this.$store.state.toast.showToast("Configuration changed successfully.",4,!0):this.$store.state.toast.showToast("Configuration change failed.",4,!1),Ve(()=>{qe.replace()})},save_configuration(){this.showConfirmation=!1,Le.post("/save_settings",{}).then(n=>{if(n)return n.status?this.$store.state.toast.showToast("Settings saved!",4,!0):this.$store.state.messageBox.showMessage("Error: Couldn't save settings!"),n.data}).catch(n=>(console.log(n.message,"save_configuration"),this.$store.state.messageBox.showMessage("Couldn't save settings!"),{status:!1}))},showToastMessage(n,e,t){console.log("sending",n),this.$store.state.toast.showToast(n,e,t)},togglePanel(){this.panelCollapsed=!this.panelCollapsed},toggleDropdown(){this.isOpen=!this.isOpen},importChatGPT(){},async api_get_req(n){try{const e=await Le.get("/"+n);if(e)return e.data}catch(e){console.log(e.message,"api_get_req");return}},async list_discussions(){try{const n=await Le.get("/list_discussions");if(n)return this.createDiscussionList(n.data),n.data}catch(n){return console.log("Error: Could not list discussions",n.message),[]}},load_discussion(n,e){n&&(console.log("Loading discussion",n),this.loading=!0,this.discussionArr=[],this.setDiscussionLoading(n,this.loading),je.on("discussion",t=>{console.log("Discussion recovered"),this.loading=!1,this.setDiscussionLoading(n,this.loading),t&&(this.discussionArr=t.filter(i=>i.message_type==this.msgTypes.MSG_TYPE_CHUNK||i.message_type==this.msgTypes.MSG_TYPE_FULL||i.message_type==this.msgTypes.MSG_TYPE_FULL_INVISIBLE_TO_AI||i.message_type==this.msgTypes.MSG_TYPE_CODE||i.message_type==this.msgTypes.MSG_TYPE_JSON_INFOS||i.message_type==this.msgTypes.MSG_TYPE_UI),console.log("this.discussionArr"),console.log(this.discussionArr),e&&e()),je.off("discussion")}),je.emit("load_discussion",{id:n}),console.log("here"))},recoverFiles(){console.log("Recovering files"),Le.get("/get_current_personality_files_list").then(n=>{this.$refs.chatBox.filesList=n.data.files,this.$refs.chatBox.isFileSentList=n.data.files.map(e=>!0),console.log(`Files recovered: ${this.$refs.chatBox.filesList}`)})},new_discussion(n){try{this.loading=!0,je.on("discussion_created",e=>{je.off("discussion_created"),this.list_discussions().then(()=>{const t=this.list.findIndex(s=>s.id==e.id),i=this.list[t];this.selectDiscussion(i),this.load_discussion(e.id,()=>{this.loading=!1,this.recoverFiles(),Ve(()=>{const s=document.getElementById("dis-"+e.id);this.scrollToElement(s),console.log("Scrolling tp "+s)})})})}),console.log("new_discussion ",n),je.emit("new_discussion",{title:n})}catch(e){return console.log("Error: Could not create new discussion",e.message),{}}},async delete_discussion(n){try{n&&(this.loading=!0,this.setDiscussionLoading(n,this.loading),await Le.post("/delete_discussion",{client_id:this.client_id,id:n},{headers:this.posts_headers}),this.loading=!1,this.setDiscussionLoading(n,this.loading))}catch(e){console.log("Error: Could not delete discussion",e.message),this.loading=!1,this.setDiscussionLoading(n,this.loading)}},async edit_title(n,e){try{if(n){this.loading=!0,this.setDiscussionLoading(n,this.loading);const t=await Le.post("/edit_title",{client_id:this.client_id,id:n,title:e},{headers:this.posts_headers});if(this.loading=!1,this.setDiscussionLoading(n,this.loading),t.status==200){const i=this.list.findIndex(r=>r.id==n),s=this.list[i];s.title=e,this.tempList=this.list}}}catch(t){console.log("Error: Could not edit title",t.message),this.loading=!1,this.setDiscussionLoading(n,this.loading)}},async make_title(n){try{if(n){this.loading=!0,this.setDiscussionLoading(n,this.loading);const e=await Le.post("/make_title",{client_id:this.client_id,id:n},{headers:this.posts_headers});if(console.log("Making title:",e),this.loading=!1,this.setDiscussionLoading(n,this.loading),e.status==200){const t=this.list.findIndex(s=>s.id==n),i=this.list[t];i.title=e.data.title,this.tempList=this.list}}}catch(e){console.log("Error: Could not edit title",e.message),this.loading=!1,this.setDiscussionLoading(n,this.loading)}},async delete_message(n){try{console.log(typeof n),console.log(typeof this.client_id),console.log(n),console.log(this.client_id);const e=await Le.post("/delete_message",{client_id:this.client_id,id:n},{headers:this.posts_headers});if(e)return e.data}catch(e){return console.log("Error: Could delete message",e.message),{}}},async stop_gen(){try{if(this.discussionArr.length>0){const n=this.discussionArr[this.discussionArr.length-1];n.status_message="Generation canceled"}if(je.emit("cancel_generation"),res)return res.data}catch(n){return console.log("Error: Could not stop generating",n.message),{}}},async message_rank_up(n){try{const e=await Le.post("/message_rank_up",{client_id:this.client_id,id:n},{headers:this.posts_headers});if(e)return e.data}catch(e){return console.log("Error: Could not rank up message",e.message),{}}},async message_rank_down(n){try{const e=await Le.post("/message_rank_down",{client_id:this.client_id,id:n},{headers:this.posts_headers});if(e)return e.data}catch(e){return console.log("Error: Could not rank down message",e.message),{}}},async edit_message(n,e,t){try{console.log(typeof this.client_id),console.log(typeof n),console.log(typeof e),console.log(typeof{audio_url:t});const i=await Le.post("/edit_message",{client_id:this.client_id,id:n,message:e,metadata:[{audio_url:t}]},{headers:this.posts_headers});if(i)return i.data}catch(i){return console.log("Error: Could not update message",i.message),{}}},async export_multiple_discussions(n,e){try{if(n.length>0){const t=await Le.post("/export_multiple_discussions",{discussion_ids:n,export_format:e},{headers:this.posts_headers});if(t)return t.data}}catch(t){return console.log("Error: Could not export multiple discussions",t.message),{}}},async import_multiple_discussions(n){try{if(n.length>0){console.log("sending import",n);const e=await Le.post("/import_multiple_discussions",{jArray:n},{headers:this.posts_headers});if(e)return console.log("import response",e.data),e.data}}catch(e){console.log("Error: Could not import multiple discussions",e.message);return}},filterDiscussions(){this.filterInProgress||(this.filterInProgress=!0,setTimeout(()=>{this.filterTitle?this.list=this.tempList.filter(n=>n.title&&n.title.includes(this.filterTitle)):this.list=this.tempList,this.filterInProgress=!1},100))},async selectDiscussion(n){if(this.isGenerating){this.$store.state.toast.showToast("You are currently generating a text. Please wait for text generation to finish or stop it before trying to select another discussion",4,!1);return}n&&(this.currentDiscussion===void 0?(this.currentDiscussion=n,this.setPageTitle(n),localStorage.setItem("selected_discussion",this.currentDiscussion.id),this.load_discussion(n.id,()=>{this.discussionArr.length>1&&(this.currentDiscussion.title===""||this.currentDiscussion.title===null)&&this.changeTitleUsingUserMSG(this.currentDiscussion.id,this.discussionArr[1].content)})):this.currentDiscussion.id!=n.id&&(console.log("item",n),console.log("this.currentDiscussion",this.currentDiscussion),this.currentDiscussion=n,console.log("this.currentDiscussion",this.currentDiscussion),this.setPageTitle(n),localStorage.setItem("selected_discussion",this.currentDiscussion.id),this.load_discussion(n.id,()=>{this.discussionArr.length>1&&(this.currentDiscussion.title===""||this.currentDiscussion.title===null)&&this.changeTitleUsingUserMSG(this.currentDiscussion.id,this.discussionArr[1].content)})),Ve(()=>{const e=document.getElementById("dis-"+this.currentDiscussion.id);this.scrollToElementInContainer(e,"leftPanel");const t=document.getElementById("messages-list");this.scrollBottom(t)}))},scrollToElement(n){n?n.scrollIntoView({behavior:"smooth",block:"start",inline:"nearest"}):console.log("Error: scrollToElement")},scrollToElementInContainer(n,e){try{const t=n.offsetTop;document.getElementById(e).scrollTo({top:t,behavior:"smooth"})}catch{console.log("error")}},scrollBottom(n){n?n.scrollTo({top:n.scrollHeight,behavior:"smooth"}):console.log("Error: scrollBottom")},scrollTop(n){n?n.scrollTo({top:0,behavior:"smooth"}):console.log("Error: scrollTop")},createUserMsg(n){let e={content:n.message,id:n.id,rank:0,sender:n.user,created_at:n.created_at,steps:[],html_js_s:[],status_message:"Warming up"};this.discussionArr.push(e),Ve(()=>{const t=document.getElementById("messages-list");this.scrollBottom(t)})},updateLastUserMsg(n){const e=this.discussionArr.indexOf(i=>i.id=n.user_id),t={binding:n.binding,content:n.message,created_at:n.created_at,type:n.type,finished_generating_at:n.finished_generating_at,id:n.user_id,model:n.model,personality:n.personality,sender:n.user,steps:[]};e!==-1&&(this.discussionArr[e]=t)},socketIOConnected(){return console.log("socketIOConnected"),this.$store.state.isConnected=!0,this.$store.state.client_id=je.id,!0},socketIODisconnected(){return console.log("socketIOConnected"),this.currentDiscussion=null,this.$store.dispatch("refreshModels"),this.$store.state.isConnected=!1,!0},new_message(n){n.sender_type==this.SENDER_TYPES_AI&&(this.isGenerating=!0),console.log("Making a new message"),console.log("New message",n);let e={sender:n.sender,message_type:n.message_type,sender_type:n.sender_type,content:n.content,id:n.id,discussion_id:n.discussion_id,parent_id:n.parent_id,binding:n.binding,model:n.model,personality:n.personality,created_at:n.created_at,finished_generating_at:n.finished_generating_at,rank:0,ui:n.ui,steps:[],parameters:n.parameters,metadata:n.metadata,open:n.open};e.status_message="Warming up",console.log(e),this.discussionArr.push(e),(this.currentDiscussion.title===""||this.currentDiscussion.title===null)&&this.changeTitleUsingUserMSG(this.currentDiscussion.id,n.message),console.log("infos",n)},talk(n){this.isGenerating=!0,this.setDiscussionLoading(this.currentDiscussion.id,this.isGenerating),Le.get("/get_generation_status",{}).then(e=>{e&&(e.data.status?console.log("Already generating"):(console.log("Generating message from ",e.data.status),je.emit("generate_msg_from",{id:-1}),this.discussionArr.length>0&&Number(this.discussionArr[this.discussionArr.length-1].id)+1))}).catch(e=>{console.log("Error: Could not get generation status",e)})},createEmptyUserMessage(n){je.emit("create_empty_message",{type:0,message:n})},createEmptyAIMessage(){je.emit("create_empty_message",{type:1})},sendMsg(n,e){if(!n){this.$store.state.toast.showToast("Message contains no content!",4,!1);return}this.isGenerating=!0,this.setDiscussionLoading(this.currentDiscussion.id,this.isGenerating),Le.get("/get_generation_status",{}).then(t=>{if(t)if(t.data.status)console.log("Already generating");else{e=="internet"?je.emit("generate_msg_with_internet",{prompt:n}):je.emit("generate_msg",{prompt:n});let i=0;this.discussionArr.length>0&&(i=Number(this.discussionArr[this.discussionArr.length-1].id)+1);let s={message:n,id:i,rank:0,user:this.$store.state.config.user_name,created_at:new Date().toLocaleString(),sender:this.$store.state.config.user_name,message_type:this.msgTypes.MSG_TYPE_FULL,sender_type:this.senderTypes.SENDER_TYPES_USER,content:n,id:i,discussion_id:this.discussion_id,parent_id:i,binding:"",model:"",personality:"",created_at:new Date().toLocaleString(),finished_generating_at:new Date().toLocaleString(),rank:0,steps:[],parameters:null,metadata:[],ui:null};this.createUserMsg(s)}}).catch(t=>{console.log("Error: Could not get generation status",t)})},sendCmd(n){this.isGenerating=!0,je.emit("execute_command",{command:n,parameters:[]})},notify(n){self.isGenerating=!1,this.setDiscussionLoading(this.currentDiscussion.id,this.isGenerating),Ve(()=>{const e=document.getElementById("messages-list");this.scrollBottom(e)}),n.display_type==0?this.$store.state.toast.showToast(n.content,n.duration,n.notification_type):n.display_type==1?this.$store.state.messageBox.showMessage(n.content):n.display_type==2?(this.$store.state.messageBox.hideMessage(),this.$store.state.yesNoDialog.askQuestion(n.content,"Yes","No").then(e=>{je.emit("yesNoRes",{yesRes:e})})):n.display_type==3?this.$store.state.messageBox.showBlockingMessage(n.content):n.display_type==4&&this.$store.state.messageBox.hideMessage(),this.chime.play()},streamMessageContent(n){if(this.discussion_id=n.discussion_id,this.setDiscussionLoading(this.discussion_id,!0),this.currentDiscussion.id==this.discussion_id){const e=this.discussionArr.findIndex(i=>i.id==n.id),t=this.discussionArr[e];if(t&&(n.message_type==this.msgTypes.MSG_TYPE_FULL||n.message_type==this.msgTypes.MSG_TYPE_FULL_INVISIBLE_TO_AI))t.content=n.content,t.finished_generating_at=n.finished_generating_at;else if(t&&n.message_type==this.msgTypes.MSG_TYPE_CHUNK)this.isGenerating=!0,t.content+=n.content;else if(n.message_type==this.msgTypes.MSG_TYPE_STEP)t.status_message=n.content,t.steps.push({message:n.content,done:!0,status:!0,type:"instantanious"});else if(n.message_type==this.msgTypes.MSG_TYPE_STEP_START)t.status_message=n.content,t.steps.push({message:n.content,done:!1,status:!0,type:"start_end"});else if(n.message_type==this.msgTypes.MSG_TYPE_STEP_END){console.log("received step end",n);try{const i=t.steps.find(s=>s.message===n.content);if(i){i.done=!0;try{console.log(n.parameters);const s=n.parameters;s!=null&&(i.status=s.status,console.log(s))}catch(s){console.error("Error parsing JSON:",s.message)}}}catch{console.log("error")}}else n.message_type==this.msgTypes.MSG_TYPE_JSON_INFOS?(console.log("JSON message"),console.log(n.metadata),t.metadata=n.metadata):n.message_type==this.msgTypes.MSG_TYPE_UI?(console.log("UI message"),t.ui=n.ui,console.log(t.ui)):n.message_type==this.msgTypes.MSG_TYPE_EXCEPTION&&this.$store.state.toast.showToast(n.content,5,!1)}this.$nextTick(()=>{qe.replace()})},async changeTitleUsingUserMSG(n,e){const t=this.list.findIndex(s=>s.id==n),i=this.list[t];e&&(i.title=e,this.tempList=this.list,await this.edit_title(n,e))},async createNewDiscussion(){this.new_discussion(null)},loadLastUsedDiscussion(){console.log("Loading last discussion");const n=localStorage.getItem("selected_discussion");if(console.log("Last discussion id: ",n),n){const e=this.list.findIndex(i=>i.id==n),t=this.list[e];t&&this.selectDiscussion(t)}},onCopyPersonalityName(n){this.$store.state.toast.showToast("Copied name to clipboard!",4,!0),navigator.clipboard.writeText(n.name)},async deleteDiscussion(n){await this.delete_discussion(n),this.currentDiscussion.id==n&&(this.currentDiscussion={},this.discussionArr=[],this.setPageTitle()),this.list.splice(this.list.findIndex(e=>e.id==n),1),this.createDiscussionList(this.list)},async deleteDiscussionMulti(){const n=this.selectedDiscussions;for(let e=0;e<n.length;e++){const t=n[e];await this.delete_discussion(t.id),this.currentDiscussion.id==t.id&&(this.currentDiscussion={},this.discussionArr=[],this.setPageTitle()),this.list.splice(this.list.findIndex(i=>i.id==t.id),1)}this.tempList=this.list,this.isCheckbox=!1,this.$store.state.toast.showToast("Removed ("+n.length+") items",4,!0),this.showConfirmation=!1,console.log("Multi delete done")},async deleteMessage(n){await this.delete_message(n).then(()=>{this.discussionArr.splice(this.discussionArr.findIndex(e=>e.id==n),1)}).catch(()=>{this.$store.state.toast.showToast("Could not remove message",4,!1),console.log("Error: Could not delete message")})},async editTitle(n){const e=this.list.findIndex(i=>i.id==n.id),t=this.list[e];t.title=n.title,t.loading=!0,await this.edit_title(n.id,n.title),t.loading=!1},async makeTitle(n){this.list.findIndex(e=>e.id==n.id),await this.make_title(n.id)},checkUncheckDiscussion(n,e){const t=this.list.findIndex(s=>s.id==e),i=this.list[t];i.checkBoxValue=n.target.checked,this.tempList=this.list},selectAllDiscussions(){this.isSelectAll=!this.tempList.filter(n=>n.checkBoxValue==!1).length>0;for(let n=0;n<this.tempList.length;n++)this.tempList[n].checkBoxValue=!this.isSelectAll;this.tempList=this.list,this.isSelectAll=!this.isSelectAll},createDiscussionList(n){if(n){const e=n.map(t=>({id:t.id,title:t.title,selected:!1,loading:!1,checkBoxValue:!1})).sort(function(t,i){return i.id-t.id});this.list=e,this.tempList=e}},setDiscussionLoading(n,e){try{const t=this.list.findIndex(s=>s.id==n),i=this.list[t];i.loading=e}catch{console.log("Error setting discussion loading")}},setPageTitle(n){if(n)if(n.id){const e=n.title?n.title==="untitled"?"New discussion":n.title:"New discussion";document.title="LoLLMS WebUI - "+e}else{const e=n||"Welcome";document.title="LoLLMS WebUI - "+e}else{const e=n||"Welcome";document.title="LoLLMS WebUI - "+e}},async rankUpMessage(n){await this.message_rank_up(n).then(e=>{const t=this.discussionArr[this.discussionArr.findIndex(i=>i.id==n)];t.rank=e.new_rank}).catch(()=>{this.$store.state.toast.showToast("Could not rank up message",4,!1),console.log("Error: Could not rank up message")})},async rankDownMessage(n){await this.message_rank_down(n).then(e=>{const t=this.discussionArr[this.discussionArr.findIndex(i=>i.id==n)];t.rank=e.new_rank}).catch(()=>{this.$store.state.toast.showToast("Could not rank down message",4,!1),console.log("Error: Could not rank down message")})},async updateMessage(n,e,t){await this.edit_message(n,e,t).then(()=>{const i=this.discussionArr[this.discussionArr.findIndex(s=>s.id==n)];i.content=e}).catch(()=>{this.$store.state.toast.showToast("Could not update message",4,!1),console.log("Error: Could not update message")})},resendMessage(n,e,t){Ve(()=>{qe.replace()}),this.isGenerating=!0,this.setDiscussionLoading(this.currentDiscussion.id,this.isGenerating),Le.get("/get_generation_status",{}).then(i=>{i&&(i.data.status?(this.$store.state.toast.showToast("The server is busy. Wait",4,!1),console.log("Already generating")):je.emit("generate_msg_from",{prompt:e,id:n,msg_type:t}))}).catch(i=>{console.log("Error: Could not get generation status",i)})},continueMessage(n,e){Ve(()=>{qe.replace()}),this.isGenerating=!0,this.setDiscussionLoading(this.currentDiscussion.id,this.isGenerating),Le.get("/get_generation_status",{}).then(t=>{t&&(t.data.status?console.log("Already generating"):je.emit("continue_generate_msg_from",{prompt:e,id:n}))}).catch(t=>{console.log("Error: Could not get generation status",t)})},stopGenerating(){this.stop_gen(),this.isGenerating=!1,this.setDiscussionLoading(this.currentDiscussion.id,this.isGenerating),console.log("Stopped generating"),Ve(()=>{const n=document.getElementById("messages-list");this.scrollBottom(n)})},finalMsgEvent(n){if(console.log("final",n),this.discussion_id=n.discussion_id,this.currentDiscussion.id==this.discussion_id){const i=this.discussionArr.findIndex(s=>s.id==n.id);this.discussionArr[i].content=n.content,this.discussionArr[i].finished_generating_at=n.finished_generating_at}Ve(()=>{const i=document.getElementById("messages-list");this.scrollBottom(i)}),this.isGenerating=!1,this.setDiscussionLoading(this.currentDiscussion.id,this.isGenerating),this.chime.play();const e=this.discussionArr.findIndex(i=>i.id==n.id),t=this.discussionArr[e];t.status_message="Done"},copyToClipBoard(n){let e="";if(n.message.content&&(e=n.message.content),this.$store.state.config.copy_to_clipboard_add_all_details){let t="";n.message.binding&&(t=`Binding: ${n.message.binding}`);let i="";n.message.personality&&(i=`
Personality: ${n.message.personality}`);let s="";n.created_at_parsed&&(s=`
Created: ${n.created_at_parsed}`);let r="";n.message.model&&(r=`Model: ${n.message.model}`);let o="";n.message.seed&&(o=`Seed: ${n.message.seed}`);let a="";n.time_spent&&(a=`
Time spent: ${n.time_spent}`);let l="";l=`${t} ${r} ${o} ${a}`.trim();const d=`${n.message.sender}${i}${s}
${e}
${l}`;navigator.clipboard.writeText(d)}else navigator.clipboard.writeText(e);this.$store.state.toast.showToast("Copied to clipboard successfully",4,!0),Ve(()=>{qe.replace()})},closeToast(){this.showToast=!1},saveJSONtoFile(n,e){e=e||"data.json";const t=document.createElement("a");t.href=URL.createObjectURL(new Blob([JSON.stringify(n,null,2)],{type:"text/plain"})),t.setAttribute("download",e),document.body.appendChild(t),t.click(),document.body.removeChild(t)},saveMarkdowntoFile(n,e){e=e||"data.md";const t=document.createElement("a");t.href=URL.createObjectURL(new Blob([n],{type:"text/plain"})),t.setAttribute("download",e),document.body.appendChild(t),t.click(),document.body.removeChild(t)},parseJsonObj(n){try{return JSON.parse(n)}catch(e){return this.$store.state.toast.showToast(`Could not parse JSON.
`+e.message,4,!1),null}},async parseJsonFile(n){return new Promise((e,t)=>{const i=new FileReader;i.onload=s=>e(this.parseJsonObj(s.target.result)),i.onerror=s=>t(s),i.readAsText(n)})},async exportDiscussionsAsMarkdown(){const n=this.list.filter(e=>e.checkBoxValue==!0).map(e=>e.id);if(n.length>0){console.log("export",n);let e=new Date;const t=e.getFullYear(),i=(e.getMonth()+1).toString().padStart(2,"0"),s=e.getDate().toString().padStart(2,"0"),r=e.getHours().toString().padStart(2,"0"),o=e.getMinutes().toString().padStart(2,"0"),a=e.getSeconds().toString().padStart(2,"0"),d="discussions_export_"+(t+"."+i+"."+s+"."+r+o+a)+".md";this.loading=!0;const c=await this.export_multiple_discussions(n,"markdown");c?(this.saveMarkdowntoFile(c,d),this.$store.state.toast.showToast("Successfully exported",4,!0),this.isCheckbox=!1):this.$store.state.toast.showToast("Failed to export discussions",4,!1),this.loading=!1}},async exportDiscussionsAsJson(){const n=this.list.filter(e=>e.checkBoxValue==!0).map(e=>e.id);if(n.length>0){console.log("export",n);let e=new Date;const t=e.getFullYear(),i=(e.getMonth()+1).toString().padStart(2,"0"),s=e.getDate().toString().padStart(2,"0"),r=e.getHours().toString().padStart(2,"0"),o=e.getMinutes().toString().padStart(2,"0"),a=e.getSeconds().toString().padStart(2,"0"),d="discussions_export_"+(t+"."+i+"."+s+"."+r+o+a)+".json";this.loading=!0;const c=await this.export_multiple_discussions(n,"json");c?(this.saveJSONtoFile(c,d),this.$store.state.toast.showToast("Successfully exported",4,!0),this.isCheckbox=!1):this.$store.state.toast.showToast("Failed to export discussions",4,!1),this.loading=!1}},async importDiscussions(n){const e=await this.parseJsonFile(n.target.files[0]);await this.import_multiple_discussions(e)?(this.$store.state.toast.showToast("Successfully imported ("+e.length+")",4,!0),await this.list_discussions()):this.$store.state.toast.showToast("Failed to import discussions",4,!1)},async getPersonalityAvatars(){for(;this.$store.state.personalities===null;)await new Promise(e=>setTimeout(e,100));let n=this.$store.state.personalities;this.personalityAvatars=n.map(e=>({name:e.name,avatar:e.avatar}))},getAvatar(n){if(n.toLowerCase().trim()==this.$store.state.config.user_name.toLowerCase().trim())return"user_infos/"+this.$store.state.config.user_avatar;const e=this.personalityAvatars.findIndex(i=>i.name===n),t=this.personalityAvatars[e];if(t)return console.log("Avatar",t.avatar),t.avatar},setFileListChat(n){try{this.$refs.chatBox.fileList=this.$refs.chatBox.fileList.concat(n)}catch(e){this.$store.state.toast.showToast(`Failed to set filelist in chatbox
`+e.message,4,!1)}this.isDragOverChat=!1},async setFileListDiscussion(n){if(n.length>1){this.$store.state.toast.showToast("Failed to import discussions. Too many files",4,!1);return}const e=await this.parseJsonFile(n[0]);await this.import_multiple_discussions(e)?(this.$store.state.toast.showToast("Successfully imported ("+e.length+")",4,!0),await this.list_discussions()):this.$store.state.toast.showToast("Failed to import discussions",4,!1),this.isDragOverDiscussion=!1}},async created(){for(this.$nextTick(()=>{qe.replace()}),je.on("disucssion_renamed",n=>{console.log("Received new title",n.discussion_id,n.title);const e=this.list.findIndex(i=>i.id==n.discussion_id),t=this.list[e];t.title=n.title}),je.onclose=n=>{console.log("WebSocket connection closed:",n.code,n.reason),this.socketIODisconnected()},je.on("connect_error",n=>{n.message==="ERR_CONNECTION_REFUSED"?console.error("Connection refused. The server is not available."):console.error("Connection error:",n),this.$store.state.isConnected=!1}),je.onerror=n=>{console.log("WebSocket connection error:",n.code,n.reason),this.socketIODisconnected(),je.disconnect()},je.on("connected",this.socketIOConnected),je.on("disconnected",this.socketIODisconnected),console.log("Added events"),console.log("Waiting to be ready");this.$store.state.ready===!1;)await new Promise(n=>setTimeout(n,100)),console.log(this.$store.state.ready);console.log("Ready"),this.setPageTitle(),await this.list_discussions(),this.loadLastUsedDiscussion(),je.on("show_progress",this.show_progress),je.on("hide_progress",this.hide_progress),je.on("update_progress",this.update_progress),je.on("notification",this.notify),je.on("new_message",this.new_message),je.on("update_message",this.streamMessageContent),je.on("close_message",this.finalMsgEvent),je.onopen=()=>{console.log("WebSocket connection established."),this.currentDiscussion!=null&&(this.setPageTitle(item),localStorage.setItem("selected_discussion",this.currentDiscussion.id),this.load_discussion(item.id,()=>{this.discussionArr.length>1&&(this.currentDiscussion.title===""||this.currentDiscussion.title===null)&&this.changeTitleUsingUserMSG(this.currentDiscussion.id,this.discussionArr[1].content)}))},this.isCreated=!0},async mounted(){this.$nextTick(()=>{qe.replace()})},async activated(){for(;this.isReady===!1;)await new Promise(n=>setTimeout(n,100));await this.getPersonalityAvatars(),console.log("Avatars found:",this.personalityAvatars),this.isCreated&&Ve(()=>{const n=document.getElementById("messages-list");this.scrollBottom(n)}),this.$store.state.config.show_news_panel&&this.$store.state.news.show()},components:{Discussion:gO,Message:vO,ChatBox:yO,WelcomeComponent:SO,ChoiceDialog:AE,ProgressBar:ic,InputBox:bO},watch:{progress_visibility_val(n){console.log("progress_visibility changed")},filterTitle(n){n==""&&(this.filterInProgress=!0,this.list=this.tempList,this.filterInProgress=!1)},isCheckbox(n){Ve(()=>{qe.replace()}),n||(this.isSelectAll=!1)},socketConnected(n){console.log("Websocket connected (watch)",n)},showConfirmation(){Ve(()=>{qe.replace()})},isSearch(){Ve(()=>{qe.replace()})}},computed:{progress_visibility:{get(){return self.progress_visibility_val}},version_info:{get(){return this.$store.state.version!=null&&this.$store.state.version!="unknown"?" v"+this.$store.state.version:""}},loading_infos:{get(){return this.$store.state.loading_infos}},loading_progress:{get(){return this.$store.state.loading_progress}},isModelOk:{get(){return this.$store.state.isModelOk},set(n){this.$store.state.isModelOk=n}},isGenerating:{get(){return this.$store.state.isGenerating},set(n){this.$store.state.isGenerating=n}},formatted_database_name(){return this.$store.state.config.discussion_db_name},UseDiscussionHistory(){return this.$store.state.config.activate_skills_lib},isReady:{get(){return this.$store.state.ready}},databases(){return this.$store.state.databases},client_id(){return je.id},isReady(){return console.log("verify ready",this.isCreated),this.isCreated},showPanel(){return this.$store.state.ready&&!this.panelCollapsed},socketConnected(){return console.log(" --- > Websocket connected"),this.$store.commit("setIsConnected",!0),!0},socketDisconnected(){return this.$store.commit("setIsConnected",!1),console.log(" --- > Websocket disconnected"),!0},selectedDiscussions(){return Ve(()=>{qe.replace()}),this.list.filter(n=>n.checkBoxValue==!0)}}},rTt=Object.assign(sTt,{__name:"DiscussionsView",setup(n){return qs(()=>{VO()}),Le.defaults.baseURL="/",(e,t)=>(N(),M($e,null,[Ie(as,{name:"fade-and-fly"},{default:tt(()=>[e.isReady?q("",!0):(N(),M("div",ASt,[u("div",wSt,[u("div",NSt,[u("div",OSt,[ISt,u("div",MSt,[u("p",DSt,"LoLLMS "+ge(e.version_info),1),kSt,LSt])]),PSt,USt,u("div",FSt,[Ie(ic,{ref:"loading_progress",progress:e.loading_progress},null,8,["progress"]),u("p",BSt,ge(e.loading_infos)+" ...",1)])])])]))]),_:1}),e.isReady?(N(),M("button",{key:0,onClick:t[0]||(t[0]=(...i)=>e.togglePanel&&e.togglePanel(...i)),class:"absolute top-0 left-0 z-50 p-2 m-2 bg-white rounded-full shadow-md bg-bg-light-tone dark:bg-bg-dark-tone hover:bg-primary-light dark:hover:bg-primary"},[le(u("div",null,zSt,512),[[Mt,e.panelCollapsed]]),le(u("div",null,HSt,512),[[Mt,!e.panelCollapsed]])])):q("",!0),Ie(as,{name:"slide-right"},{default:tt(()=>[e.showPanel?(N(),M("div",qSt,[u("div",{id:"leftPanel",class:"flex flex-col flex-grow overflow-y-scroll no-scrollbar",onDragover:t[24]||(t[24]=xe(i=>e.setDropZoneDiscussion(),["stop","prevent"]))},[u("div",YSt,[u("div",$St,[u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Create new discussion",type:"button",onClick:t[1]||(t[1]=i=>e.createNewDiscussion())},KSt),u("button",{class:Ye(["text-2xl hover:text-secondary duration-75 active:scale-90",e.isCheckbox?"text-secondary":""]),title:"Edit discussion list",type:"button",onClick:t[2]||(t[2]=i=>e.isCheckbox=!e.isCheckbox)},QSt,2),XSt,u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Export database",type:"button",onClick:t[3]||(t[3]=xe(i=>e.database_selectorDialogVisible=!0,["stop"]))},JSt),u("input",{type:"file",ref:"fileDialog",style:{display:"none"},onChange:t[4]||(t[4]=(...i)=>e.importDiscussions&&e.importDiscussions(...i))},null,544),u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90 rotate-90",title:"Import discussions",type:"button",onClick:t[5]||(t[5]=xe(i=>e.$refs.fileDialog.click(),["stop"]))},t0t),e.isOpen?(N(),M("div",n0t,[u("button",{onClick:t[6]||(t[6]=(...i)=>e.importDiscussions&&e.importDiscussions(...i))},"LOLLMS"),u("button",{onClick:t[7]||(t[7]=(...i)=>e.importChatGPT&&e.importChatGPT(...i))},"ChatGPT")])):q("",!0),u("button",{class:Ye(["text-2xl hover:text-secondary duration-75 active:scale-90",e.isSearch?"text-secondary":""]),title:"Filter discussions",type:"button",onClick:t[8]||(t[8]=i=>e.isSearch=!e.isSearch)},s0t,2),e.showSaveConfirmation?q("",!0):(N(),M("button",{key:1,title:"Save configuration",class:"text-2xl hover:text-secondary duration-75 active:scale-90",onClick:t[9]||(t[9]=i=>e.showSaveConfirmation=!0)},o0t)),e.showSaveConfirmation?(N(),M("div",a0t,[u("button",{class:"text-2xl hover:text-red-600 duration-75 active:scale-90",title:"Cancel",type:"button",onClick:t[10]||(t[10]=xe(i=>e.showSaveConfirmation=!1,["stop"]))},c0t),u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Confirm save changes",type:"button",onClick:t[11]||(t[11]=xe(i=>e.save_configuration(),["stop"]))},u0t)])):q("",!0),e.loading?q("",!0):(N(),M("button",{key:3,type:"button",onClick:t[12]||(t[12]=xe((...i)=>e.addDiscussion2SkillsLibrary&&e.addDiscussion2SkillsLibrary(...i),["stop"])),title:"Add this discussion content to skills database",class:"w-6 text-blue-400 hover:text-secondary duration-75 active:scale-90"},[u("img",{src:Tt(HO)},null,8,p0t)])),!e.loading&&e.$store.state.config.activate_skills_lib?(N(),M("button",{key:4,type:"button",onClick:t[13]||(t[13]=xe((...i)=>e.toggleSkillsLib&&e.toggleSkillsLib(...i),["stop"])),title:"Skills database is activated",class:"w-6 text-blue-400 hover:text-secondary duration-75 active:scale-90"},[u("img",{src:Tt(qO)},null,8,_0t)])):q("",!0),!e.loading&&!e.$store.state.config.activate_skills_lib?(N(),M("button",{key:5,type:"button",onClick:t[14]||(t[14]=xe((...i)=>e.toggleSkillsLib&&e.toggleSkillsLib(...i),["stop"])),title:"Skills database is deactivated",class:"w-6 text-blue-400 hover:text-secondary duration-75 active:scale-90"},[u("img",{src:Tt(YO)},null,8,h0t)])):q("",!0),e.loading?(N(),M("div",f0t,g0t)):q("",!0)]),e.isSearch?(N(),M("div",b0t,[u("div",E0t,[u("div",v0t,[y0t,u("div",S0t,[u("div",{class:Ye(["hover:text-secondary duration-75 active:scale-90",e.filterTitle?"visible":"invisible"]),title:"Clear",onClick:t[15]||(t[15]=i=>e.filterTitle="")},x0t,2)]),le(u("input",{type:"search",id:"default-search",class:"block w-full p-2 pl-10 pr-10 text-sm border border-gray-300 rounded-lg bg-bg-light focus:ring-secondary focus:border-secondary dark:bg-bg-dark dark:border-gray-600 dark:placeholder-gray-400 dark:focus:ring-secondary dark:focus:border-secondary",placeholder:"Search...",title:"Filter discussions by title","onUpdate:modelValue":t[16]||(t[16]=i=>e.filterTitle=i),onInput:t[17]||(t[17]=i=>e.filterDiscussions())},null,544),[[Pe,e.filterTitle]])])])])):q("",!0),e.isCheckbox?(N(),M("hr",C0t)):q("",!0),e.isCheckbox?(N(),M("div",R0t,[u("div",A0t,[e.selectedDiscussions.length>0?(N(),M("p",w0t,"Selected: "+ge(e.selectedDiscussions.length),1)):q("",!0)]),u("div",N0t,[e.selectedDiscussions.length>0?(N(),M("div",O0t,[e.showConfirmation?q("",!0):(N(),M("button",{key:0,class:"flex mx-3 flex-1 text-2xl hover:text-red-600 duration-75 active:scale-90",title:"Remove selected",type:"button",onClick:t[18]||(t[18]=xe(i=>e.showConfirmation=!0,["stop"]))},M0t)),e.showConfirmation?(N(),M("div",D0t,[u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Confirm removal",type:"button",onClick:t[19]||(t[19]=xe((...i)=>e.deleteDiscussionMulti&&e.deleteDiscussionMulti(...i),["stop"]))},L0t),u("button",{class:"text-2xl hover:text-red-600 duration-75 active:scale-90",title:"Cancel removal",type:"button",onClick:t[20]||(t[20]=xe(i=>e.showConfirmation=!1,["stop"]))},U0t)])):q("",!0)])):q("",!0),u("div",F0t,[u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90 rotate-90",title:"Export selected to a json file",type:"button",onClick:t[21]||(t[21]=xe((...i)=>e.exportDiscussionsAsJson&&e.exportDiscussionsAsJson(...i),["stop"]))},G0t),u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90 rotate-90",title:"Export selected to a martkdown file",type:"button",onClick:t[22]||(t[22]=xe((...i)=>e.exportDiscussionsAsMarkdown&&e.exportDiscussionsAsMarkdown(...i),["stop"]))},V0t),u("button",{class:"text-2xl hover:text-secondary duration-75 active:scale-90",title:"Select All",type:"button",onClick:t[23]||(t[23]=xe((...i)=>e.selectAllDiscussions&&e.selectAllDiscussions(...i),["stop"]))},q0t)])])])):q("",!0)]),u("div",Y0t,[u("div",{class:Ye(["mx-4 flex flex-col flex-grow w-full",e.isDragOverDiscussion?"pointer-events-none":""])},[u("div",{id:"dis-list",class:Ye([e.filterInProgress?"opacity-20 pointer-events-none":"","flex flex-col flex-grow w-full"])},[e.list.length>0?(N(),Ot(Ls,{key:0,name:"list"},{default:tt(()=>[(N(!0),M($e,null,dt(e.list,(i,s)=>(N(),Ot(gO,{key:i.id,id:i.id,title:i.title,selected:e.currentDiscussion.id==i.id,loading:i.loading,isCheckbox:e.isCheckbox,checkBoxValue:i.checkBoxValue,onSelect:r=>e.selectDiscussion(i),onDelete:r=>e.deleteDiscussion(i.id),onEditTitle:e.editTitle,onMakeTitle:e.makeTitle,onChecked:e.checkUncheckDiscussion},null,8,["id","title","selected","loading","isCheckbox","checkBoxValue","onSelect","onDelete","onEditTitle","onMakeTitle","onChecked"]))),128))]),_:1})):q("",!0),e.list.length<1?(N(),M("div",$0t,K0t)):q("",!0),j0t],2)],2)])],32),u("div",{class:"absolute bottom-0 left-0 w-full bg-blue-200 dark:bg-blue-800 text-white py-2 cursor-pointer hover:text-green-500",onClick:t[25]||(t[25]=(...i)=>e.showDatabaseSelector&&e.showDatabaseSelector(...i))},[u("p",Q0t,"Current database: "+ge(e.formatted_database_name),1)])])):q("",!0)]),_:1}),e.isReady?(N(),M("div",X0t,[u("div",{id:"messages-list",class:Ye(["w-full z-0 flex flex-col flex-grow overflow-y-auto scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary",e.isDragOverChat?"pointer-events-none":""])},[u("div",Z0t,[e.discussionArr.length>0?(N(),Ot(Ls,{key:0,name:"list"},{default:tt(()=>[(N(!0),M($e,null,dt(e.discussionArr,(i,s)=>(N(),Ot(vO,{key:i.id,message:i,id:"msg-"+i.id,host:e.host,ref_for:!0,ref:"messages",onCopy:e.copyToClipBoard,onDelete:e.deleteMessage,onRankUp:e.rankUpMessage,onRankDown:e.rankDownMessage,onUpdateMessage:e.updateMessage,onResendMessage:e.resendMessage,onContinueMessage:e.continueMessage,avatar:e.getAvatar(i.sender)},null,8,["message","id","host","onCopy","onDelete","onRankUp","onRankDown","onUpdateMessage","onResendMessage","onContinueMessage","avatar"]))),128))]),_:1})):q("",!0),e.currentDiscussion.id?q("",!0):(N(),Ot(SO,{key:1})),J0t]),eTt,e.currentDiscussion.id?(N(),M("div",tTt,[Ie(yO,{ref:"chatBox",loading:e.isGenerating,discussionList:e.discussionArr,"on-show-toast-message":e.showToastMessage,"on-talk":e.talk,onPersonalitySelected:e.recoverFiles,onMessageSentEvent:e.sendMsg,onSendCMDEvent:e.sendCmd,onAddWebLink:e.add_webpage,onCreateEmptyUserMessage:e.createEmptyUserMessage,onCreateEmptyAIMessage:e.createEmptyAIMessage,onStopGenerating:e.stopGenerating,onLoaded:e.recoverFiles},null,8,["loading","discussionList","on-show-toast-message","on-talk","onPersonalitySelected","onMessageSentEvent","onSendCMDEvent","onAddWebLink","onCreateEmptyUserMessage","onCreateEmptyAIMessage","onStopGenerating","onLoaded"])])):q("",!0)],2)])):q("",!0),Ie(AE,{reference:"database_selector",class:"z-20",show:e.database_selectorDialogVisible,choices:e.databases,onChoiceSelected:e.ondatabase_selectorDialogSelected,onCloseDialog:e.onclosedatabase_selectorDialog,onChoiceValidated:e.onvalidatedatabase_selectorChoice},null,8,["show","choices","onChoiceSelected","onCloseDialog","onChoiceValidated"]),le(u("div",nTt,[Ie(ic,{ref:"progress",progress:e.progress_value,class:"w-full h-4"},null,8,["progress"]),u("p",iTt,ge(e.loading_infos)+" ...",1)],512),[[Mt,e.progress_visibility]]),Ie(bO,{"prompt-text":"Enter the url to the page to use as discussion support",onOk:e.handleOk,ref:"web_url_input_box"},null,8,["onOk"])],64))}}),oTt=bt(rTt,[["__scopeId","data-v-d648f041"]]);/**
* @license
* Copyright 2010-2023 Three.js Authors
* SPDX-License-Identifier: MIT
*/const KE="159",aTt=0,VC=1,lTt=2,$O=1,cTt=2,Os=3,Vs=0,Zn=1,Ji=2,yr=0,aa=1,HC=2,qC=3,YC=4,dTt=5,Jr=100,uTt=101,pTt=102,$C=103,WC=104,_Tt=200,hTt=201,fTt=202,mTt=203,ob=204,ab=205,gTt=206,bTt=207,ETt=208,vTt=209,yTt=210,STt=211,TTt=212,xTt=213,CTt=214,RTt=0,ATt=1,wTt=2,mu=3,NTt=4,OTt=5,ITt=6,MTt=7,jE=0,DTt=1,kTt=2,Sr=0,LTt=1,PTt=2,UTt=3,FTt=4,BTt=5,KC="attached",GTt="detached",WO=300,xa=301,Ca=302,lb=303,cb=304,dp=306,Ra=1e3,gi=1001,gu=1002,En=1003,db=1004,Vd=1005,jn=1006,KO=1007,go=1008,Tr=1009,zTt=1010,VTt=1011,QE=1012,jO=1013,gr=1014,Ds=1015,oc=1016,QO=1017,XO=1018,lo=1020,HTt=1021,bi=1023,qTt=1024,YTt=1025,co=1026,Aa=1027,$Tt=1028,ZO=1029,WTt=1030,JO=1031,eI=1033,Fm=33776,Bm=33777,Gm=33778,zm=33779,jC=35840,QC=35841,XC=35842,ZC=35843,tI=36196,JC=37492,e1=37496,t1=37808,n1=37809,i1=37810,s1=37811,r1=37812,o1=37813,a1=37814,l1=37815,c1=37816,d1=37817,u1=37818,p1=37819,_1=37820,h1=37821,Vm=36492,f1=36494,m1=36495,KTt=36283,g1=36284,b1=36285,E1=36286,ac=2300,wa=2301,Hm=2302,v1=2400,y1=2401,S1=2402,jTt=2500,QTt=0,nI=1,ub=2,iI=3e3,uo=3001,XTt=3200,ZTt=3201,XE=0,JTt=1,Ei="",rn="srgb",Nn="srgb-linear",ZE="display-p3",up="display-p3-linear",bu="linear",Xt="srgb",Eu="rec709",vu="p3",Oo=7680,T1=519,ext=512,txt=513,nxt=514,sI=515,ixt=516,sxt=517,rxt=518,oxt=519,pb=35044,x1="300 es",_b=1035,ks=2e3,yu=2001;class ja{addEventListener(e,t){this._listeners===void 0&&(this._listeners={});const i=this._listeners;i[e]===void 0&&(i[e]=[]),i[e].indexOf(t)===-1&&i[e].push(t)}hasEventListener(e,t){if(this._listeners===void 0)return!1;const i=this._listeners;return i[e]!==void 0&&i[e].indexOf(t)!==-1}removeEventListener(e,t){if(this._listeners===void 0)return;const s=this._listeners[e];if(s!==void 0){const r=s.indexOf(t);r!==-1&&s.splice(r,1)}}dispatchEvent(e){if(this._listeners===void 0)return;const i=this._listeners[e.type];if(i!==void 0){e.target=this;const s=i.slice(0);for(let r=0,o=s.length;r<o;r++)s[r].call(this,e);e.target=null}}}const In=["00","01","02","03","04","05","06","07","08","09","0a","0b","0c","0d","0e","0f","10","11","12","13","14","15","16","17","18","19","1a","1b","1c","1d","1e","1f","20","21","22","23","24","25","26","27","28","29","2a","2b","2c","2d","2e","2f","30","31","32","33","34","35","36","37","38","39","3a","3b","3c","3d","3e","3f","40","41","42","43","44","45","46","47","48","49","4a","4b","4c","4d","4e","4f","50","51","52","53","54","55","56","57","58","59","5a","5b","5c","5d","5e","5f","60","61","62","63","64","65","66","67","68","69","6a","6b","6c","6d","6e","6f","70","71","72","73","74","75","76","77","78","79","7a","7b","7c","7d","7e","7f","80","81","82","83","84","85","86","87","88","89","8a","8b","8c","8d","8e","8f","90","91","92","93","94","95","96","97","98","99","9a","9b","9c","9d","9e","9f","a0","a1","a2","a3","a4","a5","a6","a7","a8","a9","aa","ab","ac","ad","ae","af","b0","b1","b2","b3","b4","b5","b6","b7","b8","b9","ba","bb","bc","bd","be","bf","c0","c1","c2","c3","c4","c5","c6","c7","c8","c9","ca","cb","cc","cd","ce","cf","d0","d1","d2","d3","d4","d5","d6","d7","d8","d9","da","db","dc","dd","de","df","e0","e1","e2","e3","e4","e5","e6","e7","e8","e9","ea","eb","ec","ed","ee","ef","f0","f1","f2","f3","f4","f5","f6","f7","f8","f9","fa","fb","fc","fd","fe","ff"];let C1=1234567;const Fl=Math.PI/180,Na=180/Math.PI;function zi(){const n=Math.random()*4294967295|0,e=Math.random()*4294967295|0,t=Math.random()*4294967295|0,i=Math.random()*4294967295|0;return(In[n&255]+In[n>>8&255]+In[n>>16&255]+In[n>>24&255]+"-"+In[e&255]+In[e>>8&255]+"-"+In[e>>16&15|64]+In[e>>24&255]+"-"+In[t&63|128]+In[t>>8&255]+"-"+In[t>>16&255]+In[t>>24&255]+In[i&255]+In[i>>8&255]+In[i>>16&255]+In[i>>24&255]).toLowerCase()}function kn(n,e,t){return Math.max(e,Math.min(t,n))}function JE(n,e){return(n%e+e)%e}function axt(n,e,t,i,s){return i+(n-e)*(s-i)/(t-e)}function lxt(n,e,t){return n!==e?(t-n)/(e-n):0}function Bl(n,e,t){return(1-t)*n+t*e}function cxt(n,e,t,i){return Bl(n,e,1-Math.exp(-t*i))}function dxt(n,e=1){return e-Math.abs(JE(n,e*2)-e)}function uxt(n,e,t){return n<=e?0:n>=t?1:(n=(n-e)/(t-e),n*n*(3-2*n))}function pxt(n,e,t){return n<=e?0:n>=t?1:(n=(n-e)/(t-e),n*n*n*(n*(n*6-15)+10))}function _xt(n,e){return n+Math.floor(Math.random()*(e-n+1))}function hxt(n,e){return n+Math.random()*(e-n)}function fxt(n){return n*(.5-Math.random())}function mxt(n){n!==void 0&&(C1=n);let e=C1+=1831565813;return e=Math.imul(e^e>>>15,e|1),e^=e+Math.imul(e^e>>>7,e|61),((e^e>>>14)>>>0)/4294967296}function gxt(n){return n*Fl}function bxt(n){return n*Na}function hb(n){return(n&n-1)===0&&n!==0}function Ext(n){return Math.pow(2,Math.ceil(Math.log(n)/Math.LN2))}function Su(n){return Math.pow(2,Math.floor(Math.log(n)/Math.LN2))}function vxt(n,e,t,i,s){const r=Math.cos,o=Math.sin,a=r(t/2),l=o(t/2),d=r((e+i)/2),c=o((e+i)/2),_=r((e-i)/2),f=o((e-i)/2),m=r((i-e)/2),h=o((i-e)/2);switch(s){case"XYX":n.set(a*c,l*_,l*f,a*d);break;case"YZY":n.set(l*f,a*c,l*_,a*d);break;case"ZXZ":n.set(l*_,l*f,a*c,a*d);break;case"XZX":n.set(a*c,l*h,l*m,a*d);break;case"YXY":n.set(l*m,a*c,l*h,a*d);break;case"ZYZ":n.set(l*h,l*m,a*c,a*d);break;default:console.warn("THREE.MathUtils: .setQuaternionFromProperEuler() encountered an unknown order: "+s)}}function es(n,e){switch(e.constructor){case Float32Array:return n;case Uint32Array:return n/4294967295;case Uint16Array:return n/65535;case Uint8Array:return n/255;case Int32Array:return Math.max(n/2147483647,-1);case Int16Array:return Math.max(n/32767,-1);case Int8Array:return Math.max(n/127,-1);default:throw new Error("Invalid component type.")}}function Ht(n,e){switch(e.constructor){case Float32Array:return n;case Uint32Array:return Math.round(n*4294967295);case Uint16Array:return Math.round(n*65535);case Uint8Array:return Math.round(n*255);case Int32Array:return Math.round(n*2147483647);case Int16Array:return Math.round(n*32767);case Int8Array:return Math.round(n*127);default:throw new Error("Invalid component type.")}}const yxt={DEG2RAD:Fl,RAD2DEG:Na,generateUUID:zi,clamp:kn,euclideanModulo:JE,mapLinear:axt,inverseLerp:lxt,lerp:Bl,damp:cxt,pingpong:dxt,smoothstep:uxt,smootherstep:pxt,randInt:_xt,randFloat:hxt,randFloatSpread:fxt,seededRandom:mxt,degToRad:gxt,radToDeg:bxt,isPowerOfTwo:hb,ceilPowerOfTwo:Ext,floorPowerOfTwo:Su,setQuaternionFromProperEuler:vxt,normalize:Ht,denormalize:es};class It{constructor(e=0,t=0){It.prototype.isVector2=!0,this.x=e,this.y=t}get width(){return this.x}set width(e){this.x=e}get height(){return this.y}set height(e){this.y=e}set(e,t){return this.x=e,this.y=t,this}setScalar(e){return this.x=e,this.y=e,this}setX(e){return this.x=e,this}setY(e){return this.y=e,this}setComponent(e,t){switch(e){case 0:this.x=t;break;case 1:this.y=t;break;default:throw new Error("index is out of range: "+e)}return this}getComponent(e){switch(e){case 0:return this.x;case 1:return this.y;default:throw new Error("index is out of range: "+e)}}clone(){return new this.constructor(this.x,this.y)}copy(e){return this.x=e.x,this.y=e.y,this}add(e){return this.x+=e.x,this.y+=e.y,this}addScalar(e){return this.x+=e,this.y+=e,this}addVectors(e,t){return this.x=e.x+t.x,this.y=e.y+t.y,this}addScaledVector(e,t){return this.x+=e.x*t,this.y+=e.y*t,this}sub(e){return this.x-=e.x,this.y-=e.y,this}subScalar(e){return this.x-=e,this.y-=e,this}subVectors(e,t){return this.x=e.x-t.x,this.y=e.y-t.y,this}multiply(e){return this.x*=e.x,this.y*=e.y,this}multiplyScalar(e){return this.x*=e,this.y*=e,this}divide(e){return this.x/=e.x,this.y/=e.y,this}divideScalar(e){return this.multiplyScalar(1/e)}applyMatrix3(e){const t=this.x,i=this.y,s=e.elements;return this.x=s[0]*t+s[3]*i+s[6],this.y=s[1]*t+s[4]*i+s[7],this}min(e){return this.x=Math.min(this.x,e.x),this.y=Math.min(this.y,e.y),this}max(e){return this.x=Math.max(this.x,e.x),this.y=Math.max(this.y,e.y),this}clamp(e,t){return this.x=Math.max(e.x,Math.min(t.x,this.x)),this.y=Math.max(e.y,Math.min(t.y,this.y)),this}clampScalar(e,t){return this.x=Math.max(e,Math.min(t,this.x)),this.y=Math.max(e,Math.min(t,this.y)),this}clampLength(e,t){const i=this.length();return this.divideScalar(i||1).multiplyScalar(Math.max(e,Math.min(t,i)))}floor(){return this.x=Math.floor(this.x),this.y=Math.floor(this.y),this}ceil(){return this.x=Math.ceil(this.x),this.y=Math.ceil(this.y),this}round(){return this.x=Math.round(this.x),this.y=Math.round(this.y),this}roundToZero(){return this.x=Math.trunc(this.x),this.y=Math.trunc(this.y),this}negate(){return this.x=-this.x,this.y=-this.y,this}dot(e){return this.x*e.x+this.y*e.y}cross(e){return this.x*e.y-this.y*e.x}lengthSq(){return this.x*this.x+this.y*this.y}length(){return Math.sqrt(this.x*this.x+this.y*this.y)}manhattanLength(){return Math.abs(this.x)+Math.abs(this.y)}normalize(){return this.divideScalar(this.length()||1)}angle(){return Math.atan2(-this.y,-this.x)+Math.PI}angleTo(e){const t=Math.sqrt(this.lengthSq()*e.lengthSq());if(t===0)return Math.PI/2;const i=this.dot(e)/t;return Math.acos(kn(i,-1,1))}distanceTo(e){return Math.sqrt(this.distanceToSquared(e))}distanceToSquared(e){const t=this.x-e.x,i=this.y-e.y;return t*t+i*i}manhattanDistanceTo(e){return Math.abs(this.x-e.x)+Math.abs(this.y-e.y)}setLength(e){return this.normalize().multiplyScalar(e)}lerp(e,t){return this.x+=(e.x-this.x)*t,this.y+=(e.y-this.y)*t,this}lerpVectors(e,t,i){return this.x=e.x+(t.x-e.x)*i,this.y=e.y+(t.y-e.y)*i,this}equals(e){return e.x===this.x&&e.y===this.y}fromArray(e,t=0){return this.x=e[t],this.y=e[t+1],this}toArray(e=[],t=0){return e[t]=this.x,e[t+1]=this.y,e}fromBufferAttribute(e,t){return this.x=e.getX(t),this.y=e.getY(t),this}rotateAround(e,t){const i=Math.cos(t),s=Math.sin(t),r=this.x-e.x,o=this.y-e.y;return this.x=r*i-o*s+e.x,this.y=r*s+o*i+e.y,this}random(){return this.x=Math.random(),this.y=Math.random(),this}*[Symbol.iterator](){yield this.x,yield this.y}}class Ct{constructor(e,t,i,s,r,o,a,l,d){Ct.prototype.isMatrix3=!0,this.elements=[1,0,0,0,1,0,0,0,1],e!==void 0&&this.set(e,t,i,s,r,o,a,l,d)}set(e,t,i,s,r,o,a,l,d){const c=this.elements;return c[0]=e,c[1]=s,c[2]=a,c[3]=t,c[4]=r,c[5]=l,c[6]=i,c[7]=o,c[8]=d,this}identity(){return this.set(1,0,0,0,1,0,0,0,1),this}copy(e){const t=this.elements,i=e.elements;return t[0]=i[0],t[1]=i[1],t[2]=i[2],t[3]=i[3],t[4]=i[4],t[5]=i[5],t[6]=i[6],t[7]=i[7],t[8]=i[8],this}extractBasis(e,t,i){return e.setFromMatrix3Column(this,0),t.setFromMatrix3Column(this,1),i.setFromMatrix3Column(this,2),this}setFromMatrix4(e){const t=e.elements;return this.set(t[0],t[4],t[8],t[1],t[5],t[9],t[2],t[6],t[10]),this}multiply(e){return this.multiplyMatrices(this,e)}premultiply(e){return this.multiplyMatrices(e,this)}multiplyMatrices(e,t){const i=e.elements,s=t.elements,r=this.elements,o=i[0],a=i[3],l=i[6],d=i[1],c=i[4],_=i[7],f=i[2],m=i[5],h=i[8],E=s[0],b=s[3],g=s[6],v=s[1],y=s[4],T=s[7],C=s[2],x=s[5],O=s[8];return r[0]=o*E+a*v+l*C,r[3]=o*b+a*y+l*x,r[6]=o*g+a*T+l*O,r[1]=d*E+c*v+_*C,r[4]=d*b+c*y+_*x,r[7]=d*g+c*T+_*O,r[2]=f*E+m*v+h*C,r[5]=f*b+m*y+h*x,r[8]=f*g+m*T+h*O,this}multiplyScalar(e){const t=this.elements;return t[0]*=e,t[3]*=e,t[6]*=e,t[1]*=e,t[4]*=e,t[7]*=e,t[2]*=e,t[5]*=e,t[8]*=e,this}determinant(){const e=this.elements,t=e[0],i=e[1],s=e[2],r=e[3],o=e[4],a=e[5],l=e[6],d=e[7],c=e[8];return t*o*c-t*a*d-i*r*c+i*a*l+s*r*d-s*o*l}invert(){const e=this.elements,t=e[0],i=e[1],s=e[2],r=e[3],o=e[4],a=e[5],l=e[6],d=e[7],c=e[8],_=c*o-a*d,f=a*l-c*r,m=d*r-o*l,h=t*_+i*f+s*m;if(h===0)return this.set(0,0,0,0,0,0,0,0,0);const E=1/h;return e[0]=_*E,e[1]=(s*d-c*i)*E,e[2]=(a*i-s*o)*E,e[3]=f*E,e[4]=(c*t-s*l)*E,e[5]=(s*r-a*t)*E,e[6]=m*E,e[7]=(i*l-d*t)*E,e[8]=(o*t-i*r)*E,this}transpose(){let e;const t=this.elements;return e=t[1],t[1]=t[3],t[3]=e,e=t[2],t[2]=t[6],t[6]=e,e=t[5],t[5]=t[7],t[7]=e,this}getNormalMatrix(e){return this.setFromMatrix4(e).invert().transpose()}transposeIntoArray(e){const t=this.elements;return e[0]=t[0],e[1]=t[3],e[2]=t[6],e[3]=t[1],e[4]=t[4],e[5]=t[7],e[6]=t[2],e[7]=t[5],e[8]=t[8],this}setUvTransform(e,t,i,s,r,o,a){const l=Math.cos(r),d=Math.sin(r);return this.set(i*l,i*d,-i*(l*o+d*a)+o+e,-s*d,s*l,-s*(-d*o+l*a)+a+t,0,0,1),this}scale(e,t){return this.premultiply(qm.makeScale(e,t)),this}rotate(e){return this.premultiply(qm.makeRotation(-e)),this}translate(e,t){return this.premultiply(qm.makeTranslation(e,t)),this}makeTranslation(e,t){return e.isVector2?this.set(1,0,e.x,0,1,e.y,0,0,1):this.set(1,0,e,0,1,t,0,0,1),this}makeRotation(e){const t=Math.cos(e),i=Math.sin(e);return this.set(t,-i,0,i,t,0,0,0,1),this}makeScale(e,t){return this.set(e,0,0,0,t,0,0,0,1),this}equals(e){const t=this.elements,i=e.elements;for(let s=0;s<9;s++)if(t[s]!==i[s])return!1;return!0}fromArray(e,t=0){for(let i=0;i<9;i++)this.elements[i]=e[i+t];return this}toArray(e=[],t=0){const i=this.elements;return e[t]=i[0],e[t+1]=i[1],e[t+2]=i[2],e[t+3]=i[3],e[t+4]=i[4],e[t+5]=i[5],e[t+6]=i[6],e[t+7]=i[7],e[t+8]=i[8],e}clone(){return new this.constructor().fromArray(this.elements)}}const qm=new Ct;function rI(n){for(let e=n.length-1;e>=0;--e)if(n[e]>=65535)return!0;return!1}function lc(n){return document.createElementNS("http://www.w3.org/1999/xhtml",n)}function Sxt(){const n=lc("canvas");return n.style.display="block",n}const R1={};function Gl(n){n in R1||(R1[n]=!0,console.warn(n))}const A1=new Ct().set(.8224621,.177538,0,.0331941,.9668058,0,.0170827,.0723974,.9105199),w1=new Ct().set(1.2249401,-.2249404,0,-.0420569,1.0420571,0,-.0196376,-.0786361,1.0982735),Jc={[Nn]:{transfer:bu,primaries:Eu,toReference:n=>n,fromReference:n=>n},[rn]:{transfer:Xt,primaries:Eu,toReference:n=>n.convertSRGBToLinear(),fromReference:n=>n.convertLinearToSRGB()},[up]:{transfer:bu,primaries:vu,toReference:n=>n.applyMatrix3(w1),fromReference:n=>n.applyMatrix3(A1)},[ZE]:{transfer:Xt,primaries:vu,toReference:n=>n.convertSRGBToLinear().applyMatrix3(w1),fromReference:n=>n.applyMatrix3(A1).convertLinearToSRGB()}},Txt=new Set([Nn,up]),Ft={enabled:!0,_workingColorSpace:Nn,get legacyMode(){return console.warn("THREE.ColorManagement: .legacyMode=false renamed to .enabled=true in r150."),!this.enabled},set legacyMode(n){console.warn("THREE.ColorManagement: .legacyMode=false renamed to .enabled=true in r150."),this.enabled=!n},get workingColorSpace(){return this._workingColorSpace},set workingColorSpace(n){if(!Txt.has(n))throw new Error(`Unsupported working color space, "${n}".`);this._workingColorSpace=n},convert:function(n,e,t){if(this.enabled===!1||e===t||!e||!t)return n;const i=Jc[e].toReference,s=Jc[t].fromReference;return s(i(n))},fromWorkingColorSpace:function(n,e){return this.convert(n,this._workingColorSpace,e)},toWorkingColorSpace:function(n,e){return this.convert(n,e,this._workingColorSpace)},getPrimaries:function(n){return Jc[n].primaries},getTransfer:function(n){return n===Ei?bu:Jc[n].transfer}};function la(n){return n<.04045?n*.0773993808:Math.pow(n*.9478672986+.0521327014,2.4)}function Ym(n){return n<.0031308?n*12.92:1.055*Math.pow(n,.41666)-.055}let Io;class oI{static getDataURL(e){if(/^data:/i.test(e.src)||typeof HTMLCanvasElement>"u")return e.src;let t;if(e instanceof HTMLCanvasElement)t=e;else{Io===void 0&&(Io=lc("canvas")),Io.width=e.width,Io.height=e.height;const i=Io.getContext("2d");e instanceof ImageData?i.putImageData(e,0,0):i.drawImage(e,0,0,e.width,e.height),t=Io}return t.width>2048||t.height>2048?(console.warn("THREE.ImageUtils.getDataURL: Image converted to jpg for performance reasons",e),t.toDataURL("image/jpeg",.6)):t.toDataURL("image/png")}static sRGBToLinear(e){if(typeof HTMLImageElement<"u"&&e instanceof HTMLImageElement||typeof HTMLCanvasElement<"u"&&e instanceof HTMLCanvasElement||typeof ImageBitmap<"u"&&e instanceof ImageBitmap){const t=lc("canvas");t.width=e.width,t.height=e.height;const i=t.getContext("2d");i.drawImage(e,0,0,e.width,e.height);const s=i.getImageData(0,0,e.width,e.height),r=s.data;for(let o=0;o<r.length;o++)r[o]=la(r[o]/255)*255;return i.putImageData(s,0,0),t}else if(e.data){const t=e.data.slice(0);for(let i=0;i<t.length;i++)t instanceof Uint8Array||t instanceof Uint8ClampedArray?t[i]=Math.floor(la(t[i]/255)*255):t[i]=la(t[i]);return{data:t,width:e.width,height:e.height}}else return console.warn("THREE.ImageUtils.sRGBToLinear(): Unsupported image type. No color space conversion applied."),e}}let xxt=0;class aI{constructor(e=null){this.isSource=!0,Object.defineProperty(this,"id",{value:xxt++}),this.uuid=zi(),this.data=e,this.version=0}set needsUpdate(e){e===!0&&this.version++}toJSON(e){const t=e===void 0||typeof e=="string";if(!t&&e.images[this.uuid]!==void 0)return e.images[this.uuid];const i={uuid:this.uuid,url:""},s=this.data;if(s!==null){let r;if(Array.isArray(s)){r=[];for(let o=0,a=s.length;o<a;o++)s[o].isDataTexture?r.push($m(s[o].image)):r.push($m(s[o]))}else r=$m(s);i.url=r}return t||(e.images[this.uuid]=i),i}}function $m(n){return typeof HTMLImageElement<"u"&&n instanceof HTMLImageElement||typeof HTMLCanvasElement<"u"&&n instanceof HTMLCanvasElement||typeof ImageBitmap<"u"&&n instanceof ImageBitmap?oI.getDataURL(n):n.data?{data:Array.from(n.data),width:n.width,height:n.height,type:n.data.constructor.name}:(console.warn("THREE.Texture: Unable to serialize Texture."),{})}let Cxt=0;class wn extends ja{constructor(e=wn.DEFAULT_IMAGE,t=wn.DEFAULT_MAPPING,i=gi,s=gi,r=jn,o=go,a=bi,l=Tr,d=wn.DEFAULT_ANISOTROPY,c=Ei){super(),this.isTexture=!0,Object.defineProperty(this,"id",{value:Cxt++}),this.uuid=zi(),this.name="",this.source=new aI(e),this.mipmaps=[],this.mapping=t,this.channel=0,this.wrapS=i,this.wrapT=s,this.magFilter=r,this.minFilter=o,this.anisotropy=d,this.format=a,this.internalFormat=null,this.type=l,this.offset=new It(0,0),this.repeat=new It(1,1),this.center=new It(0,0),this.rotation=0,this.matrixAutoUpdate=!0,this.matrix=new Ct,this.generateMipmaps=!0,this.premultiplyAlpha=!1,this.flipY=!0,this.unpackAlignment=4,typeof c=="string"?this.colorSpace=c:(Gl("THREE.Texture: Property .encoding has been replaced by .colorSpace."),this.colorSpace=c===uo?rn:Ei),this.userData={},this.version=0,this.onUpdate=null,this.isRenderTargetTexture=!1,this.needsPMREMUpdate=!1}get image(){return this.source.data}set image(e=null){this.source.data=e}updateMatrix(){this.matrix.setUvTransform(this.offset.x,this.offset.y,this.repeat.x,this.repeat.y,this.rotation,this.center.x,this.center.y)}clone(){return new this.constructor().copy(this)}copy(e){return this.name=e.name,this.source=e.source,this.mipmaps=e.mipmaps.slice(0),this.mapping=e.mapping,this.channel=e.channel,this.wrapS=e.wrapS,this.wrapT=e.wrapT,this.magFilter=e.magFilter,this.minFilter=e.minFilter,this.anisotropy=e.anisotropy,this.format=e.format,this.internalFormat=e.internalFormat,this.type=e.type,this.offset.copy(e.offset),this.repeat.copy(e.repeat),this.center.copy(e.center),this.rotation=e.rotation,this.matrixAutoUpdate=e.matrixAutoUpdate,this.matrix.copy(e.matrix),this.generateMipmaps=e.generateMipmaps,this.premultiplyAlpha=e.premultiplyAlpha,this.flipY=e.flipY,this.unpackAlignment=e.unpackAlignment,this.colorSpace=e.colorSpace,this.userData=JSON.parse(JSON.stringify(e.userData)),this.needsUpdate=!0,this}toJSON(e){const t=e===void 0||typeof e=="string";if(!t&&e.textures[this.uuid]!==void 0)return e.textures[this.uuid];const i={metadata:{version:4.6,type:"Texture",generator:"Texture.toJSON"},uuid:this.uuid,name:this.name,image:this.source.toJSON(e).uuid,mapping:this.mapping,channel:this.channel,repeat:[this.repeat.x,this.repeat.y],offset:[this.offset.x,this.offset.y],center:[this.center.x,this.center.y],rotation:this.rotation,wrap:[this.wrapS,this.wrapT],format:this.format,internalFormat:this.internalFormat,type:this.type,colorSpace:this.colorSpace,minFilter:this.minFilter,magFilter:this.magFilter,anisotropy:this.anisotropy,flipY:this.flipY,generateMipmaps:this.generateMipmaps,premultiplyAlpha:this.premultiplyAlpha,unpackAlignment:this.unpackAlignment};return Object.keys(this.userData).length>0&&(i.userData=this.userData),t||(e.textures[this.uuid]=i),i}dispose(){this.dispatchEvent({type:"dispose"})}transformUv(e){if(this.mapping!==WO)return e;if(e.applyMatrix3(this.matrix),e.x<0||e.x>1)switch(this.wrapS){case Ra:e.x=e.x-Math.floor(e.x);break;case gi:e.x=e.x<0?0:1;break;case gu:Math.abs(Math.floor(e.x)%2)===1?e.x=Math.ceil(e.x)-e.x:e.x=e.x-Math.floor(e.x);break}if(e.y<0||e.y>1)switch(this.wrapT){case Ra:e.y=e.y-Math.floor(e.y);break;case gi:e.y=e.y<0?0:1;break;case gu:Math.abs(Math.floor(e.y)%2)===1?e.y=Math.ceil(e.y)-e.y:e.y=e.y-Math.floor(e.y);break}return this.flipY&&(e.y=1-e.y),e}set needsUpdate(e){e===!0&&(this.version++,this.source.needsUpdate=!0)}get encoding(){return Gl("THREE.Texture: Property .encoding has been replaced by .colorSpace."),this.colorSpace===rn?uo:iI}set encoding(e){Gl("THREE.Texture: Property .encoding has been replaced by .colorSpace."),this.colorSpace=e===uo?rn:Ei}}wn.DEFAULT_IMAGE=null;wn.DEFAULT_MAPPING=WO;wn.DEFAULT_ANISOTROPY=1;class Wt{constructor(e=0,t=0,i=0,s=1){Wt.prototype.isVector4=!0,this.x=e,this.y=t,this.z=i,this.w=s}get width(){return this.z}set width(e){this.z=e}get height(){return this.w}set height(e){this.w=e}set(e,t,i,s){return this.x=e,this.y=t,this.z=i,this.w=s,this}setScalar(e){return this.x=e,this.y=e,this.z=e,this.w=e,this}setX(e){return this.x=e,this}setY(e){return this.y=e,this}setZ(e){return this.z=e,this}setW(e){return this.w=e,this}setComponent(e,t){switch(e){case 0:this.x=t;break;case 1:this.y=t;break;case 2:this.z=t;break;case 3:this.w=t;break;default:throw new Error("index is out of range: "+e)}return this}getComponent(e){switch(e){case 0:return this.x;case 1:return this.y;case 2:return this.z;case 3:return this.w;default:throw new Error("index is out of range: "+e)}}clone(){return new this.constructor(this.x,this.y,this.z,this.w)}copy(e){return this.x=e.x,this.y=e.y,this.z=e.z,this.w=e.w!==void 0?e.w:1,this}add(e){return this.x+=e.x,this.y+=e.y,this.z+=e.z,this.w+=e.w,this}addScalar(e){return this.x+=e,this.y+=e,this.z+=e,this.w+=e,this}addVectors(e,t){return this.x=e.x+t.x,this.y=e.y+t.y,this.z=e.z+t.z,this.w=e.w+t.w,this}addScaledVector(e,t){return this.x+=e.x*t,this.y+=e.y*t,this.z+=e.z*t,this.w+=e.w*t,this}sub(e){return this.x-=e.x,this.y-=e.y,this.z-=e.z,this.w-=e.w,this}subScalar(e){return this.x-=e,this.y-=e,this.z-=e,this.w-=e,this}subVectors(e,t){return this.x=e.x-t.x,this.y=e.y-t.y,this.z=e.z-t.z,this.w=e.w-t.w,this}multiply(e){return this.x*=e.x,this.y*=e.y,this.z*=e.z,this.w*=e.w,this}multiplyScalar(e){return this.x*=e,this.y*=e,this.z*=e,this.w*=e,this}applyMatrix4(e){const t=this.x,i=this.y,s=this.z,r=this.w,o=e.elements;return this.x=o[0]*t+o[4]*i+o[8]*s+o[12]*r,this.y=o[1]*t+o[5]*i+o[9]*s+o[13]*r,this.z=o[2]*t+o[6]*i+o[10]*s+o[14]*r,this.w=o[3]*t+o[7]*i+o[11]*s+o[15]*r,this}divideScalar(e){return this.multiplyScalar(1/e)}setAxisAngleFromQuaternion(e){this.w=2*Math.acos(e.w);const t=Math.sqrt(1-e.w*e.w);return t<1e-4?(this.x=1,this.y=0,this.z=0):(this.x=e.x/t,this.y=e.y/t,this.z=e.z/t),this}setAxisAngleFromRotationMatrix(e){let t,i,s,r;const l=e.elements,d=l[0],c=l[4],_=l[8],f=l[1],m=l[5],h=l[9],E=l[2],b=l[6],g=l[10];if(Math.abs(c-f)<.01&&Math.abs(_-E)<.01&&Math.abs(h-b)<.01){if(Math.abs(c+f)<.1&&Math.abs(_+E)<.1&&Math.abs(h+b)<.1&&Math.abs(d+m+g-3)<.1)return this.set(1,0,0,0),this;t=Math.PI;const y=(d+1)/2,T=(m+1)/2,C=(g+1)/2,x=(c+f)/4,O=(_+E)/4,R=(h+b)/4;return y>T&&y>C?y<.01?(i=0,s=.707106781,r=.707106781):(i=Math.sqrt(y),s=x/i,r=O/i):T>C?T<.01?(i=.707106781,s=0,r=.707106781):(s=Math.sqrt(T),i=x/s,r=R/s):C<.01?(i=.707106781,s=.707106781,r=0):(r=Math.sqrt(C),i=O/r,s=R/r),this.set(i,s,r,t),this}let v=Math.sqrt((b-h)*(b-h)+(_-E)*(_-E)+(f-c)*(f-c));return Math.abs(v)<.001&&(v=1),this.x=(b-h)/v,this.y=(_-E)/v,this.z=(f-c)/v,this.w=Math.acos((d+m+g-1)/2),this}min(e){return this.x=Math.min(this.x,e.x),this.y=Math.min(this.y,e.y),this.z=Math.min(this.z,e.z),this.w=Math.min(this.w,e.w),this}max(e){return this.x=Math.max(this.x,e.x),this.y=Math.max(this.y,e.y),this.z=Math.max(this.z,e.z),this.w=Math.max(this.w,e.w),this}clamp(e,t){return this.x=Math.max(e.x,Math.min(t.x,this.x)),this.y=Math.max(e.y,Math.min(t.y,this.y)),this.z=Math.max(e.z,Math.min(t.z,this.z)),this.w=Math.max(e.w,Math.min(t.w,this.w)),this}clampScalar(e,t){return this.x=Math.max(e,Math.min(t,this.x)),this.y=Math.max(e,Math.min(t,this.y)),this.z=Math.max(e,Math.min(t,this.z)),this.w=Math.max(e,Math.min(t,this.w)),this}clampLength(e,t){const i=this.length();return this.divideScalar(i||1).multiplyScalar(Math.max(e,Math.min(t,i)))}floor(){return this.x=Math.floor(this.x),this.y=Math.floor(this.y),this.z=Math.floor(this.z),this.w=Math.floor(this.w),this}ceil(){return this.x=Math.ceil(this.x),this.y=Math.ceil(this.y),this.z=Math.ceil(this.z),this.w=Math.ceil(this.w),this}round(){return this.x=Math.round(this.x),this.y=Math.round(this.y),this.z=Math.round(this.z),this.w=Math.round(this.w),this}roundToZero(){return this.x=Math.trunc(this.x),this.y=Math.trunc(this.y),this.z=Math.trunc(this.z),this.w=Math.trunc(this.w),this}negate(){return this.x=-this.x,this.y=-this.y,this.z=-this.z,this.w=-this.w,this}dot(e){return this.x*e.x+this.y*e.y+this.z*e.z+this.w*e.w}lengthSq(){return this.x*this.x+this.y*this.y+this.z*this.z+this.w*this.w}length(){return Math.sqrt(this.x*this.x+this.y*this.y+this.z*this.z+this.w*this.w)}manhattanLength(){return Math.abs(this.x)+Math.abs(this.y)+Math.abs(this.z)+Math.abs(this.w)}normalize(){return this.divideScalar(this.length()||1)}setLength(e){return this.normalize().multiplyScalar(e)}lerp(e,t){return this.x+=(e.x-this.x)*t,this.y+=(e.y-this.y)*t,this.z+=(e.z-this.z)*t,this.w+=(e.w-this.w)*t,this}lerpVectors(e,t,i){return this.x=e.x+(t.x-e.x)*i,this.y=e.y+(t.y-e.y)*i,this.z=e.z+(t.z-e.z)*i,this.w=e.w+(t.w-e.w)*i,this}equals(e){return e.x===this.x&&e.y===this.y&&e.z===this.z&&e.w===this.w}fromArray(e,t=0){return this.x=e[t],this.y=e[t+1],this.z=e[t+2],this.w=e[t+3],this}toArray(e=[],t=0){return e[t]=this.x,e[t+1]=this.y,e[t+2]=this.z,e[t+3]=this.w,e}fromBufferAttribute(e,t){return this.x=e.getX(t),this.y=e.getY(t),this.z=e.getZ(t),this.w=e.getW(t),this}random(){return this.x=Math.random(),this.y=Math.random(),this.z=Math.random(),this.w=Math.random(),this}*[Symbol.iterator](){yield this.x,yield this.y,yield this.z,yield this.w}}class Rxt extends ja{constructor(e=1,t=1,i={}){super(),this.isRenderTarget=!0,this.width=e,this.height=t,this.depth=1,this.scissor=new Wt(0,0,e,t),this.scissorTest=!1,this.viewport=new Wt(0,0,e,t);const s={width:e,height:t,depth:1};i.encoding!==void 0&&(Gl("THREE.WebGLRenderTarget: option.encoding has been replaced by option.colorSpace."),i.colorSpace=i.encoding===uo?rn:Ei),i=Object.assign({generateMipmaps:!1,internalFormat:null,minFilter:jn,depthBuffer:!0,stencilBuffer:!1,depthTexture:null,samples:0},i),this.texture=new wn(s,i.mapping,i.wrapS,i.wrapT,i.magFilter,i.minFilter,i.format,i.type,i.anisotropy,i.colorSpace),this.texture.isRenderTargetTexture=!0,this.texture.flipY=!1,this.texture.generateMipmaps=i.generateMipmaps,this.texture.internalFormat=i.internalFormat,this.depthBuffer=i.depthBuffer,this.stencilBuffer=i.stencilBuffer,this.depthTexture=i.depthTexture,this.samples=i.samples}setSize(e,t,i=1){(this.width!==e||this.height!==t||this.depth!==i)&&(this.width=e,this.height=t,this.depth=i,this.texture.image.width=e,this.texture.image.height=t,this.texture.image.depth=i,this.dispose()),this.viewport.set(0,0,e,t),this.scissor.set(0,0,e,t)}clone(){return new this.constructor().copy(this)}copy(e){this.width=e.width,this.height=e.height,this.depth=e.depth,this.scissor.copy(e.scissor),this.scissorTest=e.scissorTest,this.viewport.copy(e.viewport),this.texture=e.texture.clone(),this.texture.isRenderTargetTexture=!0;const t=Object.assign({},e.texture.image);return this.texture.source=new aI(t),this.depthBuffer=e.depthBuffer,this.stencilBuffer=e.stencilBuffer,e.depthTexture!==null&&(this.depthTexture=e.depthTexture.clone()),this.samples=e.samples,this}dispose(){this.dispatchEvent({type:"dispose"})}}class bo extends Rxt{constructor(e=1,t=1,i={}){super(e,t,i),this.isWebGLRenderTarget=!0}}class lI extends wn{constructor(e=null,t=1,i=1,s=1){super(null),this.isDataArrayTexture=!0,this.image={data:e,width:t,height:i,depth:s},this.magFilter=En,this.minFilter=En,this.wrapR=gi,this.generateMipmaps=!1,this.flipY=!1,this.unpackAlignment=1}}class Axt extends wn{constructor(e=null,t=1,i=1,s=1){super(null),this.isData3DTexture=!0,this.image={data:e,width:t,height:i,depth:s},this.magFilter=En,this.minFilter=En,this.wrapR=gi,this.generateMipmaps=!1,this.flipY=!1,this.unpackAlignment=1}}class Mr{constructor(e=0,t=0,i=0,s=1){this.isQuaternion=!0,this._x=e,this._y=t,this._z=i,this._w=s}static slerpFlat(e,t,i,s,r,o,a){let l=i[s+0],d=i[s+1],c=i[s+2],_=i[s+3];const f=r[o+0],m=r[o+1],h=r[o+2],E=r[o+3];if(a===0){e[t+0]=l,e[t+1]=d,e[t+2]=c,e[t+3]=_;return}if(a===1){e[t+0]=f,e[t+1]=m,e[t+2]=h,e[t+3]=E;return}if(_!==E||l!==f||d!==m||c!==h){let b=1-a;const g=l*f+d*m+c*h+_*E,v=g>=0?1:-1,y=1-g*g;if(y>Number.EPSILON){const C=Math.sqrt(y),x=Math.atan2(C,g*v);b=Math.sin(b*x)/C,a=Math.sin(a*x)/C}const T=a*v;if(l=l*b+f*T,d=d*b+m*T,c=c*b+h*T,_=_*b+E*T,b===1-a){const C=1/Math.sqrt(l*l+d*d+c*c+_*_);l*=C,d*=C,c*=C,_*=C}}e[t]=l,e[t+1]=d,e[t+2]=c,e[t+3]=_}static multiplyQuaternionsFlat(e,t,i,s,r,o){const a=i[s],l=i[s+1],d=i[s+2],c=i[s+3],_=r[o],f=r[o+1],m=r[o+2],h=r[o+3];return e[t]=a*h+c*_+l*m-d*f,e[t+1]=l*h+c*f+d*_-a*m,e[t+2]=d*h+c*m+a*f-l*_,e[t+3]=c*h-a*_-l*f-d*m,e}get x(){return this._x}set x(e){this._x=e,this._onChangeCallback()}get y(){return this._y}set y(e){this._y=e,this._onChangeCallback()}get z(){return this._z}set z(e){this._z=e,this._onChangeCallback()}get w(){return this._w}set w(e){this._w=e,this._onChangeCallback()}set(e,t,i,s){return this._x=e,this._y=t,this._z=i,this._w=s,this._onChangeCallback(),this}clone(){return new this.constructor(this._x,this._y,this._z,this._w)}copy(e){return this._x=e.x,this._y=e.y,this._z=e.z,this._w=e.w,this._onChangeCallback(),this}setFromEuler(e,t){const i=e._x,s=e._y,r=e._z,o=e._order,a=Math.cos,l=Math.sin,d=a(i/2),c=a(s/2),_=a(r/2),f=l(i/2),m=l(s/2),h=l(r/2);switch(o){case"XYZ":this._x=f*c*_+d*m*h,this._y=d*m*_-f*c*h,this._z=d*c*h+f*m*_,this._w=d*c*_-f*m*h;break;case"YXZ":this._x=f*c*_+d*m*h,this._y=d*m*_-f*c*h,this._z=d*c*h-f*m*_,this._w=d*c*_+f*m*h;break;case"ZXY":this._x=f*c*_-d*m*h,this._y=d*m*_+f*c*h,this._z=d*c*h+f*m*_,this._w=d*c*_-f*m*h;break;case"ZYX":this._x=f*c*_-d*m*h,this._y=d*m*_+f*c*h,this._z=d*c*h-f*m*_,this._w=d*c*_+f*m*h;break;case"YZX":this._x=f*c*_+d*m*h,this._y=d*m*_+f*c*h,this._z=d*c*h-f*m*_,this._w=d*c*_-f*m*h;break;case"XZY":this._x=f*c*_-d*m*h,this._y=d*m*_-f*c*h,this._z=d*c*h+f*m*_,this._w=d*c*_+f*m*h;break;default:console.warn("THREE.Quaternion: .setFromEuler() encountered an unknown order: "+o)}return t!==!1&&this._onChangeCallback(),this}setFromAxisAngle(e,t){const i=t/2,s=Math.sin(i);return this._x=e.x*s,this._y=e.y*s,this._z=e.z*s,this._w=Math.cos(i),this._onChangeCallback(),this}setFromRotationMatrix(e){const t=e.elements,i=t[0],s=t[4],r=t[8],o=t[1],a=t[5],l=t[9],d=t[2],c=t[6],_=t[10],f=i+a+_;if(f>0){const m=.5/Math.sqrt(f+1);this._w=.25/m,this._x=(c-l)*m,this._y=(r-d)*m,this._z=(o-s)*m}else if(i>a&&i>_){const m=2*Math.sqrt(1+i-a-_);this._w=(c-l)/m,this._x=.25*m,this._y=(s+o)/m,this._z=(r+d)/m}else if(a>_){const m=2*Math.sqrt(1+a-i-_);this._w=(r-d)/m,this._x=(s+o)/m,this._y=.25*m,this._z=(l+c)/m}else{const m=2*Math.sqrt(1+_-i-a);this._w=(o-s)/m,this._x=(r+d)/m,this._y=(l+c)/m,this._z=.25*m}return this._onChangeCallback(),this}setFromUnitVectors(e,t){let i=e.dot(t)+1;return i<Number.EPSILON?(i=0,Math.abs(e.x)>Math.abs(e.z)?(this._x=-e.y,this._y=e.x,this._z=0,this._w=i):(this._x=0,this._y=-e.z,this._z=e.y,this._w=i)):(this._x=e.y*t.z-e.z*t.y,this._y=e.z*t.x-e.x*t.z,this._z=e.x*t.y-e.y*t.x,this._w=i),this.normalize()}angleTo(e){return 2*Math.acos(Math.abs(kn(this.dot(e),-1,1)))}rotateTowards(e,t){const i=this.angleTo(e);if(i===0)return this;const s=Math.min(1,t/i);return this.slerp(e,s),this}identity(){return this.set(0,0,0,1)}invert(){return this.conjugate()}conjugate(){return this._x*=-1,this._y*=-1,this._z*=-1,this._onChangeCallback(),this}dot(e){return this._x*e._x+this._y*e._y+this._z*e._z+this._w*e._w}lengthSq(){return this._x*this._x+this._y*this._y+this._z*this._z+this._w*this._w}length(){return Math.sqrt(this._x*this._x+this._y*this._y+this._z*this._z+this._w*this._w)}normalize(){let e=this.length();return e===0?(this._x=0,this._y=0,this._z=0,this._w=1):(e=1/e,this._x=this._x*e,this._y=this._y*e,this._z=this._z*e,this._w=this._w*e),this._onChangeCallback(),this}multiply(e){return this.multiplyQuaternions(this,e)}premultiply(e){return this.multiplyQuaternions(e,this)}multiplyQuaternions(e,t){const i=e._x,s=e._y,r=e._z,o=e._w,a=t._x,l=t._y,d=t._z,c=t._w;return this._x=i*c+o*a+s*d-r*l,this._y=s*c+o*l+r*a-i*d,this._z=r*c+o*d+i*l-s*a,this._w=o*c-i*a-s*l-r*d,this._onChangeCallback(),this}slerp(e,t){if(t===0)return this;if(t===1)return this.copy(e);const i=this._x,s=this._y,r=this._z,o=this._w;let a=o*e._w+i*e._x+s*e._y+r*e._z;if(a<0?(this._w=-e._w,this._x=-e._x,this._y=-e._y,this._z=-e._z,a=-a):this.copy(e),a>=1)return this._w=o,this._x=i,this._y=s,this._z=r,this;const l=1-a*a;if(l<=Number.EPSILON){const m=1-t;return this._w=m*o+t*this._w,this._x=m*i+t*this._x,this._y=m*s+t*this._y,this._z=m*r+t*this._z,this.normalize(),this._onChangeCallback(),this}const d=Math.sqrt(l),c=Math.atan2(d,a),_=Math.sin((1-t)*c)/d,f=Math.sin(t*c)/d;return this._w=o*_+this._w*f,this._x=i*_+this._x*f,this._y=s*_+this._y*f,this._z=r*_+this._z*f,this._onChangeCallback(),this}slerpQuaternions(e,t,i){return this.copy(e).slerp(t,i)}random(){const e=Math.random(),t=Math.sqrt(1-e),i=Math.sqrt(e),s=2*Math.PI*Math.random(),r=2*Math.PI*Math.random();return this.set(t*Math.cos(s),i*Math.sin(r),i*Math.cos(r),t*Math.sin(s))}equals(e){return e._x===this._x&&e._y===this._y&&e._z===this._z&&e._w===this._w}fromArray(e,t=0){return this._x=e[t],this._y=e[t+1],this._z=e[t+2],this._w=e[t+3],this._onChangeCallback(),this}toArray(e=[],t=0){return e[t]=this._x,e[t+1]=this._y,e[t+2]=this._z,e[t+3]=this._w,e}fromBufferAttribute(e,t){return this._x=e.getX(t),this._y=e.getY(t),this._z=e.getZ(t),this._w=e.getW(t),this}toJSON(){return this.toArray()}_onChange(e){return this._onChangeCallback=e,this}_onChangeCallback(){}*[Symbol.iterator](){yield this._x,yield this._y,yield this._z,yield this._w}}class be{constructor(e=0,t=0,i=0){be.prototype.isVector3=!0,this.x=e,this.y=t,this.z=i}set(e,t,i){return i===void 0&&(i=this.z),this.x=e,this.y=t,this.z=i,this}setScalar(e){return this.x=e,this.y=e,this.z=e,this}setX(e){return this.x=e,this}setY(e){return this.y=e,this}setZ(e){return this.z=e,this}setComponent(e,t){switch(e){case 0:this.x=t;break;case 1:this.y=t;break;case 2:this.z=t;break;default:throw new Error("index is out of range: "+e)}return this}getComponent(e){switch(e){case 0:return this.x;case 1:return this.y;case 2:return this.z;default:throw new Error("index is out of range: "+e)}}clone(){return new this.constructor(this.x,this.y,this.z)}copy(e){return this.x=e.x,this.y=e.y,this.z=e.z,this}add(e){return this.x+=e.x,this.y+=e.y,this.z+=e.z,this}addScalar(e){return this.x+=e,this.y+=e,this.z+=e,this}addVectors(e,t){return this.x=e.x+t.x,this.y=e.y+t.y,this.z=e.z+t.z,this}addScaledVector(e,t){return this.x+=e.x*t,this.y+=e.y*t,this.z+=e.z*t,this}sub(e){return this.x-=e.x,this.y-=e.y,this.z-=e.z,this}subScalar(e){return this.x-=e,this.y-=e,this.z-=e,this}subVectors(e,t){return this.x=e.x-t.x,this.y=e.y-t.y,this.z=e.z-t.z,this}multiply(e){return this.x*=e.x,this.y*=e.y,this.z*=e.z,this}multiplyScalar(e){return this.x*=e,this.y*=e,this.z*=e,this}multiplyVectors(e,t){return this.x=e.x*t.x,this.y=e.y*t.y,this.z=e.z*t.z,this}applyEuler(e){return this.applyQuaternion(N1.setFromEuler(e))}applyAxisAngle(e,t){return this.applyQuaternion(N1.setFromAxisAngle(e,t))}applyMatrix3(e){const t=this.x,i=this.y,s=this.z,r=e.elements;return this.x=r[0]*t+r[3]*i+r[6]*s,this.y=r[1]*t+r[4]*i+r[7]*s,this.z=r[2]*t+r[5]*i+r[8]*s,this}applyNormalMatrix(e){return this.applyMatrix3(e).normalize()}applyMatrix4(e){const t=this.x,i=this.y,s=this.z,r=e.elements,o=1/(r[3]*t+r[7]*i+r[11]*s+r[15]);return this.x=(r[0]*t+r[4]*i+r[8]*s+r[12])*o,this.y=(r[1]*t+r[5]*i+r[9]*s+r[13])*o,this.z=(r[2]*t+r[6]*i+r[10]*s+r[14])*o,this}applyQuaternion(e){const t=this.x,i=this.y,s=this.z,r=e.x,o=e.y,a=e.z,l=e.w,d=2*(o*s-a*i),c=2*(a*t-r*s),_=2*(r*i-o*t);return this.x=t+l*d+o*_-a*c,this.y=i+l*c+a*d-r*_,this.z=s+l*_+r*c-o*d,this}project(e){return this.applyMatrix4(e.matrixWorldInverse).applyMatrix4(e.projectionMatrix)}unproject(e){return this.applyMatrix4(e.projectionMatrixInverse).applyMatrix4(e.matrixWorld)}transformDirection(e){const t=this.x,i=this.y,s=this.z,r=e.elements;return this.x=r[0]*t+r[4]*i+r[8]*s,this.y=r[1]*t+r[5]*i+r[9]*s,this.z=r[2]*t+r[6]*i+r[10]*s,this.normalize()}divide(e){return this.x/=e.x,this.y/=e.y,this.z/=e.z,this}divideScalar(e){return this.multiplyScalar(1/e)}min(e){return this.x=Math.min(this.x,e.x),this.y=Math.min(this.y,e.y),this.z=Math.min(this.z,e.z),this}max(e){return this.x=Math.max(this.x,e.x),this.y=Math.max(this.y,e.y),this.z=Math.max(this.z,e.z),this}clamp(e,t){return this.x=Math.max(e.x,Math.min(t.x,this.x)),this.y=Math.max(e.y,Math.min(t.y,this.y)),this.z=Math.max(e.z,Math.min(t.z,this.z)),this}clampScalar(e,t){return this.x=Math.max(e,Math.min(t,this.x)),this.y=Math.max(e,Math.min(t,this.y)),this.z=Math.max(e,Math.min(t,this.z)),this}clampLength(e,t){const i=this.length();return this.divideScalar(i||1).multiplyScalar(Math.max(e,Math.min(t,i)))}floor(){return this.x=Math.floor(this.x),this.y=Math.floor(this.y),this.z=Math.floor(this.z),this}ceil(){return this.x=Math.ceil(this.x),this.y=Math.ceil(this.y),this.z=Math.ceil(this.z),this}round(){return this.x=Math.round(this.x),this.y=Math.round(this.y),this.z=Math.round(this.z),this}roundToZero(){return this.x=Math.trunc(this.x),this.y=Math.trunc(this.y),this.z=Math.trunc(this.z),this}negate(){return this.x=-this.x,this.y=-this.y,this.z=-this.z,this}dot(e){return this.x*e.x+this.y*e.y+this.z*e.z}lengthSq(){return this.x*this.x+this.y*this.y+this.z*this.z}length(){return Math.sqrt(this.x*this.x+this.y*this.y+this.z*this.z)}manhattanLength(){return Math.abs(this.x)+Math.abs(this.y)+Math.abs(this.z)}normalize(){return this.divideScalar(this.length()||1)}setLength(e){return this.normalize().multiplyScalar(e)}lerp(e,t){return this.x+=(e.x-this.x)*t,this.y+=(e.y-this.y)*t,this.z+=(e.z-this.z)*t,this}lerpVectors(e,t,i){return this.x=e.x+(t.x-e.x)*i,this.y=e.y+(t.y-e.y)*i,this.z=e.z+(t.z-e.z)*i,this}cross(e){return this.crossVectors(this,e)}crossVectors(e,t){const i=e.x,s=e.y,r=e.z,o=t.x,a=t.y,l=t.z;return this.x=s*l-r*a,this.y=r*o-i*l,this.z=i*a-s*o,this}projectOnVector(e){const t=e.lengthSq();if(t===0)return this.set(0,0,0);const i=e.dot(this)/t;return this.copy(e).multiplyScalar(i)}projectOnPlane(e){return Wm.copy(this).projectOnVector(e),this.sub(Wm)}reflect(e){return this.sub(Wm.copy(e).multiplyScalar(2*this.dot(e)))}angleTo(e){const t=Math.sqrt(this.lengthSq()*e.lengthSq());if(t===0)return Math.PI/2;const i=this.dot(e)/t;return Math.acos(kn(i,-1,1))}distanceTo(e){return Math.sqrt(this.distanceToSquared(e))}distanceToSquared(e){const t=this.x-e.x,i=this.y-e.y,s=this.z-e.z;return t*t+i*i+s*s}manhattanDistanceTo(e){return Math.abs(this.x-e.x)+Math.abs(this.y-e.y)+Math.abs(this.z-e.z)}setFromSpherical(e){return this.setFromSphericalCoords(e.radius,e.phi,e.theta)}setFromSphericalCoords(e,t,i){const s=Math.sin(t)*e;return this.x=s*Math.sin(i),this.y=Math.cos(t)*e,this.z=s*Math.cos(i),this}setFromCylindrical(e){return this.setFromCylindricalCoords(e.radius,e.theta,e.y)}setFromCylindricalCoords(e,t,i){return this.x=e*Math.sin(t),this.y=i,this.z=e*Math.cos(t),this}setFromMatrixPosition(e){const t=e.elements;return this.x=t[12],this.y=t[13],this.z=t[14],this}setFromMatrixScale(e){const t=this.setFromMatrixColumn(e,0).length(),i=this.setFromMatrixColumn(e,1).length(),s=this.setFromMatrixColumn(e,2).length();return this.x=t,this.y=i,this.z=s,this}setFromMatrixColumn(e,t){return this.fromArray(e.elements,t*4)}setFromMatrix3Column(e,t){return this.fromArray(e.elements,t*3)}setFromEuler(e){return this.x=e._x,this.y=e._y,this.z=e._z,this}setFromColor(e){return this.x=e.r,this.y=e.g,this.z=e.b,this}equals(e){return e.x===this.x&&e.y===this.y&&e.z===this.z}fromArray(e,t=0){return this.x=e[t],this.y=e[t+1],this.z=e[t+2],this}toArray(e=[],t=0){return e[t]=this.x,e[t+1]=this.y,e[t+2]=this.z,e}fromBufferAttribute(e,t){return this.x=e.getX(t),this.y=e.getY(t),this.z=e.getZ(t),this}random(){return this.x=Math.random(),this.y=Math.random(),this.z=Math.random(),this}randomDirection(){const e=(Math.random()-.5)*2,t=Math.random()*Math.PI*2,i=Math.sqrt(1-e**2);return this.x=i*Math.cos(t),this.y=i*Math.sin(t),this.z=e,this}*[Symbol.iterator](){yield this.x,yield this.y,yield this.z}}const Wm=new be,N1=new Mr;class Ks{constructor(e=new be(1/0,1/0,1/0),t=new be(-1/0,-1/0,-1/0)){this.isBox3=!0,this.min=e,this.max=t}set(e,t){return this.min.copy(e),this.max.copy(t),this}setFromArray(e){this.makeEmpty();for(let t=0,i=e.length;t<i;t+=3)this.expandByPoint(Ii.fromArray(e,t));return this}setFromBufferAttribute(e){this.makeEmpty();for(let t=0,i=e.count;t<i;t++)this.expandByPoint(Ii.fromBufferAttribute(e,t));return this}setFromPoints(e){this.makeEmpty();for(let t=0,i=e.length;t<i;t++)this.expandByPoint(e[t]);return this}setFromCenterAndSize(e,t){const i=Ii.copy(t).multiplyScalar(.5);return this.min.copy(e).sub(i),this.max.copy(e).add(i),this}setFromObject(e,t=!1){return this.makeEmpty(),this.expandByObject(e,t)}clone(){return new this.constructor().copy(this)}copy(e){return this.min.copy(e.min),this.max.copy(e.max),this}makeEmpty(){return this.min.x=this.min.y=this.min.z=1/0,this.max.x=this.max.y=this.max.z=-1/0,this}isEmpty(){return this.max.x<this.min.x||this.max.y<this.min.y||this.max.z<this.min.z}getCenter(e){return this.isEmpty()?e.set(0,0,0):e.addVectors(this.min,this.max).multiplyScalar(.5)}getSize(e){return this.isEmpty()?e.set(0,0,0):e.subVectors(this.max,this.min)}expandByPoint(e){return this.min.min(e),this.max.max(e),this}expandByVector(e){return this.min.sub(e),this.max.add(e),this}expandByScalar(e){return this.min.addScalar(-e),this.max.addScalar(e),this}expandByObject(e,t=!1){e.updateWorldMatrix(!1,!1);const i=e.geometry;if(i!==void 0){const r=i.getAttribute("position");if(t===!0&&r!==void 0&&e.isInstancedMesh!==!0)for(let o=0,a=r.count;o<a;o++)e.isMesh===!0?e.getVertexPosition(o,Ii):Ii.fromBufferAttribute(r,o),Ii.applyMatrix4(e.matrixWorld),this.expandByPoint(Ii);else e.boundingBox!==void 0?(e.boundingBox===null&&e.computeBoundingBox(),ed.copy(e.boundingBox)):(i.boundingBox===null&&i.computeBoundingBox(),ed.copy(i.boundingBox)),ed.applyMatrix4(e.matrixWorld),this.union(ed)}const s=e.children;for(let r=0,o=s.length;r<o;r++)this.expandByObject(s[r],t);return this}containsPoint(e){return!(e.x<this.min.x||e.x>this.max.x||e.y<this.min.y||e.y>this.max.y||e.z<this.min.z||e.z>this.max.z)}containsBox(e){return this.min.x<=e.min.x&&e.max.x<=this.max.x&&this.min.y<=e.min.y&&e.max.y<=this.max.y&&this.min.z<=e.min.z&&e.max.z<=this.max.z}getParameter(e,t){return t.set((e.x-this.min.x)/(this.max.x-this.min.x),(e.y-this.min.y)/(this.max.y-this.min.y),(e.z-this.min.z)/(this.max.z-this.min.z))}intersectsBox(e){return!(e.max.x<this.min.x||e.min.x>this.max.x||e.max.y<this.min.y||e.min.y>this.max.y||e.max.z<this.min.z||e.min.z>this.max.z)}intersectsSphere(e){return this.clampPoint(e.center,Ii),Ii.distanceToSquared(e.center)<=e.radius*e.radius}intersectsPlane(e){let t,i;return e.normal.x>0?(t=e.normal.x*this.min.x,i=e.normal.x*this.max.x):(t=e.normal.x*this.max.x,i=e.normal.x*this.min.x),e.normal.y>0?(t+=e.normal.y*this.min.y,i+=e.normal.y*this.max.y):(t+=e.normal.y*this.max.y,i+=e.normal.y*this.min.y),e.normal.z>0?(t+=e.normal.z*this.min.z,i+=e.normal.z*this.max.z):(t+=e.normal.z*this.max.z,i+=e.normal.z*this.min.z),t<=-e.constant&&i>=-e.constant}intersectsTriangle(e){if(this.isEmpty())return!1;this.getCenter(ml),td.subVectors(this.max,ml),Mo.subVectors(e.a,ml),Do.subVectors(e.b,ml),ko.subVectors(e.c,ml),er.subVectors(Do,Mo),tr.subVectors(ko,Do),Br.subVectors(Mo,ko);let t=[0,-er.z,er.y,0,-tr.z,tr.y,0,-Br.z,Br.y,er.z,0,-er.x,tr.z,0,-tr.x,Br.z,0,-Br.x,-er.y,er.x,0,-tr.y,tr.x,0,-Br.y,Br.x,0];return!Km(t,Mo,Do,ko,td)||(t=[1,0,0,0,1,0,0,0,1],!Km(t,Mo,Do,ko,td))?!1:(nd.crossVectors(er,tr),t=[nd.x,nd.y,nd.z],Km(t,Mo,Do,ko,td))}clampPoint(e,t){return t.copy(e).clamp(this.min,this.max)}distanceToPoint(e){return this.clampPoint(e,Ii).distanceTo(e)}getBoundingSphere(e){return this.isEmpty()?e.makeEmpty():(this.getCenter(e.center),e.radius=this.getSize(Ii).length()*.5),e}intersect(e){return this.min.max(e.min),this.max.min(e.max),this.isEmpty()&&this.makeEmpty(),this}union(e){return this.min.min(e.min),this.max.max(e.max),this}applyMatrix4(e){return this.isEmpty()?this:(Ts[0].set(this.min.x,this.min.y,this.min.z).applyMatrix4(e),Ts[1].set(this.min.x,this.min.y,this.max.z).applyMatrix4(e),Ts[2].set(this.min.x,this.max.y,this.min.z).applyMatrix4(e),Ts[3].set(this.min.x,this.max.y,this.max.z).applyMatrix4(e),Ts[4].set(this.max.x,this.min.y,this.min.z).applyMatrix4(e),Ts[5].set(this.max.x,this.min.y,this.max.z).applyMatrix4(e),Ts[6].set(this.max.x,this.max.y,this.min.z).applyMatrix4(e),Ts[7].set(this.max.x,this.max.y,this.max.z).applyMatrix4(e),this.setFromPoints(Ts),this)}translate(e){return this.min.add(e),this.max.add(e),this}equals(e){return e.min.equals(this.min)&&e.max.equals(this.max)}}const Ts=[new be,new be,new be,new be,new be,new be,new be,new be],Ii=new be,ed=new Ks,Mo=new be,Do=new be,ko=new be,er=new be,tr=new be,Br=new be,ml=new be,td=new be,nd=new be,Gr=new be;function Km(n,e,t,i,s){for(let r=0,o=n.length-3;r<=o;r+=3){Gr.fromArray(n,r);const a=s.x*Math.abs(Gr.x)+s.y*Math.abs(Gr.y)+s.z*Math.abs(Gr.z),l=e.dot(Gr),d=t.dot(Gr),c=i.dot(Gr);if(Math.max(-Math.max(l,d,c),Math.min(l,d,c))>a)return!1}return!0}const wxt=new Ks,gl=new be,jm=new be;class _s{constructor(e=new be,t=-1){this.center=e,this.radius=t}set(e,t){return this.center.copy(e),this.radius=t,this}setFromPoints(e,t){const i=this.center;t!==void 0?i.copy(t):wxt.setFromPoints(e).getCenter(i);let s=0;for(let r=0,o=e.length;r<o;r++)s=Math.max(s,i.distanceToSquared(e[r]));return this.radius=Math.sqrt(s),this}copy(e){return this.center.copy(e.center),this.radius=e.radius,this}isEmpty(){return this.radius<0}makeEmpty(){return this.center.set(0,0,0),this.radius=-1,this}containsPoint(e){return e.distanceToSquared(this.center)<=this.radius*this.radius}distanceToPoint(e){return e.distanceTo(this.center)-this.radius}intersectsSphere(e){const t=this.radius+e.radius;return e.center.distanceToSquared(this.center)<=t*t}intersectsBox(e){return e.intersectsSphere(this)}intersectsPlane(e){return Math.abs(e.distanceToPoint(this.center))<=this.radius}clampPoint(e,t){const i=this.center.distanceToSquared(e);return t.copy(e),i>this.radius*this.radius&&(t.sub(this.center).normalize(),t.multiplyScalar(this.radius).add(this.center)),t}getBoundingBox(e){return this.isEmpty()?(e.makeEmpty(),e):(e.set(this.center,this.center),e.expandByScalar(this.radius),e)}applyMatrix4(e){return this.center.applyMatrix4(e),this.radius=this.radius*e.getMaxScaleOnAxis(),this}translate(e){return this.center.add(e),this}expandByPoint(e){if(this.isEmpty())return this.center.copy(e),this.radius=0,this;gl.subVectors(e,this.center);const t=gl.lengthSq();if(t>this.radius*this.radius){const i=Math.sqrt(t),s=(i-this.radius)*.5;this.center.addScaledVector(gl,s/i),this.radius+=s}return this}union(e){return e.isEmpty()?this:this.isEmpty()?(this.copy(e),this):(this.center.equals(e.center)===!0?this.radius=Math.max(this.radius,e.radius):(jm.subVectors(e.center,this.center).setLength(e.radius),this.expandByPoint(gl.copy(e.center).add(jm)),this.expandByPoint(gl.copy(e.center).sub(jm))),this)}equals(e){return e.center.equals(this.center)&&e.radius===this.radius}clone(){return new this.constructor().copy(this)}}const xs=new be,Qm=new be,id=new be,nr=new be,Xm=new be,sd=new be,Zm=new be;class pp{constructor(e=new be,t=new be(0,0,-1)){this.origin=e,this.direction=t}set(e,t){return this.origin.copy(e),this.direction.copy(t),this}copy(e){return this.origin.copy(e.origin),this.direction.copy(e.direction),this}at(e,t){return t.copy(this.origin).addScaledVector(this.direction,e)}lookAt(e){return this.direction.copy(e).sub(this.origin).normalize(),this}recast(e){return this.origin.copy(this.at(e,xs)),this}closestPointToPoint(e,t){t.subVectors(e,this.origin);const i=t.dot(this.direction);return i<0?t.copy(this.origin):t.copy(this.origin).addScaledVector(this.direction,i)}distanceToPoint(e){return Math.sqrt(this.distanceSqToPoint(e))}distanceSqToPoint(e){const t=xs.subVectors(e,this.origin).dot(this.direction);return t<0?this.origin.distanceToSquared(e):(xs.copy(this.origin).addScaledVector(this.direction,t),xs.distanceToSquared(e))}distanceSqToSegment(e,t,i,s){Qm.copy(e).add(t).multiplyScalar(.5),id.copy(t).sub(e).normalize(),nr.copy(this.origin).sub(Qm);const r=e.distanceTo(t)*.5,o=-this.direction.dot(id),a=nr.dot(this.direction),l=-nr.dot(id),d=nr.lengthSq(),c=Math.abs(1-o*o);let _,f,m,h;if(c>0)if(_=o*l-a,f=o*a-l,h=r*c,_>=0)if(f>=-h)if(f<=h){const E=1/c;_*=E,f*=E,m=_*(_+o*f+2*a)+f*(o*_+f+2*l)+d}else f=r,_=Math.max(0,-(o*f+a)),m=-_*_+f*(f+2*l)+d;else f=-r,_=Math.max(0,-(o*f+a)),m=-_*_+f*(f+2*l)+d;else f<=-h?(_=Math.max(0,-(-o*r+a)),f=_>0?-r:Math.min(Math.max(-r,-l),r),m=-_*_+f*(f+2*l)+d):f<=h?(_=0,f=Math.min(Math.max(-r,-l),r),m=f*(f+2*l)+d):(_=Math.max(0,-(o*r+a)),f=_>0?r:Math.min(Math.max(-r,-l),r),m=-_*_+f*(f+2*l)+d);else f=o>0?-r:r,_=Math.max(0,-(o*f+a)),m=-_*_+f*(f+2*l)+d;return i&&i.copy(this.origin).addScaledVector(this.direction,_),s&&s.copy(Qm).addScaledVector(id,f),m}intersectSphere(e,t){xs.subVectors(e.center,this.origin);const i=xs.dot(this.direction),s=xs.dot(xs)-i*i,r=e.radius*e.radius;if(s>r)return null;const o=Math.sqrt(r-s),a=i-o,l=i+o;return l<0?null:a<0?this.at(l,t):this.at(a,t)}intersectsSphere(e){return this.distanceSqToPoint(e.center)<=e.radius*e.radius}distanceToPlane(e){const t=e.normal.dot(this.direction);if(t===0)return e.distanceToPoint(this.origin)===0?0:null;const i=-(this.origin.dot(e.normal)+e.constant)/t;return i>=0?i:null}intersectPlane(e,t){const i=this.distanceToPlane(e);return i===null?null:this.at(i,t)}intersectsPlane(e){const t=e.distanceToPoint(this.origin);return t===0||e.normal.dot(this.direction)*t<0}intersectBox(e,t){let i,s,r,o,a,l;const d=1/this.direction.x,c=1/this.direction.y,_=1/this.direction.z,f=this.origin;return d>=0?(i=(e.min.x-f.x)*d,s=(e.max.x-f.x)*d):(i=(e.max.x-f.x)*d,s=(e.min.x-f.x)*d),c>=0?(r=(e.min.y-f.y)*c,o=(e.max.y-f.y)*c):(r=(e.max.y-f.y)*c,o=(e.min.y-f.y)*c),i>o||r>s||((r>i||isNaN(i))&&(i=r),(o<s||isNaN(s))&&(s=o),_>=0?(a=(e.min.z-f.z)*_,l=(e.max.z-f.z)*_):(a=(e.max.z-f.z)*_,l=(e.min.z-f.z)*_),i>l||a>s)||((a>i||i!==i)&&(i=a),(l<s||s!==s)&&(s=l),s<0)?null:this.at(i>=0?i:s,t)}intersectsBox(e){return this.intersectBox(e,xs)!==null}intersectTriangle(e,t,i,s,r){Xm.subVectors(t,e),sd.subVectors(i,e),Zm.crossVectors(Xm,sd);let o=this.direction.dot(Zm),a;if(o>0){if(s)return null;a=1}else if(o<0)a=-1,o=-o;else return null;nr.subVectors(this.origin,e);const l=a*this.direction.dot(sd.crossVectors(nr,sd));if(l<0)return null;const d=a*this.direction.dot(Xm.cross(nr));if(d<0||l+d>o)return null;const c=-a*nr.dot(Zm);return c<0?null:this.at(c/o,r)}applyMatrix4(e){return this.origin.applyMatrix4(e),this.direction.transformDirection(e),this}equals(e){return e.origin.equals(this.origin)&&e.direction.equals(this.direction)}clone(){return new this.constructor().copy(this)}}class Rt{constructor(e,t,i,s,r,o,a,l,d,c,_,f,m,h,E,b){Rt.prototype.isMatrix4=!0,this.elements=[1,0,0,0,0,1,0,0,0,0,1,0,0,0,0,1],e!==void 0&&this.set(e,t,i,s,r,o,a,l,d,c,_,f,m,h,E,b)}set(e,t,i,s,r,o,a,l,d,c,_,f,m,h,E,b){const g=this.elements;return g[0]=e,g[4]=t,g[8]=i,g[12]=s,g[1]=r,g[5]=o,g[9]=a,g[13]=l,g[2]=d,g[6]=c,g[10]=_,g[14]=f,g[3]=m,g[7]=h,g[11]=E,g[15]=b,this}identity(){return this.set(1,0,0,0,0,1,0,0,0,0,1,0,0,0,0,1),this}clone(){return new Rt().fromArray(this.elements)}copy(e){const t=this.elements,i=e.elements;return t[0]=i[0],t[1]=i[1],t[2]=i[2],t[3]=i[3],t[4]=i[4],t[5]=i[5],t[6]=i[6],t[7]=i[7],t[8]=i[8],t[9]=i[9],t[10]=i[10],t[11]=i[11],t[12]=i[12],t[13]=i[13],t[14]=i[14],t[15]=i[15],this}copyPosition(e){const t=this.elements,i=e.elements;return t[12]=i[12],t[13]=i[13],t[14]=i[14],this}setFromMatrix3(e){const t=e.elements;return this.set(t[0],t[3],t[6],0,t[1],t[4],t[7],0,t[2],t[5],t[8],0,0,0,0,1),this}extractBasis(e,t,i){return e.setFromMatrixColumn(this,0),t.setFromMatrixColumn(this,1),i.setFromMatrixColumn(this,2),this}makeBasis(e,t,i){return this.set(e.x,t.x,i.x,0,e.y,t.y,i.y,0,e.z,t.z,i.z,0,0,0,0,1),this}extractRotation(e){const t=this.elements,i=e.elements,s=1/Lo.setFromMatrixColumn(e,0).length(),r=1/Lo.setFromMatrixColumn(e,1).length(),o=1/Lo.setFromMatrixColumn(e,2).length();return t[0]=i[0]*s,t[1]=i[1]*s,t[2]=i[2]*s,t[3]=0,t[4]=i[4]*r,t[5]=i[5]*r,t[6]=i[6]*r,t[7]=0,t[8]=i[8]*o,t[9]=i[9]*o,t[10]=i[10]*o,t[11]=0,t[12]=0,t[13]=0,t[14]=0,t[15]=1,this}makeRotationFromEuler(e){const t=this.elements,i=e.x,s=e.y,r=e.z,o=Math.cos(i),a=Math.sin(i),l=Math.cos(s),d=Math.sin(s),c=Math.cos(r),_=Math.sin(r);if(e.order==="XYZ"){const f=o*c,m=o*_,h=a*c,E=a*_;t[0]=l*c,t[4]=-l*_,t[8]=d,t[1]=m+h*d,t[5]=f-E*d,t[9]=-a*l,t[2]=E-f*d,t[6]=h+m*d,t[10]=o*l}else if(e.order==="YXZ"){const f=l*c,m=l*_,h=d*c,E=d*_;t[0]=f+E*a,t[4]=h*a-m,t[8]=o*d,t[1]=o*_,t[5]=o*c,t[9]=-a,t[2]=m*a-h,t[6]=E+f*a,t[10]=o*l}else if(e.order==="ZXY"){const f=l*c,m=l*_,h=d*c,E=d*_;t[0]=f-E*a,t[4]=-o*_,t[8]=h+m*a,t[1]=m+h*a,t[5]=o*c,t[9]=E-f*a,t[2]=-o*d,t[6]=a,t[10]=o*l}else if(e.order==="ZYX"){const f=o*c,m=o*_,h=a*c,E=a*_;t[0]=l*c,t[4]=h*d-m,t[8]=f*d+E,t[1]=l*_,t[5]=E*d+f,t[9]=m*d-h,t[2]=-d,t[6]=a*l,t[10]=o*l}else if(e.order==="YZX"){const f=o*l,m=o*d,h=a*l,E=a*d;t[0]=l*c,t[4]=E-f*_,t[8]=h*_+m,t[1]=_,t[5]=o*c,t[9]=-a*c,t[2]=-d*c,t[6]=m*_+h,t[10]=f-E*_}else if(e.order==="XZY"){const f=o*l,m=o*d,h=a*l,E=a*d;t[0]=l*c,t[4]=-_,t[8]=d*c,t[1]=f*_+E,t[5]=o*c,t[9]=m*_-h,t[2]=h*_-m,t[6]=a*c,t[10]=E*_+f}return t[3]=0,t[7]=0,t[11]=0,t[12]=0,t[13]=0,t[14]=0,t[15]=1,this}makeRotationFromQuaternion(e){return this.compose(Nxt,e,Oxt)}lookAt(e,t,i){const s=this.elements;return ii.subVectors(e,t),ii.lengthSq()===0&&(ii.z=1),ii.normalize(),ir.crossVectors(i,ii),ir.lengthSq()===0&&(Math.abs(i.z)===1?ii.x+=1e-4:ii.z+=1e-4,ii.normalize(),ir.crossVectors(i,ii)),ir.normalize(),rd.crossVectors(ii,ir),s[0]=ir.x,s[4]=rd.x,s[8]=ii.x,s[1]=ir.y,s[5]=rd.y,s[9]=ii.y,s[2]=ir.z,s[6]=rd.z,s[10]=ii.z,this}multiply(e){return this.multiplyMatrices(this,e)}premultiply(e){return this.multiplyMatrices(e,this)}multiplyMatrices(e,t){const i=e.elements,s=t.elements,r=this.elements,o=i[0],a=i[4],l=i[8],d=i[12],c=i[1],_=i[5],f=i[9],m=i[13],h=i[2],E=i[6],b=i[10],g=i[14],v=i[3],y=i[7],T=i[11],C=i[15],x=s[0],O=s[4],R=s[8],S=s[12],A=s[1],U=s[5],F=s[9],K=s[13],L=s[2],H=s[6],G=s[10],P=s[14],j=s[3],Y=s[7],Q=s[11],re=s[15];return r[0]=o*x+a*A+l*L+d*j,r[4]=o*O+a*U+l*H+d*Y,r[8]=o*R+a*F+l*G+d*Q,r[12]=o*S+a*K+l*P+d*re,r[1]=c*x+_*A+f*L+m*j,r[5]=c*O+_*U+f*H+m*Y,r[9]=c*R+_*F+f*G+m*Q,r[13]=c*S+_*K+f*P+m*re,r[2]=h*x+E*A+b*L+g*j,r[6]=h*O+E*U+b*H+g*Y,r[10]=h*R+E*F+b*G+g*Q,r[14]=h*S+E*K+b*P+g*re,r[3]=v*x+y*A+T*L+C*j,r[7]=v*O+y*U+T*H+C*Y,r[11]=v*R+y*F+T*G+C*Q,r[15]=v*S+y*K+T*P+C*re,this}multiplyScalar(e){const t=this.elements;return t[0]*=e,t[4]*=e,t[8]*=e,t[12]*=e,t[1]*=e,t[5]*=e,t[9]*=e,t[13]*=e,t[2]*=e,t[6]*=e,t[10]*=e,t[14]*=e,t[3]*=e,t[7]*=e,t[11]*=e,t[15]*=e,this}determinant(){const e=this.elements,t=e[0],i=e[4],s=e[8],r=e[12],o=e[1],a=e[5],l=e[9],d=e[13],c=e[2],_=e[6],f=e[10],m=e[14],h=e[3],E=e[7],b=e[11],g=e[15];return h*(+r*l*_-s*d*_-r*a*f+i*d*f+s*a*m-i*l*m)+E*(+t*l*m-t*d*f+r*o*f-s*o*m+s*d*c-r*l*c)+b*(+t*d*_-t*a*m-r*o*_+i*o*m+r*a*c-i*d*c)+g*(-s*a*c-t*l*_+t*a*f+s*o*_-i*o*f+i*l*c)}transpose(){const e=this.elements;let t;return t=e[1],e[1]=e[4],e[4]=t,t=e[2],e[2]=e[8],e[8]=t,t=e[6],e[6]=e[9],e[9]=t,t=e[3],e[3]=e[12],e[12]=t,t=e[7],e[7]=e[13],e[13]=t,t=e[11],e[11]=e[14],e[14]=t,this}setPosition(e,t,i){const s=this.elements;return e.isVector3?(s[12]=e.x,s[13]=e.y,s[14]=e.z):(s[12]=e,s[13]=t,s[14]=i),this}invert(){const e=this.elements,t=e[0],i=e[1],s=e[2],r=e[3],o=e[4],a=e[5],l=e[6],d=e[7],c=e[8],_=e[9],f=e[10],m=e[11],h=e[12],E=e[13],b=e[14],g=e[15],v=_*b*d-E*f*d+E*l*m-a*b*m-_*l*g+a*f*g,y=h*f*d-c*b*d-h*l*m+o*b*m+c*l*g-o*f*g,T=c*E*d-h*_*d+h*a*m-o*E*m-c*a*g+o*_*g,C=h*_*l-c*E*l-h*a*f+o*E*f+c*a*b-o*_*b,x=t*v+i*y+s*T+r*C;if(x===0)return this.set(0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0);const O=1/x;return e[0]=v*O,e[1]=(E*f*r-_*b*r-E*s*m+i*b*m+_*s*g-i*f*g)*O,e[2]=(a*b*r-E*l*r+E*s*d-i*b*d-a*s*g+i*l*g)*O,e[3]=(_*l*r-a*f*r-_*s*d+i*f*d+a*s*m-i*l*m)*O,e[4]=y*O,e[5]=(c*b*r-h*f*r+h*s*m-t*b*m-c*s*g+t*f*g)*O,e[6]=(h*l*r-o*b*r-h*s*d+t*b*d+o*s*g-t*l*g)*O,e[7]=(o*f*r-c*l*r+c*s*d-t*f*d-o*s*m+t*l*m)*O,e[8]=T*O,e[9]=(h*_*r-c*E*r-h*i*m+t*E*m+c*i*g-t*_*g)*O,e[10]=(o*E*r-h*a*r+h*i*d-t*E*d-o*i*g+t*a*g)*O,e[11]=(c*a*r-o*_*r-c*i*d+t*_*d+o*i*m-t*a*m)*O,e[12]=C*O,e[13]=(c*E*s-h*_*s+h*i*f-t*E*f-c*i*b+t*_*b)*O,e[14]=(h*a*s-o*E*s-h*i*l+t*E*l+o*i*b-t*a*b)*O,e[15]=(o*_*s-c*a*s+c*i*l-t*_*l-o*i*f+t*a*f)*O,this}scale(e){const t=this.elements,i=e.x,s=e.y,r=e.z;return t[0]*=i,t[4]*=s,t[8]*=r,t[1]*=i,t[5]*=s,t[9]*=r,t[2]*=i,t[6]*=s,t[10]*=r,t[3]*=i,t[7]*=s,t[11]*=r,this}getMaxScaleOnAxis(){const e=this.elements,t=e[0]*e[0]+e[1]*e[1]+e[2]*e[2],i=e[4]*e[4]+e[5]*e[5]+e[6]*e[6],s=e[8]*e[8]+e[9]*e[9]+e[10]*e[10];return Math.sqrt(Math.max(t,i,s))}makeTranslation(e,t,i){return e.isVector3?this.set(1,0,0,e.x,0,1,0,e.y,0,0,1,e.z,0,0,0,1):this.set(1,0,0,e,0,1,0,t,0,0,1,i,0,0,0,1),this}makeRotationX(e){const t=Math.cos(e),i=Math.sin(e);return this.set(1,0,0,0,0,t,-i,0,0,i,t,0,0,0,0,1),this}makeRotationY(e){const t=Math.cos(e),i=Math.sin(e);return this.set(t,0,i,0,0,1,0,0,-i,0,t,0,0,0,0,1),this}makeRotationZ(e){const t=Math.cos(e),i=Math.sin(e);return this.set(t,-i,0,0,i,t,0,0,0,0,1,0,0,0,0,1),this}makeRotationAxis(e,t){const i=Math.cos(t),s=Math.sin(t),r=1-i,o=e.x,a=e.y,l=e.z,d=r*o,c=r*a;return this.set(d*o+i,d*a-s*l,d*l+s*a,0,d*a+s*l,c*a+i,c*l-s*o,0,d*l-s*a,c*l+s*o,r*l*l+i,0,0,0,0,1),this}makeScale(e,t,i){return this.set(e,0,0,0,0,t,0,0,0,0,i,0,0,0,0,1),this}makeShear(e,t,i,s,r,o){return this.set(1,i,r,0,e,1,o,0,t,s,1,0,0,0,0,1),this}compose(e,t,i){const s=this.elements,r=t._x,o=t._y,a=t._z,l=t._w,d=r+r,c=o+o,_=a+a,f=r*d,m=r*c,h=r*_,E=o*c,b=o*_,g=a*_,v=l*d,y=l*c,T=l*_,C=i.x,x=i.y,O=i.z;return s[0]=(1-(E+g))*C,s[1]=(m+T)*C,s[2]=(h-y)*C,s[3]=0,s[4]=(m-T)*x,s[5]=(1-(f+g))*x,s[6]=(b+v)*x,s[7]=0,s[8]=(h+y)*O,s[9]=(b-v)*O,s[10]=(1-(f+E))*O,s[11]=0,s[12]=e.x,s[13]=e.y,s[14]=e.z,s[15]=1,this}decompose(e,t,i){const s=this.elements;let r=Lo.set(s[0],s[1],s[2]).length();const o=Lo.set(s[4],s[5],s[6]).length(),a=Lo.set(s[8],s[9],s[10]).length();this.determinant()<0&&(r=-r),e.x=s[12],e.y=s[13],e.z=s[14],Mi.copy(this);const d=1/r,c=1/o,_=1/a;return Mi.elements[0]*=d,Mi.elements[1]*=d,Mi.elements[2]*=d,Mi.elements[4]*=c,Mi.elements[5]*=c,Mi.elements[6]*=c,Mi.elements[8]*=_,Mi.elements[9]*=_,Mi.elements[10]*=_,t.setFromRotationMatrix(Mi),i.x=r,i.y=o,i.z=a,this}makePerspective(e,t,i,s,r,o,a=ks){const l=this.elements,d=2*r/(t-e),c=2*r/(i-s),_=(t+e)/(t-e),f=(i+s)/(i-s);let m,h;if(a===ks)m=-(o+r)/(o-r),h=-2*o*r/(o-r);else if(a===yu)m=-o/(o-r),h=-o*r/(o-r);else throw new Error("THREE.Matrix4.makePerspective(): Invalid coordinate system: "+a);return l[0]=d,l[4]=0,l[8]=_,l[12]=0,l[1]=0,l[5]=c,l[9]=f,l[13]=0,l[2]=0,l[6]=0,l[10]=m,l[14]=h,l[3]=0,l[7]=0,l[11]=-1,l[15]=0,this}makeOrthographic(e,t,i,s,r,o,a=ks){const l=this.elements,d=1/(t-e),c=1/(i-s),_=1/(o-r),f=(t+e)*d,m=(i+s)*c;let h,E;if(a===ks)h=(o+r)*_,E=-2*_;else if(a===yu)h=r*_,E=-1*_;else throw new Error("THREE.Matrix4.makeOrthographic(): Invalid coordinate system: "+a);return l[0]=2*d,l[4]=0,l[8]=0,l[12]=-f,l[1]=0,l[5]=2*c,l[9]=0,l[13]=-m,l[2]=0,l[6]=0,l[10]=E,l[14]=-h,l[3]=0,l[7]=0,l[11]=0,l[15]=1,this}equals(e){const t=this.elements,i=e.elements;for(let s=0;s<16;s++)if(t[s]!==i[s])return!1;return!0}fromArray(e,t=0){for(let i=0;i<16;i++)this.elements[i]=e[i+t];return this}toArray(e=[],t=0){const i=this.elements;return e[t]=i[0],e[t+1]=i[1],e[t+2]=i[2],e[t+3]=i[3],e[t+4]=i[4],e[t+5]=i[5],e[t+6]=i[6],e[t+7]=i[7],e[t+8]=i[8],e[t+9]=i[9],e[t+10]=i[10],e[t+11]=i[11],e[t+12]=i[12],e[t+13]=i[13],e[t+14]=i[14],e[t+15]=i[15],e}}const Lo=new be,Mi=new Rt,Nxt=new be(0,0,0),Oxt=new be(1,1,1),ir=new be,rd=new be,ii=new be,O1=new Rt,I1=new Mr;class _p{constructor(e=0,t=0,i=0,s=_p.DEFAULT_ORDER){this.isEuler=!0,this._x=e,this._y=t,this._z=i,this._order=s}get x(){return this._x}set x(e){this._x=e,this._onChangeCallback()}get y(){return this._y}set y(e){this._y=e,this._onChangeCallback()}get z(){return this._z}set z(e){this._z=e,this._onChangeCallback()}get order(){return this._order}set order(e){this._order=e,this._onChangeCallback()}set(e,t,i,s=this._order){return this._x=e,this._y=t,this._z=i,this._order=s,this._onChangeCallback(),this}clone(){return new this.constructor(this._x,this._y,this._z,this._order)}copy(e){return this._x=e._x,this._y=e._y,this._z=e._z,this._order=e._order,this._onChangeCallback(),this}setFromRotationMatrix(e,t=this._order,i=!0){const s=e.elements,r=s[0],o=s[4],a=s[8],l=s[1],d=s[5],c=s[9],_=s[2],f=s[6],m=s[10];switch(t){case"XYZ":this._y=Math.asin(kn(a,-1,1)),Math.abs(a)<.9999999?(this._x=Math.atan2(-c,m),this._z=Math.atan2(-o,r)):(this._x=Math.atan2(f,d),this._z=0);break;case"YXZ":this._x=Math.asin(-kn(c,-1,1)),Math.abs(c)<.9999999?(this._y=Math.atan2(a,m),this._z=Math.atan2(l,d)):(this._y=Math.atan2(-_,r),this._z=0);break;case"ZXY":this._x=Math.asin(kn(f,-1,1)),Math.abs(f)<.9999999?(this._y=Math.atan2(-_,m),this._z=Math.atan2(-o,d)):(this._y=0,this._z=Math.atan2(l,r));break;case"ZYX":this._y=Math.asin(-kn(_,-1,1)),Math.abs(_)<.9999999?(this._x=Math.atan2(f,m),this._z=Math.atan2(l,r)):(this._x=0,this._z=Math.atan2(-o,d));break;case"YZX":this._z=Math.asin(kn(l,-1,1)),Math.abs(l)<.9999999?(this._x=Math.atan2(-c,d),this._y=Math.atan2(-_,r)):(this._x=0,this._y=Math.atan2(a,m));break;case"XZY":this._z=Math.asin(-kn(o,-1,1)),Math.abs(o)<.9999999?(this._x=Math.atan2(f,d),this._y=Math.atan2(a,r)):(this._x=Math.atan2(-c,m),this._y=0);break;default:console.warn("THREE.Euler: .setFromRotationMatrix() encountered an unknown order: "+t)}return this._order=t,i===!0&&this._onChangeCallback(),this}setFromQuaternion(e,t,i){return O1.makeRotationFromQuaternion(e),this.setFromRotationMatrix(O1,t,i)}setFromVector3(e,t=this._order){return this.set(e.x,e.y,e.z,t)}reorder(e){return I1.setFromEuler(this),this.setFromQuaternion(I1,e)}equals(e){return e._x===this._x&&e._y===this._y&&e._z===this._z&&e._order===this._order}fromArray(e){return this._x=e[0],this._y=e[1],this._z=e[2],e[3]!==void 0&&(this._order=e[3]),this._onChangeCallback(),this}toArray(e=[],t=0){return e[t]=this._x,e[t+1]=this._y,e[t+2]=this._z,e[t+3]=this._order,e}_onChange(e){return this._onChangeCallback=e,this}_onChangeCallback(){}*[Symbol.iterator](){yield this._x,yield this._y,yield this._z,yield this._order}}_p.DEFAULT_ORDER="XYZ";class cI{constructor(){this.mask=1}set(e){this.mask=(1<<e|0)>>>0}enable(e){this.mask|=1<<e|0}enableAll(){this.mask=-1}toggle(e){this.mask^=1<<e|0}disable(e){this.mask&=~(1<<e|0)}disableAll(){this.mask=0}test(e){return(this.mask&e.mask)!==0}isEnabled(e){return(this.mask&(1<<e|0))!==0}}let Ixt=0;const M1=new be,Po=new Mr,Cs=new Rt,od=new be,bl=new be,Mxt=new be,Dxt=new Mr,D1=new be(1,0,0),k1=new be(0,1,0),L1=new be(0,0,1),kxt={type:"added"},Lxt={type:"removed"};class sn extends ja{constructor(){super(),this.isObject3D=!0,Object.defineProperty(this,"id",{value:Ixt++}),this.uuid=zi(),this.name="",this.type="Object3D",this.parent=null,this.children=[],this.up=sn.DEFAULT_UP.clone();const e=new be,t=new _p,i=new Mr,s=new be(1,1,1);function r(){i.setFromEuler(t,!1)}function o(){t.setFromQuaternion(i,void 0,!1)}t._onChange(r),i._onChange(o),Object.defineProperties(this,{position:{configurable:!0,enumerable:!0,value:e},rotation:{configurable:!0,enumerable:!0,value:t},quaternion:{configurable:!0,enumerable:!0,value:i},scale:{configurable:!0,enumerable:!0,value:s},modelViewMatrix:{value:new Rt},normalMatrix:{value:new Ct}}),this.matrix=new Rt,this.matrixWorld=new Rt,this.matrixAutoUpdate=sn.DEFAULT_MATRIX_AUTO_UPDATE,this.matrixWorldAutoUpdate=sn.DEFAULT_MATRIX_WORLD_AUTO_UPDATE,this.matrixWorldNeedsUpdate=!1,this.layers=new cI,this.visible=!0,this.castShadow=!1,this.receiveShadow=!1,this.frustumCulled=!0,this.renderOrder=0,this.animations=[],this.userData={}}onBeforeShadow(){}onAfterShadow(){}onBeforeRender(){}onAfterRender(){}applyMatrix4(e){this.matrixAutoUpdate&&this.updateMatrix(),this.matrix.premultiply(e),this.matrix.decompose(this.position,this.quaternion,this.scale)}applyQuaternion(e){return this.quaternion.premultiply(e),this}setRotationFromAxisAngle(e,t){this.quaternion.setFromAxisAngle(e,t)}setRotationFromEuler(e){this.quaternion.setFromEuler(e,!0)}setRotationFromMatrix(e){this.quaternion.setFromRotationMatrix(e)}setRotationFromQuaternion(e){this.quaternion.copy(e)}rotateOnAxis(e,t){return Po.setFromAxisAngle(e,t),this.quaternion.multiply(Po),this}rotateOnWorldAxis(e,t){return Po.setFromAxisAngle(e,t),this.quaternion.premultiply(Po),this}rotateX(e){return this.rotateOnAxis(D1,e)}rotateY(e){return this.rotateOnAxis(k1,e)}rotateZ(e){return this.rotateOnAxis(L1,e)}translateOnAxis(e,t){return M1.copy(e).applyQuaternion(this.quaternion),this.position.add(M1.multiplyScalar(t)),this}translateX(e){return this.translateOnAxis(D1,e)}translateY(e){return this.translateOnAxis(k1,e)}translateZ(e){return this.translateOnAxis(L1,e)}localToWorld(e){return this.updateWorldMatrix(!0,!1),e.applyMatrix4(this.matrixWorld)}worldToLocal(e){return this.updateWorldMatrix(!0,!1),e.applyMatrix4(Cs.copy(this.matrixWorld).invert())}lookAt(e,t,i){e.isVector3?od.copy(e):od.set(e,t,i);const s=this.parent;this.updateWorldMatrix(!0,!1),bl.setFromMatrixPosition(this.matrixWorld),this.isCamera||this.isLight?Cs.lookAt(bl,od,this.up):Cs.lookAt(od,bl,this.up),this.quaternion.setFromRotationMatrix(Cs),s&&(Cs.extractRotation(s.matrixWorld),Po.setFromRotationMatrix(Cs),this.quaternion.premultiply(Po.invert()))}add(e){if(arguments.length>1){for(let t=0;t<arguments.length;t++)this.add(arguments[t]);return this}return e===this?(console.error("THREE.Object3D.add: object can't be added as a child of itself.",e),this):(e&&e.isObject3D?(e.parent!==null&&e.parent.remove(e),e.parent=this,this.children.push(e),e.dispatchEvent(kxt)):console.error("THREE.Object3D.add: object not an instance of THREE.Object3D.",e),this)}remove(e){if(arguments.length>1){for(let i=0;i<arguments.length;i++)this.remove(arguments[i]);return this}const t=this.children.indexOf(e);return t!==-1&&(e.parent=null,this.children.splice(t,1),e.dispatchEvent(Lxt)),this}removeFromParent(){const e=this.parent;return e!==null&&e.remove(this),this}clear(){return this.remove(...this.children)}attach(e){return this.updateWorldMatrix(!0,!1),Cs.copy(this.matrixWorld).invert(),e.parent!==null&&(e.parent.updateWorldMatrix(!0,!1),Cs.multiply(e.parent.matrixWorld)),e.applyMatrix4(Cs),this.add(e),e.updateWorldMatrix(!1,!0),this}getObjectById(e){return this.getObjectByProperty("id",e)}getObjectByName(e){return this.getObjectByProperty("name",e)}getObjectByProperty(e,t){if(this[e]===t)return this;for(let i=0,s=this.children.length;i<s;i++){const o=this.children[i].getObjectByProperty(e,t);if(o!==void 0)return o}}getObjectsByProperty(e,t,i=[]){this[e]===t&&i.push(this);const s=this.children;for(let r=0,o=s.length;r<o;r++)s[r].getObjectsByProperty(e,t,i);return i}getWorldPosition(e){return this.updateWorldMatrix(!0,!1),e.setFromMatrixPosition(this.matrixWorld)}getWorldQuaternion(e){return this.updateWorldMatrix(!0,!1),this.matrixWorld.decompose(bl,e,Mxt),e}getWorldScale(e){return this.updateWorldMatrix(!0,!1),this.matrixWorld.decompose(bl,Dxt,e),e}getWorldDirection(e){this.updateWorldMatrix(!0,!1);const t=this.matrixWorld.elements;return e.set(t[8],t[9],t[10]).normalize()}raycast(){}traverse(e){e(this);const t=this.children;for(let i=0,s=t.length;i<s;i++)t[i].traverse(e)}traverseVisible(e){if(this.visible===!1)return;e(this);const t=this.children;for(let i=0,s=t.length;i<s;i++)t[i].traverseVisible(e)}traverseAncestors(e){const t=this.parent;t!==null&&(e(t),t.traverseAncestors(e))}updateMatrix(){this.matrix.compose(this.position,this.quaternion,this.scale),this.matrixWorldNeedsUpdate=!0}updateMatrixWorld(e){this.matrixAutoUpdate&&this.updateMatrix(),(this.matrixWorldNeedsUpdate||e)&&(this.parent===null?this.matrixWorld.copy(this.matrix):this.matrixWorld.multiplyMatrices(this.parent.matrixWorld,this.matrix),this.matrixWorldNeedsUpdate=!1,e=!0);const t=this.children;for(let i=0,s=t.length;i<s;i++){const r=t[i];(r.matrixWorldAutoUpdate===!0||e===!0)&&r.updateMatrixWorld(e)}}updateWorldMatrix(e,t){const i=this.parent;if(e===!0&&i!==null&&i.matrixWorldAutoUpdate===!0&&i.updateWorldMatrix(!0,!1),this.matrixAutoUpdate&&this.updateMatrix(),this.parent===null?this.matrixWorld.copy(this.matrix):this.matrixWorld.multiplyMatrices(this.parent.matrixWorld,this.matrix),t===!0){const s=this.children;for(let r=0,o=s.length;r<o;r++){const a=s[r];a.matrixWorldAutoUpdate===!0&&a.updateWorldMatrix(!1,!0)}}}toJSON(e){const t=e===void 0||typeof e=="string",i={};t&&(e={geometries:{},materials:{},textures:{},images:{},shapes:{},skeletons:{},animations:{},nodes:{}},i.metadata={version:4.6,type:"Object",generator:"Object3D.toJSON"});const s={};s.uuid=this.uuid,s.type=this.type,this.name!==""&&(s.name=this.name),this.castShadow===!0&&(s.castShadow=!0),this.receiveShadow===!0&&(s.receiveShadow=!0),this.visible===!1&&(s.visible=!1),this.frustumCulled===!1&&(s.frustumCulled=!1),this.renderOrder!==0&&(s.renderOrder=this.renderOrder),Object.keys(this.userData).length>0&&(s.userData=this.userData),s.layers=this.layers.mask,s.matrix=this.matrix.toArray(),s.up=this.up.toArray(),this.matrixAutoUpdate===!1&&(s.matrixAutoUpdate=!1),this.isInstancedMesh&&(s.type="InstancedMesh",s.count=this.count,s.instanceMatrix=this.instanceMatrix.toJSON(),this.instanceColor!==null&&(s.instanceColor=this.instanceColor.toJSON())),this.isBatchedMesh&&(s.type="BatchedMesh",s.perObjectFrustumCulled=this.perObjectFrustumCulled,s.sortObjects=this.sortObjects,s.drawRanges=this._drawRanges,s.reservedRanges=this._reservedRanges,s.visibility=this._visibility,s.active=this._active,s.bounds=this._bounds.map(a=>({boxInitialized:a.boxInitialized,boxMin:a.box.min.toArray(),boxMax:a.box.max.toArray(),sphereInitialized:a.sphereInitialized,sphereRadius:a.sphere.radius,sphereCenter:a.sphere.center.toArray()})),s.maxGeometryCount=this._maxGeometryCount,s.maxVertexCount=this._maxVertexCount,s.maxIndexCount=this._maxIndexCount,s.geometryInitialized=this._geometryInitialized,s.geometryCount=this._geometryCount,s.matricesTexture=this._matricesTexture.toJSON(e),this.boundingSphere!==null&&(s.boundingSphere={center:s.boundingSphere.center.toArray(),radius:s.boundingSphere.radius}),this.boundingBox!==null&&(s.boundingBox={min:s.boundingBox.min.toArray(),max:s.boundingBox.max.toArray()}));function r(a,l){return a[l.uuid]===void 0&&(a[l.uuid]=l.toJSON(e)),l.uuid}if(this.isScene)this.background&&(this.background.isColor?s.background=this.background.toJSON():this.background.isTexture&&(s.background=this.background.toJSON(e).uuid)),this.environment&&this.environment.isTexture&&this.environment.isRenderTargetTexture!==!0&&(s.environment=this.environment.toJSON(e).uuid);else if(this.isMesh||this.isLine||this.isPoints){s.geometry=r(e.geometries,this.geometry);const a=this.geometry.parameters;if(a!==void 0&&a.shapes!==void 0){const l=a.shapes;if(Array.isArray(l))for(let d=0,c=l.length;d<c;d++){const _=l[d];r(e.shapes,_)}else r(e.shapes,l)}}if(this.isSkinnedMesh&&(s.bindMode=this.bindMode,s.bindMatrix=this.bindMatrix.toArray(),this.skeleton!==void 0&&(r(e.skeletons,this.skeleton),s.skeleton=this.skeleton.uuid)),this.material!==void 0)if(Array.isArray(this.material)){const a=[];for(let l=0,d=this.material.length;l<d;l++)a.push(r(e.materials,this.material[l]));s.material=a}else s.material=r(e.materials,this.material);if(this.children.length>0){s.children=[];for(let a=0;a<this.children.length;a++)s.children.push(this.children[a].toJSON(e).object)}if(this.animations.length>0){s.animations=[];for(let a=0;a<this.animations.length;a++){const l=this.animations[a];s.animations.push(r(e.animations,l))}}if(t){const a=o(e.geometries),l=o(e.materials),d=o(e.textures),c=o(e.images),_=o(e.shapes),f=o(e.skeletons),m=o(e.animations),h=o(e.nodes);a.length>0&&(i.geometries=a),l.length>0&&(i.materials=l),d.length>0&&(i.textures=d),c.length>0&&(i.images=c),_.length>0&&(i.shapes=_),f.length>0&&(i.skeletons=f),m.length>0&&(i.animations=m),h.length>0&&(i.nodes=h)}return i.object=s,i;function o(a){const l=[];for(const d in a){const c=a[d];delete c.metadata,l.push(c)}return l}}clone(e){return new this.constructor().copy(this,e)}copy(e,t=!0){if(this.name=e.name,this.up.copy(e.up),this.position.copy(e.position),this.rotation.order=e.rotation.order,this.quaternion.copy(e.quaternion),this.scale.copy(e.scale),this.matrix.copy(e.matrix),this.matrixWorld.copy(e.matrixWorld),this.matrixAutoUpdate=e.matrixAutoUpdate,this.matrixWorldAutoUpdate=e.matrixWorldAutoUpdate,this.matrixWorldNeedsUpdate=e.matrixWorldNeedsUpdate,this.layers.mask=e.layers.mask,this.visible=e.visible,this.castShadow=e.castShadow,this.receiveShadow=e.receiveShadow,this.frustumCulled=e.frustumCulled,this.renderOrder=e.renderOrder,this.animations=e.animations.slice(),this.userData=JSON.parse(JSON.stringify(e.userData)),t===!0)for(let i=0;i<e.children.length;i++){const s=e.children[i];this.add(s.clone())}return this}}sn.DEFAULT_UP=new be(0,1,0);sn.DEFAULT_MATRIX_AUTO_UPDATE=!0;sn.DEFAULT_MATRIX_WORLD_AUTO_UPDATE=!0;const Di=new be,Rs=new be,Jm=new be,As=new be,Uo=new be,Fo=new be,P1=new be,eg=new be,tg=new be,ng=new be;let ad=!1;class Pi{constructor(e=new be,t=new be,i=new be){this.a=e,this.b=t,this.c=i}static getNormal(e,t,i,s){s.subVectors(i,t),Di.subVectors(e,t),s.cross(Di);const r=s.lengthSq();return r>0?s.multiplyScalar(1/Math.sqrt(r)):s.set(0,0,0)}static getBarycoord(e,t,i,s,r){Di.subVectors(s,t),Rs.subVectors(i,t),Jm.subVectors(e,t);const o=Di.dot(Di),a=Di.dot(Rs),l=Di.dot(Jm),d=Rs.dot(Rs),c=Rs.dot(Jm),_=o*d-a*a;if(_===0)return r.set(-2,-1,-1);const f=1/_,m=(d*l-a*c)*f,h=(o*c-a*l)*f;return r.set(1-m-h,h,m)}static containsPoint(e,t,i,s){return this.getBarycoord(e,t,i,s,As),As.x>=0&&As.y>=0&&As.x+As.y<=1}static getUV(e,t,i,s,r,o,a,l){return ad===!1&&(console.warn("THREE.Triangle.getUV() has been renamed to THREE.Triangle.getInterpolation()."),ad=!0),this.getInterpolation(e,t,i,s,r,o,a,l)}static getInterpolation(e,t,i,s,r,o,a,l){return this.getBarycoord(e,t,i,s,As),l.setScalar(0),l.addScaledVector(r,As.x),l.addScaledVector(o,As.y),l.addScaledVector(a,As.z),l}static isFrontFacing(e,t,i,s){return Di.subVectors(i,t),Rs.subVectors(e,t),Di.cross(Rs).dot(s)<0}set(e,t,i){return this.a.copy(e),this.b.copy(t),this.c.copy(i),this}setFromPointsAndIndices(e,t,i,s){return this.a.copy(e[t]),this.b.copy(e[i]),this.c.copy(e[s]),this}setFromAttributeAndIndices(e,t,i,s){return this.a.fromBufferAttribute(e,t),this.b.fromBufferAttribute(e,i),this.c.fromBufferAttribute(e,s),this}clone(){return new this.constructor().copy(this)}copy(e){return this.a.copy(e.a),this.b.copy(e.b),this.c.copy(e.c),this}getArea(){return Di.subVectors(this.c,this.b),Rs.subVectors(this.a,this.b),Di.cross(Rs).length()*.5}getMidpoint(e){return e.addVectors(this.a,this.b).add(this.c).multiplyScalar(1/3)}getNormal(e){return Pi.getNormal(this.a,this.b,this.c,e)}getPlane(e){return e.setFromCoplanarPoints(this.a,this.b,this.c)}getBarycoord(e,t){return Pi.getBarycoord(e,this.a,this.b,this.c,t)}getUV(e,t,i,s,r){return ad===!1&&(console.warn("THREE.Triangle.getUV() has been renamed to THREE.Triangle.getInterpolation()."),ad=!0),Pi.getInterpolation(e,this.a,this.b,this.c,t,i,s,r)}getInterpolation(e,t,i,s,r){return Pi.getInterpolation(e,this.a,this.b,this.c,t,i,s,r)}containsPoint(e){return Pi.containsPoint(e,this.a,this.b,this.c)}isFrontFacing(e){return Pi.isFrontFacing(this.a,this.b,this.c,e)}intersectsBox(e){return e.intersectsTriangle(this)}closestPointToPoint(e,t){const i=this.a,s=this.b,r=this.c;let o,a;Uo.subVectors(s,i),Fo.subVectors(r,i),eg.subVectors(e,i);const l=Uo.dot(eg),d=Fo.dot(eg);if(l<=0&&d<=0)return t.copy(i);tg.subVectors(e,s);const c=Uo.dot(tg),_=Fo.dot(tg);if(c>=0&&_<=c)return t.copy(s);const f=l*_-c*d;if(f<=0&&l>=0&&c<=0)return o=l/(l-c),t.copy(i).addScaledVector(Uo,o);ng.subVectors(e,r);const m=Uo.dot(ng),h=Fo.dot(ng);if(h>=0&&m<=h)return t.copy(r);const E=m*d-l*h;if(E<=0&&d>=0&&h<=0)return a=d/(d-h),t.copy(i).addScaledVector(Fo,a);const b=c*h-m*_;if(b<=0&&_-c>=0&&m-h>=0)return P1.subVectors(r,s),a=(_-c)/(_-c+(m-h)),t.copy(s).addScaledVector(P1,a);const g=1/(b+E+f);return o=E*g,a=f*g,t.copy(i).addScaledVector(Uo,o).addScaledVector(Fo,a)}equals(e){return e.a.equals(this.a)&&e.b.equals(this.b)&&e.c.equals(this.c)}}const dI={aliceblue:15792383,antiquewhite:16444375,aqua:65535,aquamarine:8388564,azure:15794175,beige:16119260,bisque:16770244,black:0,blanchedalmond:16772045,blue:255,blueviolet:9055202,brown:10824234,burlywood:14596231,cadetblue:6266528,chartreuse:8388352,chocolate:13789470,coral:16744272,cornflowerblue:6591981,cornsilk:16775388,crimson:14423100,cyan:65535,darkblue:139,darkcyan:35723,darkgoldenrod:12092939,darkgray:11119017,darkgreen:25600,darkgrey:11119017,darkkhaki:12433259,darkmagenta:9109643,darkolivegreen:5597999,darkorange:16747520,darkorchid:10040012,darkred:9109504,darksalmon:15308410,darkseagreen:9419919,darkslateblue:4734347,darkslategray:3100495,darkslategrey:3100495,darkturquoise:52945,darkviolet:9699539,deeppink:16716947,deepskyblue:49151,dimgray:6908265,dimgrey:6908265,dodgerblue:2003199,firebrick:11674146,floralwhite:16775920,forestgreen:2263842,fuchsia:16711935,gainsboro:14474460,ghostwhite:16316671,gold:16766720,goldenrod:14329120,gray:8421504,green:32768,greenyellow:11403055,grey:8421504,honeydew:15794160,hotpink:16738740,indianred:13458524,indigo:4915330,ivory:16777200,khaki:15787660,lavender:15132410,lavenderblush:16773365,lawngreen:8190976,lemonchiffon:16775885,lightblue:11393254,lightcoral:15761536,lightcyan:14745599,lightgoldenrodyellow:16448210,lightgray:13882323,lightgreen:9498256,lightgrey:13882323,lightpink:16758465,lightsalmon:16752762,lightseagreen:2142890,lightskyblue:8900346,lightslategray:7833753,lightslategrey:7833753,lightsteelblue:11584734,lightyellow:16777184,lime:65280,limegreen:3329330,linen:16445670,magenta:16711935,maroon:8388608,mediumaquamarine:6737322,mediumblue:205,mediumorchid:12211667,mediumpurple:9662683,mediumseagreen:3978097,mediumslateblue:8087790,mediumspringgreen:64154,mediumturquoise:4772300,mediumvioletred:13047173,midnightblue:1644912,mintcream:16121850,mistyrose:16770273,moccasin:16770229,navajowhite:16768685,navy:128,oldlace:16643558,olive:8421376,olivedrab:7048739,orange:16753920,orangered:16729344,orchid:14315734,palegoldenrod:15657130,palegreen:10025880,paleturquoise:11529966,palevioletred:14381203,papayawhip:16773077,peachpuff:16767673,peru:13468991,pink:16761035,plum:14524637,powderblue:11591910,purple:8388736,rebeccapurple:6697881,red:16711680,rosybrown:12357519,royalblue:4286945,saddlebrown:9127187,salmon:16416882,sandybrown:16032864,seagreen:3050327,seashell:16774638,sienna:10506797,silver:12632256,skyblue:8900331,slateblue:6970061,slategray:7372944,slategrey:7372944,snow:16775930,springgreen:65407,steelblue:4620980,tan:13808780,teal:32896,thistle:14204888,tomato:16737095,turquoise:4251856,violet:15631086,wheat:16113331,white:16777215,whitesmoke:16119285,yellow:16776960,yellowgreen:10145074},sr={h:0,s:0,l:0},ld={h:0,s:0,l:0};function ig(n,e,t){return t<0&&(t+=1),t>1&&(t-=1),t<1/6?n+(e-n)*6*t:t<1/2?e:t<2/3?n+(e-n)*6*(2/3-t):n}class gt{constructor(e,t,i){return this.isColor=!0,this.r=1,this.g=1,this.b=1,this.set(e,t,i)}set(e,t,i){if(t===void 0&&i===void 0){const s=e;s&&s.isColor?this.copy(s):typeof s=="number"?this.setHex(s):typeof s=="string"&&this.setStyle(s)}else this.setRGB(e,t,i);return this}setScalar(e){return this.r=e,this.g=e,this.b=e,this}setHex(e,t=rn){return e=Math.floor(e),this.r=(e>>16&255)/255,this.g=(e>>8&255)/255,this.b=(e&255)/255,Ft.toWorkingColorSpace(this,t),this}setRGB(e,t,i,s=Ft.workingColorSpace){return this.r=e,this.g=t,this.b=i,Ft.toWorkingColorSpace(this,s),this}setHSL(e,t,i,s=Ft.workingColorSpace){if(e=JE(e,1),t=kn(t,0,1),i=kn(i,0,1),t===0)this.r=this.g=this.b=i;else{const r=i<=.5?i*(1+t):i+t-i*t,o=2*i-r;this.r=ig(o,r,e+1/3),this.g=ig(o,r,e),this.b=ig(o,r,e-1/3)}return Ft.toWorkingColorSpace(this,s),this}setStyle(e,t=rn){function i(r){r!==void 0&&parseFloat(r)<1&&console.warn("THREE.Color: Alpha component of "+e+" will be ignored.")}let s;if(s=/^(\w+)\(([^\)]*)\)/.exec(e)){let r;const o=s[1],a=s[2];switch(o){case"rgb":case"rgba":if(r=/^\s*(\d+)\s*,\s*(\d+)\s*,\s*(\d+)\s*(?:,\s*(\d*\.?\d+)\s*)?$/.exec(a))return i(r[4]),this.setRGB(Math.min(255,parseInt(r[1],10))/255,Math.min(255,parseInt(r[2],10))/255,Math.min(255,parseInt(r[3],10))/255,t);if(r=/^\s*(\d+)\%\s*,\s*(\d+)\%\s*,\s*(\d+)\%\s*(?:,\s*(\d*\.?\d+)\s*)?$/.exec(a))return i(r[4]),this.setRGB(Math.min(100,parseInt(r[1],10))/100,Math.min(100,parseInt(r[2],10))/100,Math.min(100,parseInt(r[3],10))/100,t);break;case"hsl":case"hsla":if(r=/^\s*(\d*\.?\d+)\s*,\s*(\d*\.?\d+)\%\s*,\s*(\d*\.?\d+)\%\s*(?:,\s*(\d*\.?\d+)\s*)?$/.exec(a))return i(r[4]),this.setHSL(parseFloat(r[1])/360,parseFloat(r[2])/100,parseFloat(r[3])/100,t);break;default:console.warn("THREE.Color: Unknown color model "+e)}}else if(s=/^\#([A-Fa-f\d]+)$/.exec(e)){const r=s[1],o=r.length;if(o===3)return this.setRGB(parseInt(r.charAt(0),16)/15,parseInt(r.charAt(1),16)/15,parseInt(r.charAt(2),16)/15,t);if(o===6)return this.setHex(parseInt(r,16),t);console.warn("THREE.Color: Invalid hex color "+e)}else if(e&&e.length>0)return this.setColorName(e,t);return this}setColorName(e,t=rn){const i=dI[e.toLowerCase()];return i!==void 0?this.setHex(i,t):console.warn("THREE.Color: Unknown color "+e),this}clone(){return new this.constructor(this.r,this.g,this.b)}copy(e){return this.r=e.r,this.g=e.g,this.b=e.b,this}copySRGBToLinear(e){return this.r=la(e.r),this.g=la(e.g),this.b=la(e.b),this}copyLinearToSRGB(e){return this.r=Ym(e.r),this.g=Ym(e.g),this.b=Ym(e.b),this}convertSRGBToLinear(){return this.copySRGBToLinear(this),this}convertLinearToSRGB(){return this.copyLinearToSRGB(this),this}getHex(e=rn){return Ft.fromWorkingColorSpace(Mn.copy(this),e),Math.round(kn(Mn.r*255,0,255))*65536+Math.round(kn(Mn.g*255,0,255))*256+Math.round(kn(Mn.b*255,0,255))}getHexString(e=rn){return("000000"+this.getHex(e).toString(16)).slice(-6)}getHSL(e,t=Ft.workingColorSpace){Ft.fromWorkingColorSpace(Mn.copy(this),t);const i=Mn.r,s=Mn.g,r=Mn.b,o=Math.max(i,s,r),a=Math.min(i,s,r);let l,d;const c=(a+o)/2;if(a===o)l=0,d=0;else{const _=o-a;switch(d=c<=.5?_/(o+a):_/(2-o-a),o){case i:l=(s-r)/_+(s<r?6:0);break;case s:l=(r-i)/_+2;break;case r:l=(i-s)/_+4;break}l/=6}return e.h=l,e.s=d,e.l=c,e}getRGB(e,t=Ft.workingColorSpace){return Ft.fromWorkingColorSpace(Mn.copy(this),t),e.r=Mn.r,e.g=Mn.g,e.b=Mn.b,e}getStyle(e=rn){Ft.fromWorkingColorSpace(Mn.copy(this),e);const t=Mn.r,i=Mn.g,s=Mn.b;return e!==rn?`color(${e} ${t.toFixed(3)} ${i.toFixed(3)} ${s.toFixed(3)})`:`rgb(${Math.round(t*255)},${Math.round(i*255)},${Math.round(s*255)})`}offsetHSL(e,t,i){return this.getHSL(sr),this.setHSL(sr.h+e,sr.s+t,sr.l+i)}add(e){return this.r+=e.r,this.g+=e.g,this.b+=e.b,this}addColors(e,t){return this.r=e.r+t.r,this.g=e.g+t.g,this.b=e.b+t.b,this}addScalar(e){return this.r+=e,this.g+=e,this.b+=e,this}sub(e){return this.r=Math.max(0,this.r-e.r),this.g=Math.max(0,this.g-e.g),this.b=Math.max(0,this.b-e.b),this}multiply(e){return this.r*=e.r,this.g*=e.g,this.b*=e.b,this}multiplyScalar(e){return this.r*=e,this.g*=e,this.b*=e,this}lerp(e,t){return this.r+=(e.r-this.r)*t,this.g+=(e.g-this.g)*t,this.b+=(e.b-this.b)*t,this}lerpColors(e,t,i){return this.r=e.r+(t.r-e.r)*i,this.g=e.g+(t.g-e.g)*i,this.b=e.b+(t.b-e.b)*i,this}lerpHSL(e,t){this.getHSL(sr),e.getHSL(ld);const i=Bl(sr.h,ld.h,t),s=Bl(sr.s,ld.s,t),r=Bl(sr.l,ld.l,t);return this.setHSL(i,s,r),this}setFromVector3(e){return this.r=e.x,this.g=e.y,this.b=e.z,this}applyMatrix3(e){const t=this.r,i=this.g,s=this.b,r=e.elements;return this.r=r[0]*t+r[3]*i+r[6]*s,this.g=r[1]*t+r[4]*i+r[7]*s,this.b=r[2]*t+r[5]*i+r[8]*s,this}equals(e){return e.r===this.r&&e.g===this.g&&e.b===this.b}fromArray(e,t=0){return this.r=e[t],this.g=e[t+1],this.b=e[t+2],this}toArray(e=[],t=0){return e[t]=this.r,e[t+1]=this.g,e[t+2]=this.b,e}fromBufferAttribute(e,t){return this.r=e.getX(t),this.g=e.getY(t),this.b=e.getZ(t),this}toJSON(){return this.getHex()}*[Symbol.iterator](){yield this.r,yield this.g,yield this.b}}const Mn=new gt;gt.NAMES=dI;let Pxt=0;class Vi extends ja{constructor(){super(),this.isMaterial=!0,Object.defineProperty(this,"id",{value:Pxt++}),this.uuid=zi(),this.name="",this.type="Material",this.blending=aa,this.side=Vs,this.vertexColors=!1,this.opacity=1,this.transparent=!1,this.alphaHash=!1,this.blendSrc=ob,this.blendDst=ab,this.blendEquation=Jr,this.blendSrcAlpha=null,this.blendDstAlpha=null,this.blendEquationAlpha=null,this.blendColor=new gt(0,0,0),this.blendAlpha=0,this.depthFunc=mu,this.depthTest=!0,this.depthWrite=!0,this.stencilWriteMask=255,this.stencilFunc=T1,this.stencilRef=0,this.stencilFuncMask=255,this.stencilFail=Oo,this.stencilZFail=Oo,this.stencilZPass=Oo,this.stencilWrite=!1,this.clippingPlanes=null,this.clipIntersection=!1,this.clipShadows=!1,this.shadowSide=null,this.colorWrite=!0,this.precision=null,this.polygonOffset=!1,this.polygonOffsetFactor=0,this.polygonOffsetUnits=0,this.dithering=!1,this.alphaToCoverage=!1,this.premultipliedAlpha=!1,this.forceSinglePass=!1,this.visible=!0,this.toneMapped=!0,this.userData={},this.version=0,this._alphaTest=0}get alphaTest(){return this._alphaTest}set alphaTest(e){this._alphaTest>0!=e>0&&this.version++,this._alphaTest=e}onBuild(){}onBeforeRender(){}onBeforeCompile(){}customProgramCacheKey(){return this.onBeforeCompile.toString()}setValues(e){if(e!==void 0)for(const t in e){const i=e[t];if(i===void 0){console.warn(`THREE.Material: parameter '${t}' has value of undefined.`);continue}const s=this[t];if(s===void 0){console.warn(`THREE.Material: '${t}' is not a property of THREE.${this.type}.`);continue}s&&s.isColor?s.set(i):s&&s.isVector3&&i&&i.isVector3?s.copy(i):this[t]=i}}toJSON(e){const t=e===void 0||typeof e=="string";t&&(e={textures:{},images:{}});const i={metadata:{version:4.6,type:"Material",generator:"Material.toJSON"}};i.uuid=this.uuid,i.type=this.type,this.name!==""&&(i.name=this.name),this.color&&this.color.isColor&&(i.color=this.color.getHex()),this.roughness!==void 0&&(i.roughness=this.roughness),this.metalness!==void 0&&(i.metalness=this.metalness),this.sheen!==void 0&&(i.sheen=this.sheen),this.sheenColor&&this.sheenColor.isColor&&(i.sheenColor=this.sheenColor.getHex()),this.sheenRoughness!==void 0&&(i.sheenRoughness=this.sheenRoughness),this.emissive&&this.emissive.isColor&&(i.emissive=this.emissive.getHex()),this.emissiveIntensity&&this.emissiveIntensity!==1&&(i.emissiveIntensity=this.emissiveIntensity),this.specular&&this.specular.isColor&&(i.specular=this.specular.getHex()),this.specularIntensity!==void 0&&(i.specularIntensity=this.specularIntensity),this.specularColor&&this.specularColor.isColor&&(i.specularColor=this.specularColor.getHex()),this.shininess!==void 0&&(i.shininess=this.shininess),this.clearcoat!==void 0&&(i.clearcoat=this.clearcoat),this.clearcoatRoughness!==void 0&&(i.clearcoatRoughness=this.clearcoatRoughness),this.clearcoatMap&&this.clearcoatMap.isTexture&&(i.clearcoatMap=this.clearcoatMap.toJSON(e).uuid),this.clearcoatRoughnessMap&&this.clearcoatRoughnessMap.isTexture&&(i.clearcoatRoughnessMap=this.clearcoatRoughnessMap.toJSON(e).uuid),this.clearcoatNormalMap&&this.clearcoatNormalMap.isTexture&&(i.clearcoatNormalMap=this.clearcoatNormalMap.toJSON(e).uuid,i.clearcoatNormalScale=this.clearcoatNormalScale.toArray()),this.iridescence!==void 0&&(i.iridescence=this.iridescence),this.iridescenceIOR!==void 0&&(i.iridescenceIOR=this.iridescenceIOR),this.iridescenceThicknessRange!==void 0&&(i.iridescenceThicknessRange=this.iridescenceThicknessRange),this.iridescenceMap&&this.iridescenceMap.isTexture&&(i.iridescenceMap=this.iridescenceMap.toJSON(e).uuid),this.iridescenceThicknessMap&&this.iridescenceThicknessMap.isTexture&&(i.iridescenceThicknessMap=this.iridescenceThicknessMap.toJSON(e).uuid),this.anisotropy!==void 0&&(i.anisotropy=this.anisotropy),this.anisotropyRotation!==void 0&&(i.anisotropyRotation=this.anisotropyRotation),this.anisotropyMap&&this.anisotropyMap.isTexture&&(i.anisotropyMap=this.anisotropyMap.toJSON(e).uuid),this.map&&this.map.isTexture&&(i.map=this.map.toJSON(e).uuid),this.matcap&&this.matcap.isTexture&&(i.matcap=this.matcap.toJSON(e).uuid),this.alphaMap&&this.alphaMap.isTexture&&(i.alphaMap=this.alphaMap.toJSON(e).uuid),this.lightMap&&this.lightMap.isTexture&&(i.lightMap=this.lightMap.toJSON(e).uuid,i.lightMapIntensity=this.lightMapIntensity),this.aoMap&&this.aoMap.isTexture&&(i.aoMap=this.aoMap.toJSON(e).uuid,i.aoMapIntensity=this.aoMapIntensity),this.bumpMap&&this.bumpMap.isTexture&&(i.bumpMap=this.bumpMap.toJSON(e).uuid,i.bumpScale=this.bumpScale),this.normalMap&&this.normalMap.isTexture&&(i.normalMap=this.normalMap.toJSON(e).uuid,i.normalMapType=this.normalMapType,i.normalScale=this.normalScale.toArray()),this.displacementMap&&this.displacementMap.isTexture&&(i.displacementMap=this.displacementMap.toJSON(e).uuid,i.displacementScale=this.displacementScale,i.displacementBias=this.displacementBias),this.roughnessMap&&this.roughnessMap.isTexture&&(i.roughnessMap=this.roughnessMap.toJSON(e).uuid),this.metalnessMap&&this.metalnessMap.isTexture&&(i.metalnessMap=this.metalnessMap.toJSON(e).uuid),this.emissiveMap&&this.emissiveMap.isTexture&&(i.emissiveMap=this.emissiveMap.toJSON(e).uuid),this.specularMap&&this.specularMap.isTexture&&(i.specularMap=this.specularMap.toJSON(e).uuid),this.specularIntensityMap&&this.specularIntensityMap.isTexture&&(i.specularIntensityMap=this.specularIntensityMap.toJSON(e).uuid),this.specularColorMap&&this.specularColorMap.isTexture&&(i.specularColorMap=this.specularColorMap.toJSON(e).uuid),this.envMap&&this.envMap.isTexture&&(i.envMap=this.envMap.toJSON(e).uuid,this.combine!==void 0&&(i.combine=this.combine)),this.envMapIntensity!==void 0&&(i.envMapIntensity=this.envMapIntensity),this.reflectivity!==void 0&&(i.reflectivity=this.reflectivity),this.refractionRatio!==void 0&&(i.refractionRatio=this.refractionRatio),this.gradientMap&&this.gradientMap.isTexture&&(i.gradientMap=this.gradientMap.toJSON(e).uuid),this.transmission!==void 0&&(i.transmission=this.transmission),this.transmissionMap&&this.transmissionMap.isTexture&&(i.transmissionMap=this.transmissionMap.toJSON(e).uuid),this.thickness!==void 0&&(i.thickness=this.thickness),this.thicknessMap&&this.thicknessMap.isTexture&&(i.thicknessMap=this.thicknessMap.toJSON(e).uuid),this.attenuationDistance!==void 0&&this.attenuationDistance!==1/0&&(i.attenuationDistance=this.attenuationDistance),this.attenuationColor!==void 0&&(i.attenuationColor=this.attenuationColor.getHex()),this.size!==void 0&&(i.size=this.size),this.shadowSide!==null&&(i.shadowSide=this.shadowSide),this.sizeAttenuation!==void 0&&(i.sizeAttenuation=this.sizeAttenuation),this.blending!==aa&&(i.blending=this.blending),this.side!==Vs&&(i.side=this.side),this.vertexColors===!0&&(i.vertexColors=!0),this.opacity<1&&(i.opacity=this.opacity),this.transparent===!0&&(i.transparent=!0),this.blendSrc!==ob&&(i.blendSrc=this.blendSrc),this.blendDst!==ab&&(i.blendDst=this.blendDst),this.blendEquation!==Jr&&(i.blendEquation=this.blendEquation),this.blendSrcAlpha!==null&&(i.blendSrcAlpha=this.blendSrcAlpha),this.blendDstAlpha!==null&&(i.blendDstAlpha=this.blendDstAlpha),this.blendEquationAlpha!==null&&(i.blendEquationAlpha=this.blendEquationAlpha),this.blendColor&&this.blendColor.isColor&&(i.blendColor=this.blendColor.getHex()),this.blendAlpha!==0&&(i.blendAlpha=this.blendAlpha),this.depthFunc!==mu&&(i.depthFunc=this.depthFunc),this.depthTest===!1&&(i.depthTest=this.depthTest),this.depthWrite===!1&&(i.depthWrite=this.depthWrite),this.colorWrite===!1&&(i.colorWrite=this.colorWrite),this.stencilWriteMask!==255&&(i.stencilWriteMask=this.stencilWriteMask),this.stencilFunc!==T1&&(i.stencilFunc=this.stencilFunc),this.stencilRef!==0&&(i.stencilRef=this.stencilRef),this.stencilFuncMask!==255&&(i.stencilFuncMask=this.stencilFuncMask),this.stencilFail!==Oo&&(i.stencilFail=this.stencilFail),this.stencilZFail!==Oo&&(i.stencilZFail=this.stencilZFail),this.stencilZPass!==Oo&&(i.stencilZPass=this.stencilZPass),this.stencilWrite===!0&&(i.stencilWrite=this.stencilWrite),this.rotation!==void 0&&this.rotation!==0&&(i.rotation=this.rotation),this.polygonOffset===!0&&(i.polygonOffset=!0),this.polygonOffsetFactor!==0&&(i.polygonOffsetFactor=this.polygonOffsetFactor),this.polygonOffsetUnits!==0&&(i.polygonOffsetUnits=this.polygonOffsetUnits),this.linewidth!==void 0&&this.linewidth!==1&&(i.linewidth=this.linewidth),this.dashSize!==void 0&&(i.dashSize=this.dashSize),this.gapSize!==void 0&&(i.gapSize=this.gapSize),this.scale!==void 0&&(i.scale=this.scale),this.dithering===!0&&(i.dithering=!0),this.alphaTest>0&&(i.alphaTest=this.alphaTest),this.alphaHash===!0&&(i.alphaHash=!0),this.alphaToCoverage===!0&&(i.alphaToCoverage=!0),this.premultipliedAlpha===!0&&(i.premultipliedAlpha=!0),this.forceSinglePass===!0&&(i.forceSinglePass=!0),this.wireframe===!0&&(i.wireframe=!0),this.wireframeLinewidth>1&&(i.wireframeLinewidth=this.wireframeLinewidth),this.wireframeLinecap!=="round"&&(i.wireframeLinecap=this.wireframeLinecap),this.wireframeLinejoin!=="round"&&(i.wireframeLinejoin=this.wireframeLinejoin),this.flatShading===!0&&(i.flatShading=!0),this.visible===!1&&(i.visible=!1),this.toneMapped===!1&&(i.toneMapped=!1),this.fog===!1&&(i.fog=!1),Object.keys(this.userData).length>0&&(i.userData=this.userData);function s(r){const o=[];for(const a in r){const l=r[a];delete l.metadata,o.push(l)}return o}if(t){const r=s(e.textures),o=s(e.images);r.length>0&&(i.textures=r),o.length>0&&(i.images=o)}return i}clone(){return new this.constructor().copy(this)}copy(e){this.name=e.name,this.blending=e.blending,this.side=e.side,this.vertexColors=e.vertexColors,this.opacity=e.opacity,this.transparent=e.transparent,this.blendSrc=e.blendSrc,this.blendDst=e.blendDst,this.blendEquation=e.blendEquation,this.blendSrcAlpha=e.blendSrcAlpha,this.blendDstAlpha=e.blendDstAlpha,this.blendEquationAlpha=e.blendEquationAlpha,this.blendColor.copy(e.blendColor),this.blendAlpha=e.blendAlpha,this.depthFunc=e.depthFunc,this.depthTest=e.depthTest,this.depthWrite=e.depthWrite,this.stencilWriteMask=e.stencilWriteMask,this.stencilFunc=e.stencilFunc,this.stencilRef=e.stencilRef,this.stencilFuncMask=e.stencilFuncMask,this.stencilFail=e.stencilFail,this.stencilZFail=e.stencilZFail,this.stencilZPass=e.stencilZPass,this.stencilWrite=e.stencilWrite;const t=e.clippingPlanes;let i=null;if(t!==null){const s=t.length;i=new Array(s);for(let r=0;r!==s;++r)i[r]=t[r].clone()}return this.clippingPlanes=i,this.clipIntersection=e.clipIntersection,this.clipShadows=e.clipShadows,this.shadowSide=e.shadowSide,this.colorWrite=e.colorWrite,this.precision=e.precision,this.polygonOffset=e.polygonOffset,this.polygonOffsetFactor=e.polygonOffsetFactor,this.polygonOffsetUnits=e.polygonOffsetUnits,this.dithering=e.dithering,this.alphaTest=e.alphaTest,this.alphaHash=e.alphaHash,this.alphaToCoverage=e.alphaToCoverage,this.premultipliedAlpha=e.premultipliedAlpha,this.forceSinglePass=e.forceSinglePass,this.visible=e.visible,this.toneMapped=e.toneMapped,this.userData=JSON.parse(JSON.stringify(e.userData)),this}dispose(){this.dispatchEvent({type:"dispose"})}set needsUpdate(e){e===!0&&this.version++}}class br extends Vi{constructor(e){super(),this.isMeshBasicMaterial=!0,this.type="MeshBasicMaterial",this.color=new gt(16777215),this.map=null,this.lightMap=null,this.lightMapIntensity=1,this.aoMap=null,this.aoMapIntensity=1,this.specularMap=null,this.alphaMap=null,this.envMap=null,this.combine=jE,this.reflectivity=1,this.refractionRatio=.98,this.wireframe=!1,this.wireframeLinewidth=1,this.wireframeLinecap="round",this.wireframeLinejoin="round",this.fog=!0,this.setValues(e)}copy(e){return super.copy(e),this.color.copy(e.color),this.map=e.map,this.lightMap=e.lightMap,this.lightMapIntensity=e.lightMapIntensity,this.aoMap=e.aoMap,this.aoMapIntensity=e.aoMapIntensity,this.specularMap=e.specularMap,this.alphaMap=e.alphaMap,this.envMap=e.envMap,this.combine=e.combine,this.reflectivity=e.reflectivity,this.refractionRatio=e.refractionRatio,this.wireframe=e.wireframe,this.wireframeLinewidth=e.wireframeLinewidth,this.wireframeLinecap=e.wireframeLinecap,this.wireframeLinejoin=e.wireframeLinejoin,this.fog=e.fog,this}}const cn=new be,cd=new It;class Yn{constructor(e,t,i=!1){if(Array.isArray(e))throw new TypeError("THREE.BufferAttribute: array should be a Typed Array.");this.isBufferAttribute=!0,this.name="",this.array=e,this.itemSize=t,this.count=e!==void 0?e.length/t:0,this.normalized=i,this.usage=pb,this._updateRange={offset:0,count:-1},this.updateRanges=[],this.gpuType=Ds,this.version=0}onUploadCallback(){}set needsUpdate(e){e===!0&&this.version++}get updateRange(){return console.warn('THREE.BufferAttribute: "updateRange" is deprecated and removed in r169. Use "addUpdateRange()" instead.'),this._updateRange}setUsage(e){return this.usage=e,this}addUpdateRange(e,t){this.updateRanges.push({start:e,count:t})}clearUpdateRanges(){this.updateRanges.length=0}copy(e){return this.name=e.name,this.array=new e.array.constructor(e.array),this.itemSize=e.itemSize,this.count=e.count,this.normalized=e.normalized,this.usage=e.usage,this.gpuType=e.gpuType,this}copyAt(e,t,i){e*=this.itemSize,i*=t.itemSize;for(let s=0,r=this.itemSize;s<r;s++)this.array[e+s]=t.array[i+s];return this}copyArray(e){return this.array.set(e),this}applyMatrix3(e){if(this.itemSize===2)for(let t=0,i=this.count;t<i;t++)cd.fromBufferAttribute(this,t),cd.applyMatrix3(e),this.setXY(t,cd.x,cd.y);else if(this.itemSize===3)for(let t=0,i=this.count;t<i;t++)cn.fromBufferAttribute(this,t),cn.applyMatrix3(e),this.setXYZ(t,cn.x,cn.y,cn.z);return this}applyMatrix4(e){for(let t=0,i=this.count;t<i;t++)cn.fromBufferAttribute(this,t),cn.applyMatrix4(e),this.setXYZ(t,cn.x,cn.y,cn.z);return this}applyNormalMatrix(e){for(let t=0,i=this.count;t<i;t++)cn.fromBufferAttribute(this,t),cn.applyNormalMatrix(e),this.setXYZ(t,cn.x,cn.y,cn.z);return this}transformDirection(e){for(let t=0,i=this.count;t<i;t++)cn.fromBufferAttribute(this,t),cn.transformDirection(e),this.setXYZ(t,cn.x,cn.y,cn.z);return this}set(e,t=0){return this.array.set(e,t),this}getComponent(e,t){let i=this.array[e*this.itemSize+t];return this.normalized&&(i=es(i,this.array)),i}setComponent(e,t,i){return this.normalized&&(i=Ht(i,this.array)),this.array[e*this.itemSize+t]=i,this}getX(e){let t=this.array[e*this.itemSize];return this.normalized&&(t=es(t,this.array)),t}setX(e,t){return this.normalized&&(t=Ht(t,this.array)),this.array[e*this.itemSize]=t,this}getY(e){let t=this.array[e*this.itemSize+1];return this.normalized&&(t=es(t,this.array)),t}setY(e,t){return this.normalized&&(t=Ht(t,this.array)),this.array[e*this.itemSize+1]=t,this}getZ(e){let t=this.array[e*this.itemSize+2];return this.normalized&&(t=es(t,this.array)),t}setZ(e,t){return this.normalized&&(t=Ht(t,this.array)),this.array[e*this.itemSize+2]=t,this}getW(e){let t=this.array[e*this.itemSize+3];return this.normalized&&(t=es(t,this.array)),t}setW(e,t){return this.normalized&&(t=Ht(t,this.array)),this.array[e*this.itemSize+3]=t,this}setXY(e,t,i){return e*=this.itemSize,this.normalized&&(t=Ht(t,this.array),i=Ht(i,this.array)),this.array[e+0]=t,this.array[e+1]=i,this}setXYZ(e,t,i,s){return e*=this.itemSize,this.normalized&&(t=Ht(t,this.array),i=Ht(i,this.array),s=Ht(s,this.array)),this.array[e+0]=t,this.array[e+1]=i,this.array[e+2]=s,this}setXYZW(e,t,i,s,r){return e*=this.itemSize,this.normalized&&(t=Ht(t,this.array),i=Ht(i,this.array),s=Ht(s,this.array),r=Ht(r,this.array)),this.array[e+0]=t,this.array[e+1]=i,this.array[e+2]=s,this.array[e+3]=r,this}onUpload(e){return this.onUploadCallback=e,this}clone(){return new this.constructor(this.array,this.itemSize).copy(this)}toJSON(){const e={itemSize:this.itemSize,type:this.array.constructor.name,array:Array.from(this.array),normalized:this.normalized};return this.name!==""&&(e.name=this.name),this.usage!==pb&&(e.usage=this.usage),e}}class uI extends Yn{constructor(e,t,i){super(new Uint16Array(e),t,i)}}class pI extends Yn{constructor(e,t,i){super(new Uint32Array(e),t,i)}}class Us extends Yn{constructor(e,t,i){super(new Float32Array(e),t,i)}}let Uxt=0;const pi=new Rt,sg=new sn,Bo=new be,si=new Ks,El=new Ks,bn=new be;class hs extends ja{constructor(){super(),this.isBufferGeometry=!0,Object.defineProperty(this,"id",{value:Uxt++}),this.uuid=zi(),this.name="",this.type="BufferGeometry",this.index=null,this.attributes={},this.morphAttributes={},this.morphTargetsRelative=!1,this.groups=[],this.boundingBox=null,this.boundingSphere=null,this.drawRange={start:0,count:1/0},this.userData={}}getIndex(){return this.index}setIndex(e){return Array.isArray(e)?this.index=new(rI(e)?pI:uI)(e,1):this.index=e,this}getAttribute(e){return this.attributes[e]}setAttribute(e,t){return this.attributes[e]=t,this}deleteAttribute(e){return delete this.attributes[e],this}hasAttribute(e){return this.attributes[e]!==void 0}addGroup(e,t,i=0){this.groups.push({start:e,count:t,materialIndex:i})}clearGroups(){this.groups=[]}setDrawRange(e,t){this.drawRange.start=e,this.drawRange.count=t}applyMatrix4(e){const t=this.attributes.position;t!==void 0&&(t.applyMatrix4(e),t.needsUpdate=!0);const i=this.attributes.normal;if(i!==void 0){const r=new Ct().getNormalMatrix(e);i.applyNormalMatrix(r),i.needsUpdate=!0}const s=this.attributes.tangent;return s!==void 0&&(s.transformDirection(e),s.needsUpdate=!0),this.boundingBox!==null&&this.computeBoundingBox(),this.boundingSphere!==null&&this.computeBoundingSphere(),this}applyQuaternion(e){return pi.makeRotationFromQuaternion(e),this.applyMatrix4(pi),this}rotateX(e){return pi.makeRotationX(e),this.applyMatrix4(pi),this}rotateY(e){return pi.makeRotationY(e),this.applyMatrix4(pi),this}rotateZ(e){return pi.makeRotationZ(e),this.applyMatrix4(pi),this}translate(e,t,i){return pi.makeTranslation(e,t,i),this.applyMatrix4(pi),this}scale(e,t,i){return pi.makeScale(e,t,i),this.applyMatrix4(pi),this}lookAt(e){return sg.lookAt(e),sg.updateMatrix(),this.applyMatrix4(sg.matrix),this}center(){return this.computeBoundingBox(),this.boundingBox.getCenter(Bo).negate(),this.translate(Bo.x,Bo.y,Bo.z),this}setFromPoints(e){const t=[];for(let i=0,s=e.length;i<s;i++){const r=e[i];t.push(r.x,r.y,r.z||0)}return this.setAttribute("position",new Us(t,3)),this}computeBoundingBox(){this.boundingBox===null&&(this.boundingBox=new Ks);const e=this.attributes.position,t=this.morphAttributes.position;if(e&&e.isGLBufferAttribute){console.error('THREE.BufferGeometry.computeBoundingBox(): GLBufferAttribute requires a manual bounding box. Alternatively set "mesh.frustumCulled" to "false".',this),this.boundingBox.set(new be(-1/0,-1/0,-1/0),new be(1/0,1/0,1/0));return}if(e!==void 0){if(this.boundingBox.setFromBufferAttribute(e),t)for(let i=0,s=t.length;i<s;i++){const r=t[i];si.setFromBufferAttribute(r),this.morphTargetsRelative?(bn.addVectors(this.boundingBox.min,si.min),this.boundingBox.expandByPoint(bn),bn.addVectors(this.boundingBox.max,si.max),this.boundingBox.expandByPoint(bn)):(this.boundingBox.expandByPoint(si.min),this.boundingBox.expandByPoint(si.max))}}else this.boundingBox.makeEmpty();(isNaN(this.boundingBox.min.x)||isNaN(this.boundingBox.min.y)||isNaN(this.boundingBox.min.z))&&console.error('THREE.BufferGeometry.computeBoundingBox(): Computed min/max have NaN values. The "position" attribute is likely to have NaN values.',this)}computeBoundingSphere(){this.boundingSphere===null&&(this.boundingSphere=new _s);const e=this.attributes.position,t=this.morphAttributes.position;if(e&&e.isGLBufferAttribute){console.error('THREE.BufferGeometry.computeBoundingSphere(): GLBufferAttribute requires a manual bounding sphere. Alternatively set "mesh.frustumCulled" to "false".',this),this.boundingSphere.set(new be,1/0);return}if(e){const i=this.boundingSphere.center;if(si.setFromBufferAttribute(e),t)for(let r=0,o=t.length;r<o;r++){const a=t[r];El.setFromBufferAttribute(a),this.morphTargetsRelative?(bn.addVectors(si.min,El.min),si.expandByPoint(bn),bn.addVectors(si.max,El.max),si.expandByPoint(bn)):(si.expandByPoint(El.min),si.expandByPoint(El.max))}si.getCenter(i);let s=0;for(let r=0,o=e.count;r<o;r++)bn.fromBufferAttribute(e,r),s=Math.max(s,i.distanceToSquared(bn));if(t)for(let r=0,o=t.length;r<o;r++){const a=t[r],l=this.morphTargetsRelative;for(let d=0,c=a.count;d<c;d++)bn.fromBufferAttribute(a,d),l&&(Bo.fromBufferAttribute(e,d),bn.add(Bo)),s=Math.max(s,i.distanceToSquared(bn))}this.boundingSphere.radius=Math.sqrt(s),isNaN(this.boundingSphere.radius)&&console.error('THREE.BufferGeometry.computeBoundingSphere(): Computed radius is NaN. The "position" attribute is likely to have NaN values.',this)}}computeTangents(){const e=this.index,t=this.attributes;if(e===null||t.position===void 0||t.normal===void 0||t.uv===void 0){console.error("THREE.BufferGeometry: .computeTangents() failed. Missing required attributes (index, position, normal or uv)");return}const i=e.array,s=t.position.array,r=t.normal.array,o=t.uv.array,a=s.length/3;this.hasAttribute("tangent")===!1&&this.setAttribute("tangent",new Yn(new Float32Array(4*a),4));const l=this.getAttribute("tangent").array,d=[],c=[];for(let A=0;A<a;A++)d[A]=new be,c[A]=new be;const _=new be,f=new be,m=new be,h=new It,E=new It,b=new It,g=new be,v=new be;function y(A,U,F){_.fromArray(s,A*3),f.fromArray(s,U*3),m.fromArray(s,F*3),h.fromArray(o,A*2),E.fromArray(o,U*2),b.fromArray(o,F*2),f.sub(_),m.sub(_),E.sub(h),b.sub(h);const K=1/(E.x*b.y-b.x*E.y);isFinite(K)&&(g.copy(f).multiplyScalar(b.y).addScaledVector(m,-E.y).multiplyScalar(K),v.copy(m).multiplyScalar(E.x).addScaledVector(f,-b.x).multiplyScalar(K),d[A].add(g),d[U].add(g),d[F].add(g),c[A].add(v),c[U].add(v),c[F].add(v))}let T=this.groups;T.length===0&&(T=[{start:0,count:i.length}]);for(let A=0,U=T.length;A<U;++A){const F=T[A],K=F.start,L=F.count;for(let H=K,G=K+L;H<G;H+=3)y(i[H+0],i[H+1],i[H+2])}const C=new be,x=new be,O=new be,R=new be;function S(A){O.fromArray(r,A*3),R.copy(O);const U=d[A];C.copy(U),C.sub(O.multiplyScalar(O.dot(U))).normalize(),x.crossVectors(R,U);const K=x.dot(c[A])<0?-1:1;l[A*4]=C.x,l[A*4+1]=C.y,l[A*4+2]=C.z,l[A*4+3]=K}for(let A=0,U=T.length;A<U;++A){const F=T[A],K=F.start,L=F.count;for(let H=K,G=K+L;H<G;H+=3)S(i[H+0]),S(i[H+1]),S(i[H+2])}}computeVertexNormals(){const e=this.index,t=this.getAttribute("position");if(t!==void 0){let i=this.getAttribute("normal");if(i===void 0)i=new Yn(new Float32Array(t.count*3),3),this.setAttribute("normal",i);else for(let f=0,m=i.count;f<m;f++)i.setXYZ(f,0,0,0);const s=new be,r=new be,o=new be,a=new be,l=new be,d=new be,c=new be,_=new be;if(e)for(let f=0,m=e.count;f<m;f+=3){const h=e.getX(f+0),E=e.getX(f+1),b=e.getX(f+2);s.fromBufferAttribute(t,h),r.fromBufferAttribute(t,E),o.fromBufferAttribute(t,b),c.subVectors(o,r),_.subVectors(s,r),c.cross(_),a.fromBufferAttribute(i,h),l.fromBufferAttribute(i,E),d.fromBufferAttribute(i,b),a.add(c),l.add(c),d.add(c),i.setXYZ(h,a.x,a.y,a.z),i.setXYZ(E,l.x,l.y,l.z),i.setXYZ(b,d.x,d.y,d.z)}else for(let f=0,m=t.count;f<m;f+=3)s.fromBufferAttribute(t,f+0),r.fromBufferAttribute(t,f+1),o.fromBufferAttribute(t,f+2),c.subVectors(o,r),_.subVectors(s,r),c.cross(_),i.setXYZ(f+0,c.x,c.y,c.z),i.setXYZ(f+1,c.x,c.y,c.z),i.setXYZ(f+2,c.x,c.y,c.z);this.normalizeNormals(),i.needsUpdate=!0}}normalizeNormals(){const e=this.attributes.normal;for(let t=0,i=e.count;t<i;t++)bn.fromBufferAttribute(e,t),bn.normalize(),e.setXYZ(t,bn.x,bn.y,bn.z)}toNonIndexed(){function e(a,l){const d=a.array,c=a.itemSize,_=a.normalized,f=new d.constructor(l.length*c);let m=0,h=0;for(let E=0,b=l.length;E<b;E++){a.isInterleavedBufferAttribute?m=l[E]*a.data.stride+a.offset:m=l[E]*c;for(let g=0;g<c;g++)f[h++]=d[m++]}return new Yn(f,c,_)}if(this.index===null)return console.warn("THREE.BufferGeometry.toNonIndexed(): BufferGeometry is already non-indexed."),this;const t=new hs,i=this.index.array,s=this.attributes;for(const a in s){const l=s[a],d=e(l,i);t.setAttribute(a,d)}const r=this.morphAttributes;for(const a in r){const l=[],d=r[a];for(let c=0,_=d.length;c<_;c++){const f=d[c],m=e(f,i);l.push(m)}t.morphAttributes[a]=l}t.morphTargetsRelative=this.morphTargetsRelative;const o=this.groups;for(let a=0,l=o.length;a<l;a++){const d=o[a];t.addGroup(d.start,d.count,d.materialIndex)}return t}toJSON(){const e={metadata:{version:4.6,type:"BufferGeometry",generator:"BufferGeometry.toJSON"}};if(e.uuid=this.uuid,e.type=this.type,this.name!==""&&(e.name=this.name),Object.keys(this.userData).length>0&&(e.userData=this.userData),this.parameters!==void 0){const l=this.parameters;for(const d in l)l[d]!==void 0&&(e[d]=l[d]);return e}e.data={attributes:{}};const t=this.index;t!==null&&(e.data.index={type:t.array.constructor.name,array:Array.prototype.slice.call(t.array)});const i=this.attributes;for(const l in i){const d=i[l];e.data.attributes[l]=d.toJSON(e.data)}const s={};let r=!1;for(const l in this.morphAttributes){const d=this.morphAttributes[l],c=[];for(let _=0,f=d.length;_<f;_++){const m=d[_];c.push(m.toJSON(e.data))}c.length>0&&(s[l]=c,r=!0)}r&&(e.data.morphAttributes=s,e.data.morphTargetsRelative=this.morphTargetsRelative);const o=this.groups;o.length>0&&(e.data.groups=JSON.parse(JSON.stringify(o)));const a=this.boundingSphere;return a!==null&&(e.data.boundingSphere={center:a.center.toArray(),radius:a.radius}),e}clone(){return new this.constructor().copy(this)}copy(e){this.index=null,this.attributes={},this.morphAttributes={},this.groups=[],this.boundingBox=null,this.boundingSphere=null;const t={};this.name=e.name;const i=e.index;i!==null&&this.setIndex(i.clone(t));const s=e.attributes;for(const d in s){const c=s[d];this.setAttribute(d,c.clone(t))}const r=e.morphAttributes;for(const d in r){const c=[],_=r[d];for(let f=0,m=_.length;f<m;f++)c.push(_[f].clone(t));this.morphAttributes[d]=c}this.morphTargetsRelative=e.morphTargetsRelative;const o=e.groups;for(let d=0,c=o.length;d<c;d++){const _=o[d];this.addGroup(_.start,_.count,_.materialIndex)}const a=e.boundingBox;a!==null&&(this.boundingBox=a.clone());const l=e.boundingSphere;return l!==null&&(this.boundingSphere=l.clone()),this.drawRange.start=e.drawRange.start,this.drawRange.count=e.drawRange.count,this.userData=e.userData,this}dispose(){this.dispatchEvent({type:"dispose"})}}const U1=new Rt,zr=new pp,dd=new _s,F1=new be,Go=new be,zo=new be,Vo=new be,rg=new be,ud=new be,pd=new It,_d=new It,hd=new It,B1=new be,G1=new be,z1=new be,fd=new be,md=new be;class Hn extends sn{constructor(e=new hs,t=new br){super(),this.isMesh=!0,this.type="Mesh",this.geometry=e,this.material=t,this.updateMorphTargets()}copy(e,t){return super.copy(e,t),e.morphTargetInfluences!==void 0&&(this.morphTargetInfluences=e.morphTargetInfluences.slice()),e.morphTargetDictionary!==void 0&&(this.morphTargetDictionary=Object.assign({},e.morphTargetDictionary)),this.material=Array.isArray(e.material)?e.material.slice():e.material,this.geometry=e.geometry,this}updateMorphTargets(){const t=this.geometry.morphAttributes,i=Object.keys(t);if(i.length>0){const s=t[i[0]];if(s!==void 0){this.morphTargetInfluences=[],this.morphTargetDictionary={};for(let r=0,o=s.length;r<o;r++){const a=s[r].name||String(r);this.morphTargetInfluences.push(0),this.morphTargetDictionary[a]=r}}}}getVertexPosition(e,t){const i=this.geometry,s=i.attributes.position,r=i.morphAttributes.position,o=i.morphTargetsRelative;t.fromBufferAttribute(s,e);const a=this.morphTargetInfluences;if(r&&a){ud.set(0,0,0);for(let l=0,d=r.length;l<d;l++){const c=a[l],_=r[l];c!==0&&(rg.fromBufferAttribute(_,e),o?ud.addScaledVector(rg,c):ud.addScaledVector(rg.sub(t),c))}t.add(ud)}return t}raycast(e,t){const i=this.geometry,s=this.material,r=this.matrixWorld;s!==void 0&&(i.boundingSphere===null&&i.computeBoundingSphere(),dd.copy(i.boundingSphere),dd.applyMatrix4(r),zr.copy(e.ray).recast(e.near),!(dd.containsPoint(zr.origin)===!1&&(zr.intersectSphere(dd,F1)===null||zr.origin.distanceToSquared(F1)>(e.far-e.near)**2))&&(U1.copy(r).invert(),zr.copy(e.ray).applyMatrix4(U1),!(i.boundingBox!==null&&zr.intersectsBox(i.boundingBox)===!1)&&this._computeIntersections(e,t,zr)))}_computeIntersections(e,t,i){let s;const r=this.geometry,o=this.material,a=r.index,l=r.attributes.position,d=r.attributes.uv,c=r.attributes.uv1,_=r.attributes.normal,f=r.groups,m=r.drawRange;if(a!==null)if(Array.isArray(o))for(let h=0,E=f.length;h<E;h++){const b=f[h],g=o[b.materialIndex],v=Math.max(b.start,m.start),y=Math.min(a.count,Math.min(b.start+b.count,m.start+m.count));for(let T=v,C=y;T<C;T+=3){const x=a.getX(T),O=a.getX(T+1),R=a.getX(T+2);s=gd(this,g,e,i,d,c,_,x,O,R),s&&(s.faceIndex=Math.floor(T/3),s.face.materialIndex=b.materialIndex,t.push(s))}}else{const h=Math.max(0,m.start),E=Math.min(a.count,m.start+m.count);for(let b=h,g=E;b<g;b+=3){const v=a.getX(b),y=a.getX(b+1),T=a.getX(b+2);s=gd(this,o,e,i,d,c,_,v,y,T),s&&(s.faceIndex=Math.floor(b/3),t.push(s))}}else if(l!==void 0)if(Array.isArray(o))for(let h=0,E=f.length;h<E;h++){const b=f[h],g=o[b.materialIndex],v=Math.max(b.start,m.start),y=Math.min(l.count,Math.min(b.start+b.count,m.start+m.count));for(let T=v,C=y;T<C;T+=3){const x=T,O=T+1,R=T+2;s=gd(this,g,e,i,d,c,_,x,O,R),s&&(s.faceIndex=Math.floor(T/3),s.face.materialIndex=b.materialIndex,t.push(s))}}else{const h=Math.max(0,m.start),E=Math.min(l.count,m.start+m.count);for(let b=h,g=E;b<g;b+=3){const v=b,y=b+1,T=b+2;s=gd(this,o,e,i,d,c,_,v,y,T),s&&(s.faceIndex=Math.floor(b/3),t.push(s))}}}}function Fxt(n,e,t,i,s,r,o,a){let l;if(e.side===Zn?l=i.intersectTriangle(o,r,s,!0,a):l=i.intersectTriangle(s,r,o,e.side===Vs,a),l===null)return null;md.copy(a),md.applyMatrix4(n.matrixWorld);const d=t.ray.origin.distanceTo(md);return d<t.near||d>t.far?null:{distance:d,point:md.clone(),object:n}}function gd(n,e,t,i,s,r,o,a,l,d){n.getVertexPosition(a,Go),n.getVertexPosition(l,zo),n.getVertexPosition(d,Vo);const c=Fxt(n,e,t,i,Go,zo,Vo,fd);if(c){s&&(pd.fromBufferAttribute(s,a),_d.fromBufferAttribute(s,l),hd.fromBufferAttribute(s,d),c.uv=Pi.getInterpolation(fd,Go,zo,Vo,pd,_d,hd,new It)),r&&(pd.fromBufferAttribute(r,a),_d.fromBufferAttribute(r,l),hd.fromBufferAttribute(r,d),c.uv1=Pi.getInterpolation(fd,Go,zo,Vo,pd,_d,hd,new It),c.uv2=c.uv1),o&&(B1.fromBufferAttribute(o,a),G1.fromBufferAttribute(o,l),z1.fromBufferAttribute(o,d),c.normal=Pi.getInterpolation(fd,Go,zo,Vo,B1,G1,z1,new be),c.normal.dot(i.direction)>0&&c.normal.multiplyScalar(-1));const _={a,b:l,c:d,normal:new be,materialIndex:0};Pi.getNormal(Go,zo,Vo,_.normal),c.face=_}return c}class xr extends hs{constructor(e=1,t=1,i=1,s=1,r=1,o=1){super(),this.type="BoxGeometry",this.parameters={width:e,height:t,depth:i,widthSegments:s,heightSegments:r,depthSegments:o};const a=this;s=Math.floor(s),r=Math.floor(r),o=Math.floor(o);const l=[],d=[],c=[],_=[];let f=0,m=0;h("z","y","x",-1,-1,i,t,e,o,r,0),h("z","y","x",1,-1,i,t,-e,o,r,1),h("x","z","y",1,1,e,i,t,s,o,2),h("x","z","y",1,-1,e,i,-t,s,o,3),h("x","y","z",1,-1,e,t,i,s,r,4),h("x","y","z",-1,-1,e,t,-i,s,r,5),this.setIndex(l),this.setAttribute("position",new Us(d,3)),this.setAttribute("normal",new Us(c,3)),this.setAttribute("uv",new Us(_,2));function h(E,b,g,v,y,T,C,x,O,R,S){const A=T/O,U=C/R,F=T/2,K=C/2,L=x/2,H=O+1,G=R+1;let P=0,j=0;const Y=new be;for(let Q=0;Q<G;Q++){const re=Q*U-K;for(let te=0;te<H;te++){const Z=te*A-F;Y[E]=Z*v,Y[b]=re*y,Y[g]=L,d.push(Y.x,Y.y,Y.z),Y[E]=0,Y[b]=0,Y[g]=x>0?1:-1,c.push(Y.x,Y.y,Y.z),_.push(te/O),_.push(1-Q/R),P+=1}}for(let Q=0;Q<R;Q++)for(let re=0;re<O;re++){const te=f+re+H*Q,Z=f+re+H*(Q+1),fe=f+(re+1)+H*(Q+1),ve=f+(re+1)+H*Q;l.push(te,Z,ve),l.push(Z,fe,ve),j+=6}a.addGroup(m,j,S),m+=j,f+=P}}copy(e){return super.copy(e),this.parameters=Object.assign({},e.parameters),this}static fromJSON(e){return new xr(e.width,e.height,e.depth,e.widthSegments,e.heightSegments,e.depthSegments)}}function Oa(n){const e={};for(const t in n){e[t]={};for(const i in n[t]){const s=n[t][i];s&&(s.isColor||s.isMatrix3||s.isMatrix4||s.isVector2||s.isVector3||s.isVector4||s.isTexture||s.isQuaternion)?s.isRenderTargetTexture?(console.warn("UniformsUtils: Textures of render targets cannot be cloned via cloneUniforms() or mergeUniforms()."),e[t][i]=null):e[t][i]=s.clone():Array.isArray(s)?e[t][i]=s.slice():e[t][i]=s}}return e}function Gn(n){const e={};for(let t=0;t<n.length;t++){const i=Oa(n[t]);for(const s in i)e[s]=i[s]}return e}function Bxt(n){const e=[];for(let t=0;t<n.length;t++)e.push(n[t].clone());return e}function _I(n){return n.getRenderTarget()===null?n.outputColorSpace:Ft.workingColorSpace}const Gxt={clone:Oa,merge:Gn};var zxt=`void main() {
gl_Position = projectionMatrix * modelViewMatrix * vec4( position, 1.0 );
}`,Vxt=`void main() {
gl_FragColor = vec4( 1.0, 0.0, 0.0, 1.0 );
}`;class Eo extends Vi{constructor(e){super(),this.isShaderMaterial=!0,this.type="ShaderMaterial",this.defines={},this.uniforms={},this.uniformsGroups=[],this.vertexShader=zxt,this.fragmentShader=Vxt,this.linewidth=1,this.wireframe=!1,this.wireframeLinewidth=1,this.fog=!1,this.lights=!1,this.clipping=!1,this.forceSinglePass=!0,this.extensions={derivatives:!1,fragDepth:!1,drawBuffers:!1,shaderTextureLOD:!1},this.defaultAttributeValues={color:[1,1,1],uv:[0,0],uv1:[0,0]},this.index0AttributeName=void 0,this.uniformsNeedUpdate=!1,this.glslVersion=null,e!==void 0&&this.setValues(e)}copy(e){return super.copy(e),this.fragmentShader=e.fragmentShader,this.vertexShader=e.vertexShader,this.uniforms=Oa(e.uniforms),this.uniformsGroups=Bxt(e.uniformsGroups),this.defines=Object.assign({},e.defines),this.wireframe=e.wireframe,this.wireframeLinewidth=e.wireframeLinewidth,this.fog=e.fog,this.lights=e.lights,this.clipping=e.clipping,this.extensions=Object.assign({},e.extensions),this.glslVersion=e.glslVersion,this}toJSON(e){const t=super.toJSON(e);t.glslVersion=this.glslVersion,t.uniforms={};for(const s in this.uniforms){const o=this.uniforms[s].value;o&&o.isTexture?t.uniforms[s]={type:"t",value:o.toJSON(e).uuid}:o&&o.isColor?t.uniforms[s]={type:"c",value:o.getHex()}:o&&o.isVector2?t.uniforms[s]={type:"v2",value:o.toArray()}:o&&o.isVector3?t.uniforms[s]={type:"v3",value:o.toArray()}:o&&o.isVector4?t.uniforms[s]={type:"v4",value:o.toArray()}:o&&o.isMatrix3?t.uniforms[s]={type:"m3",value:o.toArray()}:o&&o.isMatrix4?t.uniforms[s]={type:"m4",value:o.toArray()}:t.uniforms[s]={value:o}}Object.keys(this.defines).length>0&&(t.defines=this.defines),t.vertexShader=this.vertexShader,t.fragmentShader=this.fragmentShader,t.lights=this.lights,t.clipping=this.clipping;const i={};for(const s in this.extensions)this.extensions[s]===!0&&(i[s]=!0);return Object.keys(i).length>0&&(t.extensions=i),t}}class hI extends sn{constructor(){super(),this.isCamera=!0,this.type="Camera",this.matrixWorldInverse=new Rt,this.projectionMatrix=new Rt,this.projectionMatrixInverse=new Rt,this.coordinateSystem=ks}copy(e,t){return super.copy(e,t),this.matrixWorldInverse.copy(e.matrixWorldInverse),this.projectionMatrix.copy(e.projectionMatrix),this.projectionMatrixInverse.copy(e.projectionMatrixInverse),this.coordinateSystem=e.coordinateSystem,this}getWorldDirection(e){return super.getWorldDirection(e).negate()}updateMatrixWorld(e){super.updateMatrixWorld(e),this.matrixWorldInverse.copy(this.matrixWorld).invert()}updateWorldMatrix(e,t){super.updateWorldMatrix(e,t),this.matrixWorldInverse.copy(this.matrixWorld).invert()}clone(){return new this.constructor().copy(this)}}class Vn extends hI{constructor(e=50,t=1,i=.1,s=2e3){super(),this.isPerspectiveCamera=!0,this.type="PerspectiveCamera",this.fov=e,this.zoom=1,this.near=i,this.far=s,this.focus=10,this.aspect=t,this.view=null,this.filmGauge=35,this.filmOffset=0,this.updateProjectionMatrix()}copy(e,t){return super.copy(e,t),this.fov=e.fov,this.zoom=e.zoom,this.near=e.near,this.far=e.far,this.focus=e.focus,this.aspect=e.aspect,this.view=e.view===null?null:Object.assign({},e.view),this.filmGauge=e.filmGauge,this.filmOffset=e.filmOffset,this}setFocalLength(e){const t=.5*this.getFilmHeight()/e;this.fov=Na*2*Math.atan(t),this.updateProjectionMatrix()}getFocalLength(){const e=Math.tan(Fl*.5*this.fov);return .5*this.getFilmHeight()/e}getEffectiveFOV(){return Na*2*Math.atan(Math.tan(Fl*.5*this.fov)/this.zoom)}getFilmWidth(){return this.filmGauge*Math.min(this.aspect,1)}getFilmHeight(){return this.filmGauge/Math.max(this.aspect,1)}setViewOffset(e,t,i,s,r,o){this.aspect=e/t,this.view===null&&(this.view={enabled:!0,fullWidth:1,fullHeight:1,offsetX:0,offsetY:0,width:1,height:1}),this.view.enabled=!0,this.view.fullWidth=e,this.view.fullHeight=t,this.view.offsetX=i,this.view.offsetY=s,this.view.width=r,this.view.height=o,this.updateProjectionMatrix()}clearViewOffset(){this.view!==null&&(this.view.enabled=!1),this.updateProjectionMatrix()}updateProjectionMatrix(){const e=this.near;let t=e*Math.tan(Fl*.5*this.fov)/this.zoom,i=2*t,s=this.aspect*i,r=-.5*s;const o=this.view;if(this.view!==null&&this.view.enabled){const l=o.fullWidth,d=o.fullHeight;r+=o.offsetX*s/l,t-=o.offsetY*i/d,s*=o.width/l,i*=o.height/d}const a=this.filmOffset;a!==0&&(r+=e*a/this.getFilmWidth()),this.projectionMatrix.makePerspective(r,r+s,t,t-i,e,this.far,this.coordinateSystem),this.projectionMatrixInverse.copy(this.projectionMatrix).invert()}toJSON(e){const t=super.toJSON(e);return t.object.fov=this.fov,t.object.zoom=this.zoom,t.object.near=this.near,t.object.far=this.far,t.object.focus=this.focus,t.object.aspect=this.aspect,this.view!==null&&(t.object.view=Object.assign({},this.view)),t.object.filmGauge=this.filmGauge,t.object.filmOffset=this.filmOffset,t}}const Ho=-90,qo=1;class Hxt extends sn{constructor(e,t,i){super(),this.type="CubeCamera",this.renderTarget=i,this.coordinateSystem=null,this.activeMipmapLevel=0;const s=new Vn(Ho,qo,e,t);s.layers=this.layers,this.add(s);const r=new Vn(Ho,qo,e,t);r.layers=this.layers,this.add(r);const o=new Vn(Ho,qo,e,t);o.layers=this.layers,this.add(o);const a=new Vn(Ho,qo,e,t);a.layers=this.layers,this.add(a);const l=new Vn(Ho,qo,e,t);l.layers=this.layers,this.add(l);const d=new Vn(Ho,qo,e,t);d.layers=this.layers,this.add(d)}updateCoordinateSystem(){const e=this.coordinateSystem,t=this.children.concat(),[i,s,r,o,a,l]=t;for(const d of t)this.remove(d);if(e===ks)i.up.set(0,1,0),i.lookAt(1,0,0),s.up.set(0,1,0),s.lookAt(-1,0,0),r.up.set(0,0,-1),r.lookAt(0,1,0),o.up.set(0,0,1),o.lookAt(0,-1,0),a.up.set(0,1,0),a.lookAt(0,0,1),l.up.set(0,1,0),l.lookAt(0,0,-1);else if(e===yu)i.up.set(0,-1,0),i.lookAt(-1,0,0),s.up.set(0,-1,0),s.lookAt(1,0,0),r.up.set(0,0,1),r.lookAt(0,1,0),o.up.set(0,0,-1),o.lookAt(0,-1,0),a.up.set(0,-1,0),a.lookAt(0,0,1),l.up.set(0,-1,0),l.lookAt(0,0,-1);else throw new Error("THREE.CubeCamera.updateCoordinateSystem(): Invalid coordinate system: "+e);for(const d of t)this.add(d),d.updateMatrixWorld()}update(e,t){this.parent===null&&this.updateMatrixWorld();const{renderTarget:i,activeMipmapLevel:s}=this;this.coordinateSystem!==e.coordinateSystem&&(this.coordinateSystem=e.coordinateSystem,this.updateCoordinateSystem());const[r,o,a,l,d,c]=this.children,_=e.getRenderTarget(),f=e.getActiveCubeFace(),m=e.getActiveMipmapLevel(),h=e.xr.enabled;e.xr.enabled=!1;const E=i.texture.generateMipmaps;i.texture.generateMipmaps=!1,e.setRenderTarget(i,0,s),e.render(t,r),e.setRenderTarget(i,1,s),e.render(t,o),e.setRenderTarget(i,2,s),e.render(t,a),e.setRenderTarget(i,3,s),e.render(t,l),e.setRenderTarget(i,4,s),e.render(t,d),i.texture.generateMipmaps=E,e.setRenderTarget(i,5,s),e.render(t,c),e.setRenderTarget(_,f,m),e.xr.enabled=h,i.texture.needsPMREMUpdate=!0}}class fI extends wn{constructor(e,t,i,s,r,o,a,l,d,c){e=e!==void 0?e:[],t=t!==void 0?t:xa,super(e,t,i,s,r,o,a,l,d,c),this.isCubeTexture=!0,this.flipY=!1}get images(){return this.image}set images(e){this.image=e}}class qxt extends bo{constructor(e=1,t={}){super(e,e,t),this.isWebGLCubeRenderTarget=!0;const i={width:e,height:e,depth:1},s=[i,i,i,i,i,i];t.encoding!==void 0&&(Gl("THREE.WebGLCubeRenderTarget: option.encoding has been replaced by option.colorSpace."),t.colorSpace=t.encoding===uo?rn:Ei),this.texture=new fI(s,t.mapping,t.wrapS,t.wrapT,t.magFilter,t.minFilter,t.format,t.type,t.anisotropy,t.colorSpace),this.texture.isRenderTargetTexture=!0,this.texture.generateMipmaps=t.generateMipmaps!==void 0?t.generateMipmaps:!1,this.texture.minFilter=t.minFilter!==void 0?t.minFilter:jn}fromEquirectangularTexture(e,t){this.texture.type=t.type,this.texture.colorSpace=t.colorSpace,this.texture.generateMipmaps=t.generateMipmaps,this.texture.minFilter=t.minFilter,this.texture.magFilter=t.magFilter;const i={uniforms:{tEquirect:{value:null}},vertexShader:`
varying vec3 vWorldDirection;
vec3 transformDirection( in vec3 dir, in mat4 matrix ) {
return normalize( ( matrix * vec4( dir, 0.0 ) ).xyz );
}
void main() {
vWorldDirection = transformDirection( position, modelMatrix );
#include <begin_vertex>
#include <project_vertex>
}
`,fragmentShader:`
uniform sampler2D tEquirect;
varying vec3 vWorldDirection;
#include <common>
void main() {
vec3 direction = normalize( vWorldDirection );
vec2 sampleUV = equirectUv( direction );
gl_FragColor = texture2D( tEquirect, sampleUV );
}
`},s=new xr(5,5,5),r=new Eo({name:"CubemapFromEquirect",uniforms:Oa(i.uniforms),vertexShader:i.vertexShader,fragmentShader:i.fragmentShader,side:Zn,blending:yr});r.uniforms.tEquirect.value=t;const o=new Hn(s,r),a=t.minFilter;return t.minFilter===go&&(t.minFilter=jn),new Hxt(1,10,this).update(e,o),t.minFilter=a,o.geometry.dispose(),o.material.dispose(),this}clear(e,t,i,s){const r=e.getRenderTarget();for(let o=0;o<6;o++)e.setRenderTarget(this,o),e.clear(t,i,s);e.setRenderTarget(r)}}const og=new be,Yxt=new be,$xt=new Ct;class $r{constructor(e=new be(1,0,0),t=0){this.isPlane=!0,this.normal=e,this.constant=t}set(e,t){return this.normal.copy(e),this.constant=t,this}setComponents(e,t,i,s){return this.normal.set(e,t,i),this.constant=s,this}setFromNormalAndCoplanarPoint(e,t){return this.normal.copy(e),this.constant=-t.dot(this.normal),this}setFromCoplanarPoints(e,t,i){const s=og.subVectors(i,t).cross(Yxt.subVectors(e,t)).normalize();return this.setFromNormalAndCoplanarPoint(s,e),this}copy(e){return this.normal.copy(e.normal),this.constant=e.constant,this}normalize(){const e=1/this.normal.length();return this.normal.multiplyScalar(e),this.constant*=e,this}negate(){return this.constant*=-1,this.normal.negate(),this}distanceToPoint(e){return this.normal.dot(e)+this.constant}distanceToSphere(e){return this.distanceToPoint(e.center)-e.radius}projectPoint(e,t){return t.copy(e).addScaledVector(this.normal,-this.distanceToPoint(e))}intersectLine(e,t){const i=e.delta(og),s=this.normal.dot(i);if(s===0)return this.distanceToPoint(e.start)===0?t.copy(e.start):null;const r=-(e.start.dot(this.normal)+this.constant)/s;return r<0||r>1?null:t.copy(e.start).addScaledVector(i,r)}intersectsLine(e){const t=this.distanceToPoint(e.start),i=this.distanceToPoint(e.end);return t<0&&i>0||i<0&&t>0}intersectsBox(e){return e.intersectsPlane(this)}intersectsSphere(e){return e.intersectsPlane(this)}coplanarPoint(e){return e.copy(this.normal).multiplyScalar(-this.constant)}applyMatrix4(e,t){const i=t||$xt.getNormalMatrix(e),s=this.coplanarPoint(og).applyMatrix4(e),r=this.normal.applyMatrix3(i).normalize();return this.constant=-s.dot(r),this}translate(e){return this.constant-=e.dot(this.normal),this}equals(e){return e.normal.equals(this.normal)&&e.constant===this.constant}clone(){return new this.constructor().copy(this)}}const Vr=new _s,bd=new be;class ev{constructor(e=new $r,t=new $r,i=new $r,s=new $r,r=new $r,o=new $r){this.planes=[e,t,i,s,r,o]}set(e,t,i,s,r,o){const a=this.planes;return a[0].copy(e),a[1].copy(t),a[2].copy(i),a[3].copy(s),a[4].copy(r),a[5].copy(o),this}copy(e){const t=this.planes;for(let i=0;i<6;i++)t[i].copy(e.planes[i]);return this}setFromProjectionMatrix(e,t=ks){const i=this.planes,s=e.elements,r=s[0],o=s[1],a=s[2],l=s[3],d=s[4],c=s[5],_=s[6],f=s[7],m=s[8],h=s[9],E=s[10],b=s[11],g=s[12],v=s[13],y=s[14],T=s[15];if(i[0].setComponents(l-r,f-d,b-m,T-g).normalize(),i[1].setComponents(l+r,f+d,b+m,T+g).normalize(),i[2].setComponents(l+o,f+c,b+h,T+v).normalize(),i[3].setComponents(l-o,f-c,b-h,T-v).normalize(),i[4].setComponents(l-a,f-_,b-E,T-y).normalize(),t===ks)i[5].setComponents(l+a,f+_,b+E,T+y).normalize();else if(t===yu)i[5].setComponents(a,_,E,y).normalize();else throw new Error("THREE.Frustum.setFromProjectionMatrix(): Invalid coordinate system: "+t);return this}intersectsObject(e){if(e.boundingSphere!==void 0)e.boundingSphere===null&&e.computeBoundingSphere(),Vr.copy(e.boundingSphere).applyMatrix4(e.matrixWorld);else{const t=e.geometry;t.boundingSphere===null&&t.computeBoundingSphere(),Vr.copy(t.boundingSphere).applyMatrix4(e.matrixWorld)}return this.intersectsSphere(Vr)}intersectsSprite(e){return Vr.center.set(0,0,0),Vr.radius=.7071067811865476,Vr.applyMatrix4(e.matrixWorld),this.intersectsSphere(Vr)}intersectsSphere(e){const t=this.planes,i=e.center,s=-e.radius;for(let r=0;r<6;r++)if(t[r].distanceToPoint(i)<s)return!1;return!0}intersectsBox(e){const t=this.planes;for(let i=0;i<6;i++){const s=t[i];if(bd.x=s.normal.x>0?e.max.x:e.min.x,bd.y=s.normal.y>0?e.max.y:e.min.y,bd.z=s.normal.z>0?e.max.z:e.min.z,s.distanceToPoint(bd)<0)return!1}return!0}containsPoint(e){const t=this.planes;for(let i=0;i<6;i++)if(t[i].distanceToPoint(e)<0)return!1;return!0}clone(){return new this.constructor().copy(this)}}function mI(){let n=null,e=!1,t=null,i=null;function s(r,o){t(r,o),i=n.requestAnimationFrame(s)}return{start:function(){e!==!0&&t!==null&&(i=n.requestAnimationFrame(s),e=!0)},stop:function(){n.cancelAnimationFrame(i),e=!1},setAnimationLoop:function(r){t=r},setContext:function(r){n=r}}}function Wxt(n,e){const t=e.isWebGL2,i=new WeakMap;function s(d,c){const _=d.array,f=d.usage,m=_.byteLength,h=n.createBuffer();n.bindBuffer(c,h),n.bufferData(c,_,f),d.onUploadCallback();let E;if(_ instanceof Float32Array)E=n.FLOAT;else if(_ instanceof Uint16Array)if(d.isFloat16BufferAttribute)if(t)E=n.HALF_FLOAT;else throw new Error("THREE.WebGLAttributes: Usage of Float16BufferAttribute requires WebGL2.");else E=n.UNSIGNED_SHORT;else if(_ instanceof Int16Array)E=n.SHORT;else if(_ instanceof Uint32Array)E=n.UNSIGNED_INT;else if(_ instanceof Int32Array)E=n.INT;else if(_ instanceof Int8Array)E=n.BYTE;else if(_ instanceof Uint8Array)E=n.UNSIGNED_BYTE;else if(_ instanceof Uint8ClampedArray)E=n.UNSIGNED_BYTE;else throw new Error("THREE.WebGLAttributes: Unsupported buffer data format: "+_);return{buffer:h,type:E,bytesPerElement:_.BYTES_PER_ELEMENT,version:d.version,size:m}}function r(d,c,_){const f=c.array,m=c._updateRange,h=c.updateRanges;if(n.bindBuffer(_,d),m.count===-1&&h.length===0&&n.bufferSubData(_,0,f),h.length!==0){for(let E=0,b=h.length;E<b;E++){const g=h[E];t?n.bufferSubData(_,g.start*f.BYTES_PER_ELEMENT,f,g.start,g.count):n.bufferSubData(_,g.start*f.BYTES_PER_ELEMENT,f.subarray(g.start,g.start+g.count))}c.clearUpdateRanges()}m.count!==-1&&(t?n.bufferSubData(_,m.offset*f.BYTES_PER_ELEMENT,f,m.offset,m.count):n.bufferSubData(_,m.offset*f.BYTES_PER_ELEMENT,f.subarray(m.offset,m.offset+m.count)),m.count=-1),c.onUploadCallback()}function o(d){return d.isInterleavedBufferAttribute&&(d=d.data),i.get(d)}function a(d){d.isInterleavedBufferAttribute&&(d=d.data);const c=i.get(d);c&&(n.deleteBuffer(c.buffer),i.delete(d))}function l(d,c){if(d.isGLBufferAttribute){const f=i.get(d);(!f||f.version<d.version)&&i.set(d,{buffer:d.buffer,type:d.type,bytesPerElement:d.elementSize,version:d.version});return}d.isInterleavedBufferAttribute&&(d=d.data);const _=i.get(d);if(_===void 0)i.set(d,s(d,c));else if(_.version<d.version){if(_.size!==d.array.byteLength)throw new Error("THREE.WebGLAttributes: The size of the buffer attribute's array buffer does not match the original size. Resizing buffer attributes is not supported.");r(_.buffer,d,c),_.version=d.version}}return{get:o,remove:a,update:l}}class tv extends hs{constructor(e=1,t=1,i=1,s=1){super(),this.type="PlaneGeometry",this.parameters={width:e,height:t,widthSegments:i,heightSegments:s};const r=e/2,o=t/2,a=Math.floor(i),l=Math.floor(s),d=a+1,c=l+1,_=e/a,f=t/l,m=[],h=[],E=[],b=[];for(let g=0;g<c;g++){const v=g*f-o;for(let y=0;y<d;y++){const T=y*_-r;h.push(T,-v,0),E.push(0,0,1),b.push(y/a),b.push(1-g/l)}}for(let g=0;g<l;g++)for(let v=0;v<a;v++){const y=v+d*g,T=v+d*(g+1),C=v+1+d*(g+1),x=v+1+d*g;m.push(y,T,x),m.push(T,C,x)}this.setIndex(m),this.setAttribute("position",new Us(h,3)),this.setAttribute("normal",new Us(E,3)),this.setAttribute("uv",new Us(b,2))}copy(e){return super.copy(e),this.parameters=Object.assign({},e.parameters),this}static fromJSON(e){return new tv(e.width,e.height,e.widthSegments,e.heightSegments)}}var Kxt=`#ifdef USE_ALPHAHASH
if ( diffuseColor.a < getAlphaHashThreshold( vPosition ) ) discard;
#endif`,jxt=`#ifdef USE_ALPHAHASH
const float ALPHA_HASH_SCALE = 0.05;
float hash2D( vec2 value ) {
return fract( 1.0e4 * sin( 17.0 * value.x + 0.1 * value.y ) * ( 0.1 + abs( sin( 13.0 * value.y + value.x ) ) ) );
}
float hash3D( vec3 value ) {
return hash2D( vec2( hash2D( value.xy ), value.z ) );
}
float getAlphaHashThreshold( vec3 position ) {
float maxDeriv = max(
length( dFdx( position.xyz ) ),
length( dFdy( position.xyz ) )
);
float pixScale = 1.0 / ( ALPHA_HASH_SCALE * maxDeriv );
vec2 pixScales = vec2(
exp2( floor( log2( pixScale ) ) ),
exp2( ceil( log2( pixScale ) ) )
);
vec2 alpha = vec2(
hash3D( floor( pixScales.x * position.xyz ) ),
hash3D( floor( pixScales.y * position.xyz ) )
);
float lerpFactor = fract( log2( pixScale ) );
float x = ( 1.0 - lerpFactor ) * alpha.x + lerpFactor * alpha.y;
float a = min( lerpFactor, 1.0 - lerpFactor );
vec3 cases = vec3(
x * x / ( 2.0 * a * ( 1.0 - a ) ),
( x - 0.5 * a ) / ( 1.0 - a ),
1.0 - ( ( 1.0 - x ) * ( 1.0 - x ) / ( 2.0 * a * ( 1.0 - a ) ) )
);
float threshold = ( x < ( 1.0 - a ) )
? ( ( x < a ) ? cases.x : cases.y )
: cases.z;
return clamp( threshold , 1.0e-6, 1.0 );
}
#endif`,Qxt=`#ifdef USE_ALPHAMAP
diffuseColor.a *= texture2D( alphaMap, vAlphaMapUv ).g;
#endif`,Xxt=`#ifdef USE_ALPHAMAP
uniform sampler2D alphaMap;
#endif`,Zxt=`#ifdef USE_ALPHATEST
if ( diffuseColor.a < alphaTest ) discard;
#endif`,Jxt=`#ifdef USE_ALPHATEST
uniform float alphaTest;
#endif`,eCt=`#ifdef USE_AOMAP
float ambientOcclusion = ( texture2D( aoMap, vAoMapUv ).r - 1.0 ) * aoMapIntensity + 1.0;
reflectedLight.indirectDiffuse *= ambientOcclusion;
#if defined( USE_CLEARCOAT )
clearcoatSpecularIndirect *= ambientOcclusion;
#endif
#if defined( USE_SHEEN )
sheenSpecularIndirect *= ambientOcclusion;
#endif
#if defined( USE_ENVMAP ) && defined( STANDARD )
float dotNV = saturate( dot( geometryNormal, geometryViewDir ) );
reflectedLight.indirectSpecular *= computeSpecularOcclusion( dotNV, ambientOcclusion, material.roughness );
#endif
#endif`,tCt=`#ifdef USE_AOMAP
uniform sampler2D aoMap;
uniform float aoMapIntensity;
#endif`,nCt=`#ifdef USE_BATCHING
attribute float batchId;
uniform highp sampler2D batchingTexture;
mat4 getBatchingMatrix( const in float i ) {
int size = textureSize( batchingTexture, 0 ).x;
int j = int( i ) * 4;
int x = j % size;
int y = j / size;
vec4 v1 = texelFetch( batchingTexture, ivec2( x, y ), 0 );
vec4 v2 = texelFetch( batchingTexture, ivec2( x + 1, y ), 0 );
vec4 v3 = texelFetch( batchingTexture, ivec2( x + 2, y ), 0 );
vec4 v4 = texelFetch( batchingTexture, ivec2( x + 3, y ), 0 );
return mat4( v1, v2, v3, v4 );
}
#endif`,iCt=`#ifdef USE_BATCHING
mat4 batchingMatrix = getBatchingMatrix( batchId );
#endif`,sCt=`vec3 transformed = vec3( position );
#ifdef USE_ALPHAHASH
vPosition = vec3( position );
#endif`,rCt=`vec3 objectNormal = vec3( normal );
#ifdef USE_TANGENT
vec3 objectTangent = vec3( tangent.xyz );
#endif`,oCt=`float G_BlinnPhong_Implicit( ) {
return 0.25;
}
float D_BlinnPhong( const in float shininess, const in float dotNH ) {
return RECIPROCAL_PI * ( shininess * 0.5 + 1.0 ) * pow( dotNH, shininess );
}
vec3 BRDF_BlinnPhong( const in vec3 lightDir, const in vec3 viewDir, const in vec3 normal, const in vec3 specularColor, const in float shininess ) {
vec3 halfDir = normalize( lightDir + viewDir );
float dotNH = saturate( dot( normal, halfDir ) );
float dotVH = saturate( dot( viewDir, halfDir ) );
vec3 F = F_Schlick( specularColor, 1.0, dotVH );
float G = G_BlinnPhong_Implicit( );
float D = D_BlinnPhong( shininess, dotNH );
return F * ( G * D );
} // validated`,aCt=`#ifdef USE_IRIDESCENCE
const mat3 XYZ_TO_REC709 = mat3(
3.2404542, -0.9692660, 0.0556434,
-1.5371385, 1.8760108, -0.2040259,
-0.4985314, 0.0415560, 1.0572252
);
vec3 Fresnel0ToIor( vec3 fresnel0 ) {
vec3 sqrtF0 = sqrt( fresnel0 );
return ( vec3( 1.0 ) + sqrtF0 ) / ( vec3( 1.0 ) - sqrtF0 );
}
vec3 IorToFresnel0( vec3 transmittedIor, float incidentIor ) {
return pow2( ( transmittedIor - vec3( incidentIor ) ) / ( transmittedIor + vec3( incidentIor ) ) );
}
float IorToFresnel0( float transmittedIor, float incidentIor ) {
return pow2( ( transmittedIor - incidentIor ) / ( transmittedIor + incidentIor ));
}
vec3 evalSensitivity( float OPD, vec3 shift ) {
float phase = 2.0 * PI * OPD * 1.0e-9;
vec3 val = vec3( 5.4856e-13, 4.4201e-13, 5.2481e-13 );
vec3 pos = vec3( 1.6810e+06, 1.7953e+06, 2.2084e+06 );
vec3 var = vec3( 4.3278e+09, 9.3046e+09, 6.6121e+09 );
vec3 xyz = val * sqrt( 2.0 * PI * var ) * cos( pos * phase + shift ) * exp( - pow2( phase ) * var );
xyz.x += 9.7470e-14 * sqrt( 2.0 * PI * 4.5282e+09 ) * cos( 2.2399e+06 * phase + shift[ 0 ] ) * exp( - 4.5282e+09 * pow2( phase ) );
xyz /= 1.0685e-7;
vec3 rgb = XYZ_TO_REC709 * xyz;
return rgb;
}
vec3 evalIridescence( float outsideIOR, float eta2, float cosTheta1, float thinFilmThickness, vec3 baseF0 ) {
vec3 I;
float iridescenceIOR = mix( outsideIOR, eta2, smoothstep( 0.0, 0.03, thinFilmThickness ) );
float sinTheta2Sq = pow2( outsideIOR / iridescenceIOR ) * ( 1.0 - pow2( cosTheta1 ) );
float cosTheta2Sq = 1.0 - sinTheta2Sq;
if ( cosTheta2Sq < 0.0 ) {
return vec3( 1.0 );
}
float cosTheta2 = sqrt( cosTheta2Sq );
float R0 = IorToFresnel0( iridescenceIOR, outsideIOR );
float R12 = F_Schlick( R0, 1.0, cosTheta1 );
float T121 = 1.0 - R12;
float phi12 = 0.0;
if ( iridescenceIOR < outsideIOR ) phi12 = PI;
float phi21 = PI - phi12;
vec3 baseIOR = Fresnel0ToIor( clamp( baseF0, 0.0, 0.9999 ) ); vec3 R1 = IorToFresnel0( baseIOR, iridescenceIOR );
vec3 R23 = F_Schlick( R1, 1.0, cosTheta2 );
vec3 phi23 = vec3( 0.0 );
if ( baseIOR[ 0 ] < iridescenceIOR ) phi23[ 0 ] = PI;
if ( baseIOR[ 1 ] < iridescenceIOR ) phi23[ 1 ] = PI;
if ( baseIOR[ 2 ] < iridescenceIOR ) phi23[ 2 ] = PI;
float OPD = 2.0 * iridescenceIOR * thinFilmThickness * cosTheta2;
vec3 phi = vec3( phi21 ) + phi23;
vec3 R123 = clamp( R12 * R23, 1e-5, 0.9999 );
vec3 r123 = sqrt( R123 );
vec3 Rs = pow2( T121 ) * R23 / ( vec3( 1.0 ) - R123 );
vec3 C0 = R12 + Rs;
I = C0;
vec3 Cm = Rs - T121;
for ( int m = 1; m <= 2; ++ m ) {
Cm *= r123;
vec3 Sm = 2.0 * evalSensitivity( float( m ) * OPD, float( m ) * phi );
I += Cm * Sm;
}
return max( I, vec3( 0.0 ) );
}
#endif`,lCt=`#ifdef USE_BUMPMAP
uniform sampler2D bumpMap;
uniform float bumpScale;
vec2 dHdxy_fwd() {
vec2 dSTdx = dFdx( vBumpMapUv );
vec2 dSTdy = dFdy( vBumpMapUv );
float Hll = bumpScale * texture2D( bumpMap, vBumpMapUv ).x;
float dBx = bumpScale * texture2D( bumpMap, vBumpMapUv + dSTdx ).x - Hll;
float dBy = bumpScale * texture2D( bumpMap, vBumpMapUv + dSTdy ).x - Hll;
return vec2( dBx, dBy );
}
vec3 perturbNormalArb( vec3 surf_pos, vec3 surf_norm, vec2 dHdxy, float faceDirection ) {
vec3 vSigmaX = normalize( dFdx( surf_pos.xyz ) );
vec3 vSigmaY = normalize( dFdy( surf_pos.xyz ) );
vec3 vN = surf_norm;
vec3 R1 = cross( vSigmaY, vN );
vec3 R2 = cross( vN, vSigmaX );
float fDet = dot( vSigmaX, R1 ) * faceDirection;
vec3 vGrad = sign( fDet ) * ( dHdxy.x * R1 + dHdxy.y * R2 );
return normalize( abs( fDet ) * surf_norm - vGrad );
}
#endif`,cCt=`#if NUM_CLIPPING_PLANES > 0
vec4 plane;
#pragma unroll_loop_start
for ( int i = 0; i < UNION_CLIPPING_PLANES; i ++ ) {
plane = clippingPlanes[ i ];
if ( dot( vClipPosition, plane.xyz ) > plane.w ) discard;
}
#pragma unroll_loop_end
#if UNION_CLIPPING_PLANES < NUM_CLIPPING_PLANES
bool clipped = true;
#pragma unroll_loop_start
for ( int i = UNION_CLIPPING_PLANES; i < NUM_CLIPPING_PLANES; i ++ ) {
plane = clippingPlanes[ i ];
clipped = ( dot( vClipPosition, plane.xyz ) > plane.w ) && clipped;
}
#pragma unroll_loop_end
if ( clipped ) discard;
#endif
#endif`,dCt=`#if NUM_CLIPPING_PLANES > 0
varying vec3 vClipPosition;
uniform vec4 clippingPlanes[ NUM_CLIPPING_PLANES ];
#endif`,uCt=`#if NUM_CLIPPING_PLANES > 0
varying vec3 vClipPosition;
#endif`,pCt=`#if NUM_CLIPPING_PLANES > 0
vClipPosition = - mvPosition.xyz;
#endif`,_Ct=`#if defined( USE_COLOR_ALPHA )
diffuseColor *= vColor;
#elif defined( USE_COLOR )
diffuseColor.rgb *= vColor;
#endif`,hCt=`#if defined( USE_COLOR_ALPHA )
varying vec4 vColor;
#elif defined( USE_COLOR )
varying vec3 vColor;
#endif`,fCt=`#if defined( USE_COLOR_ALPHA )
varying vec4 vColor;
#elif defined( USE_COLOR ) || defined( USE_INSTANCING_COLOR )
varying vec3 vColor;
#endif`,mCt=`#if defined( USE_COLOR_ALPHA )
vColor = vec4( 1.0 );
#elif defined( USE_COLOR ) || defined( USE_INSTANCING_COLOR )
vColor = vec3( 1.0 );
#endif
#ifdef USE_COLOR
vColor *= color;
#endif
#ifdef USE_INSTANCING_COLOR
vColor.xyz *= instanceColor.xyz;
#endif`,gCt=`#define PI 3.141592653589793
#define PI2 6.283185307179586
#define PI_HALF 1.5707963267948966
#define RECIPROCAL_PI 0.3183098861837907
#define RECIPROCAL_PI2 0.15915494309189535
#define EPSILON 1e-6
#ifndef saturate
#define saturate( a ) clamp( a, 0.0, 1.0 )
#endif
#define whiteComplement( a ) ( 1.0 - saturate( a ) )
float pow2( const in float x ) { return x*x; }
vec3 pow2( const in vec3 x ) { return x*x; }
float pow3( const in float x ) { return x*x*x; }
float pow4( const in float x ) { float x2 = x*x; return x2*x2; }
float max3( const in vec3 v ) { return max( max( v.x, v.y ), v.z ); }
float average( const in vec3 v ) { return dot( v, vec3( 0.3333333 ) ); }
highp float rand( const in vec2 uv ) {
const highp float a = 12.9898, b = 78.233, c = 43758.5453;
highp float dt = dot( uv.xy, vec2( a,b ) ), sn = mod( dt, PI );
return fract( sin( sn ) * c );
}
#ifdef HIGH_PRECISION
float precisionSafeLength( vec3 v ) { return length( v ); }
#else
float precisionSafeLength( vec3 v ) {
float maxComponent = max3( abs( v ) );
return length( v / maxComponent ) * maxComponent;
}
#endif
struct IncidentLight {
vec3 color;
vec3 direction;
bool visible;
};
struct ReflectedLight {
vec3 directDiffuse;
vec3 directSpecular;
vec3 indirectDiffuse;
vec3 indirectSpecular;
};
#ifdef USE_ALPHAHASH
varying vec3 vPosition;
#endif
vec3 transformDirection( in vec3 dir, in mat4 matrix ) {
return normalize( ( matrix * vec4( dir, 0.0 ) ).xyz );
}
vec3 inverseTransformDirection( in vec3 dir, in mat4 matrix ) {
return normalize( ( vec4( dir, 0.0 ) * matrix ).xyz );
}
mat3 transposeMat3( const in mat3 m ) {
mat3 tmp;
tmp[ 0 ] = vec3( m[ 0 ].x, m[ 1 ].x, m[ 2 ].x );
tmp[ 1 ] = vec3( m[ 0 ].y, m[ 1 ].y, m[ 2 ].y );
tmp[ 2 ] = vec3( m[ 0 ].z, m[ 1 ].z, m[ 2 ].z );
return tmp;
}
float luminance( const in vec3 rgb ) {
const vec3 weights = vec3( 0.2126729, 0.7151522, 0.0721750 );
return dot( weights, rgb );
}
bool isPerspectiveMatrix( mat4 m ) {
return m[ 2 ][ 3 ] == - 1.0;
}
vec2 equirectUv( in vec3 dir ) {
float u = atan( dir.z, dir.x ) * RECIPROCAL_PI2 + 0.5;
float v = asin( clamp( dir.y, - 1.0, 1.0 ) ) * RECIPROCAL_PI + 0.5;
return vec2( u, v );
}
vec3 BRDF_Lambert( const in vec3 diffuseColor ) {
return RECIPROCAL_PI * diffuseColor;
}
vec3 F_Schlick( const in vec3 f0, const in float f90, const in float dotVH ) {
float fresnel = exp2( ( - 5.55473 * dotVH - 6.98316 ) * dotVH );
return f0 * ( 1.0 - fresnel ) + ( f90 * fresnel );
}
float F_Schlick( const in float f0, const in float f90, const in float dotVH ) {
float fresnel = exp2( ( - 5.55473 * dotVH - 6.98316 ) * dotVH );
return f0 * ( 1.0 - fresnel ) + ( f90 * fresnel );
} // validated`,bCt=`#ifdef ENVMAP_TYPE_CUBE_UV
#define cubeUV_minMipLevel 4.0
#define cubeUV_minTileSize 16.0
float getFace( vec3 direction ) {
vec3 absDirection = abs( direction );
float face = - 1.0;
if ( absDirection.x > absDirection.z ) {
if ( absDirection.x > absDirection.y )
face = direction.x > 0.0 ? 0.0 : 3.0;
else
face = direction.y > 0.0 ? 1.0 : 4.0;
} else {
if ( absDirection.z > absDirection.y )
face = direction.z > 0.0 ? 2.0 : 5.0;
else
face = direction.y > 0.0 ? 1.0 : 4.0;
}
return face;
}
vec2 getUV( vec3 direction, float face ) {
vec2 uv;
if ( face == 0.0 ) {
uv = vec2( direction.z, direction.y ) / abs( direction.x );
} else if ( face == 1.0 ) {
uv = vec2( - direction.x, - direction.z ) / abs( direction.y );
} else if ( face == 2.0 ) {
uv = vec2( - direction.x, direction.y ) / abs( direction.z );
} else if ( face == 3.0 ) {
uv = vec2( - direction.z, direction.y ) / abs( direction.x );
} else if ( face == 4.0 ) {
uv = vec2( - direction.x, direction.z ) / abs( direction.y );
} else {
uv = vec2( direction.x, direction.y ) / abs( direction.z );
}
return 0.5 * ( uv + 1.0 );
}
vec3 bilinearCubeUV( sampler2D envMap, vec3 direction, float mipInt ) {
float face = getFace( direction );
float filterInt = max( cubeUV_minMipLevel - mipInt, 0.0 );
mipInt = max( mipInt, cubeUV_minMipLevel );
float faceSize = exp2( mipInt );
highp vec2 uv = getUV( direction, face ) * ( faceSize - 2.0 ) + 1.0;
if ( face > 2.0 ) {
uv.y += faceSize;
face -= 3.0;
}
uv.x += face * faceSize;
uv.x += filterInt * 3.0 * cubeUV_minTileSize;
uv.y += 4.0 * ( exp2( CUBEUV_MAX_MIP ) - faceSize );
uv.x *= CUBEUV_TEXEL_WIDTH;
uv.y *= CUBEUV_TEXEL_HEIGHT;
#ifdef texture2DGradEXT
return texture2DGradEXT( envMap, uv, vec2( 0.0 ), vec2( 0.0 ) ).rgb;
#else
return texture2D( envMap, uv ).rgb;
#endif
}
#define cubeUV_r0 1.0
#define cubeUV_v0 0.339
#define cubeUV_m0 - 2.0
#define cubeUV_r1 0.8
#define cubeUV_v1 0.276
#define cubeUV_m1 - 1.0
#define cubeUV_r4 0.4
#define cubeUV_v4 0.046
#define cubeUV_m4 2.0
#define cubeUV_r5 0.305
#define cubeUV_v5 0.016
#define cubeUV_m5 3.0
#define cubeUV_r6 0.21
#define cubeUV_v6 0.0038
#define cubeUV_m6 4.0
float roughnessToMip( float roughness ) {
float mip = 0.0;
if ( roughness >= cubeUV_r1 ) {
mip = ( cubeUV_r0 - roughness ) * ( cubeUV_m1 - cubeUV_m0 ) / ( cubeUV_r0 - cubeUV_r1 ) + cubeUV_m0;
} else if ( roughness >= cubeUV_r4 ) {
mip = ( cubeUV_r1 - roughness ) * ( cubeUV_m4 - cubeUV_m1 ) / ( cubeUV_r1 - cubeUV_r4 ) + cubeUV_m1;
} else if ( roughness >= cubeUV_r5 ) {
mip = ( cubeUV_r4 - roughness ) * ( cubeUV_m5 - cubeUV_m4 ) / ( cubeUV_r4 - cubeUV_r5 ) + cubeUV_m4;
} else if ( roughness >= cubeUV_r6 ) {
mip = ( cubeUV_r5 - roughness ) * ( cubeUV_m6 - cubeUV_m5 ) / ( cubeUV_r5 - cubeUV_r6 ) + cubeUV_m5;
} else {
mip = - 2.0 * log2( 1.16 * roughness ); }
return mip;
}
vec4 textureCubeUV( sampler2D envMap, vec3 sampleDir, float roughness ) {
float mip = clamp( roughnessToMip( roughness ), cubeUV_m0, CUBEUV_MAX_MIP );
float mipF = fract( mip );
float mipInt = floor( mip );
vec3 color0 = bilinearCubeUV( envMap, sampleDir, mipInt );
if ( mipF == 0.0 ) {
return vec4( color0, 1.0 );
} else {
vec3 color1 = bilinearCubeUV( envMap, sampleDir, mipInt + 1.0 );
return vec4( mix( color0, color1, mipF ), 1.0 );
}
}
#endif`,ECt=`vec3 transformedNormal = objectNormal;
#ifdef USE_TANGENT
vec3 transformedTangent = objectTangent;
#endif
#ifdef USE_BATCHING
mat3 bm = mat3( batchingMatrix );
transformedNormal /= vec3( dot( bm[ 0 ], bm[ 0 ] ), dot( bm[ 1 ], bm[ 1 ] ), dot( bm[ 2 ], bm[ 2 ] ) );
transformedNormal = bm * transformedNormal;
#ifdef USE_TANGENT
transformedTangent = bm * transformedTangent;
#endif
#endif
#ifdef USE_INSTANCING
mat3 im = mat3( instanceMatrix );
transformedNormal /= vec3( dot( im[ 0 ], im[ 0 ] ), dot( im[ 1 ], im[ 1 ] ), dot( im[ 2 ], im[ 2 ] ) );
transformedNormal = im * transformedNormal;
#ifdef USE_TANGENT
transformedTangent = im * transformedTangent;
#endif
#endif
transformedNormal = normalMatrix * transformedNormal;
#ifdef FLIP_SIDED
transformedNormal = - transformedNormal;
#endif
#ifdef USE_TANGENT
transformedTangent = ( modelViewMatrix * vec4( transformedTangent, 0.0 ) ).xyz;
#ifdef FLIP_SIDED
transformedTangent = - transformedTangent;
#endif
#endif`,vCt=`#ifdef USE_DISPLACEMENTMAP
uniform sampler2D displacementMap;
uniform float displacementScale;
uniform float displacementBias;
#endif`,yCt=`#ifdef USE_DISPLACEMENTMAP
transformed += normalize( objectNormal ) * ( texture2D( displacementMap, vDisplacementMapUv ).x * displacementScale + displacementBias );
#endif`,SCt=`#ifdef USE_EMISSIVEMAP
vec4 emissiveColor = texture2D( emissiveMap, vEmissiveMapUv );
totalEmissiveRadiance *= emissiveColor.rgb;
#endif`,TCt=`#ifdef USE_EMISSIVEMAP
uniform sampler2D emissiveMap;
#endif`,xCt="gl_FragColor = linearToOutputTexel( gl_FragColor );",CCt=`
const mat3 LINEAR_SRGB_TO_LINEAR_DISPLAY_P3 = mat3(
vec3( 0.8224621, 0.177538, 0.0 ),
vec3( 0.0331941, 0.9668058, 0.0 ),
vec3( 0.0170827, 0.0723974, 0.9105199 )
);
const mat3 LINEAR_DISPLAY_P3_TO_LINEAR_SRGB = mat3(
vec3( 1.2249401, - 0.2249404, 0.0 ),
vec3( - 0.0420569, 1.0420571, 0.0 ),
vec3( - 0.0196376, - 0.0786361, 1.0982735 )
);
vec4 LinearSRGBToLinearDisplayP3( in vec4 value ) {
return vec4( value.rgb * LINEAR_SRGB_TO_LINEAR_DISPLAY_P3, value.a );
}
vec4 LinearDisplayP3ToLinearSRGB( in vec4 value ) {
return vec4( value.rgb * LINEAR_DISPLAY_P3_TO_LINEAR_SRGB, value.a );
}
vec4 LinearTransferOETF( in vec4 value ) {
return value;
}
vec4 sRGBTransferOETF( in vec4 value ) {
return vec4( mix( pow( value.rgb, vec3( 0.41666 ) ) * 1.055 - vec3( 0.055 ), value.rgb * 12.92, vec3( lessThanEqual( value.rgb, vec3( 0.0031308 ) ) ) ), value.a );
}
vec4 LinearToLinear( in vec4 value ) {
return value;
}
vec4 LinearTosRGB( in vec4 value ) {
return sRGBTransferOETF( value );
}`,RCt=`#ifdef USE_ENVMAP
#ifdef ENV_WORLDPOS
vec3 cameraToFrag;
if ( isOrthographic ) {
cameraToFrag = normalize( vec3( - viewMatrix[ 0 ][ 2 ], - viewMatrix[ 1 ][ 2 ], - viewMatrix[ 2 ][ 2 ] ) );
} else {
cameraToFrag = normalize( vWorldPosition - cameraPosition );
}
vec3 worldNormal = inverseTransformDirection( normal, viewMatrix );
#ifdef ENVMAP_MODE_REFLECTION
vec3 reflectVec = reflect( cameraToFrag, worldNormal );
#else
vec3 reflectVec = refract( cameraToFrag, worldNormal, refractionRatio );
#endif
#else
vec3 reflectVec = vReflect;
#endif
#ifdef ENVMAP_TYPE_CUBE
vec4 envColor = textureCube( envMap, vec3( flipEnvMap * reflectVec.x, reflectVec.yz ) );
#else
vec4 envColor = vec4( 0.0 );
#endif
#ifdef ENVMAP_BLENDING_MULTIPLY
outgoingLight = mix( outgoingLight, outgoingLight * envColor.xyz, specularStrength * reflectivity );
#elif defined( ENVMAP_BLENDING_MIX )
outgoingLight = mix( outgoingLight, envColor.xyz, specularStrength * reflectivity );
#elif defined( ENVMAP_BLENDING_ADD )
outgoingLight += envColor.xyz * specularStrength * reflectivity;
#endif
#endif`,ACt=`#ifdef USE_ENVMAP
uniform float envMapIntensity;
uniform float flipEnvMap;
#ifdef ENVMAP_TYPE_CUBE
uniform samplerCube envMap;
#else
uniform sampler2D envMap;
#endif
#endif`,wCt=`#ifdef USE_ENVMAP
uniform float reflectivity;
#if defined( USE_BUMPMAP ) || defined( USE_NORMALMAP ) || defined( PHONG ) || defined( LAMBERT )
#define ENV_WORLDPOS
#endif
#ifdef ENV_WORLDPOS
varying vec3 vWorldPosition;
uniform float refractionRatio;
#else
varying vec3 vReflect;
#endif
#endif`,NCt=`#ifdef USE_ENVMAP
#if defined( USE_BUMPMAP ) || defined( USE_NORMALMAP ) || defined( PHONG ) || defined( LAMBERT )
#define ENV_WORLDPOS
#endif
#ifdef ENV_WORLDPOS
varying vec3 vWorldPosition;
#else
varying vec3 vReflect;
uniform float refractionRatio;
#endif
#endif`,OCt=`#ifdef USE_ENVMAP
#ifdef ENV_WORLDPOS
vWorldPosition = worldPosition.xyz;
#else
vec3 cameraToVertex;
if ( isOrthographic ) {
cameraToVertex = normalize( vec3( - viewMatrix[ 0 ][ 2 ], - viewMatrix[ 1 ][ 2 ], - viewMatrix[ 2 ][ 2 ] ) );
} else {
cameraToVertex = normalize( worldPosition.xyz - cameraPosition );
}
vec3 worldNormal = inverseTransformDirection( transformedNormal, viewMatrix );
#ifdef ENVMAP_MODE_REFLECTION
vReflect = reflect( cameraToVertex, worldNormal );
#else
vReflect = refract( cameraToVertex, worldNormal, refractionRatio );
#endif
#endif
#endif`,ICt=`#ifdef USE_FOG
vFogDepth = - mvPosition.z;
#endif`,MCt=`#ifdef USE_FOG
varying float vFogDepth;
#endif`,DCt=`#ifdef USE_FOG
#ifdef FOG_EXP2
float fogFactor = 1.0 - exp( - fogDensity * fogDensity * vFogDepth * vFogDepth );
#else
float fogFactor = smoothstep( fogNear, fogFar, vFogDepth );
#endif
gl_FragColor.rgb = mix( gl_FragColor.rgb, fogColor, fogFactor );
#endif`,kCt=`#ifdef USE_FOG
uniform vec3 fogColor;
varying float vFogDepth;
#ifdef FOG_EXP2
uniform float fogDensity;
#else
uniform float fogNear;
uniform float fogFar;
#endif
#endif`,LCt=`#ifdef USE_GRADIENTMAP
uniform sampler2D gradientMap;
#endif
vec3 getGradientIrradiance( vec3 normal, vec3 lightDirection ) {
float dotNL = dot( normal, lightDirection );
vec2 coord = vec2( dotNL * 0.5 + 0.5, 0.0 );
#ifdef USE_GRADIENTMAP
return vec3( texture2D( gradientMap, coord ).r );
#else
vec2 fw = fwidth( coord ) * 0.5;
return mix( vec3( 0.7 ), vec3( 1.0 ), smoothstep( 0.7 - fw.x, 0.7 + fw.x, coord.x ) );
#endif
}`,PCt=`#ifdef USE_LIGHTMAP
vec4 lightMapTexel = texture2D( lightMap, vLightMapUv );
vec3 lightMapIrradiance = lightMapTexel.rgb * lightMapIntensity;
reflectedLight.indirectDiffuse += lightMapIrradiance;
#endif`,UCt=`#ifdef USE_LIGHTMAP
uniform sampler2D lightMap;
uniform float lightMapIntensity;
#endif`,FCt=`LambertMaterial material;
material.diffuseColor = diffuseColor.rgb;
material.specularStrength = specularStrength;`,BCt=`varying vec3 vViewPosition;
struct LambertMaterial {
vec3 diffuseColor;
float specularStrength;
};
void RE_Direct_Lambert( const in IncidentLight directLight, const in vec3 geometryPosition, const in vec3 geometryNormal, const in vec3 geometryViewDir, const in vec3 geometryClearcoatNormal, const in LambertMaterial material, inout ReflectedLight reflectedLight ) {
float dotNL = saturate( dot( geometryNormal, directLight.direction ) );
vec3 irradiance = dotNL * directLight.color;
reflectedLight.directDiffuse += irradiance * BRDF_Lambert( material.diffuseColor );
}
void RE_IndirectDiffuse_Lambert( const in vec3 irradiance, const in vec3 geometryPosition, const in vec3 geometryNormal, const in vec3 geometryViewDir, const in vec3 geometryClearcoatNormal, const in LambertMaterial material, inout ReflectedLight reflectedLight ) {
reflectedLight.indirectDiffuse += irradiance * BRDF_Lambert( material.diffuseColor );
}
#define RE_Direct RE_Direct_Lambert
#define RE_IndirectDiffuse RE_IndirectDiffuse_Lambert`,GCt=`uniform bool receiveShadow;
uniform vec3 ambientLightColor;
#if defined( USE_LIGHT_PROBES )
uniform vec3 lightProbe[ 9 ];
#endif
vec3 shGetIrradianceAt( in vec3 normal, in vec3 shCoefficients[ 9 ] ) {
float x = normal.x, y = normal.y, z = normal.z;
vec3 result = shCoefficients[ 0 ] * 0.886227;
result += shCoefficients[ 1 ] * 2.0 * 0.511664 * y;
result += shCoefficients[ 2 ] * 2.0 * 0.511664 * z;
result += shCoefficients[ 3 ] * 2.0 * 0.511664 * x;
result += shCoefficients[ 4 ] * 2.0 * 0.429043 * x * y;
result += shCoefficients[ 5 ] * 2.0 * 0.429043 * y * z;
result += shCoefficients[ 6 ] * ( 0.743125 * z * z - 0.247708 );
result += shCoefficients[ 7 ] * 2.0 * 0.429043 * x * z;
result += shCoefficients[ 8 ] * 0.429043 * ( x * x - y * y );
return result;
}
vec3 getLightProbeIrradiance( const in vec3 lightProbe[ 9 ], const in vec3 normal ) {
vec3 worldNormal = inverseTransformDirection( normal, viewMatrix );
vec3 irradiance = shGetIrradianceAt( worldNormal, lightProbe );
return irradiance;
}
vec3 getAmbientLightIrradiance( const in vec3 ambientLightColor ) {
vec3 irradiance = ambientLightColor;
return irradiance;
}
float getDistanceAttenuation( const in float lightDistance, const in float cutoffDistance, const in float decayExponent ) {
#if defined ( LEGACY_LIGHTS )
if ( cutoffDistance > 0.0 && decayExponent > 0.0 ) {
return pow( saturate( - lightDistance / cutoffDistance + 1.0 ), decayExponent );
}
return 1.0;
#else
float distanceFalloff = 1.0 / max( pow( lightDistance, decayExponent ), 0.01 );
if ( cutoffDistance > 0.0 ) {
distanceFalloff *= pow2( saturate( 1.0 - pow4( lightDistance / cutoffDistance ) ) );
}
return distanceFalloff;
#endif
}
float getSpotAttenuation( const in float coneCosine, const in float penumbraCosine, const in float angleCosine ) {
return smoothstep( coneCosine, penumbraCosine, angleCosine );
}
#if NUM_DIR_LIGHTS > 0
struct DirectionalLight {
vec3 direction;
vec3 color;
};
uniform DirectionalLight directionalLights[ NUM_DIR_LIGHTS ];
void getDirectionalLightInfo( const in DirectionalLight directionalLight, out IncidentLight light ) {
light.color = directionalLight.color;
light.direction = directionalLight.direction;
light.visible = true;
}
#endif
#if NUM_POINT_LIGHTS > 0
struct PointLight {
vec3 position;
vec3 color;
float distance;
float decay;
};
uniform PointLight pointLights[ NUM_POINT_LIGHTS ];
void getPointLightInfo( const in PointLight pointLight, const in vec3 geometryPosition, out IncidentLight light ) {
vec3 lVector = pointLight.position - geometryPosition;
light.direction = normalize( lVector );
float lightDistance = length( lVector );
light.color = pointLight.color;
light.color *= getDistanceAttenuation( lightDistance, pointLight.distance, pointLight.decay );
light.visible = ( light.color != vec3( 0.0 ) );
}
#endif
#if NUM_SPOT_LIGHTS > 0
struct SpotLight {
vec3 position;
vec3 direction;
vec3 color;
float distance;
float decay;
float coneCos;
float penumbraCos;
};
uniform SpotLight spotLights[ NUM_SPOT_LIGHTS ];
void getSpotLightInfo( const in SpotLight spotLight, const in vec3 geometryPosition, out IncidentLight light ) {
vec3 lVector = spotLight.position - geometryPosition;
light.direction = normalize( lVector );
float angleCos = dot( light.direction, spotLight.direction );
float spotAttenuation = getSpotAttenuation( spotLight.coneCos, spotLight.penumbraCos, angleCos );
if ( spotAttenuation > 0.0 ) {
float lightDistance = length( lVector );
light.color = spotLight.color * spotAttenuation;
light.color *= getDistanceAttenuation( lightDistance, spotLight.distance, spotLight.decay );
light.visible = ( light.color != vec3( 0.0 ) );
} else {
light.color = vec3( 0.0 );
light.visible = false;
}
}
#endif
#if NUM_RECT_AREA_LIGHTS > 0
struct RectAreaLight {
vec3 color;
vec3 position;
vec3 halfWidth;
vec3 halfHeight;
};
uniform sampler2D ltc_1; uniform sampler2D ltc_2;
uniform RectAreaLight rectAreaLights[ NUM_RECT_AREA_LIGHTS ];
#endif
#if NUM_HEMI_LIGHTS > 0
struct HemisphereLight {
vec3 direction;
vec3 skyColor;
vec3 groundColor;
};
uniform HemisphereLight hemisphereLights[ NUM_HEMI_LIGHTS ];
vec3 getHemisphereLightIrradiance( const in HemisphereLight hemiLight, const in vec3 normal ) {
float dotNL = dot( normal, hemiLight.direction );
float hemiDiffuseWeight = 0.5 * dotNL + 0.5;
vec3 irradiance = mix( hemiLight.groundColor, hemiLight.skyColor, hemiDiffuseWeight );
return irradiance;
}
#endif`,zCt=`#ifdef USE_ENVMAP
vec3 getIBLIrradiance( const in vec3 normal ) {
#ifdef ENVMAP_TYPE_CUBE_UV
vec3 worldNormal = inverseTransformDirection( normal, viewMatrix );
vec4 envMapColor = textureCubeUV( envMap, worldNormal, 1.0 );
return PI * envMapColor.rgb * envMapIntensity;
#else
return vec3( 0.0 );
#endif
}
vec3 getIBLRadiance( const in vec3 viewDir, const in vec3 normal, const in float roughness ) {
#ifdef ENVMAP_TYPE_CUBE_UV
vec3 reflectVec = reflect( - viewDir, normal );
reflectVec = normalize( mix( reflectVec, normal, roughness * roughness) );
reflectVec = inverseTransformDirection( reflectVec, viewMatrix );
vec4 envMapColor = textureCubeUV( envMap, reflectVec, roughness );
return envMapColor.rgb * envMapIntensity;
#else
return vec3( 0.0 );
#endif
}
#ifdef USE_ANISOTROPY
vec3 getIBLAnisotropyRadiance( const in vec3 viewDir, const in vec3 normal, const in float roughness, const in vec3 bitangent, const in float anisotropy ) {
#ifdef ENVMAP_TYPE_CUBE_UV
vec3 bentNormal = cross( bitangent, viewDir );
bentNormal = normalize( cross( bentNormal, bitangent ) );
bentNormal = normalize( mix( bentNormal, normal, pow2( pow2( 1.0 - anisotropy * ( 1.0 - roughness ) ) ) ) );
return getIBLRadiance( viewDir, bentNormal, roughness );
#else
return vec3( 0.0 );
#endif
}
#endif
#endif`,VCt=`ToonMaterial material;
material.diffuseColor = diffuseColor.rgb;`,HCt=`varying vec3 vViewPosition;
struct ToonMaterial {
vec3 diffuseColor;
};
void RE_Direct_Toon( const in IncidentLight directLight, const in vec3 geometryPosition, const in vec3 geometryNormal, const in vec3 geometryViewDir, const in vec3 geometryClearcoatNormal, const in ToonMaterial material, inout ReflectedLight reflectedLight ) {
vec3 irradiance = getGradientIrradiance( geometryNormal, directLight.direction ) * directLight.color;
reflectedLight.directDiffuse += irradiance * BRDF_Lambert( material.diffuseColor );
}
void RE_IndirectDiffuse_Toon( const in vec3 irradiance, const in vec3 geometryPosition, const in vec3 geometryNormal, const in vec3 geometryViewDir, const in vec3 geometryClearcoatNormal, const in ToonMaterial material, inout ReflectedLight reflectedLight ) {
reflectedLight.indirectDiffuse += irradiance * BRDF_Lambert( material.diffuseColor );
}
#define RE_Direct RE_Direct_Toon
#define RE_IndirectDiffuse RE_IndirectDiffuse_Toon`,qCt=`BlinnPhongMaterial material;
material.diffuseColor = diffuseColor.rgb;
material.specularColor = specular;
material.specularShininess = shininess;
material.specularStrength = specularStrength;`,YCt=`varying vec3 vViewPosition;
struct BlinnPhongMaterial {
vec3 diffuseColor;
vec3 specularColor;
float specularShininess;
float specularStrength;
};
void RE_Direct_BlinnPhong( const in IncidentLight directLight, const in vec3 geometryPosition, const in vec3 geometryNormal, const in vec3 geometryViewDir, const in vec3 geometryClearcoatNormal, const in BlinnPhongMaterial material, inout ReflectedLight reflectedLight ) {
float dotNL = saturate( dot( geometryNormal, directLight.direction ) );
vec3 irradiance = dotNL * directLight.color;
reflectedLight.directDiffuse += irradiance * BRDF_Lambert( material.diffuseColor );
reflectedLight.directSpecular += irradiance * BRDF_BlinnPhong( directLight.direction, geometryViewDir, geometryNormal, material.specularColor, material.specularShininess ) * material.specularStrength;
}
void RE_IndirectDiffuse_BlinnPhong( const in vec3 irradiance, const in vec3 geometryPosition, const in vec3 geometryNormal, const in vec3 geometryViewDir, const in vec3 geometryClearcoatNormal, const in BlinnPhongMaterial material, inout ReflectedLight reflectedLight ) {
reflectedLight.indirectDiffuse += irradiance * BRDF_Lambert( material.diffuseColor );
}
#define RE_Direct RE_Direct_BlinnPhong
#define RE_IndirectDiffuse RE_IndirectDiffuse_BlinnPhong`,$Ct=`PhysicalMaterial material;
material.diffuseColor = diffuseColor.rgb * ( 1.0 - metalnessFactor );
vec3 dxy = max( abs( dFdx( nonPerturbedNormal ) ), abs( dFdy( nonPerturbedNormal ) ) );
float geometryRoughness = max( max( dxy.x, dxy.y ), dxy.z );
material.roughness = max( roughnessFactor, 0.0525 );material.roughness += geometryRoughness;
material.roughness = min( material.roughness, 1.0 );
#ifdef IOR
material.ior = ior;
#ifdef USE_SPECULAR
float specularIntensityFactor = specularIntensity;
vec3 specularColorFactor = specularColor;
#ifdef USE_SPECULAR_COLORMAP
specularColorFactor *= texture2D( specularColorMap, vSpecularColorMapUv ).rgb;
#endif
#ifdef USE_SPECULAR_INTENSITYMAP
specularIntensityFactor *= texture2D( specularIntensityMap, vSpecularIntensityMapUv ).a;
#endif
material.specularF90 = mix( specularIntensityFactor, 1.0, metalnessFactor );
#else
float specularIntensityFactor = 1.0;
vec3 specularColorFactor = vec3( 1.0 );
material.specularF90 = 1.0;
#endif
material.specularColor = mix( min( pow2( ( material.ior - 1.0 ) / ( material.ior + 1.0 ) ) * specularColorFactor, vec3( 1.0 ) ) * specularIntensityFactor, diffuseColor.rgb, metalnessFactor );
#else
material.specularColor = mix( vec3( 0.04 ), diffuseColor.rgb, metalnessFactor );
material.specularF90 = 1.0;
#endif
#ifdef USE_CLEARCOAT
material.clearcoat = clearcoat;
material.clearcoatRoughness = clearcoatRoughness;
material.clearcoatF0 = vec3( 0.04 );
material.clearcoatF90 = 1.0;
#ifdef USE_CLEARCOATMAP
material.clearcoat *= texture2D( clearcoatMap, vClearcoatMapUv ).x;
#endif
#ifdef USE_CLEARCOAT_ROUGHNESSMAP
material.clearcoatRoughness *= texture2D( clearcoatRoughnessMap, vClearcoatRoughnessMapUv ).y;
#endif
material.clearcoat = saturate( material.clearcoat ); material.clearcoatRoughness = max( material.clearcoatRoughness, 0.0525 );
material.clearcoatRoughness += geometryRoughness;
material.clearcoatRoughness = min( material.clearcoatRoughness, 1.0 );
#endif
#ifdef USE_IRIDESCENCE
material.iridescence = iridescence;
material.iridescenceIOR = iridescenceIOR;
#ifdef USE_IRIDESCENCEMAP
material.iridescence *= texture2D( iridescenceMap, vIridescenceMapUv ).r;
#endif
#ifdef USE_IRIDESCENCE_THICKNESSMAP
material.iridescenceThickness = (iridescenceThicknessMaximum - iridescenceThicknessMinimum) * texture2D( iridescenceThicknessMap, vIridescenceThicknessMapUv ).g + iridescenceThicknessMinimum;
#else
material.iridescenceThickness = iridescenceThicknessMaximum;
#endif
#endif
#ifdef USE_SHEEN
material.sheenColor = sheenColor;
#ifdef USE_SHEEN_COLORMAP
material.sheenColor *= texture2D( sheenColorMap, vSheenColorMapUv ).rgb;
#endif
material.sheenRoughness = clamp( sheenRoughness, 0.07, 1.0 );
#ifdef USE_SHEEN_ROUGHNESSMAP
material.sheenRoughness *= texture2D( sheenRoughnessMap, vSheenRoughnessMapUv ).a;
#endif
#endif
#ifdef USE_ANISOTROPY
#ifdef USE_ANISOTROPYMAP
mat2 anisotropyMat = mat2( anisotropyVector.x, anisotropyVector.y, - anisotropyVector.y, anisotropyVector.x );
vec3 anisotropyPolar = texture2D( anisotropyMap, vAnisotropyMapUv ).rgb;
vec2 anisotropyV = anisotropyMat * normalize( 2.0 * anisotropyPolar.rg - vec2( 1.0 ) ) * anisotropyPolar.b;
#else
vec2 anisotropyV = anisotropyVector;
#endif
material.anisotropy = length( anisotropyV );
if( material.anisotropy == 0.0 ) {
anisotropyV = vec2( 1.0, 0.0 );
} else {
anisotropyV /= material.anisotropy;
material.anisotropy = saturate( material.anisotropy );
}
material.alphaT = mix( pow2( material.roughness ), 1.0, pow2( material.anisotropy ) );
material.anisotropyT = tbn[ 0 ] * anisotropyV.x + tbn[ 1 ] * anisotropyV.y;
material.anisotropyB = tbn[ 1 ] * anisotropyV.x - tbn[ 0 ] * anisotropyV.y;
#endif`,WCt=`struct PhysicalMaterial {
vec3 diffuseColor;
float roughness;
vec3 specularColor;
float specularF90;
#ifdef USE_CLEARCOAT
float clearcoat;
float clearcoatRoughness;
vec3 clearcoatF0;
float clearcoatF90;
#endif
#ifdef USE_IRIDESCENCE
float iridescence;
float iridescenceIOR;
float iridescenceThickness;
vec3 iridescenceFresnel;
vec3 iridescenceF0;
#endif
#ifdef USE_SHEEN
vec3 sheenColor;
float sheenRoughness;
#endif
#ifdef IOR
float ior;
#endif
#ifdef USE_TRANSMISSION
float transmission;
float transmissionAlpha;
float thickness;
float attenuationDistance;
vec3 attenuationColor;
#endif
#ifdef USE_ANISOTROPY
float anisotropy;
float alphaT;
vec3 anisotropyT;
vec3 anisotropyB;
#endif
};
vec3 clearcoatSpecularDirect = vec3( 0.0 );
vec3 clearcoatSpecularIndirect = vec3( 0.0 );
vec3 sheenSpecularDirect = vec3( 0.0 );
vec3 sheenSpecularIndirect = vec3(0.0 );
vec3 Schlick_to_F0( const in vec3 f, const in float f90, const in float dotVH ) {
float x = clamp( 1.0 - dotVH, 0.0, 1.0 );
float x2 = x * x;
float x5 = clamp( x * x2 * x2, 0.0, 0.9999 );
return ( f - vec3( f90 ) * x5 ) / ( 1.0 - x5 );
}
float V_GGX_SmithCorrelated( const in float alpha, const in float dotNL, const in float dotNV ) {
float a2 = pow2( alpha );
float gv = dotNL * sqrt( a2 + ( 1.0 - a2 ) * pow2( dotNV ) );
float gl = dotNV * sqrt( a2 + ( 1.0 - a2 ) * pow2( dotNL ) );
return 0.5 / max( gv + gl, EPSILON );
}
float D_GGX( const in float alpha, const in float dotNH ) {
float a2 = pow2( alpha );
float denom = pow2( dotNH ) * ( a2 - 1.0 ) + 1.0;
return RECIPROCAL_PI * a2 / pow2( denom );
}
#ifdef USE_ANISOTROPY
float V_GGX_SmithCorrelated_Anisotropic( const in float alphaT, const in float alphaB, const in float dotTV, const in float dotBV, const in float dotTL, const in float dotBL, const in float dotNV, const in float dotNL ) {
float gv = dotNL * length( vec3( alphaT * dotTV, alphaB * dotBV, dotNV ) );
float gl = dotNV * length( vec3( alphaT * dotTL, alphaB * dotBL, dotNL ) );
float v = 0.5 / ( gv + gl );
return saturate(v);
}
float D_GGX_Anisotropic( const in float alphaT, const in float alphaB, const in float dotNH, const in float dotTH, const in float dotBH ) {
float a2 = alphaT * alphaB;
highp vec3 v = vec3( alphaB * dotTH, alphaT * dotBH, a2 * dotNH );
highp float v2 = dot( v, v );
float w2 = a2 / v2;
return RECIPROCAL_PI * a2 * pow2 ( w2 );
}
#endif
#ifdef USE_CLEARCOAT
vec3 BRDF_GGX_Clearcoat( const in vec3 lightDir, const in vec3 viewDir, const in vec3 normal, const in PhysicalMaterial material) {
vec3 f0 = material.clearcoatF0;
float f90 = material.clearcoatF90;
float roughness = material.clearcoatRoughness;
float alpha = pow2( roughness );
vec3 halfDir = normalize( lightDir + viewDir );
float dotNL = saturate( dot( normal, lightDir ) );
float dotNV = saturate( dot( normal, viewDir ) );
float dotNH = saturate( dot( normal, halfDir ) );
float dotVH = saturate( dot( viewDir, halfDir ) );
vec3 F = F_Schlick( f0, f90, dotVH );
float V = V_GGX_SmithCorrelated( alpha, dotNL, dotNV );
float D = D_GGX( alpha, dotNH );
return F * ( V * D );
}
#endif
vec3 BRDF_GGX( const in vec3 lightDir, const in vec3 viewDir, const in vec3 normal, const in PhysicalMaterial material ) {
vec3 f0 = material.specularColor;
float f90 = material.specularF90;
float roughness = material.roughness;
float alpha = pow2( roughness );
vec3 halfDir = normalize( lightDir + viewDir );
float dotNL = saturate( dot( normal, lightDir ) );
float dotNV = saturate( dot( normal, viewDir ) );
float dotNH = saturate( dot( normal, halfDir ) );
float dotVH = saturate( dot( viewDir, halfDir ) );
vec3 F = F_Schlick( f0, f90, dotVH );
#ifdef USE_IRIDESCENCE
F = mix( F, material.iridescenceFresnel, material.iridescence );
#endif
#ifdef USE_ANISOTROPY
float dotTL = dot( material.anisotropyT, lightDir );
float dotTV = dot( material.anisotropyT, viewDir );
float dotTH = dot( material.anisotropyT, halfDir );
float dotBL = dot( material.anisotropyB, lightDir );
float dotBV = dot( material.anisotropyB, viewDir );
float dotBH = dot( material.anisotropyB, halfDir );
float V = V_GGX_SmithCorrelated_Anisotropic( material.alphaT, alpha, dotTV, dotBV, dotTL, dotBL, dotNV, dotNL );
float D = D_GGX_Anisotropic( material.alphaT, alpha, dotNH, dotTH, dotBH );
#else
float V = V_GGX_SmithCorrelated( alpha, dotNL, dotNV );
float D = D_GGX( alpha, dotNH );
#endif
return F * ( V * D );
}
vec2 LTC_Uv( const in vec3 N, const in vec3 V, const in float roughness ) {
const float LUT_SIZE = 64.0;
const float LUT_SCALE = ( LUT_SIZE - 1.0 ) / LUT_SIZE;
const float LUT_BIAS = 0.5 / LUT_SIZE;
float dotNV = saturate( dot( N, V ) );
vec2 uv = vec2( roughness, sqrt( 1.0 - dotNV ) );
uv = uv * LUT_SCALE + LUT_BIAS;
return uv;
}
float LTC_ClippedSphereFormFactor( const in vec3 f ) {
float l = length( f );
return max( ( l * l + f.z ) / ( l + 1.0 ), 0.0 );
}
vec3 LTC_EdgeVectorFormFactor( const in vec3 v1, const in vec3 v2 ) {
float x = dot( v1, v2 );
float y = abs( x );
float a = 0.8543985 + ( 0.4965155 + 0.0145206 * y ) * y;
float b = 3.4175940 + ( 4.1616724 + y ) * y;
float v = a / b;
float theta_sintheta = ( x > 0.0 ) ? v : 0.5 * inversesqrt( max( 1.0 - x * x, 1e-7 ) ) - v;
return cross( v1, v2 ) * theta_sintheta;
}
vec3 LTC_Evaluate( const in vec3 N, const in vec3 V, const in vec3 P, const in mat3 mInv, const in vec3 rectCoords[ 4 ] ) {
vec3 v1 = rectCoords[ 1 ] - rectCoords[ 0 ];
vec3 v2 = rectCoords[ 3 ] - rectCoords[ 0 ];
vec3 lightNormal = cross( v1, v2 );
if( dot( lightNormal, P - rectCoords[ 0 ] ) < 0.0 ) return vec3( 0.0 );
vec3 T1, T2;
T1 = normalize( V - N * dot( V, N ) );
T2 = - cross( N, T1 );
mat3 mat = mInv * transposeMat3( mat3( T1, T2, N ) );
vec3 coords[ 4 ];
coords[ 0 ] = mat * ( rectCoords[ 0 ] - P );
coords[ 1 ] = mat * ( rectCoords[ 1 ] - P );
coords[ 2 ] = mat * ( rectCoords[ 2 ] - P );
coords[ 3 ] = mat * ( rectCoords[ 3 ] - P );
coords[ 0 ] = normalize( coords[ 0 ] );
coords[ 1 ] = normalize( coords[ 1 ] );
coords[ 2 ] = normalize( coords[ 2 ] );
coords[ 3 ] = normalize( coords[ 3 ] );
vec3 vectorFormFactor = vec3( 0.0 );
vectorFormFactor += LTC_EdgeVectorFormFactor( coords[ 0 ], coords[ 1 ] );
vectorFormFactor += LTC_EdgeVectorFormFactor( coords[ 1 ], coords[ 2 ] );
vectorFormFactor += LTC_EdgeVectorFormFactor( coords[ 2 ], coords[ 3 ] );
vectorFormFactor += LTC_EdgeVectorFormFactor( coords[ 3 ], coords[ 0 ] );
float result = LTC_ClippedSphereFormFactor( vectorFormFactor );
return vec3( result );
}
#if defined( USE_SHEEN )
float D_Charlie( float roughness, float dotNH ) {
float alpha = pow2( roughness );
float invAlpha = 1.0 / alpha;
float cos2h = dotNH * dotNH;
float sin2h = max( 1.0 - cos2h, 0.0078125 );
return ( 2.0 + invAlpha ) * pow( sin2h, invAlpha * 0.5 ) / ( 2.0 * PI );
}
float V_Neubelt( float dotNV, float dotNL ) {
return saturate( 1.0 / ( 4.0 * ( dotNL + dotNV - dotNL * dotNV ) ) );
}
vec3 BRDF_Sheen( const in vec3 lightDir, const in vec3 viewDir, const in vec3 normal, vec3 sheenColor, const in float sheenRoughness ) {
vec3 halfDir = normalize( lightDir + viewDir );
float dotNL = saturate( dot( normal, lightDir ) );
float dotNV = saturate( dot( normal, viewDir ) );
float dotNH = saturate( dot( normal, halfDir ) );
float D = D_Charlie( sheenRoughness, dotNH );
float V = V_Neubelt( dotNV, dotNL );
return sheenColor * ( D * V );
}
#endif
float IBLSheenBRDF( const in vec3 normal, const in vec3 viewDir, const in float roughness ) {
float dotNV = saturate( dot( normal, viewDir ) );
float r2 = roughness * roughness;
float a = roughness < 0.25 ? -339.2 * r2 + 161.4 * roughness - 25.9 : -8.48 * r2 + 14.3 * roughness - 9.95;
float b = roughness < 0.25 ? 44.0 * r2 - 23.7 * roughness + 3.26 : 1.97 * r2 - 3.27 * roughness + 0.72;
float DG = exp( a * dotNV + b ) + ( roughness < 0.25 ? 0.0 : 0.1 * ( roughness - 0.25 ) );
return saturate( DG * RECIPROCAL_PI );
}
vec2 DFGApprox( const in vec3 normal, const in vec3 viewDir, const in float roughness ) {
float dotNV = saturate( dot( normal, viewDir ) );
const vec4 c0 = vec4( - 1, - 0.0275, - 0.572, 0.022 );
const vec4 c1 = vec4( 1, 0.0425, 1.04, - 0.04 );
vec4 r = roughness * c0 + c1;
float a004 = min( r.x * r.x, exp2( - 9.28 * dotNV ) ) * r.x + r.y;
vec2 fab = vec2( - 1.04, 1.04 ) * a004 + r.zw;
return fab;
}
vec3 EnvironmentBRDF( const in vec3 normal, const in vec3 viewDir, const in vec3 specularColor, const in float specularF90, const in float roughness ) {
vec2 fab = DFGApprox( normal, viewDir, roughness );
return specularColor * fab.x + specularF90 * fab.y;
}
#ifdef USE_IRIDESCENCE
void computeMultiscatteringIridescence( const in vec3 normal, const in vec3 viewDir, const in vec3 specularColor, const in float specularF90, const in float iridescence, const in vec3 iridescenceF0, const in float roughness, inout vec3 singleScatter, inout vec3 multiScatter ) {
#else
void computeMultiscattering( const in vec3 normal, const in vec3 viewDir, const in vec3 specularColor, const in float specularF90, const in float roughness, inout vec3 singleScatter, inout vec3 multiScatter ) {
#endif
vec2 fab = DFGApprox( normal, viewDir, roughness );
#ifdef USE_IRIDESCENCE
vec3 Fr = mix( specularColor, iridescenceF0, iridescence );
#else
vec3 Fr = specularColor;
#endif
vec3 FssEss = Fr * fab.x + specularF90 * fab.y;
float Ess = fab.x + fab.y;
float Ems = 1.0 - Ess;
vec3 Favg = Fr + ( 1.0 - Fr ) * 0.047619; vec3 Fms = FssEss * Favg / ( 1.0 - Ems * Favg );
singleScatter += FssEss;
multiScatter += Fms * Ems;
}
#if NUM_RECT_AREA_LIGHTS > 0
void RE_Direct_RectArea_Physical( const in RectAreaLight rectAreaLight, const in vec3 geometryPosition, const in vec3 geometryNormal, const in vec3 geometryViewDir, const in vec3 geometryClearcoatNormal, const in PhysicalMaterial material, inout ReflectedLight reflectedLight ) {
vec3 normal = geometryNormal;
vec3 viewDir = geometryViewDir;
vec3 position = geometryPosition;
vec3 lightPos = rectAreaLight.position;
vec3 halfWidth = rectAreaLight.halfWidth;
vec3 halfHeight = rectAreaLight.halfHeight;
vec3 lightColor = rectAreaLight.color;
float roughness = material.roughness;
vec3 rectCoords[ 4 ];
rectCoords[ 0 ] = lightPos + halfWidth - halfHeight; rectCoords[ 1 ] = lightPos - halfWidth - halfHeight;
rectCoords[ 2 ] = lightPos - halfWidth + halfHeight;
rectCoords[ 3 ] = lightPos + halfWidth + halfHeight;
vec2 uv = LTC_Uv( normal, viewDir, roughness );
vec4 t1 = texture2D( ltc_1, uv );
vec4 t2 = texture2D( ltc_2, uv );
mat3 mInv = mat3(
vec3( t1.x, 0, t1.y ),
vec3( 0, 1, 0 ),
vec3( t1.z, 0, t1.w )
);
vec3 fresnel = ( material.specularColor * t2.x + ( vec3( 1.0 ) - material.specularColor ) * t2.y );
reflectedLight.directSpecular += lightColor * fresnel * LTC_Evaluate( normal, viewDir, position, mInv, rectCoords );
reflectedLight.directDiffuse += lightColor * material.diffuseColor * LTC_Evaluate( normal, viewDir, position, mat3( 1.0 ), rectCoords );
}
#endif
void RE_Direct_Physical( const in IncidentLight directLight, const in vec3 geometryPosition, const in vec3 geometryNormal, const in vec3 geometryViewDir, const in vec3 geometryClearcoatNormal, const in PhysicalMaterial material, inout ReflectedLight reflectedLight ) {
float dotNL = saturate( dot( geometryNormal, directLight.direction ) );
vec3 irradiance = dotNL * directLight.color;
#ifdef USE_CLEARCOAT
float dotNLcc = saturate( dot( geometryClearcoatNormal, directLight.direction ) );
vec3 ccIrradiance = dotNLcc * directLight.color;
clearcoatSpecularDirect += ccIrradiance * BRDF_GGX_Clearcoat( directLight.direction, geometryViewDir, geometryClearcoatNormal, material );
#endif
#ifdef USE_SHEEN
sheenSpecularDirect += irradiance * BRDF_Sheen( directLight.direction, geometryViewDir, geometryNormal, material.sheenColor, material.sheenRoughness );
#endif
reflectedLight.directSpecular += irradiance * BRDF_GGX( directLight.direction, geometryViewDir, geometryNormal, material );
reflectedLight.directDiffuse += irradiance * BRDF_Lambert( material.diffuseColor );
}
void RE_IndirectDiffuse_Physical( const in vec3 irradiance, const in vec3 geometryPosition, const in vec3 geometryNormal, const in vec3 geometryViewDir, const in vec3 geometryClearcoatNormal, const in PhysicalMaterial material, inout ReflectedLight reflectedLight ) {
reflectedLight.indirectDiffuse += irradiance * BRDF_Lambert( material.diffuseColor );
}
void RE_IndirectSpecular_Physical( const in vec3 radiance, const in vec3 irradiance, const in vec3 clearcoatRadiance, const in vec3 geometryPosition, const in vec3 geometryNormal, const in vec3 geometryViewDir, const in vec3 geometryClearcoatNormal, const in PhysicalMaterial material, inout ReflectedLight reflectedLight) {
#ifdef USE_CLEARCOAT
clearcoatSpecularIndirect += clearcoatRadiance * EnvironmentBRDF( geometryClearcoatNormal, geometryViewDir, material.clearcoatF0, material.clearcoatF90, material.clearcoatRoughness );
#endif
#ifdef USE_SHEEN
sheenSpecularIndirect += irradiance * material.sheenColor * IBLSheenBRDF( geometryNormal, geometryViewDir, material.sheenRoughness );
#endif
vec3 singleScattering = vec3( 0.0 );
vec3 multiScattering = vec3( 0.0 );
vec3 cosineWeightedIrradiance = irradiance * RECIPROCAL_PI;
#ifdef USE_IRIDESCENCE
computeMultiscatteringIridescence( geometryNormal, geometryViewDir, material.specularColor, material.specularF90, material.iridescence, material.iridescenceFresnel, material.roughness, singleScattering, multiScattering );
#else
computeMultiscattering( geometryNormal, geometryViewDir, material.specularColor, material.specularF90, material.roughness, singleScattering, multiScattering );
#endif
vec3 totalScattering = singleScattering + multiScattering;
vec3 diffuse = material.diffuseColor * ( 1.0 - max( max( totalScattering.r, totalScattering.g ), totalScattering.b ) );
reflectedLight.indirectSpecular += radiance * singleScattering;
reflectedLight.indirectSpecular += multiScattering * cosineWeightedIrradiance;
reflectedLight.indirectDiffuse += diffuse * cosineWeightedIrradiance;
}
#define RE_Direct RE_Direct_Physical
#define RE_Direct_RectArea RE_Direct_RectArea_Physical
#define RE_IndirectDiffuse RE_IndirectDiffuse_Physical
#define RE_IndirectSpecular RE_IndirectSpecular_Physical
float computeSpecularOcclusion( const in float dotNV, const in float ambientOcclusion, const in float roughness ) {
return saturate( pow( dotNV + ambientOcclusion, exp2( - 16.0 * roughness - 1.0 ) ) - 1.0 + ambientOcclusion );
}`,KCt=`
vec3 geometryPosition = - vViewPosition;
vec3 geometryNormal = normal;
vec3 geometryViewDir = ( isOrthographic ) ? vec3( 0, 0, 1 ) : normalize( vViewPosition );
vec3 geometryClearcoatNormal = vec3( 0.0 );
#ifdef USE_CLEARCOAT
geometryClearcoatNormal = clearcoatNormal;
#endif
#ifdef USE_IRIDESCENCE
float dotNVi = saturate( dot( normal, geometryViewDir ) );
if ( material.iridescenceThickness == 0.0 ) {
material.iridescence = 0.0;
} else {
material.iridescence = saturate( material.iridescence );
}
if ( material.iridescence > 0.0 ) {
material.iridescenceFresnel = evalIridescence( 1.0, material.iridescenceIOR, dotNVi, material.iridescenceThickness, material.specularColor );
material.iridescenceF0 = Schlick_to_F0( material.iridescenceFresnel, 1.0, dotNVi );
}
#endif
IncidentLight directLight;
#if ( NUM_POINT_LIGHTS > 0 ) && defined( RE_Direct )
PointLight pointLight;
#if defined( USE_SHADOWMAP ) && NUM_POINT_LIGHT_SHADOWS > 0
PointLightShadow pointLightShadow;
#endif
#pragma unroll_loop_start
for ( int i = 0; i < NUM_POINT_LIGHTS; i ++ ) {
pointLight = pointLights[ i ];
getPointLightInfo( pointLight, geometryPosition, directLight );
#if defined( USE_SHADOWMAP ) && ( UNROLLED_LOOP_INDEX < NUM_POINT_LIGHT_SHADOWS )
pointLightShadow = pointLightShadows[ i ];
directLight.color *= ( directLight.visible && receiveShadow ) ? getPointShadow( pointShadowMap[ i ], pointLightShadow.shadowMapSize, pointLightShadow.shadowBias, pointLightShadow.shadowRadius, vPointShadowCoord[ i ], pointLightShadow.shadowCameraNear, pointLightShadow.shadowCameraFar ) : 1.0;
#endif
RE_Direct( directLight, geometryPosition, geometryNormal, geometryViewDir, geometryClearcoatNormal, material, reflectedLight );
}
#pragma unroll_loop_end
#endif
#if ( NUM_SPOT_LIGHTS > 0 ) && defined( RE_Direct )
SpotLight spotLight;
vec4 spotColor;
vec3 spotLightCoord;
bool inSpotLightMap;
#if defined( USE_SHADOWMAP ) && NUM_SPOT_LIGHT_SHADOWS > 0
SpotLightShadow spotLightShadow;
#endif
#pragma unroll_loop_start
for ( int i = 0; i < NUM_SPOT_LIGHTS; i ++ ) {
spotLight = spotLights[ i ];
getSpotLightInfo( spotLight, geometryPosition, directLight );
#if ( UNROLLED_LOOP_INDEX < NUM_SPOT_LIGHT_SHADOWS_WITH_MAPS )
#define SPOT_LIGHT_MAP_INDEX UNROLLED_LOOP_INDEX
#elif ( UNROLLED_LOOP_INDEX < NUM_SPOT_LIGHT_SHADOWS )
#define SPOT_LIGHT_MAP_INDEX NUM_SPOT_LIGHT_MAPS
#else
#define SPOT_LIGHT_MAP_INDEX ( UNROLLED_LOOP_INDEX - NUM_SPOT_LIGHT_SHADOWS + NUM_SPOT_LIGHT_SHADOWS_WITH_MAPS )
#endif
#if ( SPOT_LIGHT_MAP_INDEX < NUM_SPOT_LIGHT_MAPS )
spotLightCoord = vSpotLightCoord[ i ].xyz / vSpotLightCoord[ i ].w;
inSpotLightMap = all( lessThan( abs( spotLightCoord * 2. - 1. ), vec3( 1.0 ) ) );
spotColor = texture2D( spotLightMap[ SPOT_LIGHT_MAP_INDEX ], spotLightCoord.xy );
directLight.color = inSpotLightMap ? directLight.color * spotColor.rgb : directLight.color;
#endif
#undef SPOT_LIGHT_MAP_INDEX
#if defined( USE_SHADOWMAP ) && ( UNROLLED_LOOP_INDEX < NUM_SPOT_LIGHT_SHADOWS )
spotLightShadow = spotLightShadows[ i ];
directLight.color *= ( directLight.visible && receiveShadow ) ? getShadow( spotShadowMap[ i ], spotLightShadow.shadowMapSize, spotLightShadow.shadowBias, spotLightShadow.shadowRadius, vSpotLightCoord[ i ] ) : 1.0;
#endif
RE_Direct( directLight, geometryPosition, geometryNormal, geometryViewDir, geometryClearcoatNormal, material, reflectedLight );
}
#pragma unroll_loop_end
#endif
#if ( NUM_DIR_LIGHTS > 0 ) && defined( RE_Direct )
DirectionalLight directionalLight;
#if defined( USE_SHADOWMAP ) && NUM_DIR_LIGHT_SHADOWS > 0
DirectionalLightShadow directionalLightShadow;
#endif
#pragma unroll_loop_start
for ( int i = 0; i < NUM_DIR_LIGHTS; i ++ ) {
directionalLight = directionalLights[ i ];
getDirectionalLightInfo( directionalLight, directLight );
#if defined( USE_SHADOWMAP ) && ( UNROLLED_LOOP_INDEX < NUM_DIR_LIGHT_SHADOWS )
directionalLightShadow = directionalLightShadows[ i ];
directLight.color *= ( directLight.visible && receiveShadow ) ? getShadow( directionalShadowMap[ i ], directionalLightShadow.shadowMapSize, directionalLightShadow.shadowBias, directionalLightShadow.shadowRadius, vDirectionalShadowCoord[ i ] ) : 1.0;
#endif
RE_Direct( directLight, geometryPosition, geometryNormal, geometryViewDir, geometryClearcoatNormal, material, reflectedLight );
}
#pragma unroll_loop_end
#endif
#if ( NUM_RECT_AREA_LIGHTS > 0 ) && defined( RE_Direct_RectArea )
RectAreaLight rectAreaLight;
#pragma unroll_loop_start
for ( int i = 0; i < NUM_RECT_AREA_LIGHTS; i ++ ) {
rectAreaLight = rectAreaLights[ i ];
RE_Direct_RectArea( rectAreaLight, geometryPosition, geometryNormal, geometryViewDir, geometryClearcoatNormal, material, reflectedLight );
}
#pragma unroll_loop_end
#endif
#if defined( RE_IndirectDiffuse )
vec3 iblIrradiance = vec3( 0.0 );
vec3 irradiance = getAmbientLightIrradiance( ambientLightColor );
#if defined( USE_LIGHT_PROBES )
irradiance += getLightProbeIrradiance( lightProbe, geometryNormal );
#endif
#if ( NUM_HEMI_LIGHTS > 0 )
#pragma unroll_loop_start
for ( int i = 0; i < NUM_HEMI_LIGHTS; i ++ ) {
irradiance += getHemisphereLightIrradiance( hemisphereLights[ i ], geometryNormal );
}
#pragma unroll_loop_end
#endif
#endif
#if defined( RE_IndirectSpecular )
vec3 radiance = vec3( 0.0 );
vec3 clearcoatRadiance = vec3( 0.0 );
#endif`,jCt=`#if defined( RE_IndirectDiffuse )
#ifdef USE_LIGHTMAP
vec4 lightMapTexel = texture2D( lightMap, vLightMapUv );
vec3 lightMapIrradiance = lightMapTexel.rgb * lightMapIntensity;
irradiance += lightMapIrradiance;
#endif
#if defined( USE_ENVMAP ) && defined( STANDARD ) && defined( ENVMAP_TYPE_CUBE_UV )
iblIrradiance += getIBLIrradiance( geometryNormal );
#endif
#endif
#if defined( USE_ENVMAP ) && defined( RE_IndirectSpecular )
#ifdef USE_ANISOTROPY
radiance += getIBLAnisotropyRadiance( geometryViewDir, geometryNormal, material.roughness, material.anisotropyB, material.anisotropy );
#else
radiance += getIBLRadiance( geometryViewDir, geometryNormal, material.roughness );
#endif
#ifdef USE_CLEARCOAT
clearcoatRadiance += getIBLRadiance( geometryViewDir, geometryClearcoatNormal, material.clearcoatRoughness );
#endif
#endif`,QCt=`#if defined( RE_IndirectDiffuse )
RE_IndirectDiffuse( irradiance, geometryPosition, geometryNormal, geometryViewDir, geometryClearcoatNormal, material, reflectedLight );
#endif
#if defined( RE_IndirectSpecular )
RE_IndirectSpecular( radiance, iblIrradiance, clearcoatRadiance, geometryPosition, geometryNormal, geometryViewDir, geometryClearcoatNormal, material, reflectedLight );
#endif`,XCt=`#if defined( USE_LOGDEPTHBUF ) && defined( USE_LOGDEPTHBUF_EXT )
gl_FragDepthEXT = vIsPerspective == 0.0 ? gl_FragCoord.z : log2( vFragDepth ) * logDepthBufFC * 0.5;
#endif`,ZCt=`#if defined( USE_LOGDEPTHBUF ) && defined( USE_LOGDEPTHBUF_EXT )
uniform float logDepthBufFC;
varying float vFragDepth;
varying float vIsPerspective;
#endif`,JCt=`#ifdef USE_LOGDEPTHBUF
#ifdef USE_LOGDEPTHBUF_EXT
varying float vFragDepth;
varying float vIsPerspective;
#else
uniform float logDepthBufFC;
#endif
#endif`,e1t=`#ifdef USE_LOGDEPTHBUF
#ifdef USE_LOGDEPTHBUF_EXT
vFragDepth = 1.0 + gl_Position.w;
vIsPerspective = float( isPerspectiveMatrix( projectionMatrix ) );
#else
if ( isPerspectiveMatrix( projectionMatrix ) ) {
gl_Position.z = log2( max( EPSILON, gl_Position.w + 1.0 ) ) * logDepthBufFC - 1.0;
gl_Position.z *= gl_Position.w;
}
#endif
#endif`,t1t=`#ifdef USE_MAP
vec4 sampledDiffuseColor = texture2D( map, vMapUv );
#ifdef DECODE_VIDEO_TEXTURE
sampledDiffuseColor = vec4( mix( pow( sampledDiffuseColor.rgb * 0.9478672986 + vec3( 0.0521327014 ), vec3( 2.4 ) ), sampledDiffuseColor.rgb * 0.0773993808, vec3( lessThanEqual( sampledDiffuseColor.rgb, vec3( 0.04045 ) ) ) ), sampledDiffuseColor.w );
#endif
diffuseColor *= sampledDiffuseColor;
#endif`,n1t=`#ifdef USE_MAP
uniform sampler2D map;
#endif`,i1t=`#if defined( USE_MAP ) || defined( USE_ALPHAMAP )
#if defined( USE_POINTS_UV )
vec2 uv = vUv;
#else
vec2 uv = ( uvTransform * vec3( gl_PointCoord.x, 1.0 - gl_PointCoord.y, 1 ) ).xy;
#endif
#endif
#ifdef USE_MAP
diffuseColor *= texture2D( map, uv );
#endif
#ifdef USE_ALPHAMAP
diffuseColor.a *= texture2D( alphaMap, uv ).g;
#endif`,s1t=`#if defined( USE_POINTS_UV )
varying vec2 vUv;
#else
#if defined( USE_MAP ) || defined( USE_ALPHAMAP )
uniform mat3 uvTransform;
#endif
#endif
#ifdef USE_MAP
uniform sampler2D map;
#endif
#ifdef USE_ALPHAMAP
uniform sampler2D alphaMap;
#endif`,r1t=`float metalnessFactor = metalness;
#ifdef USE_METALNESSMAP
vec4 texelMetalness = texture2D( metalnessMap, vMetalnessMapUv );
metalnessFactor *= texelMetalness.b;
#endif`,o1t=`#ifdef USE_METALNESSMAP
uniform sampler2D metalnessMap;
#endif`,a1t=`#if defined( USE_MORPHCOLORS ) && defined( MORPHTARGETS_TEXTURE )
vColor *= morphTargetBaseInfluence;
for ( int i = 0; i < MORPHTARGETS_COUNT; i ++ ) {
#if defined( USE_COLOR_ALPHA )
if ( morphTargetInfluences[ i ] != 0.0 ) vColor += getMorph( gl_VertexID, i, 2 ) * morphTargetInfluences[ i ];
#elif defined( USE_COLOR )
if ( morphTargetInfluences[ i ] != 0.0 ) vColor += getMorph( gl_VertexID, i, 2 ).rgb * morphTargetInfluences[ i ];
#endif
}
#endif`,l1t=`#ifdef USE_MORPHNORMALS
objectNormal *= morphTargetBaseInfluence;
#ifdef MORPHTARGETS_TEXTURE
for ( int i = 0; i < MORPHTARGETS_COUNT; i ++ ) {
if ( morphTargetInfluences[ i ] != 0.0 ) objectNormal += getMorph( gl_VertexID, i, 1 ).xyz * morphTargetInfluences[ i ];
}
#else
objectNormal += morphNormal0 * morphTargetInfluences[ 0 ];
objectNormal += morphNormal1 * morphTargetInfluences[ 1 ];
objectNormal += morphNormal2 * morphTargetInfluences[ 2 ];
objectNormal += morphNormal3 * morphTargetInfluences[ 3 ];
#endif
#endif`,c1t=`#ifdef USE_MORPHTARGETS
uniform float morphTargetBaseInfluence;
#ifdef MORPHTARGETS_TEXTURE
uniform float morphTargetInfluences[ MORPHTARGETS_COUNT ];
uniform sampler2DArray morphTargetsTexture;
uniform ivec2 morphTargetsTextureSize;
vec4 getMorph( const in int vertexIndex, const in int morphTargetIndex, const in int offset ) {
int texelIndex = vertexIndex * MORPHTARGETS_TEXTURE_STRIDE + offset;
int y = texelIndex / morphTargetsTextureSize.x;
int x = texelIndex - y * morphTargetsTextureSize.x;
ivec3 morphUV = ivec3( x, y, morphTargetIndex );
return texelFetch( morphTargetsTexture, morphUV, 0 );
}
#else
#ifndef USE_MORPHNORMALS
uniform float morphTargetInfluences[ 8 ];
#else
uniform float morphTargetInfluences[ 4 ];
#endif
#endif
#endif`,d1t=`#ifdef USE_MORPHTARGETS
transformed *= morphTargetBaseInfluence;
#ifdef MORPHTARGETS_TEXTURE
for ( int i = 0; i < MORPHTARGETS_COUNT; i ++ ) {
if ( morphTargetInfluences[ i ] != 0.0 ) transformed += getMorph( gl_VertexID, i, 0 ).xyz * morphTargetInfluences[ i ];
}
#else
transformed += morphTarget0 * morphTargetInfluences[ 0 ];
transformed += morphTarget1 * morphTargetInfluences[ 1 ];
transformed += morphTarget2 * morphTargetInfluences[ 2 ];
transformed += morphTarget3 * morphTargetInfluences[ 3 ];
#ifndef USE_MORPHNORMALS
transformed += morphTarget4 * morphTargetInfluences[ 4 ];
transformed += morphTarget5 * morphTargetInfluences[ 5 ];
transformed += morphTarget6 * morphTargetInfluences[ 6 ];
transformed += morphTarget7 * morphTargetInfluences[ 7 ];
#endif
#endif
#endif`,u1t=`float faceDirection = gl_FrontFacing ? 1.0 : - 1.0;
#ifdef FLAT_SHADED
vec3 fdx = dFdx( vViewPosition );
vec3 fdy = dFdy( vViewPosition );
vec3 normal = normalize( cross( fdx, fdy ) );
#else
vec3 normal = normalize( vNormal );
#ifdef DOUBLE_SIDED
normal *= faceDirection;
#endif
#endif
#if defined( USE_NORMALMAP_TANGENTSPACE ) || defined( USE_CLEARCOAT_NORMALMAP ) || defined( USE_ANISOTROPY )
#ifdef USE_TANGENT
mat3 tbn = mat3( normalize( vTangent ), normalize( vBitangent ), normal );
#else
mat3 tbn = getTangentFrame( - vViewPosition, normal,
#if defined( USE_NORMALMAP )
vNormalMapUv
#elif defined( USE_CLEARCOAT_NORMALMAP )
vClearcoatNormalMapUv
#else
vUv
#endif
);
#endif
#if defined( DOUBLE_SIDED ) && ! defined( FLAT_SHADED )
tbn[0] *= faceDirection;
tbn[1] *= faceDirection;
#endif
#endif
#ifdef USE_CLEARCOAT_NORMALMAP
#ifdef USE_TANGENT
mat3 tbn2 = mat3( normalize( vTangent ), normalize( vBitangent ), normal );
#else
mat3 tbn2 = getTangentFrame( - vViewPosition, normal, vClearcoatNormalMapUv );
#endif
#if defined( DOUBLE_SIDED ) && ! defined( FLAT_SHADED )
tbn2[0] *= faceDirection;
tbn2[1] *= faceDirection;
#endif
#endif
vec3 nonPerturbedNormal = normal;`,p1t=`#ifdef USE_NORMALMAP_OBJECTSPACE
normal = texture2D( normalMap, vNormalMapUv ).xyz * 2.0 - 1.0;
#ifdef FLIP_SIDED
normal = - normal;
#endif
#ifdef DOUBLE_SIDED
normal = normal * faceDirection;
#endif
normal = normalize( normalMatrix * normal );
#elif defined( USE_NORMALMAP_TANGENTSPACE )
vec3 mapN = texture2D( normalMap, vNormalMapUv ).xyz * 2.0 - 1.0;
mapN.xy *= normalScale;
normal = normalize( tbn * mapN );
#elif defined( USE_BUMPMAP )
normal = perturbNormalArb( - vViewPosition, normal, dHdxy_fwd(), faceDirection );
#endif`,_1t=`#ifndef FLAT_SHADED
varying vec3 vNormal;
#ifdef USE_TANGENT
varying vec3 vTangent;
varying vec3 vBitangent;
#endif
#endif`,h1t=`#ifndef FLAT_SHADED
varying vec3 vNormal;
#ifdef USE_TANGENT
varying vec3 vTangent;
varying vec3 vBitangent;
#endif
#endif`,f1t=`#ifndef FLAT_SHADED
vNormal = normalize( transformedNormal );
#ifdef USE_TANGENT
vTangent = normalize( transformedTangent );
vBitangent = normalize( cross( vNormal, vTangent ) * tangent.w );
#endif
#endif`,m1t=`#ifdef USE_NORMALMAP
uniform sampler2D normalMap;
uniform vec2 normalScale;
#endif
#ifdef USE_NORMALMAP_OBJECTSPACE
uniform mat3 normalMatrix;
#endif
#if ! defined ( USE_TANGENT ) && ( defined ( USE_NORMALMAP_TANGENTSPACE ) || defined ( USE_CLEARCOAT_NORMALMAP ) || defined( USE_ANISOTROPY ) )
mat3 getTangentFrame( vec3 eye_pos, vec3 surf_norm, vec2 uv ) {
vec3 q0 = dFdx( eye_pos.xyz );
vec3 q1 = dFdy( eye_pos.xyz );
vec2 st0 = dFdx( uv.st );
vec2 st1 = dFdy( uv.st );
vec3 N = surf_norm;
vec3 q1perp = cross( q1, N );
vec3 q0perp = cross( N, q0 );
vec3 T = q1perp * st0.x + q0perp * st1.x;
vec3 B = q1perp * st0.y + q0perp * st1.y;
float det = max( dot( T, T ), dot( B, B ) );
float scale = ( det == 0.0 ) ? 0.0 : inversesqrt( det );
return mat3( T * scale, B * scale, N );
}
#endif`,g1t=`#ifdef USE_CLEARCOAT
vec3 clearcoatNormal = nonPerturbedNormal;
#endif`,b1t=`#ifdef USE_CLEARCOAT_NORMALMAP
vec3 clearcoatMapN = texture2D( clearcoatNormalMap, vClearcoatNormalMapUv ).xyz * 2.0 - 1.0;
clearcoatMapN.xy *= clearcoatNormalScale;
clearcoatNormal = normalize( tbn2 * clearcoatMapN );
#endif`,E1t=`#ifdef USE_CLEARCOATMAP
uniform sampler2D clearcoatMap;
#endif
#ifdef USE_CLEARCOAT_NORMALMAP
uniform sampler2D clearcoatNormalMap;
uniform vec2 clearcoatNormalScale;
#endif
#ifdef USE_CLEARCOAT_ROUGHNESSMAP
uniform sampler2D clearcoatRoughnessMap;
#endif`,v1t=`#ifdef USE_IRIDESCENCEMAP
uniform sampler2D iridescenceMap;
#endif
#ifdef USE_IRIDESCENCE_THICKNESSMAP
uniform sampler2D iridescenceThicknessMap;
#endif`,y1t=`#ifdef OPAQUE
diffuseColor.a = 1.0;
#endif
#ifdef USE_TRANSMISSION
diffuseColor.a *= material.transmissionAlpha;
#endif
gl_FragColor = vec4( outgoingLight, diffuseColor.a );`,S1t=`vec3 packNormalToRGB( const in vec3 normal ) {
return normalize( normal ) * 0.5 + 0.5;
}
vec3 unpackRGBToNormal( const in vec3 rgb ) {
return 2.0 * rgb.xyz - 1.0;
}
const float PackUpscale = 256. / 255.;const float UnpackDownscale = 255. / 256.;
const vec3 PackFactors = vec3( 256. * 256. * 256., 256. * 256., 256. );
const vec4 UnpackFactors = UnpackDownscale / vec4( PackFactors, 1. );
const float ShiftRight8 = 1. / 256.;
vec4 packDepthToRGBA( const in float v ) {
vec4 r = vec4( fract( v * PackFactors ), v );
r.yzw -= r.xyz * ShiftRight8; return r * PackUpscale;
}
float unpackRGBAToDepth( const in vec4 v ) {
return dot( v, UnpackFactors );
}
vec2 packDepthToRG( in highp float v ) {
return packDepthToRGBA( v ).yx;
}
float unpackRGToDepth( const in highp vec2 v ) {
return unpackRGBAToDepth( vec4( v.xy, 0.0, 0.0 ) );
}
vec4 pack2HalfToRGBA( vec2 v ) {
vec4 r = vec4( v.x, fract( v.x * 255.0 ), v.y, fract( v.y * 255.0 ) );
return vec4( r.x - r.y / 255.0, r.y, r.z - r.w / 255.0, r.w );
}
vec2 unpackRGBATo2Half( vec4 v ) {
return vec2( v.x + ( v.y / 255.0 ), v.z + ( v.w / 255.0 ) );
}
float viewZToOrthographicDepth( const in float viewZ, const in float near, const in float far ) {
return ( viewZ + near ) / ( near - far );
}
float orthographicDepthToViewZ( const in float depth, const in float near, const in float far ) {
return depth * ( near - far ) - near;
}
float viewZToPerspectiveDepth( const in float viewZ, const in float near, const in float far ) {
return ( ( near + viewZ ) * far ) / ( ( far - near ) * viewZ );
}
float perspectiveDepthToViewZ( const in float depth, const in float near, const in float far ) {
return ( near * far ) / ( ( far - near ) * depth - far );
}`,T1t=`#ifdef PREMULTIPLIED_ALPHA
gl_FragColor.rgb *= gl_FragColor.a;
#endif`,x1t=`vec4 mvPosition = vec4( transformed, 1.0 );
#ifdef USE_BATCHING
mvPosition = batchingMatrix * mvPosition;
#endif
#ifdef USE_INSTANCING
mvPosition = instanceMatrix * mvPosition;
#endif
mvPosition = modelViewMatrix * mvPosition;
gl_Position = projectionMatrix * mvPosition;`,C1t=`#ifdef DITHERING
gl_FragColor.rgb = dithering( gl_FragColor.rgb );
#endif`,R1t=`#ifdef DITHERING
vec3 dithering( vec3 color ) {
float grid_position = rand( gl_FragCoord.xy );
vec3 dither_shift_RGB = vec3( 0.25 / 255.0, -0.25 / 255.0, 0.25 / 255.0 );
dither_shift_RGB = mix( 2.0 * dither_shift_RGB, -2.0 * dither_shift_RGB, grid_position );
return color + dither_shift_RGB;
}
#endif`,A1t=`float roughnessFactor = roughness;
#ifdef USE_ROUGHNESSMAP
vec4 texelRoughness = texture2D( roughnessMap, vRoughnessMapUv );
roughnessFactor *= texelRoughness.g;
#endif`,w1t=`#ifdef USE_ROUGHNESSMAP
uniform sampler2D roughnessMap;
#endif`,N1t=`#if NUM_SPOT_LIGHT_COORDS > 0
varying vec4 vSpotLightCoord[ NUM_SPOT_LIGHT_COORDS ];
#endif
#if NUM_SPOT_LIGHT_MAPS > 0
uniform sampler2D spotLightMap[ NUM_SPOT_LIGHT_MAPS ];
#endif
#ifdef USE_SHADOWMAP
#if NUM_DIR_LIGHT_SHADOWS > 0
uniform sampler2D directionalShadowMap[ NUM_DIR_LIGHT_SHADOWS ];
varying vec4 vDirectionalShadowCoord[ NUM_DIR_LIGHT_SHADOWS ];
struct DirectionalLightShadow {
float shadowBias;
float shadowNormalBias;
float shadowRadius;
vec2 shadowMapSize;
};
uniform DirectionalLightShadow directionalLightShadows[ NUM_DIR_LIGHT_SHADOWS ];
#endif
#if NUM_SPOT_LIGHT_SHADOWS > 0
uniform sampler2D spotShadowMap[ NUM_SPOT_LIGHT_SHADOWS ];
struct SpotLightShadow {
float shadowBias;
float shadowNormalBias;
float shadowRadius;
vec2 shadowMapSize;
};
uniform SpotLightShadow spotLightShadows[ NUM_SPOT_LIGHT_SHADOWS ];
#endif
#if NUM_POINT_LIGHT_SHADOWS > 0
uniform sampler2D pointShadowMap[ NUM_POINT_LIGHT_SHADOWS ];
varying vec4 vPointShadowCoord[ NUM_POINT_LIGHT_SHADOWS ];
struct PointLightShadow {
float shadowBias;
float shadowNormalBias;
float shadowRadius;
vec2 shadowMapSize;
float shadowCameraNear;
float shadowCameraFar;
};
uniform PointLightShadow pointLightShadows[ NUM_POINT_LIGHT_SHADOWS ];
#endif
float texture2DCompare( sampler2D depths, vec2 uv, float compare ) {
return step( compare, unpackRGBAToDepth( texture2D( depths, uv ) ) );
}
vec2 texture2DDistribution( sampler2D shadow, vec2 uv ) {
return unpackRGBATo2Half( texture2D( shadow, uv ) );
}
float VSMShadow (sampler2D shadow, vec2 uv, float compare ){
float occlusion = 1.0;
vec2 distribution = texture2DDistribution( shadow, uv );
float hard_shadow = step( compare , distribution.x );
if (hard_shadow != 1.0 ) {
float distance = compare - distribution.x ;
float variance = max( 0.00000, distribution.y * distribution.y );
float softness_probability = variance / (variance + distance * distance ); softness_probability = clamp( ( softness_probability - 0.3 ) / ( 0.95 - 0.3 ), 0.0, 1.0 ); occlusion = clamp( max( hard_shadow, softness_probability ), 0.0, 1.0 );
}
return occlusion;
}
float getShadow( sampler2D shadowMap, vec2 shadowMapSize, float shadowBias, float shadowRadius, vec4 shadowCoord ) {
float shadow = 1.0;
shadowCoord.xyz /= shadowCoord.w;
shadowCoord.z += shadowBias;
bool inFrustum = shadowCoord.x >= 0.0 && shadowCoord.x <= 1.0 && shadowCoord.y >= 0.0 && shadowCoord.y <= 1.0;
bool frustumTest = inFrustum && shadowCoord.z <= 1.0;
if ( frustumTest ) {
#if defined( SHADOWMAP_TYPE_PCF )
vec2 texelSize = vec2( 1.0 ) / shadowMapSize;
float dx0 = - texelSize.x * shadowRadius;
float dy0 = - texelSize.y * shadowRadius;
float dx1 = + texelSize.x * shadowRadius;
float dy1 = + texelSize.y * shadowRadius;
float dx2 = dx0 / 2.0;
float dy2 = dy0 / 2.0;
float dx3 = dx1 / 2.0;
float dy3 = dy1 / 2.0;
shadow = (
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx0, dy0 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( 0.0, dy0 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx1, dy0 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx2, dy2 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( 0.0, dy2 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx3, dy2 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx0, 0.0 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx2, 0.0 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy, shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx3, 0.0 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx1, 0.0 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx2, dy3 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( 0.0, dy3 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx3, dy3 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx0, dy1 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( 0.0, dy1 ), shadowCoord.z ) +
texture2DCompare( shadowMap, shadowCoord.xy + vec2( dx1, dy1 ), shadowCoord.z )
) * ( 1.0 / 17.0 );
#elif defined( SHADOWMAP_TYPE_PCF_SOFT )
vec2 texelSize = vec2( 1.0 ) / shadowMapSize;
float dx = texelSize.x;
float dy = texelSize.y;
vec2 uv = shadowCoord.xy;
vec2 f = fract( uv * shadowMapSize + 0.5 );
uv -= f * texelSize;
shadow = (
texture2DCompare( shadowMap, uv, shadowCoord.z ) +
texture2DCompare( shadowMap, uv + vec2( dx, 0.0 ), shadowCoord.z ) +
texture2DCompare( shadowMap, uv + vec2( 0.0, dy ), shadowCoord.z ) +
texture2DCompare( shadowMap, uv + texelSize, shadowCoord.z ) +
mix( texture2DCompare( shadowMap, uv + vec2( -dx, 0.0 ), shadowCoord.z ),
texture2DCompare( shadowMap, uv + vec2( 2.0 * dx, 0.0 ), shadowCoord.z ),
f.x ) +
mix( texture2DCompare( shadowMap, uv + vec2( -dx, dy ), shadowCoord.z ),
texture2DCompare( shadowMap, uv + vec2( 2.0 * dx, dy ), shadowCoord.z ),
f.x ) +
mix( texture2DCompare( shadowMap, uv + vec2( 0.0, -dy ), shadowCoord.z ),
texture2DCompare( shadowMap, uv + vec2( 0.0, 2.0 * dy ), shadowCoord.z ),
f.y ) +
mix( texture2DCompare( shadowMap, uv + vec2( dx, -dy ), shadowCoord.z ),
texture2DCompare( shadowMap, uv + vec2( dx, 2.0 * dy ), shadowCoord.z ),
f.y ) +
mix( mix( texture2DCompare( shadowMap, uv + vec2( -dx, -dy ), shadowCoord.z ),
texture2DCompare( shadowMap, uv + vec2( 2.0 * dx, -dy ), shadowCoord.z ),
f.x ),
mix( texture2DCompare( shadowMap, uv + vec2( -dx, 2.0 * dy ), shadowCoord.z ),
texture2DCompare( shadowMap, uv + vec2( 2.0 * dx, 2.0 * dy ), shadowCoord.z ),
f.x ),
f.y )
) * ( 1.0 / 9.0 );
#elif defined( SHADOWMAP_TYPE_VSM )
shadow = VSMShadow( shadowMap, shadowCoord.xy, shadowCoord.z );
#else
shadow = texture2DCompare( shadowMap, shadowCoord.xy, shadowCoord.z );
#endif
}
return shadow;
}
vec2 cubeToUV( vec3 v, float texelSizeY ) {
vec3 absV = abs( v );
float scaleToCube = 1.0 / max( absV.x, max( absV.y, absV.z ) );
absV *= scaleToCube;
v *= scaleToCube * ( 1.0 - 2.0 * texelSizeY );
vec2 planar = v.xy;
float almostATexel = 1.5 * texelSizeY;
float almostOne = 1.0 - almostATexel;
if ( absV.z >= almostOne ) {
if ( v.z > 0.0 )
planar.x = 4.0 - v.x;
} else if ( absV.x >= almostOne ) {
float signX = sign( v.x );
planar.x = v.z * signX + 2.0 * signX;
} else if ( absV.y >= almostOne ) {
float signY = sign( v.y );
planar.x = v.x + 2.0 * signY + 2.0;
planar.y = v.z * signY - 2.0;
}
return vec2( 0.125, 0.25 ) * planar + vec2( 0.375, 0.75 );
}
float getPointShadow( sampler2D shadowMap, vec2 shadowMapSize, float shadowBias, float shadowRadius, vec4 shadowCoord, float shadowCameraNear, float shadowCameraFar ) {
vec2 texelSize = vec2( 1.0 ) / ( shadowMapSize * vec2( 4.0, 2.0 ) );
vec3 lightToPosition = shadowCoord.xyz;
float dp = ( length( lightToPosition ) - shadowCameraNear ) / ( shadowCameraFar - shadowCameraNear ); dp += shadowBias;
vec3 bd3D = normalize( lightToPosition );
#if defined( SHADOWMAP_TYPE_PCF ) || defined( SHADOWMAP_TYPE_PCF_SOFT ) || defined( SHADOWMAP_TYPE_VSM )
vec2 offset = vec2( - 1, 1 ) * shadowRadius * texelSize.y;
return (
texture2DCompare( shadowMap, cubeToUV( bd3D + offset.xyy, texelSize.y ), dp ) +
texture2DCompare( shadowMap, cubeToUV( bd3D + offset.yyy, texelSize.y ), dp ) +
texture2DCompare( shadowMap, cubeToUV( bd3D + offset.xyx, texelSize.y ), dp ) +
texture2DCompare( shadowMap, cubeToUV( bd3D + offset.yyx, texelSize.y ), dp ) +
texture2DCompare( shadowMap, cubeToUV( bd3D, texelSize.y ), dp ) +
texture2DCompare( shadowMap, cubeToUV( bd3D + offset.xxy, texelSize.y ), dp ) +
texture2DCompare( shadowMap, cubeToUV( bd3D + offset.yxy, texelSize.y ), dp ) +
texture2DCompare( shadowMap, cubeToUV( bd3D + offset.xxx, texelSize.y ), dp ) +
texture2DCompare( shadowMap, cubeToUV( bd3D + offset.yxx, texelSize.y ), dp )
) * ( 1.0 / 9.0 );
#else
return texture2DCompare( shadowMap, cubeToUV( bd3D, texelSize.y ), dp );
#endif
}
#endif`,O1t=`#if NUM_SPOT_LIGHT_COORDS > 0
uniform mat4 spotLightMatrix[ NUM_SPOT_LIGHT_COORDS ];
varying vec4 vSpotLightCoord[ NUM_SPOT_LIGHT_COORDS ];
#endif
#ifdef USE_SHADOWMAP
#if NUM_DIR_LIGHT_SHADOWS > 0
uniform mat4 directionalShadowMatrix[ NUM_DIR_LIGHT_SHADOWS ];
varying vec4 vDirectionalShadowCoord[ NUM_DIR_LIGHT_SHADOWS ];
struct DirectionalLightShadow {
float shadowBias;
float shadowNormalBias;
float shadowRadius;
vec2 shadowMapSize;
};
uniform DirectionalLightShadow directionalLightShadows[ NUM_DIR_LIGHT_SHADOWS ];
#endif
#if NUM_SPOT_LIGHT_SHADOWS > 0
struct SpotLightShadow {
float shadowBias;
float shadowNormalBias;
float shadowRadius;
vec2 shadowMapSize;
};
uniform SpotLightShadow spotLightShadows[ NUM_SPOT_LIGHT_SHADOWS ];
#endif
#if NUM_POINT_LIGHT_SHADOWS > 0
uniform mat4 pointShadowMatrix[ NUM_POINT_LIGHT_SHADOWS ];
varying vec4 vPointShadowCoord[ NUM_POINT_LIGHT_SHADOWS ];
struct PointLightShadow {
float shadowBias;
float shadowNormalBias;
float shadowRadius;
vec2 shadowMapSize;
float shadowCameraNear;
float shadowCameraFar;
};
uniform PointLightShadow pointLightShadows[ NUM_POINT_LIGHT_SHADOWS ];
#endif
#endif`,I1t=`#if ( defined( USE_SHADOWMAP ) && ( NUM_DIR_LIGHT_SHADOWS > 0 || NUM_POINT_LIGHT_SHADOWS > 0 ) ) || ( NUM_SPOT_LIGHT_COORDS > 0 )
vec3 shadowWorldNormal = inverseTransformDirection( transformedNormal, viewMatrix );
vec4 shadowWorldPosition;
#endif
#if defined( USE_SHADOWMAP )
#if NUM_DIR_LIGHT_SHADOWS > 0
#pragma unroll_loop_start
for ( int i = 0; i < NUM_DIR_LIGHT_SHADOWS; i ++ ) {
shadowWorldPosition = worldPosition + vec4( shadowWorldNormal * directionalLightShadows[ i ].shadowNormalBias, 0 );
vDirectionalShadowCoord[ i ] = directionalShadowMatrix[ i ] * shadowWorldPosition;
}
#pragma unroll_loop_end
#endif
#if NUM_POINT_LIGHT_SHADOWS > 0
#pragma unroll_loop_start
for ( int i = 0; i < NUM_POINT_LIGHT_SHADOWS; i ++ ) {
shadowWorldPosition = worldPosition + vec4( shadowWorldNormal * pointLightShadows[ i ].shadowNormalBias, 0 );
vPointShadowCoord[ i ] = pointShadowMatrix[ i ] * shadowWorldPosition;
}
#pragma unroll_loop_end
#endif
#endif
#if NUM_SPOT_LIGHT_COORDS > 0
#pragma unroll_loop_start
for ( int i = 0; i < NUM_SPOT_LIGHT_COORDS; i ++ ) {
shadowWorldPosition = worldPosition;
#if ( defined( USE_SHADOWMAP ) && UNROLLED_LOOP_INDEX < NUM_SPOT_LIGHT_SHADOWS )
shadowWorldPosition.xyz += shadowWorldNormal * spotLightShadows[ i ].shadowNormalBias;
#endif
vSpotLightCoord[ i ] = spotLightMatrix[ i ] * shadowWorldPosition;
}
#pragma unroll_loop_end
#endif`,M1t=`float getShadowMask() {
float shadow = 1.0;
#ifdef USE_SHADOWMAP
#if NUM_DIR_LIGHT_SHADOWS > 0
DirectionalLightShadow directionalLight;
#pragma unroll_loop_start
for ( int i = 0; i < NUM_DIR_LIGHT_SHADOWS; i ++ ) {
directionalLight = directionalLightShadows[ i ];
shadow *= receiveShadow ? getShadow( directionalShadowMap[ i ], directionalLight.shadowMapSize, directionalLight.shadowBias, directionalLight.shadowRadius, vDirectionalShadowCoord[ i ] ) : 1.0;
}
#pragma unroll_loop_end
#endif
#if NUM_SPOT_LIGHT_SHADOWS > 0
SpotLightShadow spotLight;
#pragma unroll_loop_start
for ( int i = 0; i < NUM_SPOT_LIGHT_SHADOWS; i ++ ) {
spotLight = spotLightShadows[ i ];
shadow *= receiveShadow ? getShadow( spotShadowMap[ i ], spotLight.shadowMapSize, spotLight.shadowBias, spotLight.shadowRadius, vSpotLightCoord[ i ] ) : 1.0;
}
#pragma unroll_loop_end
#endif
#if NUM_POINT_LIGHT_SHADOWS > 0
PointLightShadow pointLight;
#pragma unroll_loop_start
for ( int i = 0; i < NUM_POINT_LIGHT_SHADOWS; i ++ ) {
pointLight = pointLightShadows[ i ];
shadow *= receiveShadow ? getPointShadow( pointShadowMap[ i ], pointLight.shadowMapSize, pointLight.shadowBias, pointLight.shadowRadius, vPointShadowCoord[ i ], pointLight.shadowCameraNear, pointLight.shadowCameraFar ) : 1.0;
}
#pragma unroll_loop_end
#endif
#endif
return shadow;
}`,D1t=`#ifdef USE_SKINNING
mat4 boneMatX = getBoneMatrix( skinIndex.x );
mat4 boneMatY = getBoneMatrix( skinIndex.y );
mat4 boneMatZ = getBoneMatrix( skinIndex.z );
mat4 boneMatW = getBoneMatrix( skinIndex.w );
#endif`,k1t=`#ifdef USE_SKINNING
uniform mat4 bindMatrix;
uniform mat4 bindMatrixInverse;
uniform highp sampler2D boneTexture;
mat4 getBoneMatrix( const in float i ) {
int size = textureSize( boneTexture, 0 ).x;
int j = int( i ) * 4;
int x = j % size;
int y = j / size;
vec4 v1 = texelFetch( boneTexture, ivec2( x, y ), 0 );
vec4 v2 = texelFetch( boneTexture, ivec2( x + 1, y ), 0 );
vec4 v3 = texelFetch( boneTexture, ivec2( x + 2, y ), 0 );
vec4 v4 = texelFetch( boneTexture, ivec2( x + 3, y ), 0 );
return mat4( v1, v2, v3, v4 );
}
#endif`,L1t=`#ifdef USE_SKINNING
vec4 skinVertex = bindMatrix * vec4( transformed, 1.0 );
vec4 skinned = vec4( 0.0 );
skinned += boneMatX * skinVertex * skinWeight.x;
skinned += boneMatY * skinVertex * skinWeight.y;
skinned += boneMatZ * skinVertex * skinWeight.z;
skinned += boneMatW * skinVertex * skinWeight.w;
transformed = ( bindMatrixInverse * skinned ).xyz;
#endif`,P1t=`#ifdef USE_SKINNING
mat4 skinMatrix = mat4( 0.0 );
skinMatrix += skinWeight.x * boneMatX;
skinMatrix += skinWeight.y * boneMatY;
skinMatrix += skinWeight.z * boneMatZ;
skinMatrix += skinWeight.w * boneMatW;
skinMatrix = bindMatrixInverse * skinMatrix * bindMatrix;
objectNormal = vec4( skinMatrix * vec4( objectNormal, 0.0 ) ).xyz;
#ifdef USE_TANGENT
objectTangent = vec4( skinMatrix * vec4( objectTangent, 0.0 ) ).xyz;
#endif
#endif`,U1t=`float specularStrength;
#ifdef USE_SPECULARMAP
vec4 texelSpecular = texture2D( specularMap, vSpecularMapUv );
specularStrength = texelSpecular.r;
#else
specularStrength = 1.0;
#endif`,F1t=`#ifdef USE_SPECULARMAP
uniform sampler2D specularMap;
#endif`,B1t=`#if defined( TONE_MAPPING )
gl_FragColor.rgb = toneMapping( gl_FragColor.rgb );
#endif`,G1t=`#ifndef saturate
#define saturate( a ) clamp( a, 0.0, 1.0 )
#endif
uniform float toneMappingExposure;
vec3 LinearToneMapping( vec3 color ) {
return saturate( toneMappingExposure * color );
}
vec3 ReinhardToneMapping( vec3 color ) {
color *= toneMappingExposure;
return saturate( color / ( vec3( 1.0 ) + color ) );
}
vec3 OptimizedCineonToneMapping( vec3 color ) {
color *= toneMappingExposure;
color = max( vec3( 0.0 ), color - 0.004 );
return pow( ( color * ( 6.2 * color + 0.5 ) ) / ( color * ( 6.2 * color + 1.7 ) + 0.06 ), vec3( 2.2 ) );
}
vec3 RRTAndODTFit( vec3 v ) {
vec3 a = v * ( v + 0.0245786 ) - 0.000090537;
vec3 b = v * ( 0.983729 * v + 0.4329510 ) + 0.238081;
return a / b;
}
vec3 ACESFilmicToneMapping( vec3 color ) {
const mat3 ACESInputMat = mat3(
vec3( 0.59719, 0.07600, 0.02840 ), vec3( 0.35458, 0.90834, 0.13383 ),
vec3( 0.04823, 0.01566, 0.83777 )
);
const mat3 ACESOutputMat = mat3(
vec3( 1.60475, -0.10208, -0.00327 ), vec3( -0.53108, 1.10813, -0.07276 ),
vec3( -0.07367, -0.00605, 1.07602 )
);
color *= toneMappingExposure / 0.6;
color = ACESInputMat * color;
color = RRTAndODTFit( color );
color = ACESOutputMat * color;
return saturate( color );
}
vec3 CustomToneMapping( vec3 color ) { return color; }`,z1t=`#ifdef USE_TRANSMISSION
material.transmission = transmission;
material.transmissionAlpha = 1.0;
material.thickness = thickness;
material.attenuationDistance = attenuationDistance;
material.attenuationColor = attenuationColor;
#ifdef USE_TRANSMISSIONMAP
material.transmission *= texture2D( transmissionMap, vTransmissionMapUv ).r;
#endif
#ifdef USE_THICKNESSMAP
material.thickness *= texture2D( thicknessMap, vThicknessMapUv ).g;
#endif
vec3 pos = vWorldPosition;
vec3 v = normalize( cameraPosition - pos );
vec3 n = inverseTransformDirection( normal, viewMatrix );
vec4 transmitted = getIBLVolumeRefraction(
n, v, material.roughness, material.diffuseColor, material.specularColor, material.specularF90,
pos, modelMatrix, viewMatrix, projectionMatrix, material.ior, material.thickness,
material.attenuationColor, material.attenuationDistance );
material.transmissionAlpha = mix( material.transmissionAlpha, transmitted.a, material.transmission );
totalDiffuse = mix( totalDiffuse, transmitted.rgb, material.transmission );
#endif`,V1t=`#ifdef USE_TRANSMISSION
uniform float transmission;
uniform float thickness;
uniform float attenuationDistance;
uniform vec3 attenuationColor;
#ifdef USE_TRANSMISSIONMAP
uniform sampler2D transmissionMap;
#endif
#ifdef USE_THICKNESSMAP
uniform sampler2D thicknessMap;
#endif
uniform vec2 transmissionSamplerSize;
uniform sampler2D transmissionSamplerMap;
uniform mat4 modelMatrix;
uniform mat4 projectionMatrix;
varying vec3 vWorldPosition;
float w0( float a ) {
return ( 1.0 / 6.0 ) * ( a * ( a * ( - a + 3.0 ) - 3.0 ) + 1.0 );
}
float w1( float a ) {
return ( 1.0 / 6.0 ) * ( a * a * ( 3.0 * a - 6.0 ) + 4.0 );
}
float w2( float a ){
return ( 1.0 / 6.0 ) * ( a * ( a * ( - 3.0 * a + 3.0 ) + 3.0 ) + 1.0 );
}
float w3( float a ) {
return ( 1.0 / 6.0 ) * ( a * a * a );
}
float g0( float a ) {
return w0( a ) + w1( a );
}
float g1( float a ) {
return w2( a ) + w3( a );
}
float h0( float a ) {
return - 1.0 + w1( a ) / ( w0( a ) + w1( a ) );
}
float h1( float a ) {
return 1.0 + w3( a ) / ( w2( a ) + w3( a ) );
}
vec4 bicubic( sampler2D tex, vec2 uv, vec4 texelSize, float lod ) {
uv = uv * texelSize.zw + 0.5;
vec2 iuv = floor( uv );
vec2 fuv = fract( uv );
float g0x = g0( fuv.x );
float g1x = g1( fuv.x );
float h0x = h0( fuv.x );
float h1x = h1( fuv.x );
float h0y = h0( fuv.y );
float h1y = h1( fuv.y );
vec2 p0 = ( vec2( iuv.x + h0x, iuv.y + h0y ) - 0.5 ) * texelSize.xy;
vec2 p1 = ( vec2( iuv.x + h1x, iuv.y + h0y ) - 0.5 ) * texelSize.xy;
vec2 p2 = ( vec2( iuv.x + h0x, iuv.y + h1y ) - 0.5 ) * texelSize.xy;
vec2 p3 = ( vec2( iuv.x + h1x, iuv.y + h1y ) - 0.5 ) * texelSize.xy;
return g0( fuv.y ) * ( g0x * textureLod( tex, p0, lod ) + g1x * textureLod( tex, p1, lod ) ) +
g1( fuv.y ) * ( g0x * textureLod( tex, p2, lod ) + g1x * textureLod( tex, p3, lod ) );
}
vec4 textureBicubic( sampler2D sampler, vec2 uv, float lod ) {
vec2 fLodSize = vec2( textureSize( sampler, int( lod ) ) );
vec2 cLodSize = vec2( textureSize( sampler, int( lod + 1.0 ) ) );
vec2 fLodSizeInv = 1.0 / fLodSize;
vec2 cLodSizeInv = 1.0 / cLodSize;
vec4 fSample = bicubic( sampler, uv, vec4( fLodSizeInv, fLodSize ), floor( lod ) );
vec4 cSample = bicubic( sampler, uv, vec4( cLodSizeInv, cLodSize ), ceil( lod ) );
return mix( fSample, cSample, fract( lod ) );
}
vec3 getVolumeTransmissionRay( const in vec3 n, const in vec3 v, const in float thickness, const in float ior, const in mat4 modelMatrix ) {
vec3 refractionVector = refract( - v, normalize( n ), 1.0 / ior );
vec3 modelScale;
modelScale.x = length( vec3( modelMatrix[ 0 ].xyz ) );
modelScale.y = length( vec3( modelMatrix[ 1 ].xyz ) );
modelScale.z = length( vec3( modelMatrix[ 2 ].xyz ) );
return normalize( refractionVector ) * thickness * modelScale;
}
float applyIorToRoughness( const in float roughness, const in float ior ) {
return roughness * clamp( ior * 2.0 - 2.0, 0.0, 1.0 );
}
vec4 getTransmissionSample( const in vec2 fragCoord, const in float roughness, const in float ior ) {
float lod = log2( transmissionSamplerSize.x ) * applyIorToRoughness( roughness, ior );
return textureBicubic( transmissionSamplerMap, fragCoord.xy, lod );
}
vec3 volumeAttenuation( const in float transmissionDistance, const in vec3 attenuationColor, const in float attenuationDistance ) {
if ( isinf( attenuationDistance ) ) {
return vec3( 1.0 );
} else {
vec3 attenuationCoefficient = -log( attenuationColor ) / attenuationDistance;
vec3 transmittance = exp( - attenuationCoefficient * transmissionDistance ); return transmittance;
}
}
vec4 getIBLVolumeRefraction( const in vec3 n, const in vec3 v, const in float roughness, const in vec3 diffuseColor,
const in vec3 specularColor, const in float specularF90, const in vec3 position, const in mat4 modelMatrix,
const in mat4 viewMatrix, const in mat4 projMatrix, const in float ior, const in float thickness,
const in vec3 attenuationColor, const in float attenuationDistance ) {
vec3 transmissionRay = getVolumeTransmissionRay( n, v, thickness, ior, modelMatrix );
vec3 refractedRayExit = position + transmissionRay;
vec4 ndcPos = projMatrix * viewMatrix * vec4( refractedRayExit, 1.0 );
vec2 refractionCoords = ndcPos.xy / ndcPos.w;
refractionCoords += 1.0;
refractionCoords /= 2.0;
vec4 transmittedLight = getTransmissionSample( refractionCoords, roughness, ior );
vec3 transmittance = diffuseColor * volumeAttenuation( length( transmissionRay ), attenuationColor, attenuationDistance );
vec3 attenuatedColor = transmittance * transmittedLight.rgb;
vec3 F = EnvironmentBRDF( n, v, specularColor, specularF90, roughness );
float transmittanceFactor = ( transmittance.r + transmittance.g + transmittance.b ) / 3.0;
return vec4( ( 1.0 - F ) * attenuatedColor, 1.0 - ( 1.0 - transmittedLight.a ) * transmittanceFactor );
}
#endif`,H1t=`#if defined( USE_UV ) || defined( USE_ANISOTROPY )
varying vec2 vUv;
#endif
#ifdef USE_MAP
varying vec2 vMapUv;
#endif
#ifdef USE_ALPHAMAP
varying vec2 vAlphaMapUv;
#endif
#ifdef USE_LIGHTMAP
varying vec2 vLightMapUv;
#endif
#ifdef USE_AOMAP
varying vec2 vAoMapUv;
#endif
#ifdef USE_BUMPMAP
varying vec2 vBumpMapUv;
#endif
#ifdef USE_NORMALMAP
varying vec2 vNormalMapUv;
#endif
#ifdef USE_EMISSIVEMAP
varying vec2 vEmissiveMapUv;
#endif
#ifdef USE_METALNESSMAP
varying vec2 vMetalnessMapUv;
#endif
#ifdef USE_ROUGHNESSMAP
varying vec2 vRoughnessMapUv;
#endif
#ifdef USE_ANISOTROPYMAP
varying vec2 vAnisotropyMapUv;
#endif
#ifdef USE_CLEARCOATMAP
varying vec2 vClearcoatMapUv;
#endif
#ifdef USE_CLEARCOAT_NORMALMAP
varying vec2 vClearcoatNormalMapUv;
#endif
#ifdef USE_CLEARCOAT_ROUGHNESSMAP
varying vec2 vClearcoatRoughnessMapUv;
#endif
#ifdef USE_IRIDESCENCEMAP
varying vec2 vIridescenceMapUv;
#endif
#ifdef USE_IRIDESCENCE_THICKNESSMAP
varying vec2 vIridescenceThicknessMapUv;
#endif
#ifdef USE_SHEEN_COLORMAP
varying vec2 vSheenColorMapUv;
#endif
#ifdef USE_SHEEN_ROUGHNESSMAP
varying vec2 vSheenRoughnessMapUv;
#endif
#ifdef USE_SPECULARMAP
varying vec2 vSpecularMapUv;
#endif
#ifdef USE_SPECULAR_COLORMAP
varying vec2 vSpecularColorMapUv;
#endif
#ifdef USE_SPECULAR_INTENSITYMAP
varying vec2 vSpecularIntensityMapUv;
#endif
#ifdef USE_TRANSMISSIONMAP
uniform mat3 transmissionMapTransform;
varying vec2 vTransmissionMapUv;
#endif
#ifdef USE_THICKNESSMAP
uniform mat3 thicknessMapTransform;
varying vec2 vThicknessMapUv;
#endif`,q1t=`#if defined( USE_UV ) || defined( USE_ANISOTROPY )
varying vec2 vUv;
#endif
#ifdef USE_MAP
uniform mat3 mapTransform;
varying vec2 vMapUv;
#endif
#ifdef USE_ALPHAMAP
uniform mat3 alphaMapTransform;
varying vec2 vAlphaMapUv;
#endif
#ifdef USE_LIGHTMAP
uniform mat3 lightMapTransform;
varying vec2 vLightMapUv;
#endif
#ifdef USE_AOMAP
uniform mat3 aoMapTransform;
varying vec2 vAoMapUv;
#endif
#ifdef USE_BUMPMAP
uniform mat3 bumpMapTransform;
varying vec2 vBumpMapUv;
#endif
#ifdef USE_NORMALMAP
uniform mat3 normalMapTransform;
varying vec2 vNormalMapUv;
#endif
#ifdef USE_DISPLACEMENTMAP
uniform mat3 displacementMapTransform;
varying vec2 vDisplacementMapUv;
#endif
#ifdef USE_EMISSIVEMAP
uniform mat3 emissiveMapTransform;
varying vec2 vEmissiveMapUv;
#endif
#ifdef USE_METALNESSMAP
uniform mat3 metalnessMapTransform;
varying vec2 vMetalnessMapUv;
#endif
#ifdef USE_ROUGHNESSMAP
uniform mat3 roughnessMapTransform;
varying vec2 vRoughnessMapUv;
#endif
#ifdef USE_ANISOTROPYMAP
uniform mat3 anisotropyMapTransform;
varying vec2 vAnisotropyMapUv;
#endif
#ifdef USE_CLEARCOATMAP
uniform mat3 clearcoatMapTransform;
varying vec2 vClearcoatMapUv;
#endif
#ifdef USE_CLEARCOAT_NORMALMAP
uniform mat3 clearcoatNormalMapTransform;
varying vec2 vClearcoatNormalMapUv;
#endif
#ifdef USE_CLEARCOAT_ROUGHNESSMAP
uniform mat3 clearcoatRoughnessMapTransform;
varying vec2 vClearcoatRoughnessMapUv;
#endif
#ifdef USE_SHEEN_COLORMAP
uniform mat3 sheenColorMapTransform;
varying vec2 vSheenColorMapUv;
#endif
#ifdef USE_SHEEN_ROUGHNESSMAP
uniform mat3 sheenRoughnessMapTransform;
varying vec2 vSheenRoughnessMapUv;
#endif
#ifdef USE_IRIDESCENCEMAP
uniform mat3 iridescenceMapTransform;
varying vec2 vIridescenceMapUv;
#endif
#ifdef USE_IRIDESCENCE_THICKNESSMAP
uniform mat3 iridescenceThicknessMapTransform;
varying vec2 vIridescenceThicknessMapUv;
#endif
#ifdef USE_SPECULARMAP
uniform mat3 specularMapTransform;
varying vec2 vSpecularMapUv;
#endif
#ifdef USE_SPECULAR_COLORMAP
uniform mat3 specularColorMapTransform;
varying vec2 vSpecularColorMapUv;
#endif
#ifdef USE_SPECULAR_INTENSITYMAP
uniform mat3 specularIntensityMapTransform;
varying vec2 vSpecularIntensityMapUv;
#endif
#ifdef USE_TRANSMISSIONMAP
uniform mat3 transmissionMapTransform;
varying vec2 vTransmissionMapUv;
#endif
#ifdef USE_THICKNESSMAP
uniform mat3 thicknessMapTransform;
varying vec2 vThicknessMapUv;
#endif`,Y1t=`#if defined( USE_UV ) || defined( USE_ANISOTROPY )
vUv = vec3( uv, 1 ).xy;
#endif
#ifdef USE_MAP
vMapUv = ( mapTransform * vec3( MAP_UV, 1 ) ).xy;
#endif
#ifdef USE_ALPHAMAP
vAlphaMapUv = ( alphaMapTransform * vec3( ALPHAMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_LIGHTMAP
vLightMapUv = ( lightMapTransform * vec3( LIGHTMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_AOMAP
vAoMapUv = ( aoMapTransform * vec3( AOMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_BUMPMAP
vBumpMapUv = ( bumpMapTransform * vec3( BUMPMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_NORMALMAP
vNormalMapUv = ( normalMapTransform * vec3( NORMALMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_DISPLACEMENTMAP
vDisplacementMapUv = ( displacementMapTransform * vec3( DISPLACEMENTMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_EMISSIVEMAP
vEmissiveMapUv = ( emissiveMapTransform * vec3( EMISSIVEMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_METALNESSMAP
vMetalnessMapUv = ( metalnessMapTransform * vec3( METALNESSMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_ROUGHNESSMAP
vRoughnessMapUv = ( roughnessMapTransform * vec3( ROUGHNESSMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_ANISOTROPYMAP
vAnisotropyMapUv = ( anisotropyMapTransform * vec3( ANISOTROPYMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_CLEARCOATMAP
vClearcoatMapUv = ( clearcoatMapTransform * vec3( CLEARCOATMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_CLEARCOAT_NORMALMAP
vClearcoatNormalMapUv = ( clearcoatNormalMapTransform * vec3( CLEARCOAT_NORMALMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_CLEARCOAT_ROUGHNESSMAP
vClearcoatRoughnessMapUv = ( clearcoatRoughnessMapTransform * vec3( CLEARCOAT_ROUGHNESSMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_IRIDESCENCEMAP
vIridescenceMapUv = ( iridescenceMapTransform * vec3( IRIDESCENCEMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_IRIDESCENCE_THICKNESSMAP
vIridescenceThicknessMapUv = ( iridescenceThicknessMapTransform * vec3( IRIDESCENCE_THICKNESSMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_SHEEN_COLORMAP
vSheenColorMapUv = ( sheenColorMapTransform * vec3( SHEEN_COLORMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_SHEEN_ROUGHNESSMAP
vSheenRoughnessMapUv = ( sheenRoughnessMapTransform * vec3( SHEEN_ROUGHNESSMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_SPECULARMAP
vSpecularMapUv = ( specularMapTransform * vec3( SPECULARMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_SPECULAR_COLORMAP
vSpecularColorMapUv = ( specularColorMapTransform * vec3( SPECULAR_COLORMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_SPECULAR_INTENSITYMAP
vSpecularIntensityMapUv = ( specularIntensityMapTransform * vec3( SPECULAR_INTENSITYMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_TRANSMISSIONMAP
vTransmissionMapUv = ( transmissionMapTransform * vec3( TRANSMISSIONMAP_UV, 1 ) ).xy;
#endif
#ifdef USE_THICKNESSMAP
vThicknessMapUv = ( thicknessMapTransform * vec3( THICKNESSMAP_UV, 1 ) ).xy;
#endif`,$1t=`#if defined( USE_ENVMAP ) || defined( DISTANCE ) || defined ( USE_SHADOWMAP ) || defined ( USE_TRANSMISSION ) || NUM_SPOT_LIGHT_COORDS > 0
vec4 worldPosition = vec4( transformed, 1.0 );
#ifdef USE_BATCHING
worldPosition = batchingMatrix * worldPosition;
#endif
#ifdef USE_INSTANCING
worldPosition = instanceMatrix * worldPosition;
#endif
worldPosition = modelMatrix * worldPosition;
#endif`;const W1t=`varying vec2 vUv;
uniform mat3 uvTransform;
void main() {
vUv = ( uvTransform * vec3( uv, 1 ) ).xy;
gl_Position = vec4( position.xy, 1.0, 1.0 );
}`,K1t=`uniform sampler2D t2D;
uniform float backgroundIntensity;
varying vec2 vUv;
void main() {
vec4 texColor = texture2D( t2D, vUv );
#ifdef DECODE_VIDEO_TEXTURE
texColor = vec4( mix( pow( texColor.rgb * 0.9478672986 + vec3( 0.0521327014 ), vec3( 2.4 ) ), texColor.rgb * 0.0773993808, vec3( lessThanEqual( texColor.rgb, vec3( 0.04045 ) ) ) ), texColor.w );
#endif
texColor.rgb *= backgroundIntensity;
gl_FragColor = texColor;
#include <tonemapping_fragment>
#include <colorspace_fragment>
}`,j1t=`varying vec3 vWorldDirection;
#include <common>
void main() {
vWorldDirection = transformDirection( position, modelMatrix );
#include <begin_vertex>
#include <project_vertex>
gl_Position.z = gl_Position.w;
}`,Q1t=`#ifdef ENVMAP_TYPE_CUBE
uniform samplerCube envMap;
#elif defined( ENVMAP_TYPE_CUBE_UV )
uniform sampler2D envMap;
#endif
uniform float flipEnvMap;
uniform float backgroundBlurriness;
uniform float backgroundIntensity;
varying vec3 vWorldDirection;
#include <cube_uv_reflection_fragment>
void main() {
#ifdef ENVMAP_TYPE_CUBE
vec4 texColor = textureCube( envMap, vec3( flipEnvMap * vWorldDirection.x, vWorldDirection.yz ) );
#elif defined( ENVMAP_TYPE_CUBE_UV )
vec4 texColor = textureCubeUV( envMap, vWorldDirection, backgroundBlurriness );
#else
vec4 texColor = vec4( 0.0, 0.0, 0.0, 1.0 );
#endif
texColor.rgb *= backgroundIntensity;
gl_FragColor = texColor;
#include <tonemapping_fragment>
#include <colorspace_fragment>
}`,X1t=`varying vec3 vWorldDirection;
#include <common>
void main() {
vWorldDirection = transformDirection( position, modelMatrix );
#include <begin_vertex>
#include <project_vertex>
gl_Position.z = gl_Position.w;
}`,Z1t=`uniform samplerCube tCube;
uniform float tFlip;
uniform float opacity;
varying vec3 vWorldDirection;
void main() {
vec4 texColor = textureCube( tCube, vec3( tFlip * vWorldDirection.x, vWorldDirection.yz ) );
gl_FragColor = texColor;
gl_FragColor.a *= opacity;
#include <tonemapping_fragment>
#include <colorspace_fragment>
}`,J1t=`#include <common>
#include <batching_pars_vertex>
#include <uv_pars_vertex>
#include <displacementmap_pars_vertex>
#include <morphtarget_pars_vertex>
#include <skinning_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
varying vec2 vHighPrecisionZW;
void main() {
#include <uv_vertex>
#include <batching_vertex>
#include <skinbase_vertex>
#ifdef USE_DISPLACEMENTMAP
#include <beginnormal_vertex>
#include <morphnormal_vertex>
#include <skinnormal_vertex>
#endif
#include <begin_vertex>
#include <morphtarget_vertex>
#include <skinning_vertex>
#include <displacementmap_vertex>
#include <project_vertex>
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
vHighPrecisionZW = gl_Position.zw;
}`,eRt=`#if DEPTH_PACKING == 3200
uniform float opacity;
#endif
#include <common>
#include <packing>
#include <uv_pars_fragment>
#include <map_pars_fragment>
#include <alphamap_pars_fragment>
#include <alphatest_pars_fragment>
#include <alphahash_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
varying vec2 vHighPrecisionZW;
void main() {
#include <clipping_planes_fragment>
vec4 diffuseColor = vec4( 1.0 );
#if DEPTH_PACKING == 3200
diffuseColor.a = opacity;
#endif
#include <map_fragment>
#include <alphamap_fragment>
#include <alphatest_fragment>
#include <alphahash_fragment>
#include <logdepthbuf_fragment>
float fragCoordZ = 0.5 * vHighPrecisionZW[0] / vHighPrecisionZW[1] + 0.5;
#if DEPTH_PACKING == 3200
gl_FragColor = vec4( vec3( 1.0 - fragCoordZ ), opacity );
#elif DEPTH_PACKING == 3201
gl_FragColor = packDepthToRGBA( fragCoordZ );
#endif
}`,tRt=`#define DISTANCE
varying vec3 vWorldPosition;
#include <common>
#include <batching_pars_vertex>
#include <uv_pars_vertex>
#include <displacementmap_pars_vertex>
#include <morphtarget_pars_vertex>
#include <skinning_pars_vertex>
#include <clipping_planes_pars_vertex>
void main() {
#include <uv_vertex>
#include <batching_vertex>
#include <skinbase_vertex>
#ifdef USE_DISPLACEMENTMAP
#include <beginnormal_vertex>
#include <morphnormal_vertex>
#include <skinnormal_vertex>
#endif
#include <begin_vertex>
#include <morphtarget_vertex>
#include <skinning_vertex>
#include <displacementmap_vertex>
#include <project_vertex>
#include <worldpos_vertex>
#include <clipping_planes_vertex>
vWorldPosition = worldPosition.xyz;
}`,nRt=`#define DISTANCE
uniform vec3 referencePosition;
uniform float nearDistance;
uniform float farDistance;
varying vec3 vWorldPosition;
#include <common>
#include <packing>
#include <uv_pars_fragment>
#include <map_pars_fragment>
#include <alphamap_pars_fragment>
#include <alphatest_pars_fragment>
#include <alphahash_pars_fragment>
#include <clipping_planes_pars_fragment>
void main () {
#include <clipping_planes_fragment>
vec4 diffuseColor = vec4( 1.0 );
#include <map_fragment>
#include <alphamap_fragment>
#include <alphatest_fragment>
#include <alphahash_fragment>
float dist = length( vWorldPosition - referencePosition );
dist = ( dist - nearDistance ) / ( farDistance - nearDistance );
dist = saturate( dist );
gl_FragColor = packDepthToRGBA( dist );
}`,iRt=`varying vec3 vWorldDirection;
#include <common>
void main() {
vWorldDirection = transformDirection( position, modelMatrix );
#include <begin_vertex>
#include <project_vertex>
}`,sRt=`uniform sampler2D tEquirect;
varying vec3 vWorldDirection;
#include <common>
void main() {
vec3 direction = normalize( vWorldDirection );
vec2 sampleUV = equirectUv( direction );
gl_FragColor = texture2D( tEquirect, sampleUV );
#include <tonemapping_fragment>
#include <colorspace_fragment>
}`,rRt=`uniform float scale;
attribute float lineDistance;
varying float vLineDistance;
#include <common>
#include <uv_pars_vertex>
#include <color_pars_vertex>
#include <fog_pars_vertex>
#include <morphtarget_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
void main() {
vLineDistance = scale * lineDistance;
#include <uv_vertex>
#include <color_vertex>
#include <morphcolor_vertex>
#include <begin_vertex>
#include <morphtarget_vertex>
#include <project_vertex>
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
#include <fog_vertex>
}`,oRt=`uniform vec3 diffuse;
uniform float opacity;
uniform float dashSize;
uniform float totalSize;
varying float vLineDistance;
#include <common>
#include <color_pars_fragment>
#include <uv_pars_fragment>
#include <map_pars_fragment>
#include <fog_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
void main() {
#include <clipping_planes_fragment>
if ( mod( vLineDistance, totalSize ) > dashSize ) {
discard;
}
vec3 outgoingLight = vec3( 0.0 );
vec4 diffuseColor = vec4( diffuse, opacity );
#include <logdepthbuf_fragment>
#include <map_fragment>
#include <color_fragment>
outgoingLight = diffuseColor.rgb;
#include <opaque_fragment>
#include <tonemapping_fragment>
#include <colorspace_fragment>
#include <fog_fragment>
#include <premultiplied_alpha_fragment>
}`,aRt=`#include <common>
#include <batching_pars_vertex>
#include <uv_pars_vertex>
#include <envmap_pars_vertex>
#include <color_pars_vertex>
#include <fog_pars_vertex>
#include <morphtarget_pars_vertex>
#include <skinning_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
void main() {
#include <uv_vertex>
#include <color_vertex>
#include <morphcolor_vertex>
#include <batching_vertex>
#if defined ( USE_ENVMAP ) || defined ( USE_SKINNING )
#include <beginnormal_vertex>
#include <morphnormal_vertex>
#include <skinbase_vertex>
#include <skinnormal_vertex>
#include <defaultnormal_vertex>
#endif
#include <begin_vertex>
#include <morphtarget_vertex>
#include <skinning_vertex>
#include <project_vertex>
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
#include <worldpos_vertex>
#include <envmap_vertex>
#include <fog_vertex>
}`,lRt=`uniform vec3 diffuse;
uniform float opacity;
#ifndef FLAT_SHADED
varying vec3 vNormal;
#endif
#include <common>
#include <dithering_pars_fragment>
#include <color_pars_fragment>
#include <uv_pars_fragment>
#include <map_pars_fragment>
#include <alphamap_pars_fragment>
#include <alphatest_pars_fragment>
#include <alphahash_pars_fragment>
#include <aomap_pars_fragment>
#include <lightmap_pars_fragment>
#include <envmap_common_pars_fragment>
#include <envmap_pars_fragment>
#include <fog_pars_fragment>
#include <specularmap_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
void main() {
#include <clipping_planes_fragment>
vec4 diffuseColor = vec4( diffuse, opacity );
#include <logdepthbuf_fragment>
#include <map_fragment>
#include <color_fragment>
#include <alphamap_fragment>
#include <alphatest_fragment>
#include <alphahash_fragment>
#include <specularmap_fragment>
ReflectedLight reflectedLight = ReflectedLight( vec3( 0.0 ), vec3( 0.0 ), vec3( 0.0 ), vec3( 0.0 ) );
#ifdef USE_LIGHTMAP
vec4 lightMapTexel = texture2D( lightMap, vLightMapUv );
reflectedLight.indirectDiffuse += lightMapTexel.rgb * lightMapIntensity * RECIPROCAL_PI;
#else
reflectedLight.indirectDiffuse += vec3( 1.0 );
#endif
#include <aomap_fragment>
reflectedLight.indirectDiffuse *= diffuseColor.rgb;
vec3 outgoingLight = reflectedLight.indirectDiffuse;
#include <envmap_fragment>
#include <opaque_fragment>
#include <tonemapping_fragment>
#include <colorspace_fragment>
#include <fog_fragment>
#include <premultiplied_alpha_fragment>
#include <dithering_fragment>
}`,cRt=`#define LAMBERT
varying vec3 vViewPosition;
#include <common>
#include <batching_pars_vertex>
#include <uv_pars_vertex>
#include <displacementmap_pars_vertex>
#include <envmap_pars_vertex>
#include <color_pars_vertex>
#include <fog_pars_vertex>
#include <normal_pars_vertex>
#include <morphtarget_pars_vertex>
#include <skinning_pars_vertex>
#include <shadowmap_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
void main() {
#include <uv_vertex>
#include <color_vertex>
#include <morphcolor_vertex>
#include <batching_vertex>
#include <beginnormal_vertex>
#include <morphnormal_vertex>
#include <skinbase_vertex>
#include <skinnormal_vertex>
#include <defaultnormal_vertex>
#include <normal_vertex>
#include <begin_vertex>
#include <morphtarget_vertex>
#include <skinning_vertex>
#include <displacementmap_vertex>
#include <project_vertex>
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
vViewPosition = - mvPosition.xyz;
#include <worldpos_vertex>
#include <envmap_vertex>
#include <shadowmap_vertex>
#include <fog_vertex>
}`,dRt=`#define LAMBERT
uniform vec3 diffuse;
uniform vec3 emissive;
uniform float opacity;
#include <common>
#include <packing>
#include <dithering_pars_fragment>
#include <color_pars_fragment>
#include <uv_pars_fragment>
#include <map_pars_fragment>
#include <alphamap_pars_fragment>
#include <alphatest_pars_fragment>
#include <alphahash_pars_fragment>
#include <aomap_pars_fragment>
#include <lightmap_pars_fragment>
#include <emissivemap_pars_fragment>
#include <envmap_common_pars_fragment>
#include <envmap_pars_fragment>
#include <fog_pars_fragment>
#include <bsdfs>
#include <lights_pars_begin>
#include <normal_pars_fragment>
#include <lights_lambert_pars_fragment>
#include <shadowmap_pars_fragment>
#include <bumpmap_pars_fragment>
#include <normalmap_pars_fragment>
#include <specularmap_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
void main() {
#include <clipping_planes_fragment>
vec4 diffuseColor = vec4( diffuse, opacity );
ReflectedLight reflectedLight = ReflectedLight( vec3( 0.0 ), vec3( 0.0 ), vec3( 0.0 ), vec3( 0.0 ) );
vec3 totalEmissiveRadiance = emissive;
#include <logdepthbuf_fragment>
#include <map_fragment>
#include <color_fragment>
#include <alphamap_fragment>
#include <alphatest_fragment>
#include <alphahash_fragment>
#include <specularmap_fragment>
#include <normal_fragment_begin>
#include <normal_fragment_maps>
#include <emissivemap_fragment>
#include <lights_lambert_fragment>
#include <lights_fragment_begin>
#include <lights_fragment_maps>
#include <lights_fragment_end>
#include <aomap_fragment>
vec3 outgoingLight = reflectedLight.directDiffuse + reflectedLight.indirectDiffuse + totalEmissiveRadiance;
#include <envmap_fragment>
#include <opaque_fragment>
#include <tonemapping_fragment>
#include <colorspace_fragment>
#include <fog_fragment>
#include <premultiplied_alpha_fragment>
#include <dithering_fragment>
}`,uRt=`#define MATCAP
varying vec3 vViewPosition;
#include <common>
#include <batching_pars_vertex>
#include <uv_pars_vertex>
#include <color_pars_vertex>
#include <displacementmap_pars_vertex>
#include <fog_pars_vertex>
#include <normal_pars_vertex>
#include <morphtarget_pars_vertex>
#include <skinning_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
void main() {
#include <uv_vertex>
#include <color_vertex>
#include <morphcolor_vertex>
#include <batching_vertex>
#include <beginnormal_vertex>
#include <morphnormal_vertex>
#include <skinbase_vertex>
#include <skinnormal_vertex>
#include <defaultnormal_vertex>
#include <normal_vertex>
#include <begin_vertex>
#include <morphtarget_vertex>
#include <skinning_vertex>
#include <displacementmap_vertex>
#include <project_vertex>
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
#include <fog_vertex>
vViewPosition = - mvPosition.xyz;
}`,pRt=`#define MATCAP
uniform vec3 diffuse;
uniform float opacity;
uniform sampler2D matcap;
varying vec3 vViewPosition;
#include <common>
#include <dithering_pars_fragment>
#include <color_pars_fragment>
#include <uv_pars_fragment>
#include <map_pars_fragment>
#include <alphamap_pars_fragment>
#include <alphatest_pars_fragment>
#include <alphahash_pars_fragment>
#include <fog_pars_fragment>
#include <normal_pars_fragment>
#include <bumpmap_pars_fragment>
#include <normalmap_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
void main() {
#include <clipping_planes_fragment>
vec4 diffuseColor = vec4( diffuse, opacity );
#include <logdepthbuf_fragment>
#include <map_fragment>
#include <color_fragment>
#include <alphamap_fragment>
#include <alphatest_fragment>
#include <alphahash_fragment>
#include <normal_fragment_begin>
#include <normal_fragment_maps>
vec3 viewDir = normalize( vViewPosition );
vec3 x = normalize( vec3( viewDir.z, 0.0, - viewDir.x ) );
vec3 y = cross( viewDir, x );
vec2 uv = vec2( dot( x, normal ), dot( y, normal ) ) * 0.495 + 0.5;
#ifdef USE_MATCAP
vec4 matcapColor = texture2D( matcap, uv );
#else
vec4 matcapColor = vec4( vec3( mix( 0.2, 0.8, uv.y ) ), 1.0 );
#endif
vec3 outgoingLight = diffuseColor.rgb * matcapColor.rgb;
#include <opaque_fragment>
#include <tonemapping_fragment>
#include <colorspace_fragment>
#include <fog_fragment>
#include <premultiplied_alpha_fragment>
#include <dithering_fragment>
}`,_Rt=`#define NORMAL
#if defined( FLAT_SHADED ) || defined( USE_BUMPMAP ) || defined( USE_NORMALMAP_TANGENTSPACE )
varying vec3 vViewPosition;
#endif
#include <common>
#include <batching_pars_vertex>
#include <uv_pars_vertex>
#include <displacementmap_pars_vertex>
#include <normal_pars_vertex>
#include <morphtarget_pars_vertex>
#include <skinning_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
void main() {
#include <uv_vertex>
#include <batching_vertex>
#include <beginnormal_vertex>
#include <morphnormal_vertex>
#include <skinbase_vertex>
#include <skinnormal_vertex>
#include <defaultnormal_vertex>
#include <normal_vertex>
#include <begin_vertex>
#include <morphtarget_vertex>
#include <skinning_vertex>
#include <displacementmap_vertex>
#include <project_vertex>
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
#if defined( FLAT_SHADED ) || defined( USE_BUMPMAP ) || defined( USE_NORMALMAP_TANGENTSPACE )
vViewPosition = - mvPosition.xyz;
#endif
}`,hRt=`#define NORMAL
uniform float opacity;
#if defined( FLAT_SHADED ) || defined( USE_BUMPMAP ) || defined( USE_NORMALMAP_TANGENTSPACE )
varying vec3 vViewPosition;
#endif
#include <packing>
#include <uv_pars_fragment>
#include <normal_pars_fragment>
#include <bumpmap_pars_fragment>
#include <normalmap_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
void main() {
#include <clipping_planes_fragment>
#include <logdepthbuf_fragment>
#include <normal_fragment_begin>
#include <normal_fragment_maps>
gl_FragColor = vec4( packNormalToRGB( normal ), opacity );
#ifdef OPAQUE
gl_FragColor.a = 1.0;
#endif
}`,fRt=`#define PHONG
varying vec3 vViewPosition;
#include <common>
#include <batching_pars_vertex>
#include <uv_pars_vertex>
#include <displacementmap_pars_vertex>
#include <envmap_pars_vertex>
#include <color_pars_vertex>
#include <fog_pars_vertex>
#include <normal_pars_vertex>
#include <morphtarget_pars_vertex>
#include <skinning_pars_vertex>
#include <shadowmap_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
void main() {
#include <uv_vertex>
#include <color_vertex>
#include <morphcolor_vertex>
#include <batching_vertex>
#include <beginnormal_vertex>
#include <morphnormal_vertex>
#include <skinbase_vertex>
#include <skinnormal_vertex>
#include <defaultnormal_vertex>
#include <normal_vertex>
#include <begin_vertex>
#include <morphtarget_vertex>
#include <skinning_vertex>
#include <displacementmap_vertex>
#include <project_vertex>
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
vViewPosition = - mvPosition.xyz;
#include <worldpos_vertex>
#include <envmap_vertex>
#include <shadowmap_vertex>
#include <fog_vertex>
}`,mRt=`#define PHONG
uniform vec3 diffuse;
uniform vec3 emissive;
uniform vec3 specular;
uniform float shininess;
uniform float opacity;
#include <common>
#include <packing>
#include <dithering_pars_fragment>
#include <color_pars_fragment>
#include <uv_pars_fragment>
#include <map_pars_fragment>
#include <alphamap_pars_fragment>
#include <alphatest_pars_fragment>
#include <alphahash_pars_fragment>
#include <aomap_pars_fragment>
#include <lightmap_pars_fragment>
#include <emissivemap_pars_fragment>
#include <envmap_common_pars_fragment>
#include <envmap_pars_fragment>
#include <fog_pars_fragment>
#include <bsdfs>
#include <lights_pars_begin>
#include <normal_pars_fragment>
#include <lights_phong_pars_fragment>
#include <shadowmap_pars_fragment>
#include <bumpmap_pars_fragment>
#include <normalmap_pars_fragment>
#include <specularmap_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
void main() {
#include <clipping_planes_fragment>
vec4 diffuseColor = vec4( diffuse, opacity );
ReflectedLight reflectedLight = ReflectedLight( vec3( 0.0 ), vec3( 0.0 ), vec3( 0.0 ), vec3( 0.0 ) );
vec3 totalEmissiveRadiance = emissive;
#include <logdepthbuf_fragment>
#include <map_fragment>
#include <color_fragment>
#include <alphamap_fragment>
#include <alphatest_fragment>
#include <alphahash_fragment>
#include <specularmap_fragment>
#include <normal_fragment_begin>
#include <normal_fragment_maps>
#include <emissivemap_fragment>
#include <lights_phong_fragment>
#include <lights_fragment_begin>
#include <lights_fragment_maps>
#include <lights_fragment_end>
#include <aomap_fragment>
vec3 outgoingLight = reflectedLight.directDiffuse + reflectedLight.indirectDiffuse + reflectedLight.directSpecular + reflectedLight.indirectSpecular + totalEmissiveRadiance;
#include <envmap_fragment>
#include <opaque_fragment>
#include <tonemapping_fragment>
#include <colorspace_fragment>
#include <fog_fragment>
#include <premultiplied_alpha_fragment>
#include <dithering_fragment>
}`,gRt=`#define STANDARD
varying vec3 vViewPosition;
#ifdef USE_TRANSMISSION
varying vec3 vWorldPosition;
#endif
#include <common>
#include <batching_pars_vertex>
#include <uv_pars_vertex>
#include <displacementmap_pars_vertex>
#include <color_pars_vertex>
#include <fog_pars_vertex>
#include <normal_pars_vertex>
#include <morphtarget_pars_vertex>
#include <skinning_pars_vertex>
#include <shadowmap_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
void main() {
#include <uv_vertex>
#include <color_vertex>
#include <morphcolor_vertex>
#include <batching_vertex>
#include <beginnormal_vertex>
#include <morphnormal_vertex>
#include <skinbase_vertex>
#include <skinnormal_vertex>
#include <defaultnormal_vertex>
#include <normal_vertex>
#include <begin_vertex>
#include <morphtarget_vertex>
#include <skinning_vertex>
#include <displacementmap_vertex>
#include <project_vertex>
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
vViewPosition = - mvPosition.xyz;
#include <worldpos_vertex>
#include <shadowmap_vertex>
#include <fog_vertex>
#ifdef USE_TRANSMISSION
vWorldPosition = worldPosition.xyz;
#endif
}`,bRt=`#define STANDARD
#ifdef PHYSICAL
#define IOR
#define USE_SPECULAR
#endif
uniform vec3 diffuse;
uniform vec3 emissive;
uniform float roughness;
uniform float metalness;
uniform float opacity;
#ifdef IOR
uniform float ior;
#endif
#ifdef USE_SPECULAR
uniform float specularIntensity;
uniform vec3 specularColor;
#ifdef USE_SPECULAR_COLORMAP
uniform sampler2D specularColorMap;
#endif
#ifdef USE_SPECULAR_INTENSITYMAP
uniform sampler2D specularIntensityMap;
#endif
#endif
#ifdef USE_CLEARCOAT
uniform float clearcoat;
uniform float clearcoatRoughness;
#endif
#ifdef USE_IRIDESCENCE
uniform float iridescence;
uniform float iridescenceIOR;
uniform float iridescenceThicknessMinimum;
uniform float iridescenceThicknessMaximum;
#endif
#ifdef USE_SHEEN
uniform vec3 sheenColor;
uniform float sheenRoughness;
#ifdef USE_SHEEN_COLORMAP
uniform sampler2D sheenColorMap;
#endif
#ifdef USE_SHEEN_ROUGHNESSMAP
uniform sampler2D sheenRoughnessMap;
#endif
#endif
#ifdef USE_ANISOTROPY
uniform vec2 anisotropyVector;
#ifdef USE_ANISOTROPYMAP
uniform sampler2D anisotropyMap;
#endif
#endif
varying vec3 vViewPosition;
#include <common>
#include <packing>
#include <dithering_pars_fragment>
#include <color_pars_fragment>
#include <uv_pars_fragment>
#include <map_pars_fragment>
#include <alphamap_pars_fragment>
#include <alphatest_pars_fragment>
#include <alphahash_pars_fragment>
#include <aomap_pars_fragment>
#include <lightmap_pars_fragment>
#include <emissivemap_pars_fragment>
#include <iridescence_fragment>
#include <cube_uv_reflection_fragment>
#include <envmap_common_pars_fragment>
#include <envmap_physical_pars_fragment>
#include <fog_pars_fragment>
#include <lights_pars_begin>
#include <normal_pars_fragment>
#include <lights_physical_pars_fragment>
#include <transmission_pars_fragment>
#include <shadowmap_pars_fragment>
#include <bumpmap_pars_fragment>
#include <normalmap_pars_fragment>
#include <clearcoat_pars_fragment>
#include <iridescence_pars_fragment>
#include <roughnessmap_pars_fragment>
#include <metalnessmap_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
void main() {
#include <clipping_planes_fragment>
vec4 diffuseColor = vec4( diffuse, opacity );
ReflectedLight reflectedLight = ReflectedLight( vec3( 0.0 ), vec3( 0.0 ), vec3( 0.0 ), vec3( 0.0 ) );
vec3 totalEmissiveRadiance = emissive;
#include <logdepthbuf_fragment>
#include <map_fragment>
#include <color_fragment>
#include <alphamap_fragment>
#include <alphatest_fragment>
#include <alphahash_fragment>
#include <roughnessmap_fragment>
#include <metalnessmap_fragment>
#include <normal_fragment_begin>
#include <normal_fragment_maps>
#include <clearcoat_normal_fragment_begin>
#include <clearcoat_normal_fragment_maps>
#include <emissivemap_fragment>
#include <lights_physical_fragment>
#include <lights_fragment_begin>
#include <lights_fragment_maps>
#include <lights_fragment_end>
#include <aomap_fragment>
vec3 totalDiffuse = reflectedLight.directDiffuse + reflectedLight.indirectDiffuse;
vec3 totalSpecular = reflectedLight.directSpecular + reflectedLight.indirectSpecular;
#include <transmission_fragment>
vec3 outgoingLight = totalDiffuse + totalSpecular + totalEmissiveRadiance;
#ifdef USE_SHEEN
float sheenEnergyComp = 1.0 - 0.157 * max3( material.sheenColor );
outgoingLight = outgoingLight * sheenEnergyComp + sheenSpecularDirect + sheenSpecularIndirect;
#endif
#ifdef USE_CLEARCOAT
float dotNVcc = saturate( dot( geometryClearcoatNormal, geometryViewDir ) );
vec3 Fcc = F_Schlick( material.clearcoatF0, material.clearcoatF90, dotNVcc );
outgoingLight = outgoingLight * ( 1.0 - material.clearcoat * Fcc ) + ( clearcoatSpecularDirect + clearcoatSpecularIndirect ) * material.clearcoat;
#endif
#include <opaque_fragment>
#include <tonemapping_fragment>
#include <colorspace_fragment>
#include <fog_fragment>
#include <premultiplied_alpha_fragment>
#include <dithering_fragment>
}`,ERt=`#define TOON
varying vec3 vViewPosition;
#include <common>
#include <batching_pars_vertex>
#include <uv_pars_vertex>
#include <displacementmap_pars_vertex>
#include <color_pars_vertex>
#include <fog_pars_vertex>
#include <normal_pars_vertex>
#include <morphtarget_pars_vertex>
#include <skinning_pars_vertex>
#include <shadowmap_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
void main() {
#include <uv_vertex>
#include <color_vertex>
#include <morphcolor_vertex>
#include <batching_vertex>
#include <beginnormal_vertex>
#include <morphnormal_vertex>
#include <skinbase_vertex>
#include <skinnormal_vertex>
#include <defaultnormal_vertex>
#include <normal_vertex>
#include <begin_vertex>
#include <morphtarget_vertex>
#include <skinning_vertex>
#include <displacementmap_vertex>
#include <project_vertex>
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
vViewPosition = - mvPosition.xyz;
#include <worldpos_vertex>
#include <shadowmap_vertex>
#include <fog_vertex>
}`,vRt=`#define TOON
uniform vec3 diffuse;
uniform vec3 emissive;
uniform float opacity;
#include <common>
#include <packing>
#include <dithering_pars_fragment>
#include <color_pars_fragment>
#include <uv_pars_fragment>
#include <map_pars_fragment>
#include <alphamap_pars_fragment>
#include <alphatest_pars_fragment>
#include <alphahash_pars_fragment>
#include <aomap_pars_fragment>
#include <lightmap_pars_fragment>
#include <emissivemap_pars_fragment>
#include <gradientmap_pars_fragment>
#include <fog_pars_fragment>
#include <bsdfs>
#include <lights_pars_begin>
#include <normal_pars_fragment>
#include <lights_toon_pars_fragment>
#include <shadowmap_pars_fragment>
#include <bumpmap_pars_fragment>
#include <normalmap_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
void main() {
#include <clipping_planes_fragment>
vec4 diffuseColor = vec4( diffuse, opacity );
ReflectedLight reflectedLight = ReflectedLight( vec3( 0.0 ), vec3( 0.0 ), vec3( 0.0 ), vec3( 0.0 ) );
vec3 totalEmissiveRadiance = emissive;
#include <logdepthbuf_fragment>
#include <map_fragment>
#include <color_fragment>
#include <alphamap_fragment>
#include <alphatest_fragment>
#include <alphahash_fragment>
#include <normal_fragment_begin>
#include <normal_fragment_maps>
#include <emissivemap_fragment>
#include <lights_toon_fragment>
#include <lights_fragment_begin>
#include <lights_fragment_maps>
#include <lights_fragment_end>
#include <aomap_fragment>
vec3 outgoingLight = reflectedLight.directDiffuse + reflectedLight.indirectDiffuse + totalEmissiveRadiance;
#include <opaque_fragment>
#include <tonemapping_fragment>
#include <colorspace_fragment>
#include <fog_fragment>
#include <premultiplied_alpha_fragment>
#include <dithering_fragment>
}`,yRt=`uniform float size;
uniform float scale;
#include <common>
#include <color_pars_vertex>
#include <fog_pars_vertex>
#include <morphtarget_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
#ifdef USE_POINTS_UV
varying vec2 vUv;
uniform mat3 uvTransform;
#endif
void main() {
#ifdef USE_POINTS_UV
vUv = ( uvTransform * vec3( uv, 1 ) ).xy;
#endif
#include <color_vertex>
#include <morphcolor_vertex>
#include <begin_vertex>
#include <morphtarget_vertex>
#include <project_vertex>
gl_PointSize = size;
#ifdef USE_SIZEATTENUATION
bool isPerspective = isPerspectiveMatrix( projectionMatrix );
if ( isPerspective ) gl_PointSize *= ( scale / - mvPosition.z );
#endif
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
#include <worldpos_vertex>
#include <fog_vertex>
}`,SRt=`uniform vec3 diffuse;
uniform float opacity;
#include <common>
#include <color_pars_fragment>
#include <map_particle_pars_fragment>
#include <alphatest_pars_fragment>
#include <alphahash_pars_fragment>
#include <fog_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
void main() {
#include <clipping_planes_fragment>
vec3 outgoingLight = vec3( 0.0 );
vec4 diffuseColor = vec4( diffuse, opacity );
#include <logdepthbuf_fragment>
#include <map_particle_fragment>
#include <color_fragment>
#include <alphatest_fragment>
#include <alphahash_fragment>
outgoingLight = diffuseColor.rgb;
#include <opaque_fragment>
#include <tonemapping_fragment>
#include <colorspace_fragment>
#include <fog_fragment>
#include <premultiplied_alpha_fragment>
}`,TRt=`#include <common>
#include <batching_pars_vertex>
#include <fog_pars_vertex>
#include <morphtarget_pars_vertex>
#include <skinning_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <shadowmap_pars_vertex>
void main() {
#include <batching_vertex>
#include <beginnormal_vertex>
#include <morphnormal_vertex>
#include <skinbase_vertex>
#include <skinnormal_vertex>
#include <defaultnormal_vertex>
#include <begin_vertex>
#include <morphtarget_vertex>
#include <skinning_vertex>
#include <project_vertex>
#include <logdepthbuf_vertex>
#include <worldpos_vertex>
#include <shadowmap_vertex>
#include <fog_vertex>
}`,xRt=`uniform vec3 color;
uniform float opacity;
#include <common>
#include <packing>
#include <fog_pars_fragment>
#include <bsdfs>
#include <lights_pars_begin>
#include <logdepthbuf_pars_fragment>
#include <shadowmap_pars_fragment>
#include <shadowmask_pars_fragment>
void main() {
#include <logdepthbuf_fragment>
gl_FragColor = vec4( color, opacity * ( 1.0 - getShadowMask() ) );
#include <tonemapping_fragment>
#include <colorspace_fragment>
#include <fog_fragment>
}`,CRt=`uniform float rotation;
uniform vec2 center;
#include <common>
#include <uv_pars_vertex>
#include <fog_pars_vertex>
#include <logdepthbuf_pars_vertex>
#include <clipping_planes_pars_vertex>
void main() {
#include <uv_vertex>
vec4 mvPosition = modelViewMatrix * vec4( 0.0, 0.0, 0.0, 1.0 );
vec2 scale;
scale.x = length( vec3( modelMatrix[ 0 ].x, modelMatrix[ 0 ].y, modelMatrix[ 0 ].z ) );
scale.y = length( vec3( modelMatrix[ 1 ].x, modelMatrix[ 1 ].y, modelMatrix[ 1 ].z ) );
#ifndef USE_SIZEATTENUATION
bool isPerspective = isPerspectiveMatrix( projectionMatrix );
if ( isPerspective ) scale *= - mvPosition.z;
#endif
vec2 alignedPosition = ( position.xy - ( center - vec2( 0.5 ) ) ) * scale;
vec2 rotatedPosition;
rotatedPosition.x = cos( rotation ) * alignedPosition.x - sin( rotation ) * alignedPosition.y;
rotatedPosition.y = sin( rotation ) * alignedPosition.x + cos( rotation ) * alignedPosition.y;
mvPosition.xy += rotatedPosition;
gl_Position = projectionMatrix * mvPosition;
#include <logdepthbuf_vertex>
#include <clipping_planes_vertex>
#include <fog_vertex>
}`,RRt=`uniform vec3 diffuse;
uniform float opacity;
#include <common>
#include <uv_pars_fragment>
#include <map_pars_fragment>
#include <alphamap_pars_fragment>
#include <alphatest_pars_fragment>
#include <alphahash_pars_fragment>
#include <fog_pars_fragment>
#include <logdepthbuf_pars_fragment>
#include <clipping_planes_pars_fragment>
void main() {
#include <clipping_planes_fragment>
vec3 outgoingLight = vec3( 0.0 );
vec4 diffuseColor = vec4( diffuse, opacity );
#include <logdepthbuf_fragment>
#include <map_fragment>
#include <alphamap_fragment>
#include <alphatest_fragment>
#include <alphahash_fragment>
outgoingLight = diffuseColor.rgb;
#include <opaque_fragment>
#include <tonemapping_fragment>
#include <colorspace_fragment>
#include <fog_fragment>
}`,St={alphahash_fragment:Kxt,alphahash_pars_fragment:jxt,alphamap_fragment:Qxt,alphamap_pars_fragment:Xxt,alphatest_fragment:Zxt,alphatest_pars_fragment:Jxt,aomap_fragment:eCt,aomap_pars_fragment:tCt,batching_pars_vertex:nCt,batching_vertex:iCt,begin_vertex:sCt,beginnormal_vertex:rCt,bsdfs:oCt,iridescence_fragment:aCt,bumpmap_pars_fragment:lCt,clipping_planes_fragment:cCt,clipping_planes_pars_fragment:dCt,clipping_planes_pars_vertex:uCt,clipping_planes_vertex:pCt,color_fragment:_Ct,color_pars_fragment:hCt,color_pars_vertex:fCt,color_vertex:mCt,common:gCt,cube_uv_reflection_fragment:bCt,defaultnormal_vertex:ECt,displacementmap_pars_vertex:vCt,displacementmap_vertex:yCt,emissivemap_fragment:SCt,emissivemap_pars_fragment:TCt,colorspace_fragment:xCt,colorspace_pars_fragment:CCt,envmap_fragment:RCt,envmap_common_pars_fragment:ACt,envmap_pars_fragment:wCt,envmap_pars_vertex:NCt,envmap_physical_pars_fragment:zCt,envmap_vertex:OCt,fog_vertex:ICt,fog_pars_vertex:MCt,fog_fragment:DCt,fog_pars_fragment:kCt,gradientmap_pars_fragment:LCt,lightmap_fragment:PCt,lightmap_pars_fragment:UCt,lights_lambert_fragment:FCt,lights_lambert_pars_fragment:BCt,lights_pars_begin:GCt,lights_toon_fragment:VCt,lights_toon_pars_fragment:HCt,lights_phong_fragment:qCt,lights_phong_pars_fragment:YCt,lights_physical_fragment:$Ct,lights_physical_pars_fragment:WCt,lights_fragment_begin:KCt,lights_fragment_maps:jCt,lights_fragment_end:QCt,logdepthbuf_fragment:XCt,logdepthbuf_pars_fragment:ZCt,logdepthbuf_pars_vertex:JCt,logdepthbuf_vertex:e1t,map_fragment:t1t,map_pars_fragment:n1t,map_particle_fragment:i1t,map_particle_pars_fragment:s1t,metalnessmap_fragment:r1t,metalnessmap_pars_fragment:o1t,morphcolor_vertex:a1t,morphnormal_vertex:l1t,morphtarget_pars_vertex:c1t,morphtarget_vertex:d1t,normal_fragment_begin:u1t,normal_fragment_maps:p1t,normal_pars_fragment:_1t,normal_pars_vertex:h1t,normal_vertex:f1t,normalmap_pars_fragment:m1t,clearcoat_normal_fragment_begin:g1t,clearcoat_normal_fragment_maps:b1t,clearcoat_pars_fragment:E1t,iridescence_pars_fragment:v1t,opaque_fragment:y1t,packing:S1t,premultiplied_alpha_fragment:T1t,project_vertex:x1t,dithering_fragment:C1t,dithering_pars_fragment:R1t,roughnessmap_fragment:A1t,roughnessmap_pars_fragment:w1t,shadowmap_pars_fragment:N1t,shadowmap_pars_vertex:O1t,shadowmap_vertex:I1t,shadowmask_pars_fragment:M1t,skinbase_vertex:D1t,skinning_pars_vertex:k1t,skinning_vertex:L1t,skinnormal_vertex:P1t,specularmap_fragment:U1t,specularmap_pars_fragment:F1t,tonemapping_fragment:B1t,tonemapping_pars_fragment:G1t,transmission_fragment:z1t,transmission_pars_fragment:V1t,uv_pars_fragment:H1t,uv_pars_vertex:q1t,uv_vertex:Y1t,worldpos_vertex:$1t,background_vert:W1t,background_frag:K1t,backgroundCube_vert:j1t,backgroundCube_frag:Q1t,cube_vert:X1t,cube_frag:Z1t,depth_vert:J1t,depth_frag:eRt,distanceRGBA_vert:tRt,distanceRGBA_frag:nRt,equirect_vert:iRt,equirect_frag:sRt,linedashed_vert:rRt,linedashed_frag:oRt,meshbasic_vert:aRt,meshbasic_frag:lRt,meshlambert_vert:cRt,meshlambert_frag:dRt,meshmatcap_vert:uRt,meshmatcap_frag:pRt,meshnormal_vert:_Rt,meshnormal_frag:hRt,meshphong_vert:fRt,meshphong_frag:mRt,meshphysical_vert:gRt,meshphysical_frag:bRt,meshtoon_vert:ERt,meshtoon_frag:vRt,points_vert:yRt,points_frag:SRt,shadow_vert:TRt,shadow_frag:xRt,sprite_vert:CRt,sprite_frag:RRt},Ke={common:{diffuse:{value:new gt(16777215)},opacity:{value:1},map:{value:null},mapTransform:{value:new Ct},alphaMap:{value:null},alphaMapTransform:{value:new Ct},alphaTest:{value:0}},specularmap:{specularMap:{value:null},specularMapTransform:{value:new Ct}},envmap:{envMap:{value:null},flipEnvMap:{value:-1},reflectivity:{value:1},ior:{value:1.5},refractionRatio:{value:.98}},aomap:{aoMap:{value:null},aoMapIntensity:{value:1},aoMapTransform:{value:new Ct}},lightmap:{lightMap:{value:null},lightMapIntensity:{value:1},lightMapTransform:{value:new Ct}},bumpmap:{bumpMap:{value:null},bumpMapTransform:{value:new Ct},bumpScale:{value:1}},normalmap:{normalMap:{value:null},normalMapTransform:{value:new Ct},normalScale:{value:new It(1,1)}},displacementmap:{displacementMap:{value:null},displacementMapTransform:{value:new Ct},displacementScale:{value:1},displacementBias:{value:0}},emissivemap:{emissiveMap:{value:null},emissiveMapTransform:{value:new Ct}},metalnessmap:{metalnessMap:{value:null},metalnessMapTransform:{value:new Ct}},roughnessmap:{roughnessMap:{value:null},roughnessMapTransform:{value:new Ct}},gradientmap:{gradientMap:{value:null}},fog:{fogDensity:{value:25e-5},fogNear:{value:1},fogFar:{value:2e3},fogColor:{value:new gt(16777215)}},lights:{ambientLightColor:{value:[]},lightProbe:{value:[]},directionalLights:{value:[],properties:{direction:{},color:{}}},directionalLightShadows:{value:[],properties:{shadowBias:{},shadowNormalBias:{},shadowRadius:{},shadowMapSize:{}}},directionalShadowMap:{value:[]},directionalShadowMatrix:{value:[]},spotLights:{value:[],properties:{color:{},position:{},direction:{},distance:{},coneCos:{},penumbraCos:{},decay:{}}},spotLightShadows:{value:[],properties:{shadowBias:{},shadowNormalBias:{},shadowRadius:{},shadowMapSize:{}}},spotLightMap:{value:[]},spotShadowMap:{value:[]},spotLightMatrix:{value:[]},pointLights:{value:[],properties:{color:{},position:{},decay:{},distance:{}}},pointLightShadows:{value:[],properties:{shadowBias:{},shadowNormalBias:{},shadowRadius:{},shadowMapSize:{},shadowCameraNear:{},shadowCameraFar:{}}},pointShadowMap:{value:[]},pointShadowMatrix:{value:[]},hemisphereLights:{value:[],properties:{direction:{},skyColor:{},groundColor:{}}},rectAreaLights:{value:[],properties:{color:{},position:{},width:{},height:{}}},ltc_1:{value:null},ltc_2:{value:null}},points:{diffuse:{value:new gt(16777215)},opacity:{value:1},size:{value:1},scale:{value:1},map:{value:null},alphaMap:{value:null},alphaMapTransform:{value:new Ct},alphaTest:{value:0},uvTransform:{value:new Ct}},sprite:{diffuse:{value:new gt(16777215)},opacity:{value:1},center:{value:new It(.5,.5)},rotation:{value:0},map:{value:null},mapTransform:{value:new Ct},alphaMap:{value:null},alphaMapTransform:{value:new Ct},alphaTest:{value:0}}},Xi={basic:{uniforms:Gn([Ke.common,Ke.specularmap,Ke.envmap,Ke.aomap,Ke.lightmap,Ke.fog]),vertexShader:St.meshbasic_vert,fragmentShader:St.meshbasic_frag},lambert:{uniforms:Gn([Ke.common,Ke.specularmap,Ke.envmap,Ke.aomap,Ke.lightmap,Ke.emissivemap,Ke.bumpmap,Ke.normalmap,Ke.displacementmap,Ke.fog,Ke.lights,{emissive:{value:new gt(0)}}]),vertexShader:St.meshlambert_vert,fragmentShader:St.meshlambert_frag},phong:{uniforms:Gn([Ke.common,Ke.specularmap,Ke.envmap,Ke.aomap,Ke.lightmap,Ke.emissivemap,Ke.bumpmap,Ke.normalmap,Ke.displacementmap,Ke.fog,Ke.lights,{emissive:{value:new gt(0)},specular:{value:new gt(1118481)},shininess:{value:30}}]),vertexShader:St.meshphong_vert,fragmentShader:St.meshphong_frag},standard:{uniforms:Gn([Ke.common,Ke.envmap,Ke.aomap,Ke.lightmap,Ke.emissivemap,Ke.bumpmap,Ke.normalmap,Ke.displacementmap,Ke.roughnessmap,Ke.metalnessmap,Ke.fog,Ke.lights,{emissive:{value:new gt(0)},roughness:{value:1},metalness:{value:0},envMapIntensity:{value:1}}]),vertexShader:St.meshphysical_vert,fragmentShader:St.meshphysical_frag},toon:{uniforms:Gn([Ke.common,Ke.aomap,Ke.lightmap,Ke.emissivemap,Ke.bumpmap,Ke.normalmap,Ke.displacementmap,Ke.gradientmap,Ke.fog,Ke.lights,{emissive:{value:new gt(0)}}]),vertexShader:St.meshtoon_vert,fragmentShader:St.meshtoon_frag},matcap:{uniforms:Gn([Ke.common,Ke.bumpmap,Ke.normalmap,Ke.displacementmap,Ke.fog,{matcap:{value:null}}]),vertexShader:St.meshmatcap_vert,fragmentShader:St.meshmatcap_frag},points:{uniforms:Gn([Ke.points,Ke.fog]),vertexShader:St.points_vert,fragmentShader:St.points_frag},dashed:{uniforms:Gn([Ke.common,Ke.fog,{scale:{value:1},dashSize:{value:1},totalSize:{value:2}}]),vertexShader:St.linedashed_vert,fragmentShader:St.linedashed_frag},depth:{uniforms:Gn([Ke.common,Ke.displacementmap]),vertexShader:St.depth_vert,fragmentShader:St.depth_frag},normal:{uniforms:Gn([Ke.common,Ke.bumpmap,Ke.normalmap,Ke.displacementmap,{opacity:{value:1}}]),vertexShader:St.meshnormal_vert,fragmentShader:St.meshnormal_frag},sprite:{uniforms:Gn([Ke.sprite,Ke.fog]),vertexShader:St.sprite_vert,fragmentShader:St.sprite_frag},background:{uniforms:{uvTransform:{value:new Ct},t2D:{value:null},backgroundIntensity:{value:1}},vertexShader:St.background_vert,fragmentShader:St.background_frag},backgroundCube:{uniforms:{envMap:{value:null},flipEnvMap:{value:-1},backgroundBlurriness:{value:0},backgroundIntensity:{value:1}},vertexShader:St.backgroundCube_vert,fragmentShader:St.backgroundCube_frag},cube:{uniforms:{tCube:{value:null},tFlip:{value:-1},opacity:{value:1}},vertexShader:St.cube_vert,fragmentShader:St.cube_frag},equirect:{uniforms:{tEquirect:{value:null}},vertexShader:St.equirect_vert,fragmentShader:St.equirect_frag},distanceRGBA:{uniforms:Gn([Ke.common,Ke.displacementmap,{referencePosition:{value:new be},nearDistance:{value:1},farDistance:{value:1e3}}]),vertexShader:St.distanceRGBA_vert,fragmentShader:St.distanceRGBA_frag},shadow:{uniforms:Gn([Ke.lights,Ke.fog,{color:{value:new gt(0)},opacity:{value:1}}]),vertexShader:St.shadow_vert,fragmentShader:St.shadow_frag}};Xi.physical={uniforms:Gn([Xi.standard.uniforms,{clearcoat:{value:0},clearcoatMap:{value:null},clearcoatMapTransform:{value:new Ct},clearcoatNormalMap:{value:null},clearcoatNormalMapTransform:{value:new Ct},clearcoatNormalScale:{value:new It(1,1)},clearcoatRoughness:{value:0},clearcoatRoughnessMap:{value:null},clearcoatRoughnessMapTransform:{value:new Ct},iridescence:{value:0},iridescenceMap:{value:null},iridescenceMapTransform:{value:new Ct},iridescenceIOR:{value:1.3},iridescenceThicknessMinimum:{value:100},iridescenceThicknessMaximum:{value:400},iridescenceThicknessMap:{value:null},iridescenceThicknessMapTransform:{value:new Ct},sheen:{value:0},sheenColor:{value:new gt(0)},sheenColorMap:{value:null},sheenColorMapTransform:{value:new Ct},sheenRoughness:{value:1},sheenRoughnessMap:{value:null},sheenRoughnessMapTransform:{value:new Ct},transmission:{value:0},transmissionMap:{value:null},transmissionMapTransform:{value:new Ct},transmissionSamplerSize:{value:new It},transmissionSamplerMap:{value:null},thickness:{value:0},thicknessMap:{value:null},thicknessMapTransform:{value:new Ct},attenuationDistance:{value:0},attenuationColor:{value:new gt(0)},specularColor:{value:new gt(1,1,1)},specularColorMap:{value:null},specularColorMapTransform:{value:new Ct},specularIntensity:{value:1},specularIntensityMap:{value:null},specularIntensityMapTransform:{value:new Ct},anisotropyVector:{value:new It},anisotropyMap:{value:null},anisotropyMapTransform:{value:new Ct}}]),vertexShader:St.meshphysical_vert,fragmentShader:St.meshphysical_frag};const Ed={r:0,b:0,g:0};function ARt(n,e,t,i,s,r,o){const a=new gt(0);let l=r===!0?0:1,d,c,_=null,f=0,m=null;function h(b,g){let v=!1,y=g.isScene===!0?g.background:null;y&&y.isTexture&&(y=(g.backgroundBlurriness>0?t:e).get(y)),y===null?E(a,l):y&&y.isColor&&(E(y,1),v=!0);const T=n.xr.getEnvironmentBlendMode();T==="additive"?i.buffers.color.setClear(0,0,0,1,o):T==="alpha-blend"&&i.buffers.color.setClear(0,0,0,0,o),(n.autoClear||v)&&n.clear(n.autoClearColor,n.autoClearDepth,n.autoClearStencil),y&&(y.isCubeTexture||y.mapping===dp)?(c===void 0&&(c=new Hn(new xr(1,1,1),new Eo({name:"BackgroundCubeMaterial",uniforms:Oa(Xi.backgroundCube.uniforms),vertexShader:Xi.backgroundCube.vertexShader,fragmentShader:Xi.backgroundCube.fragmentShader,side:Zn,depthTest:!1,depthWrite:!1,fog:!1})),c.geometry.deleteAttribute("normal"),c.geometry.deleteAttribute("uv"),c.onBeforeRender=function(C,x,O){this.matrixWorld.copyPosition(O.matrixWorld)},Object.defineProperty(c.material,"envMap",{get:function(){return this.uniforms.envMap.value}}),s.update(c)),c.material.uniforms.envMap.value=y,c.material.uniforms.flipEnvMap.value=y.isCubeTexture&&y.isRenderTargetTexture===!1?-1:1,c.material.uniforms.backgroundBlurriness.value=g.backgroundBlurriness,c.material.uniforms.backgroundIntensity.value=g.backgroundIntensity,c.material.toneMapped=Ft.getTransfer(y.colorSpace)!==Xt,(_!==y||f!==y.version||m!==n.toneMapping)&&(c.material.needsUpdate=!0,_=y,f=y.version,m=n.toneMapping),c.layers.enableAll(),b.unshift(c,c.geometry,c.material,0,0,null)):y&&y.isTexture&&(d===void 0&&(d=new Hn(new tv(2,2),new Eo({name:"BackgroundMaterial",uniforms:Oa(Xi.background.uniforms),vertexShader:Xi.background.vertexShader,fragmentShader:Xi.background.fragmentShader,side:Vs,depthTest:!1,depthWrite:!1,fog:!1})),d.geometry.deleteAttribute("normal"),Object.defineProperty(d.material,"map",{get:function(){return this.uniforms.t2D.value}}),s.update(d)),d.material.uniforms.t2D.value=y,d.material.uniforms.backgroundIntensity.value=g.backgroundIntensity,d.material.toneMapped=Ft.getTransfer(y.colorSpace)!==Xt,y.matrixAutoUpdate===!0&&y.updateMatrix(),d.material.uniforms.uvTransform.value.copy(y.matrix),(_!==y||f!==y.version||m!==n.toneMapping)&&(d.material.needsUpdate=!0,_=y,f=y.version,m=n.toneMapping),d.layers.enableAll(),b.unshift(d,d.geometry,d.material,0,0,null))}function E(b,g){b.getRGB(Ed,_I(n)),i.buffers.color.setClear(Ed.r,Ed.g,Ed.b,g,o)}return{getClearColor:function(){return a},setClearColor:function(b,g=1){a.set(b),l=g,E(a,l)},getClearAlpha:function(){return l},setClearAlpha:function(b){l=b,E(a,l)},render:h}}function wRt(n,e,t,i){const s=n.getParameter(n.MAX_VERTEX_ATTRIBS),r=i.isWebGL2?null:e.get("OES_vertex_array_object"),o=i.isWebGL2||r!==null,a={},l=b(null);let d=l,c=!1;function _(L,H,G,P,j){let Y=!1;if(o){const Q=E(P,G,H);d!==Q&&(d=Q,m(d.object)),Y=g(L,P,G,j),Y&&v(L,P,G,j)}else{const Q=H.wireframe===!0;(d.geometry!==P.id||d.program!==G.id||d.wireframe!==Q)&&(d.geometry=P.id,d.program=G.id,d.wireframe=Q,Y=!0)}j!==null&&t.update(j,n.ELEMENT_ARRAY_BUFFER),(Y||c)&&(c=!1,R(L,H,G,P),j!==null&&n.bindBuffer(n.ELEMENT_ARRAY_BUFFER,t.get(j).buffer))}function f(){return i.isWebGL2?n.createVertexArray():r.createVertexArrayOES()}function m(L){return i.isWebGL2?n.bindVertexArray(L):r.bindVertexArrayOES(L)}function h(L){return i.isWebGL2?n.deleteVertexArray(L):r.deleteVertexArrayOES(L)}function E(L,H,G){const P=G.wireframe===!0;let j=a[L.id];j===void 0&&(j={},a[L.id]=j);let Y=j[H.id];Y===void 0&&(Y={},j[H.id]=Y);let Q=Y[P];return Q===void 0&&(Q=b(f()),Y[P]=Q),Q}function b(L){const H=[],G=[],P=[];for(let j=0;j<s;j++)H[j]=0,G[j]=0,P[j]=0;return{geometry:null,program:null,wireframe:!1,newAttributes:H,enabledAttributes:G,attributeDivisors:P,object:L,attributes:{},index:null}}function g(L,H,G,P){const j=d.attributes,Y=H.attributes;let Q=0;const re=G.getAttributes();for(const te in re)if(re[te].location>=0){const fe=j[te];let ve=Y[te];if(ve===void 0&&(te==="instanceMatrix"&&L.instanceMatrix&&(ve=L.instanceMatrix),te==="instanceColor"&&L.instanceColor&&(ve=L.instanceColor)),fe===void 0||fe.attribute!==ve||ve&&fe.data!==ve.data)return!0;Q++}return d.attributesNum!==Q||d.index!==P}function v(L,H,G,P){const j={},Y=H.attributes;let Q=0;const re=G.getAttributes();for(const te in re)if(re[te].location>=0){let fe=Y[te];fe===void 0&&(te==="instanceMatrix"&&L.instanceMatrix&&(fe=L.instanceMatrix),te==="instanceColor"&&L.instanceColor&&(fe=L.instanceColor));const ve={};ve.attribute=fe,fe&&fe.data&&(ve.data=fe.data),j[te]=ve,Q++}d.attributes=j,d.attributesNum=Q,d.index=P}function y(){const L=d.newAttributes;for(let H=0,G=L.length;H<G;H++)L[H]=0}function T(L){C(L,0)}function C(L,H){const G=d.newAttributes,P=d.enabledAttributes,j=d.attributeDivisors;G[L]=1,P[L]===0&&(n.enableVertexAttribArray(L),P[L]=1),j[L]!==H&&((i.isWebGL2?n:e.get("ANGLE_instanced_arrays"))[i.isWebGL2?"vertexAttribDivisor":"vertexAttribDivisorANGLE"](L,H),j[L]=H)}function x(){const L=d.newAttributes,H=d.enabledAttributes;for(let G=0,P=H.length;G<P;G++)H[G]!==L[G]&&(n.disableVertexAttribArray(G),H[G]=0)}function O(L,H,G,P,j,Y,Q){Q===!0?n.vertexAttribIPointer(L,H,G,j,Y):n.vertexAttribPointer(L,H,G,P,j,Y)}function R(L,H,G,P){if(i.isWebGL2===!1&&(L.isInstancedMesh||P.isInstancedBufferGeometry)&&e.get("ANGLE_instanced_arrays")===null)return;y();const j=P.attributes,Y=G.getAttributes(),Q=H.defaultAttributeValues;for(const re in Y){const te=Y[re];if(te.location>=0){let Z=j[re];if(Z===void 0&&(re==="instanceMatrix"&&L.instanceMatrix&&(Z=L.instanceMatrix),re==="instanceColor"&&L.instanceColor&&(Z=L.instanceColor)),Z!==void 0){const fe=Z.normalized,ve=Z.itemSize,Ae=t.get(Z);if(Ae===void 0)continue;const J=Ae.buffer,me=Ae.type,ee=Ae.bytesPerElement,Se=i.isWebGL2===!0&&(me===n.INT||me===n.UNSIGNED_INT||Z.gpuType===jO);if(Z.isInterleavedBufferAttribute){const Oe=Z.data,k=Oe.stride,B=Z.offset;if(Oe.isInstancedInterleavedBuffer){for(let $=0;$<te.locationSize;$++)C(te.location+$,Oe.meshPerAttribute);L.isInstancedMesh!==!0&&P._maxInstanceCount===void 0&&(P._maxInstanceCount=Oe.meshPerAttribute*Oe.count)}else for(let $=0;$<te.locationSize;$++)T(te.location+$);n.bindBuffer(n.ARRAY_BUFFER,J);for(let $=0;$<te.locationSize;$++)O(te.location+$,ve/te.locationSize,me,fe,k*ee,(B+ve/te.locationSize*$)*ee,Se)}else{if(Z.isInstancedBufferAttribute){for(let Oe=0;Oe<te.locationSize;Oe++)C(te.location+Oe,Z.meshPerAttribute);L.isInstancedMesh!==!0&&P._maxInstanceCount===void 0&&(P._maxInstanceCount=Z.meshPerAttribute*Z.count)}else for(let Oe=0;Oe<te.locationSize;Oe++)T(te.location+Oe);n.bindBuffer(n.ARRAY_BUFFER,J);for(let Oe=0;Oe<te.locationSize;Oe++)O(te.location+Oe,ve/te.locationSize,me,fe,ve*ee,ve/te.locationSize*Oe*ee,Se)}}else if(Q!==void 0){const fe=Q[re];if(fe!==void 0)switch(fe.length){case 2:n.vertexAttrib2fv(te.location,fe);break;case 3:n.vertexAttrib3fv(te.location,fe);break;case 4:n.vertexAttrib4fv(te.location,fe);break;default:n.vertexAttrib1fv(te.location,fe)}}}}x()}function S(){F();for(const L in a){const H=a[L];for(const G in H){const P=H[G];for(const j in P)h(P[j].object),delete P[j];delete H[G]}delete a[L]}}function A(L){if(a[L.id]===void 0)return;const H=a[L.id];for(const G in H){const P=H[G];for(const j in P)h(P[j].object),delete P[j];delete H[G]}delete a[L.id]}function U(L){for(const H in a){const G=a[H];if(G[L.id]===void 0)continue;const P=G[L.id];for(const j in P)h(P[j].object),delete P[j];delete G[L.id]}}function F(){K(),c=!0,d!==l&&(d=l,m(d.object))}function K(){l.geometry=null,l.program=null,l.wireframe=!1}return{setup:_,reset:F,resetDefaultState:K,dispose:S,releaseStatesOfGeometry:A,releaseStatesOfProgram:U,initAttributes:y,enableAttribute:T,disableUnusedAttributes:x}}function NRt(n,e,t,i){const s=i.isWebGL2;let r;function o(c){r=c}function a(c,_){n.drawArrays(r,c,_),t.update(_,r,1)}function l(c,_,f){if(f===0)return;let m,h;if(s)m=n,h="drawArraysInstanced";else if(m=e.get("ANGLE_instanced_arrays"),h="drawArraysInstancedANGLE",m===null){console.error("THREE.WebGLBufferRenderer: using THREE.InstancedBufferGeometry but hardware does not support extension ANGLE_instanced_arrays.");return}m[h](r,c,_,f),t.update(_,r,f)}function d(c,_,f){if(f===0)return;const m=e.get("WEBGL_multi_draw");if(m===null)for(let h=0;h<f;h++)this.render(c[h],_[h]);else{m.multiDrawArraysWEBGL(r,c,0,_,0,f);let h=0;for(let E=0;E<f;E++)h+=_[E];t.update(h,r,1)}}this.setMode=o,this.render=a,this.renderInstances=l,this.renderMultiDraw=d}function ORt(n,e,t){let i;function s(){if(i!==void 0)return i;if(e.has("EXT_texture_filter_anisotropic")===!0){const O=e.get("EXT_texture_filter_anisotropic");i=n.getParameter(O.MAX_TEXTURE_MAX_ANISOTROPY_EXT)}else i=0;return i}function r(O){if(O==="highp"){if(n.getShaderPrecisionFormat(n.VERTEX_SHADER,n.HIGH_FLOAT).precision>0&&n.getShaderPrecisionFormat(n.FRAGMENT_SHADER,n.HIGH_FLOAT).precision>0)return"highp";O="mediump"}return O==="mediump"&&n.getShaderPrecisionFormat(n.VERTEX_SHADER,n.MEDIUM_FLOAT).precision>0&&n.getShaderPrecisionFormat(n.FRAGMENT_SHADER,n.MEDIUM_FLOAT).precision>0?"mediump":"lowp"}const o=typeof WebGL2RenderingContext<"u"&&n.constructor.name==="WebGL2RenderingContext";let a=t.precision!==void 0?t.precision:"highp";const l=r(a);l!==a&&(console.warn("THREE.WebGLRenderer:",a,"not supported, using",l,"instead."),a=l);const d=o||e.has("WEBGL_draw_buffers"),c=t.logarithmicDepthBuffer===!0,_=n.getParameter(n.MAX_TEXTURE_IMAGE_UNITS),f=n.getParameter(n.MAX_VERTEX_TEXTURE_IMAGE_UNITS),m=n.getParameter(n.MAX_TEXTURE_SIZE),h=n.getParameter(n.MAX_CUBE_MAP_TEXTURE_SIZE),E=n.getParameter(n.MAX_VERTEX_ATTRIBS),b=n.getParameter(n.MAX_VERTEX_UNIFORM_VECTORS),g=n.getParameter(n.MAX_VARYING_VECTORS),v=n.getParameter(n.MAX_FRAGMENT_UNIFORM_VECTORS),y=f>0,T=o||e.has("OES_texture_float"),C=y&&T,x=o?n.getParameter(n.MAX_SAMPLES):0;return{isWebGL2:o,drawBuffers:d,getMaxAnisotropy:s,getMaxPrecision:r,precision:a,logarithmicDepthBuffer:c,maxTextures:_,maxVertexTextures:f,maxTextureSize:m,maxCubemapSize:h,maxAttributes:E,maxVertexUniforms:b,maxVaryings:g,maxFragmentUniforms:v,vertexTextures:y,floatFragmentTextures:T,floatVertexTextures:C,maxSamples:x}}function IRt(n){const e=this;let t=null,i=0,s=!1,r=!1;const o=new $r,a=new Ct,l={value:null,needsUpdate:!1};this.uniform=l,this.numPlanes=0,this.numIntersection=0,this.init=function(_,f){const m=_.length!==0||f||i!==0||s;return s=f,i=_.length,m},this.beginShadows=function(){r=!0,c(null)},this.endShadows=function(){r=!1},this.setGlobalState=function(_,f){t=c(_,f,0)},this.setState=function(_,f,m){const h=_.clippingPlanes,E=_.clipIntersection,b=_.clipShadows,g=n.get(_);if(!s||h===null||h.length===0||r&&!b)r?c(null):d();else{const v=r?0:i,y=v*4;let T=g.clippingState||null;l.value=T,T=c(h,f,y,m);for(let C=0;C!==y;++C)T[C]=t[C];g.clippingState=T,this.numIntersection=E?this.numPlanes:0,this.numPlanes+=v}};function d(){l.value!==t&&(l.value=t,l.needsUpdate=i>0),e.numPlanes=i,e.numIntersection=0}function c(_,f,m,h){const E=_!==null?_.length:0;let b=null;if(E!==0){if(b=l.value,h!==!0||b===null){const g=m+E*4,v=f.matrixWorldInverse;a.getNormalMatrix(v),(b===null||b.length<g)&&(b=new Float32Array(g));for(let y=0,T=m;y!==E;++y,T+=4)o.copy(_[y]).applyMatrix4(v,a),o.normal.toArray(b,T),b[T+3]=o.constant}l.value=b,l.needsUpdate=!0}return e.numPlanes=E,e.numIntersection=0,b}}function MRt(n){let e=new WeakMap;function t(o,a){return a===lb?o.mapping=xa:a===cb&&(o.mapping=Ca),o}function i(o){if(o&&o.isTexture){const a=o.mapping;if(a===lb||a===cb)if(e.has(o)){const l=e.get(o).texture;return t(l,o.mapping)}else{const l=o.image;if(l&&l.height>0){const d=new qxt(l.height/2);return d.fromEquirectangularTexture(n,o),e.set(o,d),o.addEventListener("dispose",s),t(d.texture,o.mapping)}else return null}}return o}function s(o){const a=o.target;a.removeEventListener("dispose",s);const l=e.get(a);l!==void 0&&(e.delete(a),l.dispose())}function r(){e=new WeakMap}return{get:i,dispose:r}}class nv extends hI{constructor(e=-1,t=1,i=1,s=-1,r=.1,o=2e3){super(),this.isOrthographicCamera=!0,this.type="OrthographicCamera",this.zoom=1,this.view=null,this.left=e,this.right=t,this.top=i,this.bottom=s,this.near=r,this.far=o,this.updateProjectionMatrix()}copy(e,t){return super.copy(e,t),this.left=e.left,this.right=e.right,this.top=e.top,this.bottom=e.bottom,this.near=e.near,this.far=e.far,this.zoom=e.zoom,this.view=e.view===null?null:Object.assign({},e.view),this}setViewOffset(e,t,i,s,r,o){this.view===null&&(this.view={enabled:!0,fullWidth:1,fullHeight:1,offsetX:0,offsetY:0,width:1,height:1}),this.view.enabled=!0,this.view.fullWidth=e,this.view.fullHeight=t,this.view.offsetX=i,this.view.offsetY=s,this.view.width=r,this.view.height=o,this.updateProjectionMatrix()}clearViewOffset(){this.view!==null&&(this.view.enabled=!1),this.updateProjectionMatrix()}updateProjectionMatrix(){const e=(this.right-this.left)/(2*this.zoom),t=(this.top-this.bottom)/(2*this.zoom),i=(this.right+this.left)/2,s=(this.top+this.bottom)/2;let r=i-e,o=i+e,a=s+t,l=s-t;if(this.view!==null&&this.view.enabled){const d=(this.right-this.left)/this.view.fullWidth/this.zoom,c=(this.top-this.bottom)/this.view.fullHeight/this.zoom;r+=d*this.view.offsetX,o=r+d*this.view.width,a-=c*this.view.offsetY,l=a-c*this.view.height}this.projectionMatrix.makeOrthographic(r,o,a,l,this.near,this.far,this.coordinateSystem),this.projectionMatrixInverse.copy(this.projectionMatrix).invert()}toJSON(e){const t=super.toJSON(e);return t.object.zoom=this.zoom,t.object.left=this.left,t.object.right=this.right,t.object.top=this.top,t.object.bottom=this.bottom,t.object.near=this.near,t.object.far=this.far,this.view!==null&&(t.object.view=Object.assign({},this.view)),t}}const Xo=4,V1=[.125,.215,.35,.446,.526,.582],eo=20,ag=new nv,H1=new gt;let lg=null,cg=0,dg=0;const Wr=(1+Math.sqrt(5))/2,Yo=1/Wr,q1=[new be(1,1,1),new be(-1,1,1),new be(1,1,-1),new be(-1,1,-1),new be(0,Wr,Yo),new be(0,Wr,-Yo),new be(Yo,0,Wr),new be(-Yo,0,Wr),new be(Wr,Yo,0),new be(-Wr,Yo,0)];class Y1{constructor(e){this._renderer=e,this._pingPongRenderTarget=null,this._lodMax=0,this._cubeSize=0,this._lodPlanes=[],this._sizeLods=[],this._sigmas=[],this._blurMaterial=null,this._cubemapMaterial=null,this._equirectMaterial=null,this._compileMaterial(this._blurMaterial)}fromScene(e,t=0,i=.1,s=100){lg=this._renderer.getRenderTarget(),cg=this._renderer.getActiveCubeFace(),dg=this._renderer.getActiveMipmapLevel(),this._setSize(256);const r=this._allocateTargets();return r.depthBuffer=!0,this._sceneToCubeUV(e,i,s,r),t>0&&this._blur(r,0,0,t),this._applyPMREM(r),this._cleanup(r),r}fromEquirectangular(e,t=null){return this._fromTexture(e,t)}fromCubemap(e,t=null){return this._fromTexture(e,t)}compileCubemapShader(){this._cubemapMaterial===null&&(this._cubemapMaterial=K1(),this._compileMaterial(this._cubemapMaterial))}compileEquirectangularShader(){this._equirectMaterial===null&&(this._equirectMaterial=W1(),this._compileMaterial(this._equirectMaterial))}dispose(){this._dispose(),this._cubemapMaterial!==null&&this._cubemapMaterial.dispose(),this._equirectMaterial!==null&&this._equirectMaterial.dispose()}_setSize(e){this._lodMax=Math.floor(Math.log2(e)),this._cubeSize=Math.pow(2,this._lodMax)}_dispose(){this._blurMaterial!==null&&this._blurMaterial.dispose(),this._pingPongRenderTarget!==null&&this._pingPongRenderTarget.dispose();for(let e=0;e<this._lodPlanes.length;e++)this._lodPlanes[e].dispose()}_cleanup(e){this._renderer.setRenderTarget(lg,cg,dg),e.scissorTest=!1,vd(e,0,0,e.width,e.height)}_fromTexture(e,t){e.mapping===xa||e.mapping===Ca?this._setSize(e.image.length===0?16:e.image[0].width||e.image[0].image.width):this._setSize(e.image.width/4),lg=this._renderer.getRenderTarget(),cg=this._renderer.getActiveCubeFace(),dg=this._renderer.getActiveMipmapLevel();const i=t||this._allocateTargets();return this._textureToCubeUV(e,i),this._applyPMREM(i),this._cleanup(i),i}_allocateTargets(){const e=3*Math.max(this._cubeSize,112),t=4*this._cubeSize,i={magFilter:jn,minFilter:jn,generateMipmaps:!1,type:oc,format:bi,colorSpace:Nn,depthBuffer:!1},s=$1(e,t,i);if(this._pingPongRenderTarget===null||this._pingPongRenderTarget.width!==e||this._pingPongRenderTarget.height!==t){this._pingPongRenderTarget!==null&&this._dispose(),this._pingPongRenderTarget=$1(e,t,i);const{_lodMax:r}=this;({sizeLods:this._sizeLods,lodPlanes:this._lodPlanes,sigmas:this._sigmas}=DRt(r)),this._blurMaterial=kRt(r,e,t)}return s}_compileMaterial(e){const t=new Hn(this._lodPlanes[0],e);this._renderer.compile(t,ag)}_sceneToCubeUV(e,t,i,s){const a=new Vn(90,1,t,i),l=[1,-1,1,1,1,1],d=[1,1,1,-1,-1,-1],c=this._renderer,_=c.autoClear,f=c.toneMapping;c.getClearColor(H1),c.toneMapping=Sr,c.autoClear=!1;const m=new br({name:"PMREM.Background",side:Zn,depthWrite:!1,depthTest:!1}),h=new Hn(new xr,m);let E=!1;const b=e.background;b?b.isColor&&(m.color.copy(b),e.background=null,E=!0):(m.color.copy(H1),E=!0);for(let g=0;g<6;g++){const v=g%3;v===0?(a.up.set(0,l[g],0),a.lookAt(d[g],0,0)):v===1?(a.up.set(0,0,l[g]),a.lookAt(0,d[g],0)):(a.up.set(0,l[g],0),a.lookAt(0,0,d[g]));const y=this._cubeSize;vd(s,v*y,g>2?y:0,y,y),c.setRenderTarget(s),E&&c.render(h,a),c.render(e,a)}h.geometry.dispose(),h.material.dispose(),c.toneMapping=f,c.autoClear=_,e.background=b}_textureToCubeUV(e,t){const i=this._renderer,s=e.mapping===xa||e.mapping===Ca;s?(this._cubemapMaterial===null&&(this._cubemapMaterial=K1()),this._cubemapMaterial.uniforms.flipEnvMap.value=e.isRenderTargetTexture===!1?-1:1):this._equirectMaterial===null&&(this._equirectMaterial=W1());const r=s?this._cubemapMaterial:this._equirectMaterial,o=new Hn(this._lodPlanes[0],r),a=r.uniforms;a.envMap.value=e;const l=this._cubeSize;vd(t,0,0,3*l,2*l),i.setRenderTarget(t),i.render(o,ag)}_applyPMREM(e){const t=this._renderer,i=t.autoClear;t.autoClear=!1;for(let s=1;s<this._lodPlanes.length;s++){const r=Math.sqrt(this._sigmas[s]*this._sigmas[s]-this._sigmas[s-1]*this._sigmas[s-1]),o=q1[(s-1)%q1.length];this._blur(e,s-1,s,r,o)}t.autoClear=i}_blur(e,t,i,s,r){const o=this._pingPongRenderTarget;this._halfBlur(e,o,t,i,s,"latitudinal",r),this._halfBlur(o,e,i,i,s,"longitudinal",r)}_halfBlur(e,t,i,s,r,o,a){const l=this._renderer,d=this._blurMaterial;o!=="latitudinal"&&o!=="longitudinal"&&console.error("blur direction must be either latitudinal or longitudinal!");const c=3,_=new Hn(this._lodPlanes[s],d),f=d.uniforms,m=this._sizeLods[i]-1,h=isFinite(r)?Math.PI/(2*m):2*Math.PI/(2*eo-1),E=r/h,b=isFinite(r)?1+Math.floor(c*E):eo;b>eo&&console.warn(`sigmaRadians, ${r}, is too large and will clip, as it requested ${b} samples when the maximum is set to ${eo}`);const g=[];let v=0;for(let O=0;O<eo;++O){const R=O/E,S=Math.exp(-R*R/2);g.push(S),O===0?v+=S:O<b&&(v+=2*S)}for(let O=0;O<g.length;O++)g[O]=g[O]/v;f.envMap.value=e.texture,f.samples.value=b,f.weights.value=g,f.latitudinal.value=o==="latitudinal",a&&(f.poleAxis.value=a);const{_lodMax:y}=this;f.dTheta.value=h,f.mipInt.value=y-i;const T=this._sizeLods[s],C=3*T*(s>y-Xo?s-y+Xo:0),x=4*(this._cubeSize-T);vd(t,C,x,3*T,2*T),l.setRenderTarget(t),l.render(_,ag)}}function DRt(n){const e=[],t=[],i=[];let s=n;const r=n-Xo+1+V1.length;for(let o=0;o<r;o++){const a=Math.pow(2,s);t.push(a);let l=1/a;o>n-Xo?l=V1[o-n+Xo-1]:o===0&&(l=0),i.push(l);const d=1/(a-2),c=-d,_=1+d,f=[c,c,_,c,_,_,c,c,_,_,c,_],m=6,h=6,E=3,b=2,g=1,v=new Float32Array(E*h*m),y=new Float32Array(b*h*m),T=new Float32Array(g*h*m);for(let x=0;x<m;x++){const O=x%3*2/3-1,R=x>2?0:-1,S=[O,R,0,O+2/3,R,0,O+2/3,R+1,0,O,R,0,O+2/3,R+1,0,O,R+1,0];v.set(S,E*h*x),y.set(f,b*h*x);const A=[x,x,x,x,x,x];T.set(A,g*h*x)}const C=new hs;C.setAttribute("position",new Yn(v,E)),C.setAttribute("uv",new Yn(y,b)),C.setAttribute("faceIndex",new Yn(T,g)),e.push(C),s>Xo&&s--}return{lodPlanes:e,sizeLods:t,sigmas:i}}function $1(n,e,t){const i=new bo(n,e,t);return i.texture.mapping=dp,i.texture.name="PMREM.cubeUv",i.scissorTest=!0,i}function vd(n,e,t,i,s){n.viewport.set(e,t,i,s),n.scissor.set(e,t,i,s)}function kRt(n,e,t){const i=new Float32Array(eo),s=new be(0,1,0);return new Eo({name:"SphericalGaussianBlur",defines:{n:eo,CUBEUV_TEXEL_WIDTH:1/e,CUBEUV_TEXEL_HEIGHT:1/t,CUBEUV_MAX_MIP:`${n}.0`},uniforms:{envMap:{value:null},samples:{value:1},weights:{value:i},latitudinal:{value:!1},dTheta:{value:0},mipInt:{value:0},poleAxis:{value:s}},vertexShader:iv(),fragmentShader:`
precision mediump float;
precision mediump int;
varying vec3 vOutputDirection;
uniform sampler2D envMap;
uniform int samples;
uniform float weights[ n ];
uniform bool latitudinal;
uniform float dTheta;
uniform float mipInt;
uniform vec3 poleAxis;
#define ENVMAP_TYPE_CUBE_UV
#include <cube_uv_reflection_fragment>
vec3 getSample( float theta, vec3 axis ) {
float cosTheta = cos( theta );
// Rodrigues' axis-angle rotation
vec3 sampleDirection = vOutputDirection * cosTheta
+ cross( axis, vOutputDirection ) * sin( theta )
+ axis * dot( axis, vOutputDirection ) * ( 1.0 - cosTheta );
return bilinearCubeUV( envMap, sampleDirection, mipInt );
}
void main() {
vec3 axis = latitudinal ? poleAxis : cross( poleAxis, vOutputDirection );
if ( all( equal( axis, vec3( 0.0 ) ) ) ) {
axis = vec3( vOutputDirection.z, 0.0, - vOutputDirection.x );
}
axis = normalize( axis );
gl_FragColor = vec4( 0.0, 0.0, 0.0, 1.0 );
gl_FragColor.rgb += weights[ 0 ] * getSample( 0.0, axis );
for ( int i = 1; i < n; i++ ) {
if ( i >= samples ) {
break;
}
float theta = dTheta * float( i );
gl_FragColor.rgb += weights[ i ] * getSample( -1.0 * theta, axis );
gl_FragColor.rgb += weights[ i ] * getSample( theta, axis );
}
}
`,blending:yr,depthTest:!1,depthWrite:!1})}function W1(){return new Eo({name:"EquirectangularToCubeUV",uniforms:{envMap:{value:null}},vertexShader:iv(),fragmentShader:`
precision mediump float;
precision mediump int;
varying vec3 vOutputDirection;
uniform sampler2D envMap;
#include <common>
void main() {
vec3 outputDirection = normalize( vOutputDirection );
vec2 uv = equirectUv( outputDirection );
gl_FragColor = vec4( texture2D ( envMap, uv ).rgb, 1.0 );
}
`,blending:yr,depthTest:!1,depthWrite:!1})}function K1(){return new Eo({name:"CubemapToCubeUV",uniforms:{envMap:{value:null},flipEnvMap:{value:-1}},vertexShader:iv(),fragmentShader:`
precision mediump float;
precision mediump int;
uniform float flipEnvMap;
varying vec3 vOutputDirection;
uniform samplerCube envMap;
void main() {
gl_FragColor = textureCube( envMap, vec3( flipEnvMap * vOutputDirection.x, vOutputDirection.yz ) );
}
`,blending:yr,depthTest:!1,depthWrite:!1})}function iv(){return`
precision mediump float;
precision mediump int;
attribute float faceIndex;
varying vec3 vOutputDirection;
// RH coordinate system; PMREM face-indexing convention
vec3 getDirection( vec2 uv, float face ) {
uv = 2.0 * uv - 1.0;
vec3 direction = vec3( uv, 1.0 );
if ( face == 0.0 ) {
direction = direction.zyx; // ( 1, v, u ) pos x
} else if ( face == 1.0 ) {
direction = direction.xzy;
direction.xz *= -1.0; // ( -u, 1, -v ) pos y
} else if ( face == 2.0 ) {
direction.x *= -1.0; // ( -u, v, 1 ) pos z
} else if ( face == 3.0 ) {
direction = direction.zyx;
direction.xz *= -1.0; // ( -1, v, -u ) neg x
} else if ( face == 4.0 ) {
direction = direction.xzy;
direction.xy *= -1.0; // ( -u, -1, v ) neg y
} else if ( face == 5.0 ) {
direction.z *= -1.0; // ( u, v, -1 ) neg z
}
return direction;
}
void main() {
vOutputDirection = getDirection( uv, faceIndex );
gl_Position = vec4( position, 1.0 );
}
`}function LRt(n){let e=new WeakMap,t=null;function i(a){if(a&&a.isTexture){const l=a.mapping,d=l===lb||l===cb,c=l===xa||l===Ca;if(d||c)if(a.isRenderTargetTexture&&a.needsPMREMUpdate===!0){a.needsPMREMUpdate=!1;let _=e.get(a);return t===null&&(t=new Y1(n)),_=d?t.fromEquirectangular(a,_):t.fromCubemap(a,_),e.set(a,_),_.texture}else{if(e.has(a))return e.get(a).texture;{const _=a.image;if(d&&_&&_.height>0||c&&_&&s(_)){t===null&&(t=new Y1(n));const f=d?t.fromEquirectangular(a):t.fromCubemap(a);return e.set(a,f),a.addEventListener("dispose",r),f.texture}else return null}}}return a}function s(a){let l=0;const d=6;for(let c=0;c<d;c++)a[c]!==void 0&&l++;return l===d}function r(a){const l=a.target;l.removeEventListener("dispose",r);const d=e.get(l);d!==void 0&&(e.delete(l),d.dispose())}function o(){e=new WeakMap,t!==null&&(t.dispose(),t=null)}return{get:i,dispose:o}}function PRt(n){const e={};function t(i){if(e[i]!==void 0)return e[i];let s;switch(i){case"WEBGL_depth_texture":s=n.getExtension("WEBGL_depth_texture")||n.getExtension("MOZ_WEBGL_depth_texture")||n.getExtension("WEBKIT_WEBGL_depth_texture");break;case"EXT_texture_filter_anisotropic":s=n.getExtension("EXT_texture_filter_anisotropic")||n.getExtension("MOZ_EXT_texture_filter_anisotropic")||n.getExtension("WEBKIT_EXT_texture_filter_anisotropic");break;case"WEBGL_compressed_texture_s3tc":s=n.getExtension("WEBGL_compressed_texture_s3tc")||n.getExtension("MOZ_WEBGL_compressed_texture_s3tc")||n.getExtension("WEBKIT_WEBGL_compressed_texture_s3tc");break;case"WEBGL_compressed_texture_pvrtc":s=n.getExtension("WEBGL_compressed_texture_pvrtc")||n.getExtension("WEBKIT_WEBGL_compressed_texture_pvrtc");break;default:s=n.getExtension(i)}return e[i]=s,s}return{has:function(i){return t(i)!==null},init:function(i){i.isWebGL2?t("EXT_color_buffer_float"):(t("WEBGL_depth_texture"),t("OES_texture_float"),t("OES_texture_half_float"),t("OES_texture_half_float_linear"),t("OES_standard_derivatives"),t("OES_element_index_uint"),t("OES_vertex_array_object"),t("ANGLE_instanced_arrays")),t("OES_texture_float_linear"),t("EXT_color_buffer_half_float"),t("WEBGL_multisampled_render_to_texture")},get:function(i){const s=t(i);return s===null&&console.warn("THREE.WebGLRenderer: "+i+" extension not supported."),s}}}function URt(n,e,t,i){const s={},r=new WeakMap;function o(_){const f=_.target;f.index!==null&&e.remove(f.index);for(const h in f.attributes)e.remove(f.attributes[h]);for(const h in f.morphAttributes){const E=f.morphAttributes[h];for(let b=0,g=E.length;b<g;b++)e.remove(E[b])}f.removeEventListener("dispose",o),delete s[f.id];const m=r.get(f);m&&(e.remove(m),r.delete(f)),i.releaseStatesOfGeometry(f),f.isInstancedBufferGeometry===!0&&delete f._maxInstanceCount,t.memory.geometries--}function a(_,f){return s[f.id]===!0||(f.addEventListener("dispose",o),s[f.id]=!0,t.memory.geometries++),f}function l(_){const f=_.attributes;for(const h in f)e.update(f[h],n.ARRAY_BUFFER);const m=_.morphAttributes;for(const h in m){const E=m[h];for(let b=0,g=E.length;b<g;b++)e.update(E[b],n.ARRAY_BUFFER)}}function d(_){const f=[],m=_.index,h=_.attributes.position;let E=0;if(m!==null){const v=m.array;E=m.version;for(let y=0,T=v.length;y<T;y+=3){const C=v[y+0],x=v[y+1],O=v[y+2];f.push(C,x,x,O,O,C)}}else if(h!==void 0){const v=h.array;E=h.version;for(let y=0,T=v.length/3-1;y<T;y+=3){const C=y+0,x=y+1,O=y+2;f.push(C,x,x,O,O,C)}}else return;const b=new(rI(f)?pI:uI)(f,1);b.version=E;const g=r.get(_);g&&e.remove(g),r.set(_,b)}function c(_){const f=r.get(_);if(f){const m=_.index;m!==null&&f.version<m.version&&d(_)}else d(_);return r.get(_)}return{get:a,update:l,getWireframeAttribute:c}}function FRt(n,e,t,i){const s=i.isWebGL2;let r;function o(m){r=m}let a,l;function d(m){a=m.type,l=m.bytesPerElement}function c(m,h){n.drawElements(r,h,a,m*l),t.update(h,r,1)}function _(m,h,E){if(E===0)return;let b,g;if(s)b=n,g="drawElementsInstanced";else if(b=e.get("ANGLE_instanced_arrays"),g="drawElementsInstancedANGLE",b===null){console.error("THREE.WebGLIndexedBufferRenderer: using THREE.InstancedBufferGeometry but hardware does not support extension ANGLE_instanced_arrays.");return}b[g](r,h,a,m*l,E),t.update(h,r,E)}function f(m,h,E){if(E===0)return;const b=e.get("WEBGL_multi_draw");if(b===null)for(let g=0;g<E;g++)this.render(m[g]/l,h[g]);else{b.multiDrawElementsWEBGL(r,h,0,a,m,0,E);let g=0;for(let v=0;v<E;v++)g+=h[v];t.update(g,r,1)}}this.setMode=o,this.setIndex=d,this.render=c,this.renderInstances=_,this.renderMultiDraw=f}function BRt(n){const e={geometries:0,textures:0},t={frame:0,calls:0,triangles:0,points:0,lines:0};function i(r,o,a){switch(t.calls++,o){case n.TRIANGLES:t.triangles+=a*(r/3);break;case n.LINES:t.lines+=a*(r/2);break;case n.LINE_STRIP:t.lines+=a*(r-1);break;case n.LINE_LOOP:t.lines+=a*r;break;case n.POINTS:t.points+=a*r;break;default:console.error("THREE.WebGLInfo: Unknown draw mode:",o);break}}function s(){t.calls=0,t.triangles=0,t.points=0,t.lines=0}return{memory:e,render:t,programs:null,autoReset:!0,reset:s,update:i}}function GRt(n,e){return n[0]-e[0]}function zRt(n,e){return Math.abs(e[1])-Math.abs(n[1])}function VRt(n,e,t){const i={},s=new Float32Array(8),r=new WeakMap,o=new Wt,a=[];for(let d=0;d<8;d++)a[d]=[d,0];function l(d,c,_){const f=d.morphTargetInfluences;if(e.isWebGL2===!0){const h=c.morphAttributes.position||c.morphAttributes.normal||c.morphAttributes.color,E=h!==void 0?h.length:0;let b=r.get(c);if(b===void 0||b.count!==E){let H=function(){K.dispose(),r.delete(c),c.removeEventListener("dispose",H)};var m=H;b!==void 0&&b.texture.dispose();const y=c.morphAttributes.position!==void 0,T=c.morphAttributes.normal!==void 0,C=c.morphAttributes.color!==void 0,x=c.morphAttributes.position||[],O=c.morphAttributes.normal||[],R=c.morphAttributes.color||[];let S=0;y===!0&&(S=1),T===!0&&(S=2),C===!0&&(S=3);let A=c.attributes.position.count*S,U=1;A>e.maxTextureSize&&(U=Math.ceil(A/e.maxTextureSize),A=e.maxTextureSize);const F=new Float32Array(A*U*4*E),K=new lI(F,A,U,E);K.type=Ds,K.needsUpdate=!0;const L=S*4;for(let G=0;G<E;G++){const P=x[G],j=O[G],Y=R[G],Q=A*U*4*G;for(let re=0;re<P.count;re++){const te=re*L;y===!0&&(o.fromBufferAttribute(P,re),F[Q+te+0]=o.x,F[Q+te+1]=o.y,F[Q+te+2]=o.z,F[Q+te+3]=0),T===!0&&(o.fromBufferAttribute(j,re),F[Q+te+4]=o.x,F[Q+te+5]=o.y,F[Q+te+6]=o.z,F[Q+te+7]=0),C===!0&&(o.fromBufferAttribute(Y,re),F[Q+te+8]=o.x,F[Q+te+9]=o.y,F[Q+te+10]=o.z,F[Q+te+11]=Y.itemSize===4?o.w:1)}}b={count:E,texture:K,size:new It(A,U)},r.set(c,b),c.addEventListener("dispose",H)}let g=0;for(let y=0;y<f.length;y++)g+=f[y];const v=c.morphTargetsRelative?1:1-g;_.getUniforms().setValue(n,"morphTargetBaseInfluence",v),_.getUniforms().setValue(n,"morphTargetInfluences",f),_.getUniforms().setValue(n,"morphTargetsTexture",b.texture,t),_.getUniforms().setValue(n,"morphTargetsTextureSize",b.size)}else{const h=f===void 0?0:f.length;let E=i[c.id];if(E===void 0||E.length!==h){E=[];for(let T=0;T<h;T++)E[T]=[T,0];i[c.id]=E}for(let T=0;T<h;T++){const C=E[T];C[0]=T,C[1]=f[T]}E.sort(zRt);for(let T=0;T<8;T++)T<h&&E[T][1]?(a[T][0]=E[T][0],a[T][1]=E[T][1]):(a[T][0]=Number.MAX_SAFE_INTEGER,a[T][1]=0);a.sort(GRt);const b=c.morphAttributes.position,g=c.morphAttributes.normal;let v=0;for(let T=0;T<8;T++){const C=a[T],x=C[0],O=C[1];x!==Number.MAX_SAFE_INTEGER&&O?(b&&c.getAttribute("morphTarget"+T)!==b[x]&&c.setAttribute("morphTarget"+T,b[x]),g&&c.getAttribute("morphNormal"+T)!==g[x]&&c.setAttribute("morphNormal"+T,g[x]),s[T]=O,v+=O):(b&&c.hasAttribute("morphTarget"+T)===!0&&c.deleteAttribute("morphTarget"+T),g&&c.hasAttribute("morphNormal"+T)===!0&&c.deleteAttribute("morphNormal"+T),s[T]=0)}const y=c.morphTargetsRelative?1:1-v;_.getUniforms().setValue(n,"morphTargetBaseInfluence",y),_.getUniforms().setValue(n,"morphTargetInfluences",s)}}return{update:l}}function HRt(n,e,t,i){let s=new WeakMap;function r(l){const d=i.render.frame,c=l.geometry,_=e.get(l,c);if(s.get(_)!==d&&(e.update(_),s.set(_,d)),l.isInstancedMesh&&(l.hasEventListener("dispose",a)===!1&&l.addEventListener("dispose",a),s.get(l)!==d&&(t.update(l.instanceMatrix,n.ARRAY_BUFFER),l.instanceColor!==null&&t.update(l.instanceColor,n.ARRAY_BUFFER),s.set(l,d))),l.isSkinnedMesh){const f=l.skeleton;s.get(f)!==d&&(f.update(),s.set(f,d))}return _}function o(){s=new WeakMap}function a(l){const d=l.target;d.removeEventListener("dispose",a),t.remove(d.instanceMatrix),d.instanceColor!==null&&t.remove(d.instanceColor)}return{update:r,dispose:o}}class gI extends wn{constructor(e,t,i,s,r,o,a,l,d,c){if(c=c!==void 0?c:co,c!==co&&c!==Aa)throw new Error("DepthTexture format must be either THREE.DepthFormat or THREE.DepthStencilFormat");i===void 0&&c===co&&(i=gr),i===void 0&&c===Aa&&(i=lo),super(null,s,r,o,a,l,c,i,d),this.isDepthTexture=!0,this.image={width:e,height:t},this.magFilter=a!==void 0?a:En,this.minFilter=l!==void 0?l:En,this.flipY=!1,this.generateMipmaps=!1,this.compareFunction=null}copy(e){return super.copy(e),this.compareFunction=e.compareFunction,this}toJSON(e){const t=super.toJSON(e);return this.compareFunction!==null&&(t.compareFunction=this.compareFunction),t}}const bI=new wn,EI=new gI(1,1);EI.compareFunction=sI;const vI=new lI,yI=new Axt,SI=new fI,j1=[],Q1=[],X1=new Float32Array(16),Z1=new Float32Array(9),J1=new Float32Array(4);function Qa(n,e,t){const i=n[0];if(i<=0||i>0)return n;const s=e*t;let r=j1[s];if(r===void 0&&(r=new Float32Array(s),j1[s]=r),e!==0){i.toArray(r,0);for(let o=1,a=0;o!==e;++o)a+=t,n[o].toArray(r,a)}return r}function fn(n,e){if(n.length!==e.length)return!1;for(let t=0,i=n.length;t<i;t++)if(n[t]!==e[t])return!1;return!0}function mn(n,e){for(let t=0,i=e.length;t<i;t++)n[t]=e[t]}function hp(n,e){let t=Q1[e];t===void 0&&(t=new Int32Array(e),Q1[e]=t);for(let i=0;i!==e;++i)t[i]=n.allocateTextureUnit();return t}function qRt(n,e){const t=this.cache;t[0]!==e&&(n.uniform1f(this.addr,e),t[0]=e)}function YRt(n,e){const t=this.cache;if(e.x!==void 0)(t[0]!==e.x||t[1]!==e.y)&&(n.uniform2f(this.addr,e.x,e.y),t[0]=e.x,t[1]=e.y);else{if(fn(t,e))return;n.uniform2fv(this.addr,e),mn(t,e)}}function $Rt(n,e){const t=this.cache;if(e.x!==void 0)(t[0]!==e.x||t[1]!==e.y||t[2]!==e.z)&&(n.uniform3f(this.addr,e.x,e.y,e.z),t[0]=e.x,t[1]=e.y,t[2]=e.z);else if(e.r!==void 0)(t[0]!==e.r||t[1]!==e.g||t[2]!==e.b)&&(n.uniform3f(this.addr,e.r,e.g,e.b),t[0]=e.r,t[1]=e.g,t[2]=e.b);else{if(fn(t,e))return;n.uniform3fv(this.addr,e),mn(t,e)}}function WRt(n,e){const t=this.cache;if(e.x!==void 0)(t[0]!==e.x||t[1]!==e.y||t[2]!==e.z||t[3]!==e.w)&&(n.uniform4f(this.addr,e.x,e.y,e.z,e.w),t[0]=e.x,t[1]=e.y,t[2]=e.z,t[3]=e.w);else{if(fn(t,e))return;n.uniform4fv(this.addr,e),mn(t,e)}}function KRt(n,e){const t=this.cache,i=e.elements;if(i===void 0){if(fn(t,e))return;n.uniformMatrix2fv(this.addr,!1,e),mn(t,e)}else{if(fn(t,i))return;J1.set(i),n.uniformMatrix2fv(this.addr,!1,J1),mn(t,i)}}function jRt(n,e){const t=this.cache,i=e.elements;if(i===void 0){if(fn(t,e))return;n.uniformMatrix3fv(this.addr,!1,e),mn(t,e)}else{if(fn(t,i))return;Z1.set(i),n.uniformMatrix3fv(this.addr,!1,Z1),mn(t,i)}}function QRt(n,e){const t=this.cache,i=e.elements;if(i===void 0){if(fn(t,e))return;n.uniformMatrix4fv(this.addr,!1,e),mn(t,e)}else{if(fn(t,i))return;X1.set(i),n.uniformMatrix4fv(this.addr,!1,X1),mn(t,i)}}function XRt(n,e){const t=this.cache;t[0]!==e&&(n.uniform1i(this.addr,e),t[0]=e)}function ZRt(n,e){const t=this.cache;if(e.x!==void 0)(t[0]!==e.x||t[1]!==e.y)&&(n.uniform2i(this.addr,e.x,e.y),t[0]=e.x,t[1]=e.y);else{if(fn(t,e))return;n.uniform2iv(this.addr,e),mn(t,e)}}function JRt(n,e){const t=this.cache;if(e.x!==void 0)(t[0]!==e.x||t[1]!==e.y||t[2]!==e.z)&&(n.uniform3i(this.addr,e.x,e.y,e.z),t[0]=e.x,t[1]=e.y,t[2]=e.z);else{if(fn(t,e))return;n.uniform3iv(this.addr,e),mn(t,e)}}function eAt(n,e){const t=this.cache;if(e.x!==void 0)(t[0]!==e.x||t[1]!==e.y||t[2]!==e.z||t[3]!==e.w)&&(n.uniform4i(this.addr,e.x,e.y,e.z,e.w),t[0]=e.x,t[1]=e.y,t[2]=e.z,t[3]=e.w);else{if(fn(t,e))return;n.uniform4iv(this.addr,e),mn(t,e)}}function tAt(n,e){const t=this.cache;t[0]!==e&&(n.uniform1ui(this.addr,e),t[0]=e)}function nAt(n,e){const t=this.cache;if(e.x!==void 0)(t[0]!==e.x||t[1]!==e.y)&&(n.uniform2ui(this.addr,e.x,e.y),t[0]=e.x,t[1]=e.y);else{if(fn(t,e))return;n.uniform2uiv(this.addr,e),mn(t,e)}}function iAt(n,e){const t=this.cache;if(e.x!==void 0)(t[0]!==e.x||t[1]!==e.y||t[2]!==e.z)&&(n.uniform3ui(this.addr,e.x,e.y,e.z),t[0]=e.x,t[1]=e.y,t[2]=e.z);else{if(fn(t,e))return;n.uniform3uiv(this.addr,e),mn(t,e)}}function sAt(n,e){const t=this.cache;if(e.x!==void 0)(t[0]!==e.x||t[1]!==e.y||t[2]!==e.z||t[3]!==e.w)&&(n.uniform4ui(this.addr,e.x,e.y,e.z,e.w),t[0]=e.x,t[1]=e.y,t[2]=e.z,t[3]=e.w);else{if(fn(t,e))return;n.uniform4uiv(this.addr,e),mn(t,e)}}function rAt(n,e,t){const i=this.cache,s=t.allocateTextureUnit();i[0]!==s&&(n.uniform1i(this.addr,s),i[0]=s);const r=this.type===n.SAMPLER_2D_SHADOW?EI:bI;t.setTexture2D(e||r,s)}function oAt(n,e,t){const i=this.cache,s=t.allocateTextureUnit();i[0]!==s&&(n.uniform1i(this.addr,s),i[0]=s),t.setTexture3D(e||yI,s)}function aAt(n,e,t){const i=this.cache,s=t.allocateTextureUnit();i[0]!==s&&(n.uniform1i(this.addr,s),i[0]=s),t.setTextureCube(e||SI,s)}function lAt(n,e,t){const i=this.cache,s=t.allocateTextureUnit();i[0]!==s&&(n.uniform1i(this.addr,s),i[0]=s),t.setTexture2DArray(e||vI,s)}function cAt(n){switch(n){case 5126:return qRt;case 35664:return YRt;case 35665:return $Rt;case 35666:return WRt;case 35674:return KRt;case 35675:return jRt;case 35676:return QRt;case 5124:case 35670:return XRt;case 35667:case 35671:return ZRt;case 35668:case 35672:return JRt;case 35669:case 35673:return eAt;case 5125:return tAt;case 36294:return nAt;case 36295:return iAt;case 36296:return sAt;case 35678:case 36198:case 36298:case 36306:case 35682:return rAt;case 35679:case 36299:case 36307:return oAt;case 35680:case 36300:case 36308:case 36293:return aAt;case 36289:case 36303:case 36311:case 36292:return lAt}}function dAt(n,e){n.uniform1fv(this.addr,e)}function uAt(n,e){const t=Qa(e,this.size,2);n.uniform2fv(this.addr,t)}function pAt(n,e){const t=Qa(e,this.size,3);n.uniform3fv(this.addr,t)}function _At(n,e){const t=Qa(e,this.size,4);n.uniform4fv(this.addr,t)}function hAt(n,e){const t=Qa(e,this.size,4);n.uniformMatrix2fv(this.addr,!1,t)}function fAt(n,e){const t=Qa(e,this.size,9);n.uniformMatrix3fv(this.addr,!1,t)}function mAt(n,e){const t=Qa(e,this.size,16);n.uniformMatrix4fv(this.addr,!1,t)}function gAt(n,e){n.uniform1iv(this.addr,e)}function bAt(n,e){n.uniform2iv(this.addr,e)}function EAt(n,e){n.uniform3iv(this.addr,e)}function vAt(n,e){n.uniform4iv(this.addr,e)}function yAt(n,e){n.uniform1uiv(this.addr,e)}function SAt(n,e){n.uniform2uiv(this.addr,e)}function TAt(n,e){n.uniform3uiv(this.addr,e)}function xAt(n,e){n.uniform4uiv(this.addr,e)}function CAt(n,e,t){const i=this.cache,s=e.length,r=hp(t,s);fn(i,r)||(n.uniform1iv(this.addr,r),mn(i,r));for(let o=0;o!==s;++o)t.setTexture2D(e[o]||bI,r[o])}function RAt(n,e,t){const i=this.cache,s=e.length,r=hp(t,s);fn(i,r)||(n.uniform1iv(this.addr,r),mn(i,r));for(let o=0;o!==s;++o)t.setTexture3D(e[o]||yI,r[o])}function AAt(n,e,t){const i=this.cache,s=e.length,r=hp(t,s);fn(i,r)||(n.uniform1iv(this.addr,r),mn(i,r));for(let o=0;o!==s;++o)t.setTextureCube(e[o]||SI,r[o])}function wAt(n,e,t){const i=this.cache,s=e.length,r=hp(t,s);fn(i,r)||(n.uniform1iv(this.addr,r),mn(i,r));for(let o=0;o!==s;++o)t.setTexture2DArray(e[o]||vI,r[o])}function NAt(n){switch(n){case 5126:return dAt;case 35664:return uAt;case 35665:return pAt;case 35666:return _At;case 35674:return hAt;case 35675:return fAt;case 35676:return mAt;case 5124:case 35670:return gAt;case 35667:case 35671:return bAt;case 35668:case 35672:return EAt;case 35669:case 35673:return vAt;case 5125:return yAt;case 36294:return SAt;case 36295:return TAt;case 36296:return xAt;case 35678:case 36198:case 36298:case 36306:case 35682:return CAt;case 35679:case 36299:case 36307:return RAt;case 35680:case 36300:case 36308:case 36293:return AAt;case 36289:case 36303:case 36311:case 36292:return wAt}}class OAt{constructor(e,t,i){this.id=e,this.addr=i,this.cache=[],this.type=t.type,this.setValue=cAt(t.type)}}class IAt{constructor(e,t,i){this.id=e,this.addr=i,this.cache=[],this.type=t.type,this.size=t.size,this.setValue=NAt(t.type)}}class MAt{constructor(e){this.id=e,this.seq=[],this.map={}}setValue(e,t,i){const s=this.seq;for(let r=0,o=s.length;r!==o;++r){const a=s[r];a.setValue(e,t[a.id],i)}}}const ug=/(\w+)(\])?(\[|\.)?/g;function eR(n,e){n.seq.push(e),n.map[e.id]=e}function DAt(n,e,t){const i=n.name,s=i.length;for(ug.lastIndex=0;;){const r=ug.exec(i),o=ug.lastIndex;let a=r[1];const l=r[2]==="]",d=r[3];if(l&&(a=a|0),d===void 0||d==="["&&o+2===s){eR(t,d===void 0?new OAt(a,n,e):new IAt(a,n,e));break}else{let _=t.map[a];_===void 0&&(_=new MAt(a),eR(t,_)),t=_}}}class Hd{constructor(e,t){this.seq=[],this.map={};const i=e.getProgramParameter(t,e.ACTIVE_UNIFORMS);for(let s=0;s<i;++s){const r=e.getActiveUniform(t,s),o=e.getUniformLocation(t,r.name);DAt(r,o,this)}}setValue(e,t,i,s){const r=this.map[t];r!==void 0&&r.setValue(e,i,s)}setOptional(e,t,i){const s=t[i];s!==void 0&&this.setValue(e,i,s)}static upload(e,t,i,s){for(let r=0,o=t.length;r!==o;++r){const a=t[r],l=i[a.id];l.needsUpdate!==!1&&a.setValue(e,l.value,s)}}static seqWithValue(e,t){const i=[];for(let s=0,r=e.length;s!==r;++s){const o=e[s];o.id in t&&i.push(o)}return i}}function tR(n,e,t){const i=n.createShader(e);return n.shaderSource(i,t),n.compileShader(i),i}const kAt=37297;let LAt=0;function PAt(n,e){const t=n.split(`
`),i=[],s=Math.max(e-6,0),r=Math.min(e+6,t.length);for(let o=s;o<r;o++){const a=o+1;i.push(`${a===e?">":" "} ${a}: ${t[o]}`)}return i.join(`
`)}function UAt(n){const e=Ft.getPrimaries(Ft.workingColorSpace),t=Ft.getPrimaries(n);let i;switch(e===t?i="":e===vu&&t===Eu?i="LinearDisplayP3ToLinearSRGB":e===Eu&&t===vu&&(i="LinearSRGBToLinearDisplayP3"),n){case Nn:case up:return[i,"LinearTransferOETF"];case rn:case ZE:return[i,"sRGBTransferOETF"];default:return console.warn("THREE.WebGLProgram: Unsupported color space:",n),[i,"LinearTransferOETF"]}}function nR(n,e,t){const i=n.getShaderParameter(e,n.COMPILE_STATUS),s=n.getShaderInfoLog(e).trim();if(i&&s==="")return"";const r=/ERROR: 0:(\d+)/.exec(s);if(r){const o=parseInt(r[1]);return t.toUpperCase()+`
`+s+`
`+PAt(n.getShaderSource(e),o)}else return s}function FAt(n,e){const t=UAt(e);return`vec4 ${n}( vec4 value ) { return ${t[0]}( ${t[1]}( value ) ); }`}function BAt(n,e){let t;switch(e){case LTt:t="Linear";break;case PTt:t="Reinhard";break;case UTt:t="OptimizedCineon";break;case FTt:t="ACESFilmic";break;case BTt:t="Custom";break;default:console.warn("THREE.WebGLProgram: Unsupported toneMapping:",e),t="Linear"}return"vec3 "+n+"( vec3 color ) { return "+t+"ToneMapping( color ); }"}function GAt(n){return[n.extensionDerivatives||n.envMapCubeUVHeight||n.bumpMap||n.normalMapTangentSpace||n.clearcoatNormalMap||n.flatShading||n.shaderID==="physical"?"#extension GL_OES_standard_derivatives : enable":"",(n.extensionFragDepth||n.logarithmicDepthBuffer)&&n.rendererExtensionFragDepth?"#extension GL_EXT_frag_depth : enable":"",n.extensionDrawBuffers&&n.rendererExtensionDrawBuffers?"#extension GL_EXT_draw_buffers : require":"",(n.extensionShaderTextureLOD||n.envMap||n.transmission)&&n.rendererExtensionShaderTextureLod?"#extension GL_EXT_shader_texture_lod : enable":""].filter(wl).join(`
`)}function zAt(n){const e=[];for(const t in n){const i=n[t];i!==!1&&e.push("#define "+t+" "+i)}return e.join(`
`)}function VAt(n,e){const t={},i=n.getProgramParameter(e,n.ACTIVE_ATTRIBUTES);for(let s=0;s<i;s++){const r=n.getActiveAttrib(e,s),o=r.name;let a=1;r.type===n.FLOAT_MAT2&&(a=2),r.type===n.FLOAT_MAT3&&(a=3),r.type===n.FLOAT_MAT4&&(a=4),t[o]={type:r.type,location:n.getAttribLocation(e,o),locationSize:a}}return t}function wl(n){return n!==""}function iR(n,e){const t=e.numSpotLightShadows+e.numSpotLightMaps-e.numSpotLightShadowsWithMaps;return n.replace(/NUM_DIR_LIGHTS/g,e.numDirLights).replace(/NUM_SPOT_LIGHTS/g,e.numSpotLights).replace(/NUM_SPOT_LIGHT_MAPS/g,e.numSpotLightMaps).replace(/NUM_SPOT_LIGHT_COORDS/g,t).replace(/NUM_RECT_AREA_LIGHTS/g,e.numRectAreaLights).replace(/NUM_POINT_LIGHTS/g,e.numPointLights).replace(/NUM_HEMI_LIGHTS/g,e.numHemiLights).replace(/NUM_DIR_LIGHT_SHADOWS/g,e.numDirLightShadows).replace(/NUM_SPOT_LIGHT_SHADOWS_WITH_MAPS/g,e.numSpotLightShadowsWithMaps).replace(/NUM_SPOT_LIGHT_SHADOWS/g,e.numSpotLightShadows).replace(/NUM_POINT_LIGHT_SHADOWS/g,e.numPointLightShadows)}function sR(n,e){return n.replace(/NUM_CLIPPING_PLANES/g,e.numClippingPlanes).replace(/UNION_CLIPPING_PLANES/g,e.numClippingPlanes-e.numClipIntersection)}const HAt=/^[ \t]*#include +<([\w\d./]+)>/gm;function fb(n){return n.replace(HAt,YAt)}const qAt=new Map([["encodings_fragment","colorspace_fragment"],["encodings_pars_fragment","colorspace_pars_fragment"],["output_fragment","opaque_fragment"]]);function YAt(n,e){let t=St[e];if(t===void 0){const i=qAt.get(e);if(i!==void 0)t=St[i],console.warn('THREE.WebGLRenderer: Shader chunk "%s" has been deprecated. Use "%s" instead.',e,i);else throw new Error("Can not resolve #include <"+e+">")}return fb(t)}const $At=/#pragma unroll_loop_start\s+for\s*\(\s*int\s+i\s*=\s*(\d+)\s*;\s*i\s*<\s*(\d+)\s*;\s*i\s*\+\+\s*\)\s*{([\s\S]+?)}\s+#pragma unroll_loop_end/g;function rR(n){return n.replace($At,WAt)}function WAt(n,e,t,i){let s="";for(let r=parseInt(e);r<parseInt(t);r++)s+=i.replace(/\[\s*i\s*\]/g,"[ "+r+" ]").replace(/UNROLLED_LOOP_INDEX/g,r);return s}function oR(n){let e="precision "+n.precision+` float;
precision `+n.precision+" int;";return n.precision==="highp"?e+=`
#define HIGH_PRECISION`:n.precision==="mediump"?e+=`
#define MEDIUM_PRECISION`:n.precision==="lowp"&&(e+=`
#define LOW_PRECISION`),e}function KAt(n){let e="SHADOWMAP_TYPE_BASIC";return n.shadowMapType===$O?e="SHADOWMAP_TYPE_PCF":n.shadowMapType===cTt?e="SHADOWMAP_TYPE_PCF_SOFT":n.shadowMapType===Os&&(e="SHADOWMAP_TYPE_VSM"),e}function jAt(n){let e="ENVMAP_TYPE_CUBE";if(n.envMap)switch(n.envMapMode){case xa:case Ca:e="ENVMAP_TYPE_CUBE";break;case dp:e="ENVMAP_TYPE_CUBE_UV";break}return e}function QAt(n){let e="ENVMAP_MODE_REFLECTION";if(n.envMap)switch(n.envMapMode){case Ca:e="ENVMAP_MODE_REFRACTION";break}return e}function XAt(n){let e="ENVMAP_BLENDING_NONE";if(n.envMap)switch(n.combine){case jE:e="ENVMAP_BLENDING_MULTIPLY";break;case DTt:e="ENVMAP_BLENDING_MIX";break;case kTt:e="ENVMAP_BLENDING_ADD";break}return e}function ZAt(n){const e=n.envMapCubeUVHeight;if(e===null)return null;const t=Math.log2(e)-2,i=1/e;return{texelWidth:1/(3*Math.max(Math.pow(2,t),7*16)),texelHeight:i,maxMip:t}}function JAt(n,e,t,i){const s=n.getContext(),r=t.defines;let o=t.vertexShader,a=t.fragmentShader;const l=KAt(t),d=jAt(t),c=QAt(t),_=XAt(t),f=ZAt(t),m=t.isWebGL2?"":GAt(t),h=zAt(r),E=s.createProgram();let b,g,v=t.glslVersion?"#version "+t.glslVersion+`
`:"";t.isRawShaderMaterial?(b=["#define SHADER_TYPE "+t.shaderType,"#define SHADER_NAME "+t.shaderName,h].filter(wl).join(`
`),b.length>0&&(b+=`
`),g=[m,"#define SHADER_TYPE "+t.shaderType,"#define SHADER_NAME "+t.shaderName,h].filter(wl).join(`
`),g.length>0&&(g+=`
`)):(b=[oR(t),"#define SHADER_TYPE "+t.shaderType,"#define SHADER_NAME "+t.shaderName,h,t.batching?"#define USE_BATCHING":"",t.instancing?"#define USE_INSTANCING":"",t.instancingColor?"#define USE_INSTANCING_COLOR":"",t.useFog&&t.fog?"#define USE_FOG":"",t.useFog&&t.fogExp2?"#define FOG_EXP2":"",t.map?"#define USE_MAP":"",t.envMap?"#define USE_ENVMAP":"",t.envMap?"#define "+c:"",t.lightMap?"#define USE_LIGHTMAP":"",t.aoMap?"#define USE_AOMAP":"",t.bumpMap?"#define USE_BUMPMAP":"",t.normalMap?"#define USE_NORMALMAP":"",t.normalMapObjectSpace?"#define USE_NORMALMAP_OBJECTSPACE":"",t.normalMapTangentSpace?"#define USE_NORMALMAP_TANGENTSPACE":"",t.displacementMap?"#define USE_DISPLACEMENTMAP":"",t.emissiveMap?"#define USE_EMISSIVEMAP":"",t.anisotropy?"#define USE_ANISOTROPY":"",t.anisotropyMap?"#define USE_ANISOTROPYMAP":"",t.clearcoatMap?"#define USE_CLEARCOATMAP":"",t.clearcoatRoughnessMap?"#define USE_CLEARCOAT_ROUGHNESSMAP":"",t.clearcoatNormalMap?"#define USE_CLEARCOAT_NORMALMAP":"",t.iridescenceMap?"#define USE_IRIDESCENCEMAP":"",t.iridescenceThicknessMap?"#define USE_IRIDESCENCE_THICKNESSMAP":"",t.specularMap?"#define USE_SPECULARMAP":"",t.specularColorMap?"#define USE_SPECULAR_COLORMAP":"",t.specularIntensityMap?"#define USE_SPECULAR_INTENSITYMAP":"",t.roughnessMap?"#define USE_ROUGHNESSMAP":"",t.metalnessMap?"#define USE_METALNESSMAP":"",t.alphaMap?"#define USE_ALPHAMAP":"",t.alphaHash?"#define USE_ALPHAHASH":"",t.transmission?"#define USE_TRANSMISSION":"",t.transmissionMap?"#define USE_TRANSMISSIONMAP":"",t.thicknessMap?"#define USE_THICKNESSMAP":"",t.sheenColorMap?"#define USE_SHEEN_COLORMAP":"",t.sheenRoughnessMap?"#define USE_SHEEN_ROUGHNESSMAP":"",t.mapUv?"#define MAP_UV "+t.mapUv:"",t.alphaMapUv?"#define ALPHAMAP_UV "+t.alphaMapUv:"",t.lightMapUv?"#define LIGHTMAP_UV "+t.lightMapUv:"",t.aoMapUv?"#define AOMAP_UV "+t.aoMapUv:"",t.emissiveMapUv?"#define EMISSIVEMAP_UV "+t.emissiveMapUv:"",t.bumpMapUv?"#define BUMPMAP_UV "+t.bumpMapUv:"",t.normalMapUv?"#define NORMALMAP_UV "+t.normalMapUv:"",t.displacementMapUv?"#define DISPLACEMENTMAP_UV "+t.displacementMapUv:"",t.metalnessMapUv?"#define METALNESSMAP_UV "+t.metalnessMapUv:"",t.roughnessMapUv?"#define ROUGHNESSMAP_UV "+t.roughnessMapUv:"",t.anisotropyMapUv?"#define ANISOTROPYMAP_UV "+t.anisotropyMapUv:"",t.clearcoatMapUv?"#define CLEARCOATMAP_UV "+t.clearcoatMapUv:"",t.clearcoatNormalMapUv?"#define CLEARCOAT_NORMALMAP_UV "+t.clearcoatNormalMapUv:"",t.clearcoatRoughnessMapUv?"#define CLEARCOAT_ROUGHNESSMAP_UV "+t.clearcoatRoughnessMapUv:"",t.iridescenceMapUv?"#define IRIDESCENCEMAP_UV "+t.iridescenceMapUv:"",t.iridescenceThicknessMapUv?"#define IRIDESCENCE_THICKNESSMAP_UV "+t.iridescenceThicknessMapUv:"",t.sheenColorMapUv?"#define SHEEN_COLORMAP_UV "+t.sheenColorMapUv:"",t.sheenRoughnessMapUv?"#define SHEEN_ROUGHNESSMAP_UV "+t.sheenRoughnessMapUv:"",t.specularMapUv?"#define SPECULARMAP_UV "+t.specularMapUv:"",t.specularColorMapUv?"#define SPECULAR_COLORMAP_UV "+t.specularColorMapUv:"",t.specularIntensityMapUv?"#define SPECULAR_INTENSITYMAP_UV "+t.specularIntensityMapUv:"",t.transmissionMapUv?"#define TRANSMISSIONMAP_UV "+t.transmissionMapUv:"",t.thicknessMapUv?"#define THICKNESSMAP_UV "+t.thicknessMapUv:"",t.vertexTangents&&t.flatShading===!1?"#define USE_TANGENT":"",t.vertexColors?"#define USE_COLOR":"",t.vertexAlphas?"#define USE_COLOR_ALPHA":"",t.vertexUv1s?"#define USE_UV1":"",t.vertexUv2s?"#define USE_UV2":"",t.vertexUv3s?"#define USE_UV3":"",t.pointsUvs?"#define USE_POINTS_UV":"",t.flatShading?"#define FLAT_SHADED":"",t.skinning?"#define USE_SKINNING":"",t.morphTargets?"#define USE_MORPHTARGETS":"",t.morphNormals&&t.flatShading===!1?"#define USE_MORPHNORMALS":"",t.morphColors&&t.isWebGL2?"#define USE_MORPHCOLORS":"",t.morphTargetsCount>0&&t.isWebGL2?"#define MORPHTARGETS_TEXTURE":"",t.morphTargetsCount>0&&t.isWebGL2?"#define MORPHTARGETS_TEXTURE_STRIDE "+t.morphTextureStride:"",t.morphTargetsCount>0&&t.isWebGL2?"#define MORPHTARGETS_COUNT "+t.morphTargetsCount:"",t.doubleSided?"#define DOUBLE_SIDED":"",t.flipSided?"#define FLIP_SIDED":"",t.shadowMapEnabled?"#define USE_SHADOWMAP":"",t.shadowMapEnabled?"#define "+l:"",t.sizeAttenuation?"#define USE_SIZEATTENUATION":"",t.numLightProbes>0?"#define USE_LIGHT_PROBES":"",t.useLegacyLights?"#define LEGACY_LIGHTS":"",t.logarithmicDepthBuffer?"#define USE_LOGDEPTHBUF":"",t.logarithmicDepthBuffer&&t.rendererExtensionFragDepth?"#define USE_LOGDEPTHBUF_EXT":"","uniform mat4 modelMatrix;","uniform mat4 modelViewMatrix;","uniform mat4 projectionMatrix;","uniform mat4 viewMatrix;","uniform mat3 normalMatrix;","uniform vec3 cameraPosition;","uniform bool isOrthographic;","#ifdef USE_INSTANCING"," attribute mat4 instanceMatrix;","#endif","#ifdef USE_INSTANCING_COLOR"," attribute vec3 instanceColor;","#endif","attribute vec3 position;","attribute vec3 normal;","attribute vec2 uv;","#ifdef USE_UV1"," attribute vec2 uv1;","#endif","#ifdef USE_UV2"," attribute vec2 uv2;","#endif","#ifdef USE_UV3"," attribute vec2 uv3;","#endif","#ifdef USE_TANGENT"," attribute vec4 tangent;","#endif","#if defined( USE_COLOR_ALPHA )"," attribute vec4 color;","#elif defined( USE_COLOR )"," attribute vec3 color;","#endif","#if ( defined( USE_MORPHTARGETS ) && ! defined( MORPHTARGETS_TEXTURE ) )"," attribute vec3 morphTarget0;"," attribute vec3 morphTarget1;"," attribute vec3 morphTarget2;"," attribute vec3 morphTarget3;"," #ifdef USE_MORPHNORMALS"," attribute vec3 morphNormal0;"," attribute vec3 morphNormal1;"," attribute vec3 morphNormal2;"," attribute vec3 morphNormal3;"," #else"," attribute vec3 morphTarget4;"," attribute vec3 morphTarget5;"," attribute vec3 morphTarget6;"," attribute vec3 morphTarget7;"," #endif","#endif","#ifdef USE_SKINNING"," attribute vec4 skinIndex;"," attribute vec4 skinWeight;","#endif",`
`].filter(wl).join(`
`),g=[m,oR(t),"#define SHADER_TYPE "+t.shaderType,"#define SHADER_NAME "+t.shaderName,h,t.useFog&&t.fog?"#define USE_FOG":"",t.useFog&&t.fogExp2?"#define FOG_EXP2":"",t.map?"#define USE_MAP":"",t.matcap?"#define USE_MATCAP":"",t.envMap?"#define USE_ENVMAP":"",t.envMap?"#define "+d:"",t.envMap?"#define "+c:"",t.envMap?"#define "+_:"",f?"#define CUBEUV_TEXEL_WIDTH "+f.texelWidth:"",f?"#define CUBEUV_TEXEL_HEIGHT "+f.texelHeight:"",f?"#define CUBEUV_MAX_MIP "+f.maxMip+".0":"",t.lightMap?"#define USE_LIGHTMAP":"",t.aoMap?"#define USE_AOMAP":"",t.bumpMap?"#define USE_BUMPMAP":"",t.normalMap?"#define USE_NORMALMAP":"",t.normalMapObjectSpace?"#define USE_NORMALMAP_OBJECTSPACE":"",t.normalMapTangentSpace?"#define USE_NORMALMAP_TANGENTSPACE":"",t.emissiveMap?"#define USE_EMISSIVEMAP":"",t.anisotropy?"#define USE_ANISOTROPY":"",t.anisotropyMap?"#define USE_ANISOTROPYMAP":"",t.clearcoat?"#define USE_CLEARCOAT":"",t.clearcoatMap?"#define USE_CLEARCOATMAP":"",t.clearcoatRoughnessMap?"#define USE_CLEARCOAT_ROUGHNESSMAP":"",t.clearcoatNormalMap?"#define USE_CLEARCOAT_NORMALMAP":"",t.iridescence?"#define USE_IRIDESCENCE":"",t.iridescenceMap?"#define USE_IRIDESCENCEMAP":"",t.iridescenceThicknessMap?"#define USE_IRIDESCENCE_THICKNESSMAP":"",t.specularMap?"#define USE_SPECULARMAP":"",t.specularColorMap?"#define USE_SPECULAR_COLORMAP":"",t.specularIntensityMap?"#define USE_SPECULAR_INTENSITYMAP":"",t.roughnessMap?"#define USE_ROUGHNESSMAP":"",t.metalnessMap?"#define USE_METALNESSMAP":"",t.alphaMap?"#define USE_ALPHAMAP":"",t.alphaTest?"#define USE_ALPHATEST":"",t.alphaHash?"#define USE_ALPHAHASH":"",t.sheen?"#define USE_SHEEN":"",t.sheenColorMap?"#define USE_SHEEN_COLORMAP":"",t.sheenRoughnessMap?"#define USE_SHEEN_ROUGHNESSMAP":"",t.transmission?"#define USE_TRANSMISSION":"",t.transmissionMap?"#define USE_TRANSMISSIONMAP":"",t.thicknessMap?"#define USE_THICKNESSMAP":"",t.vertexTangents&&t.flatShading===!1?"#define USE_TANGENT":"",t.vertexColors||t.instancingColor?"#define USE_COLOR":"",t.vertexAlphas?"#define USE_COLOR_ALPHA":"",t.vertexUv1s?"#define USE_UV1":"",t.vertexUv2s?"#define USE_UV2":"",t.vertexUv3s?"#define USE_UV3":"",t.pointsUvs?"#define USE_POINTS_UV":"",t.gradientMap?"#define USE_GRADIENTMAP":"",t.flatShading?"#define FLAT_SHADED":"",t.doubleSided?"#define DOUBLE_SIDED":"",t.flipSided?"#define FLIP_SIDED":"",t.shadowMapEnabled?"#define USE_SHADOWMAP":"",t.shadowMapEnabled?"#define "+l:"",t.premultipliedAlpha?"#define PREMULTIPLIED_ALPHA":"",t.numLightProbes>0?"#define USE_LIGHT_PROBES":"",t.useLegacyLights?"#define LEGACY_LIGHTS":"",t.decodeVideoTexture?"#define DECODE_VIDEO_TEXTURE":"",t.logarithmicDepthBuffer?"#define USE_LOGDEPTHBUF":"",t.logarithmicDepthBuffer&&t.rendererExtensionFragDepth?"#define USE_LOGDEPTHBUF_EXT":"","uniform mat4 viewMatrix;","uniform vec3 cameraPosition;","uniform bool isOrthographic;",t.toneMapping!==Sr?"#define TONE_MAPPING":"",t.toneMapping!==Sr?St.tonemapping_pars_fragment:"",t.toneMapping!==Sr?BAt("toneMapping",t.toneMapping):"",t.dithering?"#define DITHERING":"",t.opaque?"#define OPAQUE":"",St.colorspace_pars_fragment,FAt("linearToOutputTexel",t.outputColorSpace),t.useDepthPacking?"#define DEPTH_PACKING "+t.depthPacking:"",`
`].filter(wl).join(`
`)),o=fb(o),o=iR(o,t),o=sR(o,t),a=fb(a),a=iR(a,t),a=sR(a,t),o=rR(o),a=rR(a),t.isWebGL2&&t.isRawShaderMaterial!==!0&&(v=`#version 300 es
`,b=["precision mediump sampler2DArray;","#define attribute in","#define varying out","#define texture2D texture"].join(`
`)+`
`+b,g=["precision mediump sampler2DArray;","#define varying in",t.glslVersion===x1?"":"layout(location = 0) out highp vec4 pc_fragColor;",t.glslVersion===x1?"":"#define gl_FragColor pc_fragColor","#define gl_FragDepthEXT gl_FragDepth","#define texture2D texture","#define textureCube texture","#define texture2DProj textureProj","#define texture2DLodEXT textureLod","#define texture2DProjLodEXT textureProjLod","#define textureCubeLodEXT textureLod","#define texture2DGradEXT textureGrad","#define texture2DProjGradEXT textureProjGrad","#define textureCubeGradEXT textureGrad"].join(`
`)+`
`+g);const y=v+b+o,T=v+g+a,C=tR(s,s.VERTEX_SHADER,y),x=tR(s,s.FRAGMENT_SHADER,T);s.attachShader(E,C),s.attachShader(E,x),t.index0AttributeName!==void 0?s.bindAttribLocation(E,0,t.index0AttributeName):t.morphTargets===!0&&s.bindAttribLocation(E,0,"position"),s.linkProgram(E);function O(U){if(n.debug.checkShaderErrors){const F=s.getProgramInfoLog(E).trim(),K=s.getShaderInfoLog(C).trim(),L=s.getShaderInfoLog(x).trim();let H=!0,G=!0;if(s.getProgramParameter(E,s.LINK_STATUS)===!1)if(H=!1,typeof n.debug.onShaderError=="function")n.debug.onShaderError(s,E,C,x);else{const P=nR(s,C,"vertex"),j=nR(s,x,"fragment");console.error("THREE.WebGLProgram: Shader Error "+s.getError()+" - VALIDATE_STATUS "+s.getProgramParameter(E,s.VALIDATE_STATUS)+`
Program Info Log: `+F+`
`+P+`
`+j)}else F!==""?console.warn("THREE.WebGLProgram: Program Info Log:",F):(K===""||L==="")&&(G=!1);G&&(U.diagnostics={runnable:H,programLog:F,vertexShader:{log:K,prefix:b},fragmentShader:{log:L,prefix:g}})}s.deleteShader(C),s.deleteShader(x),R=new Hd(s,E),S=VAt(s,E)}let R;this.getUniforms=function(){return R===void 0&&O(this),R};let S;this.getAttributes=function(){return S===void 0&&O(this),S};let A=t.rendererExtensionParallelShaderCompile===!1;return this.isReady=function(){return A===!1&&(A=s.getProgramParameter(E,kAt)),A},this.destroy=function(){i.releaseStatesOfProgram(this),s.deleteProgram(E),this.program=void 0},this.type=t.shaderType,this.name=t.shaderName,this.id=LAt++,this.cacheKey=e,this.usedTimes=1,this.program=E,this.vertexShader=C,this.fragmentShader=x,this}let ewt=0;class twt{constructor(){this.shaderCache=new Map,this.materialCache=new Map}update(e){const t=e.vertexShader,i=e.fragmentShader,s=this._getShaderStage(t),r=this._getShaderStage(i),o=this._getShaderCacheForMaterial(e);return o.has(s)===!1&&(o.add(s),s.usedTimes++),o.has(r)===!1&&(o.add(r),r.usedTimes++),this}remove(e){const t=this.materialCache.get(e);for(const i of t)i.usedTimes--,i.usedTimes===0&&this.shaderCache.delete(i.code);return this.materialCache.delete(e),this}getVertexShaderID(e){return this._getShaderStage(e.vertexShader).id}getFragmentShaderID(e){return this._getShaderStage(e.fragmentShader).id}dispose(){this.shaderCache.clear(),this.materialCache.clear()}_getShaderCacheForMaterial(e){const t=this.materialCache;let i=t.get(e);return i===void 0&&(i=new Set,t.set(e,i)),i}_getShaderStage(e){const t=this.shaderCache;let i=t.get(e);return i===void 0&&(i=new nwt(e),t.set(e,i)),i}}class nwt{constructor(e){this.id=ewt++,this.code=e,this.usedTimes=0}}function iwt(n,e,t,i,s,r,o){const a=new cI,l=new twt,d=[],c=s.isWebGL2,_=s.logarithmicDepthBuffer,f=s.vertexTextures;let m=s.precision;const h={MeshDepthMaterial:"depth",MeshDistanceMaterial:"distanceRGBA",MeshNormalMaterial:"normal",MeshBasicMaterial:"basic",MeshLambertMaterial:"lambert",MeshPhongMaterial:"phong",MeshToonMaterial:"toon",MeshStandardMaterial:"physical",MeshPhysicalMaterial:"physical",MeshMatcapMaterial:"matcap",LineBasicMaterial:"basic",LineDashedMaterial:"dashed",PointsMaterial:"points",ShadowMaterial:"shadow",SpriteMaterial:"sprite"};function E(S){return S===0?"uv":`uv${S}`}function b(S,A,U,F,K){const L=F.fog,H=K.geometry,G=S.isMeshStandardMaterial?F.environment:null,P=(S.isMeshStandardMaterial?t:e).get(S.envMap||G),j=P&&P.mapping===dp?P.image.height:null,Y=h[S.type];S.precision!==null&&(m=s.getMaxPrecision(S.precision),m!==S.precision&&console.warn("THREE.WebGLProgram.getParameters:",S.precision,"not supported, using",m,"instead."));const Q=H.morphAttributes.position||H.morphAttributes.normal||H.morphAttributes.color,re=Q!==void 0?Q.length:0;let te=0;H.morphAttributes.position!==void 0&&(te=1),H.morphAttributes.normal!==void 0&&(te=2),H.morphAttributes.color!==void 0&&(te=3);let Z,fe,ve,Ae;if(Y){const ln=Xi[Y];Z=ln.vertexShader,fe=ln.fragmentShader}else Z=S.vertexShader,fe=S.fragmentShader,l.update(S),ve=l.getVertexShaderID(S),Ae=l.getFragmentShaderID(S);const J=n.getRenderTarget(),me=K.isInstancedMesh===!0,ee=K.isBatchedMesh===!0,Se=!!S.map,Oe=!!S.matcap,k=!!P,B=!!S.aoMap,$=!!S.lightMap,ce=!!S.bumpMap,ne=!!S.normalMap,Ce=!!S.displacementMap,we=!!S.emissiveMap,V=!!S.metalnessMap,_e=!!S.roughnessMap,ie=S.anisotropy>0,ae=S.clearcoat>0,D=S.iridescence>0,I=S.sheen>0,z=S.transmission>0,he=ie&&!!S.anisotropyMap,X=ae&&!!S.clearcoatMap,se=ae&&!!S.clearcoatNormalMap,Re=ae&&!!S.clearcoatRoughnessMap,Te=D&&!!S.iridescenceMap,Me=D&&!!S.iridescenceThicknessMap,ze=I&&!!S.sheenColorMap,st=I&&!!S.sheenRoughnessMap,De=!!S.specularMap,lt=!!S.specularColorMap,Qe=!!S.specularIntensityMap,He=z&&!!S.transmissionMap,Je=z&&!!S.thicknessMap,Fe=!!S.gradientMap,ut=!!S.alphaMap,ue=S.alphaTest>0,We=!!S.alphaHash,Ue=!!S.extensions,Ne=!!H.attributes.uv1,Be=!!H.attributes.uv2,ct=!!H.attributes.uv3;let Et=Sr;return S.toneMapped&&(J===null||J.isXRRenderTarget===!0)&&(Et=n.toneMapping),{isWebGL2:c,shaderID:Y,shaderType:S.type,shaderName:S.name,vertexShader:Z,fragmentShader:fe,defines:S.defines,customVertexShaderID:ve,customFragmentShaderID:Ae,isRawShaderMaterial:S.isRawShaderMaterial===!0,glslVersion:S.glslVersion,precision:m,batching:ee,instancing:me,instancingColor:me&&K.instanceColor!==null,supportsVertexTextures:f,outputColorSpace:J===null?n.outputColorSpace:J.isXRRenderTarget===!0?J.texture.colorSpace:Nn,map:Se,matcap:Oe,envMap:k,envMapMode:k&&P.mapping,envMapCubeUVHeight:j,aoMap:B,lightMap:$,bumpMap:ce,normalMap:ne,displacementMap:f&&Ce,emissiveMap:we,normalMapObjectSpace:ne&&S.normalMapType===JTt,normalMapTangentSpace:ne&&S.normalMapType===XE,metalnessMap:V,roughnessMap:_e,anisotropy:ie,anisotropyMap:he,clearcoat:ae,clearcoatMap:X,clearcoatNormalMap:se,clearcoatRoughnessMap:Re,iridescence:D,iridescenceMap:Te,iridescenceThicknessMap:Me,sheen:I,sheenColorMap:ze,sheenRoughnessMap:st,specularMap:De,specularColorMap:lt,specularIntensityMap:Qe,transmission:z,transmissionMap:He,thicknessMap:Je,gradientMap:Fe,opaque:S.transparent===!1&&S.blending===aa,alphaMap:ut,alphaTest:ue,alphaHash:We,combine:S.combine,mapUv:Se&&E(S.map.channel),aoMapUv:B&&E(S.aoMap.channel),lightMapUv:$&&E(S.lightMap.channel),bumpMapUv:ce&&E(S.bumpMap.channel),normalMapUv:ne&&E(S.normalMap.channel),displacementMapUv:Ce&&E(S.displacementMap.channel),emissiveMapUv:we&&E(S.emissiveMap.channel),metalnessMapUv:V&&E(S.metalnessMap.channel),roughnessMapUv:_e&&E(S.roughnessMap.channel),anisotropyMapUv:he&&E(S.anisotropyMap.channel),clearcoatMapUv:X&&E(S.clearcoatMap.channel),clearcoatNormalMapUv:se&&E(S.clearcoatNormalMap.channel),clearcoatRoughnessMapUv:Re&&E(S.clearcoatRoughnessMap.channel),iridescenceMapUv:Te&&E(S.iridescenceMap.channel),iridescenceThicknessMapUv:Me&&E(S.iridescenceThicknessMap.channel),sheenColorMapUv:ze&&E(S.sheenColorMap.channel),sheenRoughnessMapUv:st&&E(S.sheenRoughnessMap.channel),specularMapUv:De&&E(S.specularMap.channel),specularColorMapUv:lt&&E(S.specularColorMap.channel),specularIntensityMapUv:Qe&&E(S.specularIntensityMap.channel),transmissionMapUv:He&&E(S.transmissionMap.channel),thicknessMapUv:Je&&E(S.thicknessMap.channel),alphaMapUv:ut&&E(S.alphaMap.channel),vertexTangents:!!H.attributes.tangent&&(ne||ie),vertexColors:S.vertexColors,vertexAlphas:S.vertexColors===!0&&!!H.attributes.color&&H.attributes.color.itemSize===4,vertexUv1s:Ne,vertexUv2s:Be,vertexUv3s:ct,pointsUvs:K.isPoints===!0&&!!H.attributes.uv&&(Se||ut),fog:!!L,useFog:S.fog===!0,fogExp2:L&&L.isFogExp2,flatShading:S.flatShading===!0,sizeAttenuation:S.sizeAttenuation===!0,logarithmicDepthBuffer:_,skinning:K.isSkinnedMesh===!0,morphTargets:H.morphAttributes.position!==void 0,morphNormals:H.morphAttributes.normal!==void 0,morphColors:H.morphAttributes.color!==void 0,morphTargetsCount:re,morphTextureStride:te,numDirLights:A.directional.length,numPointLights:A.point.length,numSpotLights:A.spot.length,numSpotLightMaps:A.spotLightMap.length,numRectAreaLights:A.rectArea.length,numHemiLights:A.hemi.length,numDirLightShadows:A.directionalShadowMap.length,numPointLightShadows:A.pointShadowMap.length,numSpotLightShadows:A.spotShadowMap.length,numSpotLightShadowsWithMaps:A.numSpotLightShadowsWithMaps,numLightProbes:A.numLightProbes,numClippingPlanes:o.numPlanes,numClipIntersection:o.numIntersection,dithering:S.dithering,shadowMapEnabled:n.shadowMap.enabled&&U.length>0,shadowMapType:n.shadowMap.type,toneMapping:Et,useLegacyLights:n._useLegacyLights,decodeVideoTexture:Se&&S.map.isVideoTexture===!0&&Ft.getTransfer(S.map.colorSpace)===Xt,premultipliedAlpha:S.premultipliedAlpha,doubleSided:S.side===Ji,flipSided:S.side===Zn,useDepthPacking:S.depthPacking>=0,depthPacking:S.depthPacking||0,index0AttributeName:S.index0AttributeName,extensionDerivatives:Ue&&S.extensions.derivatives===!0,extensionFragDepth:Ue&&S.extensions.fragDepth===!0,extensionDrawBuffers:Ue&&S.extensions.drawBuffers===!0,extensionShaderTextureLOD:Ue&&S.extensions.shaderTextureLOD===!0,rendererExtensionFragDepth:c||i.has("EXT_frag_depth"),rendererExtensionDrawBuffers:c||i.has("WEBGL_draw_buffers"),rendererExtensionShaderTextureLod:c||i.has("EXT_shader_texture_lod"),rendererExtensionParallelShaderCompile:i.has("KHR_parallel_shader_compile"),customProgramCacheKey:S.customProgramCacheKey()}}function g(S){const A=[];if(S.shaderID?A.push(S.shaderID):(A.push(S.customVertexShaderID),A.push(S.customFragmentShaderID)),S.defines!==void 0)for(const U in S.defines)A.push(U),A.push(S.defines[U]);return S.isRawShaderMaterial===!1&&(v(A,S),y(A,S),A.push(n.outputColorSpace)),A.push(S.customProgramCacheKey),A.join()}function v(S,A){S.push(A.precision),S.push(A.outputColorSpace),S.push(A.envMapMode),S.push(A.envMapCubeUVHeight),S.push(A.mapUv),S.push(A.alphaMapUv),S.push(A.lightMapUv),S.push(A.aoMapUv),S.push(A.bumpMapUv),S.push(A.normalMapUv),S.push(A.displacementMapUv),S.push(A.emissiveMapUv),S.push(A.metalnessMapUv),S.push(A.roughnessMapUv),S.push(A.anisotropyMapUv),S.push(A.clearcoatMapUv),S.push(A.clearcoatNormalMapUv),S.push(A.clearcoatRoughnessMapUv),S.push(A.iridescenceMapUv),S.push(A.iridescenceThicknessMapUv),S.push(A.sheenColorMapUv),S.push(A.sheenRoughnessMapUv),S.push(A.specularMapUv),S.push(A.specularColorMapUv),S.push(A.specularIntensityMapUv),S.push(A.transmissionMapUv),S.push(A.thicknessMapUv),S.push(A.combine),S.push(A.fogExp2),S.push(A.sizeAttenuation),S.push(A.morphTargetsCount),S.push(A.morphAttributeCount),S.push(A.numDirLights),S.push(A.numPointLights),S.push(A.numSpotLights),S.push(A.numSpotLightMaps),S.push(A.numHemiLights),S.push(A.numRectAreaLights),S.push(A.numDirLightShadows),S.push(A.numPointLightShadows),S.push(A.numSpotLightShadows),S.push(A.numSpotLightShadowsWithMaps),S.push(A.numLightProbes),S.push(A.shadowMapType),S.push(A.toneMapping),S.push(A.numClippingPlanes),S.push(A.numClipIntersection),S.push(A.depthPacking)}function y(S,A){a.disableAll(),A.isWebGL2&&a.enable(0),A.supportsVertexTextures&&a.enable(1),A.instancing&&a.enable(2),A.instancingColor&&a.enable(3),A.matcap&&a.enable(4),A.envMap&&a.enable(5),A.normalMapObjectSpace&&a.enable(6),A.normalMapTangentSpace&&a.enable(7),A.clearcoat&&a.enable(8),A.iridescence&&a.enable(9),A.alphaTest&&a.enable(10),A.vertexColors&&a.enable(11),A.vertexAlphas&&a.enable(12),A.vertexUv1s&&a.enable(13),A.vertexUv2s&&a.enable(14),A.vertexUv3s&&a.enable(15),A.vertexTangents&&a.enable(16),A.anisotropy&&a.enable(17),A.alphaHash&&a.enable(18),A.batching&&a.enable(19),S.push(a.mask),a.disableAll(),A.fog&&a.enable(0),A.useFog&&a.enable(1),A.flatShading&&a.enable(2),A.logarithmicDepthBuffer&&a.enable(3),A.skinning&&a.enable(4),A.morphTargets&&a.enable(5),A.morphNormals&&a.enable(6),A.morphColors&&a.enable(7),A.premultipliedAlpha&&a.enable(8),A.shadowMapEnabled&&a.enable(9),A.useLegacyLights&&a.enable(10),A.doubleSided&&a.enable(11),A.flipSided&&a.enable(12),A.useDepthPacking&&a.enable(13),A.dithering&&a.enable(14),A.transmission&&a.enable(15),A.sheen&&a.enable(16),A.opaque&&a.enable(17),A.pointsUvs&&a.enable(18),A.decodeVideoTexture&&a.enable(19),S.push(a.mask)}function T(S){const A=h[S.type];let U;if(A){const F=Xi[A];U=Gxt.clone(F.uniforms)}else U=S.uniforms;return U}function C(S,A){let U;for(let F=0,K=d.length;F<K;F++){const L=d[F];if(L.cacheKey===A){U=L,++U.usedTimes;break}}return U===void 0&&(U=new JAt(n,A,S,r),d.push(U)),U}function x(S){if(--S.usedTimes===0){const A=d.indexOf(S);d[A]=d[d.length-1],d.pop(),S.destroy()}}function O(S){l.remove(S)}function R(){l.dispose()}return{getParameters:b,getProgramCacheKey:g,getUniforms:T,acquireProgram:C,releaseProgram:x,releaseShaderCache:O,programs:d,dispose:R}}function swt(){let n=new WeakMap;function e(r){let o=n.get(r);return o===void 0&&(o={},n.set(r,o)),o}function t(r){n.delete(r)}function i(r,o,a){n.get(r)[o]=a}function s(){n=new WeakMap}return{get:e,remove:t,update:i,dispose:s}}function rwt(n,e){return n.groupOrder!==e.groupOrder?n.groupOrder-e.groupOrder:n.renderOrder!==e.renderOrder?n.renderOrder-e.renderOrder:n.material.id!==e.material.id?n.material.id-e.material.id:n.z!==e.z?n.z-e.z:n.id-e.id}function aR(n,e){return n.groupOrder!==e.groupOrder?n.groupOrder-e.groupOrder:n.renderOrder!==e.renderOrder?n.renderOrder-e.renderOrder:n.z!==e.z?e.z-n.z:n.id-e.id}function lR(){const n=[];let e=0;const t=[],i=[],s=[];function r(){e=0,t.length=0,i.length=0,s.length=0}function o(_,f,m,h,E,b){let g=n[e];return g===void 0?(g={id:_.id,object:_,geometry:f,material:m,groupOrder:h,renderOrder:_.renderOrder,z:E,group:b},n[e]=g):(g.id=_.id,g.object=_,g.geometry=f,g.material=m,g.groupOrder=h,g.renderOrder=_.renderOrder,g.z=E,g.group=b),e++,g}function a(_,f,m,h,E,b){const g=o(_,f,m,h,E,b);m.transmission>0?i.push(g):m.transparent===!0?s.push(g):t.push(g)}function l(_,f,m,h,E,b){const g=o(_,f,m,h,E,b);m.transmission>0?i.unshift(g):m.transparent===!0?s.unshift(g):t.unshift(g)}function d(_,f){t.length>1&&t.sort(_||rwt),i.length>1&&i.sort(f||aR),s.length>1&&s.sort(f||aR)}function c(){for(let _=e,f=n.length;_<f;_++){const m=n[_];if(m.id===null)break;m.id=null,m.object=null,m.geometry=null,m.material=null,m.group=null}}return{opaque:t,transmissive:i,transparent:s,init:r,push:a,unshift:l,finish:c,sort:d}}function owt(){let n=new WeakMap;function e(i,s){const r=n.get(i);let o;return r===void 0?(o=new lR,n.set(i,[o])):s>=r.length?(o=new lR,r.push(o)):o=r[s],o}function t(){n=new WeakMap}return{get:e,dispose:t}}function awt(){const n={};return{get:function(e){if(n[e.id]!==void 0)return n[e.id];let t;switch(e.type){case"DirectionalLight":t={direction:new be,color:new gt};break;case"SpotLight":t={position:new be,direction:new be,color:new gt,distance:0,coneCos:0,penumbraCos:0,decay:0};break;case"PointLight":t={position:new be,color:new gt,distance:0,decay:0};break;case"HemisphereLight":t={direction:new be,skyColor:new gt,groundColor:new gt};break;case"RectAreaLight":t={color:new gt,position:new be,halfWidth:new be,halfHeight:new be};break}return n[e.id]=t,t}}}function lwt(){const n={};return{get:function(e){if(n[e.id]!==void 0)return n[e.id];let t;switch(e.type){case"DirectionalLight":t={shadowBias:0,shadowNormalBias:0,shadowRadius:1,shadowMapSize:new It};break;case"SpotLight":t={shadowBias:0,shadowNormalBias:0,shadowRadius:1,shadowMapSize:new It};break;case"PointLight":t={shadowBias:0,shadowNormalBias:0,shadowRadius:1,shadowMapSize:new It,shadowCameraNear:1,shadowCameraFar:1e3};break}return n[e.id]=t,t}}}let cwt=0;function dwt(n,e){return(e.castShadow?2:0)-(n.castShadow?2:0)+(e.map?1:0)-(n.map?1:0)}function uwt(n,e){const t=new awt,i=lwt(),s={version:0,hash:{directionalLength:-1,pointLength:-1,spotLength:-1,rectAreaLength:-1,hemiLength:-1,numDirectionalShadows:-1,numPointShadows:-1,numSpotShadows:-1,numSpotMaps:-1,numLightProbes:-1},ambient:[0,0,0],probe:[],directional:[],directionalShadow:[],directionalShadowMap:[],directionalShadowMatrix:[],spot:[],spotLightMap:[],spotShadow:[],spotShadowMap:[],spotLightMatrix:[],rectArea:[],rectAreaLTC1:null,rectAreaLTC2:null,point:[],pointShadow:[],pointShadowMap:[],pointShadowMatrix:[],hemi:[],numSpotLightShadowsWithMaps:0,numLightProbes:0};for(let c=0;c<9;c++)s.probe.push(new be);const r=new be,o=new Rt,a=new Rt;function l(c,_){let f=0,m=0,h=0;for(let F=0;F<9;F++)s.probe[F].set(0,0,0);let E=0,b=0,g=0,v=0,y=0,T=0,C=0,x=0,O=0,R=0,S=0;c.sort(dwt);const A=_===!0?Math.PI:1;for(let F=0,K=c.length;F<K;F++){const L=c[F],H=L.color,G=L.intensity,P=L.distance,j=L.shadow&&L.shadow.map?L.shadow.map.texture:null;if(L.isAmbientLight)f+=H.r*G*A,m+=H.g*G*A,h+=H.b*G*A;else if(L.isLightProbe){for(let Y=0;Y<9;Y++)s.probe[Y].addScaledVector(L.sh.coefficients[Y],G);S++}else if(L.isDirectionalLight){const Y=t.get(L);if(Y.color.copy(L.color).multiplyScalar(L.intensity*A),L.castShadow){const Q=L.shadow,re=i.get(L);re.shadowBias=Q.bias,re.shadowNormalBias=Q.normalBias,re.shadowRadius=Q.radius,re.shadowMapSize=Q.mapSize,s.directionalShadow[E]=re,s.directionalShadowMap[E]=j,s.directionalShadowMatrix[E]=L.shadow.matrix,T++}s.directional[E]=Y,E++}else if(L.isSpotLight){const Y=t.get(L);Y.position.setFromMatrixPosition(L.matrixWorld),Y.color.copy(H).multiplyScalar(G*A),Y.distance=P,Y.coneCos=Math.cos(L.angle),Y.penumbraCos=Math.cos(L.angle*(1-L.penumbra)),Y.decay=L.decay,s.spot[g]=Y;const Q=L.shadow;if(L.map&&(s.spotLightMap[O]=L.map,O++,Q.updateMatrices(L),L.castShadow&&R++),s.spotLightMatrix[g]=Q.matrix,L.castShadow){const re=i.get(L);re.shadowBias=Q.bias,re.shadowNormalBias=Q.normalBias,re.shadowRadius=Q.radius,re.shadowMapSize=Q.mapSize,s.spotShadow[g]=re,s.spotShadowMap[g]=j,x++}g++}else if(L.isRectAreaLight){const Y=t.get(L);Y.color.copy(H).multiplyScalar(G),Y.halfWidth.set(L.width*.5,0,0),Y.halfHeight.set(0,L.height*.5,0),s.rectArea[v]=Y,v++}else if(L.isPointLight){const Y=t.get(L);if(Y.color.copy(L.color).multiplyScalar(L.intensity*A),Y.distance=L.distance,Y.decay=L.decay,L.castShadow){const Q=L.shadow,re=i.get(L);re.shadowBias=Q.bias,re.shadowNormalBias=Q.normalBias,re.shadowRadius=Q.radius,re.shadowMapSize=Q.mapSize,re.shadowCameraNear=Q.camera.near,re.shadowCameraFar=Q.camera.far,s.pointShadow[b]=re,s.pointShadowMap[b]=j,s.pointShadowMatrix[b]=L.shadow.matrix,C++}s.point[b]=Y,b++}else if(L.isHemisphereLight){const Y=t.get(L);Y.skyColor.copy(L.color).multiplyScalar(G*A),Y.groundColor.copy(L.groundColor).multiplyScalar(G*A),s.hemi[y]=Y,y++}}v>0&&(e.isWebGL2||n.has("OES_texture_float_linear")===!0?(s.rectAreaLTC1=Ke.LTC_FLOAT_1,s.rectAreaLTC2=Ke.LTC_FLOAT_2):n.has("OES_texture_half_float_linear")===!0?(s.rectAreaLTC1=Ke.LTC_HALF_1,s.rectAreaLTC2=Ke.LTC_HALF_2):console.error("THREE.WebGLRenderer: Unable to use RectAreaLight. Missing WebGL extensions.")),s.ambient[0]=f,s.ambient[1]=m,s.ambient[2]=h;const U=s.hash;(U.directionalLength!==E||U.pointLength!==b||U.spotLength!==g||U.rectAreaLength!==v||U.hemiLength!==y||U.numDirectionalShadows!==T||U.numPointShadows!==C||U.numSpotShadows!==x||U.numSpotMaps!==O||U.numLightProbes!==S)&&(s.directional.length=E,s.spot.length=g,s.rectArea.length=v,s.point.length=b,s.hemi.length=y,s.directionalShadow.length=T,s.directionalShadowMap.length=T,s.pointShadow.length=C,s.pointShadowMap.length=C,s.spotShadow.length=x,s.spotShadowMap.length=x,s.directionalShadowMatrix.length=T,s.pointShadowMatrix.length=C,s.spotLightMatrix.length=x+O-R,s.spotLightMap.length=O,s.numSpotLightShadowsWithMaps=R,s.numLightProbes=S,U.directionalLength=E,U.pointLength=b,U.spotLength=g,U.rectAreaLength=v,U.hemiLength=y,U.numDirectionalShadows=T,U.numPointShadows=C,U.numSpotShadows=x,U.numSpotMaps=O,U.numLightProbes=S,s.version=cwt++)}function d(c,_){let f=0,m=0,h=0,E=0,b=0;const g=_.matrixWorldInverse;for(let v=0,y=c.length;v<y;v++){const T=c[v];if(T.isDirectionalLight){const C=s.directional[f];C.direction.setFromMatrixPosition(T.matrixWorld),r.setFromMatrixPosition(T.target.matrixWorld),C.direction.sub(r),C.direction.transformDirection(g),f++}else if(T.isSpotLight){const C=s.spot[h];C.position.setFromMatrixPosition(T.matrixWorld),C.position.applyMatrix4(g),C.direction.setFromMatrixPosition(T.matrixWorld),r.setFromMatrixPosition(T.target.matrixWorld),C.direction.sub(r),C.direction.transformDirection(g),h++}else if(T.isRectAreaLight){const C=s.rectArea[E];C.position.setFromMatrixPosition(T.matrixWorld),C.position.applyMatrix4(g),a.identity(),o.copy(T.matrixWorld),o.premultiply(g),a.extractRotation(o),C.halfWidth.set(T.width*.5,0,0),C.halfHeight.set(0,T.height*.5,0),C.halfWidth.applyMatrix4(a),C.halfHeight.applyMatrix4(a),E++}else if(T.isPointLight){const C=s.point[m];C.position.setFromMatrixPosition(T.matrixWorld),C.position.applyMatrix4(g),m++}else if(T.isHemisphereLight){const C=s.hemi[b];C.direction.setFromMatrixPosition(T.matrixWorld),C.direction.transformDirection(g),b++}}}return{setup:l,setupView:d,state:s}}function cR(n,e){const t=new uwt(n,e),i=[],s=[];function r(){i.length=0,s.length=0}function o(_){i.push(_)}function a(_){s.push(_)}function l(_){t.setup(i,_)}function d(_){t.setupView(i,_)}return{init:r,state:{lightsArray:i,shadowsArray:s,lights:t},setupLights:l,setupLightsView:d,pushLight:o,pushShadow:a}}function pwt(n,e){let t=new WeakMap;function i(r,o=0){const a=t.get(r);let l;return a===void 0?(l=new cR(n,e),t.set(r,[l])):o>=a.length?(l=new cR(n,e),a.push(l)):l=a[o],l}function s(){t=new WeakMap}return{get:i,dispose:s}}class _wt extends Vi{constructor(e){super(),this.isMeshDepthMaterial=!0,this.type="MeshDepthMaterial",this.depthPacking=XTt,this.map=null,this.alphaMap=null,this.displacementMap=null,this.displacementScale=1,this.displacementBias=0,this.wireframe=!1,this.wireframeLinewidth=1,this.setValues(e)}copy(e){return super.copy(e),this.depthPacking=e.depthPacking,this.map=e.map,this.alphaMap=e.alphaMap,this.displacementMap=e.displacementMap,this.displacementScale=e.displacementScale,this.displacementBias=e.displacementBias,this.wireframe=e.wireframe,this.wireframeLinewidth=e.wireframeLinewidth,this}}class hwt extends Vi{constructor(e){super(),this.isMeshDistanceMaterial=!0,this.type="MeshDistanceMaterial",this.map=null,this.alphaMap=null,this.displacementMap=null,this.displacementScale=1,this.displacementBias=0,this.setValues(e)}copy(e){return super.copy(e),this.map=e.map,this.alphaMap=e.alphaMap,this.displacementMap=e.displacementMap,this.displacementScale=e.displacementScale,this.displacementBias=e.displacementBias,this}}const fwt=`void main() {
gl_Position = vec4( position, 1.0 );
}`,mwt=`uniform sampler2D shadow_pass;
uniform vec2 resolution;
uniform float radius;
#include <packing>
void main() {
const float samples = float( VSM_SAMPLES );
float mean = 0.0;
float squared_mean = 0.0;
float uvStride = samples <= 1.0 ? 0.0 : 2.0 / ( samples - 1.0 );
float uvStart = samples <= 1.0 ? 0.0 : - 1.0;
for ( float i = 0.0; i < samples; i ++ ) {
float uvOffset = uvStart + i * uvStride;
#ifdef HORIZONTAL_PASS
vec2 distribution = unpackRGBATo2Half( texture2D( shadow_pass, ( gl_FragCoord.xy + vec2( uvOffset, 0.0 ) * radius ) / resolution ) );
mean += distribution.x;
squared_mean += distribution.y * distribution.y + distribution.x * distribution.x;
#else
float depth = unpackRGBAToDepth( texture2D( shadow_pass, ( gl_FragCoord.xy + vec2( 0.0, uvOffset ) * radius ) / resolution ) );
mean += depth;
squared_mean += depth * depth;
#endif
}
mean = mean / samples;
squared_mean = squared_mean / samples;
float std_dev = sqrt( squared_mean - mean * mean );
gl_FragColor = pack2HalfToRGBA( vec2( mean, std_dev ) );
}`;function gwt(n,e,t){let i=new ev;const s=new It,r=new It,o=new Wt,a=new _wt({depthPacking:ZTt}),l=new hwt,d={},c=t.maxTextureSize,_={[Vs]:Zn,[Zn]:Vs,[Ji]:Ji},f=new Eo({defines:{VSM_SAMPLES:8},uniforms:{shadow_pass:{value:null},resolution:{value:new It},radius:{value:4}},vertexShader:fwt,fragmentShader:mwt}),m=f.clone();m.defines.HORIZONTAL_PASS=1;const h=new hs;h.setAttribute("position",new Yn(new Float32Array([-1,-1,.5,3,-1,.5,-1,3,.5]),3));const E=new Hn(h,f),b=this;this.enabled=!1,this.autoUpdate=!0,this.needsUpdate=!1,this.type=$O;let g=this.type;this.render=function(C,x,O){if(b.enabled===!1||b.autoUpdate===!1&&b.needsUpdate===!1||C.length===0)return;const R=n.getRenderTarget(),S=n.getActiveCubeFace(),A=n.getActiveMipmapLevel(),U=n.state;U.setBlending(yr),U.buffers.color.setClear(1,1,1,1),U.buffers.depth.setTest(!0),U.setScissorTest(!1);const F=g!==Os&&this.type===Os,K=g===Os&&this.type!==Os;for(let L=0,H=C.length;L<H;L++){const G=C[L],P=G.shadow;if(P===void 0){console.warn("THREE.WebGLShadowMap:",G,"has no shadow.");continue}if(P.autoUpdate===!1&&P.needsUpdate===!1)continue;s.copy(P.mapSize);const j=P.getFrameExtents();if(s.multiply(j),r.copy(P.mapSize),(s.x>c||s.y>c)&&(s.x>c&&(r.x=Math.floor(c/j.x),s.x=r.x*j.x,P.mapSize.x=r.x),s.y>c&&(r.y=Math.floor(c/j.y),s.y=r.y*j.y,P.mapSize.y=r.y)),P.map===null||F===!0||K===!0){const Q=this.type!==Os?{minFilter:En,magFilter:En}:{};P.map!==null&&P.map.dispose(),P.map=new bo(s.x,s.y,Q),P.map.texture.name=G.name+".shadowMap",P.camera.updateProjectionMatrix()}n.setRenderTarget(P.map),n.clear();const Y=P.getViewportCount();for(let Q=0;Q<Y;Q++){const re=P.getViewport(Q);o.set(r.x*re.x,r.y*re.y,r.x*re.z,r.y*re.w),U.viewport(o),P.updateMatrices(G,Q),i=P.getFrustum(),T(x,O,P.camera,G,this.type)}P.isPointLightShadow!==!0&&this.type===Os&&v(P,O),P.needsUpdate=!1}g=this.type,b.needsUpdate=!1,n.setRenderTarget(R,S,A)};function v(C,x){const O=e.update(E);f.defines.VSM_SAMPLES!==C.blurSamples&&(f.defines.VSM_SAMPLES=C.blurSamples,m.defines.VSM_SAMPLES=C.blurSamples,f.needsUpdate=!0,m.needsUpdate=!0),C.mapPass===null&&(C.mapPass=new bo(s.x,s.y)),f.uniforms.shadow_pass.value=C.map.texture,f.uniforms.resolution.value=C.mapSize,f.uniforms.radius.value=C.radius,n.setRenderTarget(C.mapPass),n.clear(),n.renderBufferDirect(x,null,O,f,E,null),m.uniforms.shadow_pass.value=C.mapPass.texture,m.uniforms.resolution.value=C.mapSize,m.uniforms.radius.value=C.radius,n.setRenderTarget(C.map),n.clear(),n.renderBufferDirect(x,null,O,m,E,null)}function y(C,x,O,R){let S=null;const A=O.isPointLight===!0?C.customDistanceMaterial:C.customDepthMaterial;if(A!==void 0)S=A;else if(S=O.isPointLight===!0?l:a,n.localClippingEnabled&&x.clipShadows===!0&&Array.isArray(x.clippingPlanes)&&x.clippingPlanes.length!==0||x.displacementMap&&x.displacementScale!==0||x.alphaMap&&x.alphaTest>0||x.map&&x.alphaTest>0){const U=S.uuid,F=x.uuid;let K=d[U];K===void 0&&(K={},d[U]=K);let L=K[F];L===void 0&&(L=S.clone(),K[F]=L),S=L}if(S.visible=x.visible,S.wireframe=x.wireframe,R===Os?S.side=x.shadowSide!==null?x.shadowSide:x.side:S.side=x.shadowSide!==null?x.shadowSide:_[x.side],S.alphaMap=x.alphaMap,S.alphaTest=x.alphaTest,S.map=x.map,S.clipShadows=x.clipShadows,S.clippingPlanes=x.clippingPlanes,S.clipIntersection=x.clipIntersection,S.displacementMap=x.displacementMap,S.displacementScale=x.displacementScale,S.displacementBias=x.displacementBias,S.wireframeLinewidth=x.wireframeLinewidth,S.linewidth=x.linewidth,O.isPointLight===!0&&S.isMeshDistanceMaterial===!0){const U=n.properties.get(S);U.light=O}return S}function T(C,x,O,R,S){if(C.visible===!1)return;if(C.layers.test(x.layers)&&(C.isMesh||C.isLine||C.isPoints)&&(C.castShadow||C.receiveShadow&&S===Os)&&(!C.frustumCulled||i.intersectsObject(C))){C.modelViewMatrix.multiplyMatrices(O.matrixWorldInverse,C.matrixWorld);const F=e.update(C),K=C.material;if(Array.isArray(K)){const L=F.groups;for(let H=0,G=L.length;H<G;H++){const P=L[H],j=K[P.materialIndex];if(j&&j.visible){const Y=y(C,j,R,S);C.onBeforeShadow(n,C,x,O,F,Y,P),n.renderBufferDirect(O,null,F,Y,C,P),C.onAfterShadow(n,C,x,O,F,Y,P)}}}else if(K.visible){const L=y(C,K,R,S);C.onBeforeShadow(n,C,x,O,F,L,null),n.renderBufferDirect(O,null,F,L,C,null),C.onAfterShadow(n,C,x,O,F,L,null)}}const U=C.children;for(let F=0,K=U.length;F<K;F++)T(U[F],x,O,R,S)}}function bwt(n,e,t){const i=t.isWebGL2;function s(){let ue=!1;const We=new Wt;let Ue=null;const Ne=new Wt(0,0,0,0);return{setMask:function(Be){Ue!==Be&&!ue&&(n.colorMask(Be,Be,Be,Be),Ue=Be)},setLocked:function(Be){ue=Be},setClear:function(Be,ct,Et,jt,ln){ln===!0&&(Be*=jt,ct*=jt,Et*=jt),We.set(Be,ct,Et,jt),Ne.equals(We)===!1&&(n.clearColor(Be,ct,Et,jt),Ne.copy(We))},reset:function(){ue=!1,Ue=null,Ne.set(-1,0,0,0)}}}function r(){let ue=!1,We=null,Ue=null,Ne=null;return{setTest:function(Be){Be?ee(n.DEPTH_TEST):Se(n.DEPTH_TEST)},setMask:function(Be){We!==Be&&!ue&&(n.depthMask(Be),We=Be)},setFunc:function(Be){if(Ue!==Be){switch(Be){case RTt:n.depthFunc(n.NEVER);break;case ATt:n.depthFunc(n.ALWAYS);break;case wTt:n.depthFunc(n.LESS);break;case mu:n.depthFunc(n.LEQUAL);break;case NTt:n.depthFunc(n.EQUAL);break;case OTt:n.depthFunc(n.GEQUAL);break;case ITt:n.depthFunc(n.GREATER);break;case MTt:n.depthFunc(n.NOTEQUAL);break;default:n.depthFunc(n.LEQUAL)}Ue=Be}},setLocked:function(Be){ue=Be},setClear:function(Be){Ne!==Be&&(n.clearDepth(Be),Ne=Be)},reset:function(){ue=!1,We=null,Ue=null,Ne=null}}}function o(){let ue=!1,We=null,Ue=null,Ne=null,Be=null,ct=null,Et=null,jt=null,ln=null;return{setTest:function(xt){ue||(xt?ee(n.STENCIL_TEST):Se(n.STENCIL_TEST))},setMask:function(xt){We!==xt&&!ue&&(n.stencilMask(xt),We=xt)},setFunc:function(xt,$t,yn){(Ue!==xt||Ne!==$t||Be!==yn)&&(n.stencilFunc(xt,$t,yn),Ue=xt,Ne=$t,Be=yn)},setOp:function(xt,$t,yn){(ct!==xt||Et!==$t||jt!==yn)&&(n.stencilOp(xt,$t,yn),ct=xt,Et=$t,jt=yn)},setLocked:function(xt){ue=xt},setClear:function(xt){ln!==xt&&(n.clearStencil(xt),ln=xt)},reset:function(){ue=!1,We=null,Ue=null,Ne=null,Be=null,ct=null,Et=null,jt=null,ln=null}}}const a=new s,l=new r,d=new o,c=new WeakMap,_=new WeakMap;let f={},m={},h=new WeakMap,E=[],b=null,g=!1,v=null,y=null,T=null,C=null,x=null,O=null,R=null,S=new gt(0,0,0),A=0,U=!1,F=null,K=null,L=null,H=null,G=null;const P=n.getParameter(n.MAX_COMBINED_TEXTURE_IMAGE_UNITS);let j=!1,Y=0;const Q=n.getParameter(n.VERSION);Q.indexOf("WebGL")!==-1?(Y=parseFloat(/^WebGL (\d)/.exec(Q)[1]),j=Y>=1):Q.indexOf("OpenGL ES")!==-1&&(Y=parseFloat(/^OpenGL ES (\d)/.exec(Q)[1]),j=Y>=2);let re=null,te={};const Z=n.getParameter(n.SCISSOR_BOX),fe=n.getParameter(n.VIEWPORT),ve=new Wt().fromArray(Z),Ae=new Wt().fromArray(fe);function J(ue,We,Ue,Ne){const Be=new Uint8Array(4),ct=n.createTexture();n.bindTexture(ue,ct),n.texParameteri(ue,n.TEXTURE_MIN_FILTER,n.NEAREST),n.texParameteri(ue,n.TEXTURE_MAG_FILTER,n.NEAREST);for(let Et=0;Et<Ue;Et++)i&&(ue===n.TEXTURE_3D||ue===n.TEXTURE_2D_ARRAY)?n.texImage3D(We,0,n.RGBA,1,1,Ne,0,n.RGBA,n.UNSIGNED_BYTE,Be):n.texImage2D(We+Et,0,n.RGBA,1,1,0,n.RGBA,n.UNSIGNED_BYTE,Be);return ct}const me={};me[n.TEXTURE_2D]=J(n.TEXTURE_2D,n.TEXTURE_2D,1),me[n.TEXTURE_CUBE_MAP]=J(n.TEXTURE_CUBE_MAP,n.TEXTURE_CUBE_MAP_POSITIVE_X,6),i&&(me[n.TEXTURE_2D_ARRAY]=J(n.TEXTURE_2D_ARRAY,n.TEXTURE_2D_ARRAY,1,1),me[n.TEXTURE_3D]=J(n.TEXTURE_3D,n.TEXTURE_3D,1,1)),a.setClear(0,0,0,1),l.setClear(1),d.setClear(0),ee(n.DEPTH_TEST),l.setFunc(mu),we(!1),V(VC),ee(n.CULL_FACE),ne(yr);function ee(ue){f[ue]!==!0&&(n.enable(ue),f[ue]=!0)}function Se(ue){f[ue]!==!1&&(n.disable(ue),f[ue]=!1)}function Oe(ue,We){return m[ue]!==We?(n.bindFramebuffer(ue,We),m[ue]=We,i&&(ue===n.DRAW_FRAMEBUFFER&&(m[n.FRAMEBUFFER]=We),ue===n.FRAMEBUFFER&&(m[n.DRAW_FRAMEBUFFER]=We)),!0):!1}function k(ue,We){let Ue=E,Ne=!1;if(ue)if(Ue=h.get(We),Ue===void 0&&(Ue=[],h.set(We,Ue)),ue.isWebGLMultipleRenderTargets){const Be=ue.texture;if(Ue.length!==Be.length||Ue[0]!==n.COLOR_ATTACHMENT0){for(let ct=0,Et=Be.length;ct<Et;ct++)Ue[ct]=n.COLOR_ATTACHMENT0+ct;Ue.length=Be.length,Ne=!0}}else Ue[0]!==n.COLOR_ATTACHMENT0&&(Ue[0]=n.COLOR_ATTACHMENT0,Ne=!0);else Ue[0]!==n.BACK&&(Ue[0]=n.BACK,Ne=!0);Ne&&(t.isWebGL2?n.drawBuffers(Ue):e.get("WEBGL_draw_buffers").drawBuffersWEBGL(Ue))}function B(ue){return b!==ue?(n.useProgram(ue),b=ue,!0):!1}const $={[Jr]:n.FUNC_ADD,[uTt]:n.FUNC_SUBTRACT,[pTt]:n.FUNC_REVERSE_SUBTRACT};if(i)$[$C]=n.MIN,$[WC]=n.MAX;else{const ue=e.get("EXT_blend_minmax");ue!==null&&($[$C]=ue.MIN_EXT,$[WC]=ue.MAX_EXT)}const ce={[_Tt]:n.ZERO,[hTt]:n.ONE,[fTt]:n.SRC_COLOR,[ob]:n.SRC_ALPHA,[yTt]:n.SRC_ALPHA_SATURATE,[ETt]:n.DST_COLOR,[gTt]:n.DST_ALPHA,[mTt]:n.ONE_MINUS_SRC_COLOR,[ab]:n.ONE_MINUS_SRC_ALPHA,[vTt]:n.ONE_MINUS_DST_COLOR,[bTt]:n.ONE_MINUS_DST_ALPHA,[STt]:n.CONSTANT_COLOR,[TTt]:n.ONE_MINUS_CONSTANT_COLOR,[xTt]:n.CONSTANT_ALPHA,[CTt]:n.ONE_MINUS_CONSTANT_ALPHA};function ne(ue,We,Ue,Ne,Be,ct,Et,jt,ln,xt){if(ue===yr){g===!0&&(Se(n.BLEND),g=!1);return}if(g===!1&&(ee(n.BLEND),g=!0),ue!==dTt){if(ue!==v||xt!==U){if((y!==Jr||x!==Jr)&&(n.blendEquation(n.FUNC_ADD),y=Jr,x=Jr),xt)switch(ue){case aa:n.blendFuncSeparate(n.ONE,n.ONE_MINUS_SRC_ALPHA,n.ONE,n.ONE_MINUS_SRC_ALPHA);break;case HC:n.blendFunc(n.ONE,n.ONE);break;case qC:n.blendFuncSeparate(n.ZERO,n.ONE_MINUS_SRC_COLOR,n.ZERO,n.ONE);break;case YC:n.blendFuncSeparate(n.ZERO,n.SRC_COLOR,n.ZERO,n.SRC_ALPHA);break;default:console.error("THREE.WebGLState: Invalid blending: ",ue);break}else switch(ue){case aa:n.blendFuncSeparate(n.SRC_ALPHA,n.ONE_MINUS_SRC_ALPHA,n.ONE,n.ONE_MINUS_SRC_ALPHA);break;case HC:n.blendFunc(n.SRC_ALPHA,n.ONE);break;case qC:n.blendFuncSeparate(n.ZERO,n.ONE_MINUS_SRC_COLOR,n.ZERO,n.ONE);break;case YC:n.blendFunc(n.ZERO,n.SRC_COLOR);break;default:console.error("THREE.WebGLState: Invalid blending: ",ue);break}T=null,C=null,O=null,R=null,S.set(0,0,0),A=0,v=ue,U=xt}return}Be=Be||We,ct=ct||Ue,Et=Et||Ne,(We!==y||Be!==x)&&(n.blendEquationSeparate($[We],$[Be]),y=We,x=Be),(Ue!==T||Ne!==C||ct!==O||Et!==R)&&(n.blendFuncSeparate(ce[Ue],ce[Ne],ce[ct],ce[Et]),T=Ue,C=Ne,O=ct,R=Et),(jt.equals(S)===!1||ln!==A)&&(n.blendColor(jt.r,jt.g,jt.b,ln),S.copy(jt),A=ln),v=ue,U=!1}function Ce(ue,We){ue.side===Ji?Se(n.CULL_FACE):ee(n.CULL_FACE);let Ue=ue.side===Zn;We&&(Ue=!Ue),we(Ue),ue.blending===aa&&ue.transparent===!1?ne(yr):ne(ue.blending,ue.blendEquation,ue.blendSrc,ue.blendDst,ue.blendEquationAlpha,ue.blendSrcAlpha,ue.blendDstAlpha,ue.blendColor,ue.blendAlpha,ue.premultipliedAlpha),l.setFunc(ue.depthFunc),l.setTest(ue.depthTest),l.setMask(ue.depthWrite),a.setMask(ue.colorWrite);const Ne=ue.stencilWrite;d.setTest(Ne),Ne&&(d.setMask(ue.stencilWriteMask),d.setFunc(ue.stencilFunc,ue.stencilRef,ue.stencilFuncMask),d.setOp(ue.stencilFail,ue.stencilZFail,ue.stencilZPass)),ie(ue.polygonOffset,ue.polygonOffsetFactor,ue.polygonOffsetUnits),ue.alphaToCoverage===!0?ee(n.SAMPLE_ALPHA_TO_COVERAGE):Se(n.SAMPLE_ALPHA_TO_COVERAGE)}function we(ue){F!==ue&&(ue?n.frontFace(n.CW):n.frontFace(n.CCW),F=ue)}function V(ue){ue!==aTt?(ee(n.CULL_FACE),ue!==K&&(ue===VC?n.cullFace(n.BACK):ue===lTt?n.cullFace(n.FRONT):n.cullFace(n.FRONT_AND_BACK))):Se(n.CULL_FACE),K=ue}function _e(ue){ue!==L&&(j&&n.lineWidth(ue),L=ue)}function ie(ue,We,Ue){ue?(ee(n.POLYGON_OFFSET_FILL),(H!==We||G!==Ue)&&(n.polygonOffset(We,Ue),H=We,G=Ue)):Se(n.POLYGON_OFFSET_FILL)}function ae(ue){ue?ee(n.SCISSOR_TEST):Se(n.SCISSOR_TEST)}function D(ue){ue===void 0&&(ue=n.TEXTURE0+P-1),re!==ue&&(n.activeTexture(ue),re=ue)}function I(ue,We,Ue){Ue===void 0&&(re===null?Ue=n.TEXTURE0+P-1:Ue=re);let Ne=te[Ue];Ne===void 0&&(Ne={type:void 0,texture:void 0},te[Ue]=Ne),(Ne.type!==ue||Ne.texture!==We)&&(re!==Ue&&(n.activeTexture(Ue),re=Ue),n.bindTexture(ue,We||me[ue]),Ne.type=ue,Ne.texture=We)}function z(){const ue=te[re];ue!==void 0&&ue.type!==void 0&&(n.bindTexture(ue.type,null),ue.type=void 0,ue.texture=void 0)}function he(){try{n.compressedTexImage2D.apply(n,arguments)}catch(ue){console.error("THREE.WebGLState:",ue)}}function X(){try{n.compressedTexImage3D.apply(n,arguments)}catch(ue){console.error("THREE.WebGLState:",ue)}}function se(){try{n.texSubImage2D.apply(n,arguments)}catch(ue){console.error("THREE.WebGLState:",ue)}}function Re(){try{n.texSubImage3D.apply(n,arguments)}catch(ue){console.error("THREE.WebGLState:",ue)}}function Te(){try{n.compressedTexSubImage2D.apply(n,arguments)}catch(ue){console.error("THREE.WebGLState:",ue)}}function Me(){try{n.compressedTexSubImage3D.apply(n,arguments)}catch(ue){console.error("THREE.WebGLState:",ue)}}function ze(){try{n.texStorage2D.apply(n,arguments)}catch(ue){console.error("THREE.WebGLState:",ue)}}function st(){try{n.texStorage3D.apply(n,arguments)}catch(ue){console.error("THREE.WebGLState:",ue)}}function De(){try{n.texImage2D.apply(n,arguments)}catch(ue){console.error("THREE.WebGLState:",ue)}}function lt(){try{n.texImage3D.apply(n,arguments)}catch(ue){console.error("THREE.WebGLState:",ue)}}function Qe(ue){ve.equals(ue)===!1&&(n.scissor(ue.x,ue.y,ue.z,ue.w),ve.copy(ue))}function He(ue){Ae.equals(ue)===!1&&(n.viewport(ue.x,ue.y,ue.z,ue.w),Ae.copy(ue))}function Je(ue,We){let Ue=_.get(We);Ue===void 0&&(Ue=new WeakMap,_.set(We,Ue));let Ne=Ue.get(ue);Ne===void 0&&(Ne=n.getUniformBlockIndex(We,ue.name),Ue.set(ue,Ne))}function Fe(ue,We){const Ne=_.get(We).get(ue);c.get(We)!==Ne&&(n.uniformBlockBinding(We,Ne,ue.__bindingPointIndex),c.set(We,Ne))}function ut(){n.disable(n.BLEND),n.disable(n.CULL_FACE),n.disable(n.DEPTH_TEST),n.disable(n.POLYGON_OFFSET_FILL),n.disable(n.SCISSOR_TEST),n.disable(n.STENCIL_TEST),n.disable(n.SAMPLE_ALPHA_TO_COVERAGE),n.blendEquation(n.FUNC_ADD),n.blendFunc(n.ONE,n.ZERO),n.blendFuncSeparate(n.ONE,n.ZERO,n.ONE,n.ZERO),n.blendColor(0,0,0,0),n.colorMask(!0,!0,!0,!0),n.clearColor(0,0,0,0),n.depthMask(!0),n.depthFunc(n.LESS),n.clearDepth(1),n.stencilMask(4294967295),n.stencilFunc(n.ALWAYS,0,4294967295),n.stencilOp(n.KEEP,n.KEEP,n.KEEP),n.clearStencil(0),n.cullFace(n.BACK),n.frontFace(n.CCW),n.polygonOffset(0,0),n.activeTexture(n.TEXTURE0),n.bindFramebuffer(n.FRAMEBUFFER,null),i===!0&&(n.bindFramebuffer(n.DRAW_FRAMEBUFFER,null),n.bindFramebuffer(n.READ_FRAMEBUFFER,null)),n.useProgram(null),n.lineWidth(1),n.scissor(0,0,n.canvas.width,n.canvas.height),n.viewport(0,0,n.canvas.width,n.canvas.height),f={},re=null,te={},m={},h=new WeakMap,E=[],b=null,g=!1,v=null,y=null,T=null,C=null,x=null,O=null,R=null,S=new gt(0,0,0),A=0,U=!1,F=null,K=null,L=null,H=null,G=null,ve.set(0,0,n.canvas.width,n.canvas.height),Ae.set(0,0,n.canvas.width,n.canvas.height),a.reset(),l.reset(),d.reset()}return{buffers:{color:a,depth:l,stencil:d},enable:ee,disable:Se,bindFramebuffer:Oe,drawBuffers:k,useProgram:B,setBlending:ne,setMaterial:Ce,setFlipSided:we,setCullFace:V,setLineWidth:_e,setPolygonOffset:ie,setScissorTest:ae,activeTexture:D,bindTexture:I,unbindTexture:z,compressedTexImage2D:he,compressedTexImage3D:X,texImage2D:De,texImage3D:lt,updateUBOMapping:Je,uniformBlockBinding:Fe,texStorage2D:ze,texStorage3D:st,texSubImage2D:se,texSubImage3D:Re,compressedTexSubImage2D:Te,compressedTexSubImage3D:Me,scissor:Qe,viewport:He,reset:ut}}function Ewt(n,e,t,i,s,r,o){const a=s.isWebGL2,l=s.maxTextures,d=s.maxCubemapSize,c=s.maxTextureSize,_=s.maxSamples,f=e.has("WEBGL_multisampled_render_to_texture")?e.get("WEBGL_multisampled_render_to_texture"):null,m=typeof navigator>"u"?!1:/OculusBrowser/g.test(navigator.userAgent),h=new WeakMap;let E;const b=new WeakMap;let g=!1;try{g=typeof OffscreenCanvas<"u"&&new OffscreenCanvas(1,1).getContext("2d")!==null}catch{}function v(D,I){return g?new OffscreenCanvas(D,I):lc("canvas")}function y(D,I,z,he){let X=1;if((D.width>he||D.height>he)&&(X=he/Math.max(D.width,D.height)),X<1||I===!0)if(typeof HTMLImageElement<"u"&&D instanceof HTMLImageElement||typeof HTMLCanvasElement<"u"&&D instanceof HTMLCanvasElement||typeof ImageBitmap<"u"&&D instanceof ImageBitmap){const se=I?Su:Math.floor,Re=se(X*D.width),Te=se(X*D.height);E===void 0&&(E=v(Re,Te));const Me=z?v(Re,Te):E;return Me.width=Re,Me.height=Te,Me.getContext("2d").drawImage(D,0,0,Re,Te),console.warn("THREE.WebGLRenderer: Texture has been resized from ("+D.width+"x"+D.height+") to ("+Re+"x"+Te+")."),Me}else return"data"in D&&console.warn("THREE.WebGLRenderer: Image in DataTexture is too big ("+D.width+"x"+D.height+")."),D;return D}function T(D){return hb(D.width)&&hb(D.height)}function C(D){return a?!1:D.wrapS!==gi||D.wrapT!==gi||D.minFilter!==En&&D.minFilter!==jn}function x(D,I){return D.generateMipmaps&&I&&D.minFilter!==En&&D.minFilter!==jn}function O(D){n.generateMipmap(D)}function R(D,I,z,he,X=!1){if(a===!1)return I;if(D!==null){if(n[D]!==void 0)return n[D];console.warn("THREE.WebGLRenderer: Attempt to use non-existing WebGL internal format '"+D+"'")}let se=I;if(I===n.RED&&(z===n.FLOAT&&(se=n.R32F),z===n.HALF_FLOAT&&(se=n.R16F),z===n.UNSIGNED_BYTE&&(se=n.R8)),I===n.RED_INTEGER&&(z===n.UNSIGNED_BYTE&&(se=n.R8UI),z===n.UNSIGNED_SHORT&&(se=n.R16UI),z===n.UNSIGNED_INT&&(se=n.R32UI),z===n.BYTE&&(se=n.R8I),z===n.SHORT&&(se=n.R16I),z===n.INT&&(se=n.R32I)),I===n.RG&&(z===n.FLOAT&&(se=n.RG32F),z===n.HALF_FLOAT&&(se=n.RG16F),z===n.UNSIGNED_BYTE&&(se=n.RG8)),I===n.RGBA){const Re=X?bu:Ft.getTransfer(he);z===n.FLOAT&&(se=n.RGBA32F),z===n.HALF_FLOAT&&(se=n.RGBA16F),z===n.UNSIGNED_BYTE&&(se=Re===Xt?n.SRGB8_ALPHA8:n.RGBA8),z===n.UNSIGNED_SHORT_4_4_4_4&&(se=n.RGBA4),z===n.UNSIGNED_SHORT_5_5_5_1&&(se=n.RGB5_A1)}return(se===n.R16F||se===n.R32F||se===n.RG16F||se===n.RG32F||se===n.RGBA16F||se===n.RGBA32F)&&e.get("EXT_color_buffer_float"),se}function S(D,I,z){return x(D,z)===!0||D.isFramebufferTexture&&D.minFilter!==En&&D.minFilter!==jn?Math.log2(Math.max(I.width,I.height))+1:D.mipmaps!==void 0&&D.mipmaps.length>0?D.mipmaps.length:D.isCompressedTexture&&Array.isArray(D.image)?I.mipmaps.length:1}function A(D){return D===En||D===db||D===Vd?n.NEAREST:n.LINEAR}function U(D){const I=D.target;I.removeEventListener("dispose",U),K(I),I.isVideoTexture&&h.delete(I)}function F(D){const I=D.target;I.removeEventListener("dispose",F),H(I)}function K(D){const I=i.get(D);if(I.__webglInit===void 0)return;const z=D.source,he=b.get(z);if(he){const X=he[I.__cacheKey];X.usedTimes--,X.usedTimes===0&&L(D),Object.keys(he).length===0&&b.delete(z)}i.remove(D)}function L(D){const I=i.get(D);n.deleteTexture(I.__webglTexture);const z=D.source,he=b.get(z);delete he[I.__cacheKey],o.memory.textures--}function H(D){const I=D.texture,z=i.get(D),he=i.get(I);if(he.__webglTexture!==void 0&&(n.deleteTexture(he.__webglTexture),o.memory.textures--),D.depthTexture&&D.depthTexture.dispose(),D.isWebGLCubeRenderTarget)for(let X=0;X<6;X++){if(Array.isArray(z.__webglFramebuffer[X]))for(let se=0;se<z.__webglFramebuffer[X].length;se++)n.deleteFramebuffer(z.__webglFramebuffer[X][se]);else n.deleteFramebuffer(z.__webglFramebuffer[X]);z.__webglDepthbuffer&&n.deleteRenderbuffer(z.__webglDepthbuffer[X])}else{if(Array.isArray(z.__webglFramebuffer))for(let X=0;X<z.__webglFramebuffer.length;X++)n.deleteFramebuffer(z.__webglFramebuffer[X]);else n.deleteFramebuffer(z.__webglFramebuffer);if(z.__webglDepthbuffer&&n.deleteRenderbuffer(z.__webglDepthbuffer),z.__webglMultisampledFramebuffer&&n.deleteFramebuffer(z.__webglMultisampledFramebuffer),z.__webglColorRenderbuffer)for(let X=0;X<z.__webglColorRenderbuffer.length;X++)z.__webglColorRenderbuffer[X]&&n.deleteRenderbuffer(z.__webglColorRenderbuffer[X]);z.__webglDepthRenderbuffer&&n.deleteRenderbuffer(z.__webglDepthRenderbuffer)}if(D.isWebGLMultipleRenderTargets)for(let X=0,se=I.length;X<se;X++){const Re=i.get(I[X]);Re.__webglTexture&&(n.deleteTexture(Re.__webglTexture),o.memory.textures--),i.remove(I[X])}i.remove(I),i.remove(D)}let G=0;function P(){G=0}function j(){const D=G;return D>=l&&console.warn("THREE.WebGLTextures: Trying to use "+D+" texture units while this GPU supports only "+l),G+=1,D}function Y(D){const I=[];return I.push(D.wrapS),I.push(D.wrapT),I.push(D.wrapR||0),I.push(D.magFilter),I.push(D.minFilter),I.push(D.anisotropy),I.push(D.internalFormat),I.push(D.format),I.push(D.type),I.push(D.generateMipmaps),I.push(D.premultiplyAlpha),I.push(D.flipY),I.push(D.unpackAlignment),I.push(D.colorSpace),I.join()}function Q(D,I){const z=i.get(D);if(D.isVideoTexture&&ie(D),D.isRenderTargetTexture===!1&&D.version>0&&z.__version!==D.version){const he=D.image;if(he===null)console.warn("THREE.WebGLRenderer: Texture marked for update but no image data found.");else if(he.complete===!1)console.warn("THREE.WebGLRenderer: Texture marked for update but image is incomplete");else{ee(z,D,I);return}}t.bindTexture(n.TEXTURE_2D,z.__webglTexture,n.TEXTURE0+I)}function re(D,I){const z=i.get(D);if(D.version>0&&z.__version!==D.version){ee(z,D,I);return}t.bindTexture(n.TEXTURE_2D_ARRAY,z.__webglTexture,n.TEXTURE0+I)}function te(D,I){const z=i.get(D);if(D.version>0&&z.__version!==D.version){ee(z,D,I);return}t.bindTexture(n.TEXTURE_3D,z.__webglTexture,n.TEXTURE0+I)}function Z(D,I){const z=i.get(D);if(D.version>0&&z.__version!==D.version){Se(z,D,I);return}t.bindTexture(n.TEXTURE_CUBE_MAP,z.__webglTexture,n.TEXTURE0+I)}const fe={[Ra]:n.REPEAT,[gi]:n.CLAMP_TO_EDGE,[gu]:n.MIRRORED_REPEAT},ve={[En]:n.NEAREST,[db]:n.NEAREST_MIPMAP_NEAREST,[Vd]:n.NEAREST_MIPMAP_LINEAR,[jn]:n.LINEAR,[KO]:n.LINEAR_MIPMAP_NEAREST,[go]:n.LINEAR_MIPMAP_LINEAR},Ae={[ext]:n.NEVER,[oxt]:n.ALWAYS,[txt]:n.LESS,[sI]:n.LEQUAL,[nxt]:n.EQUAL,[rxt]:n.GEQUAL,[ixt]:n.GREATER,[sxt]:n.NOTEQUAL};function J(D,I,z){if(z?(n.texParameteri(D,n.TEXTURE_WRAP_S,fe[I.wrapS]),n.texParameteri(D,n.TEXTURE_WRAP_T,fe[I.wrapT]),(D===n.TEXTURE_3D||D===n.TEXTURE_2D_ARRAY)&&n.texParameteri(D,n.TEXTURE_WRAP_R,fe[I.wrapR]),n.texParameteri(D,n.TEXTURE_MAG_FILTER,ve[I.magFilter]),n.texParameteri(D,n.TEXTURE_MIN_FILTER,ve[I.minFilter])):(n.texParameteri(D,n.TEXTURE_WRAP_S,n.CLAMP_TO_EDGE),n.texParameteri(D,n.TEXTURE_WRAP_T,n.CLAMP_TO_EDGE),(D===n.TEXTURE_3D||D===n.TEXTURE_2D_ARRAY)&&n.texParameteri(D,n.TEXTURE_WRAP_R,n.CLAMP_TO_EDGE),(I.wrapS!==gi||I.wrapT!==gi)&&console.warn("THREE.WebGLRenderer: Texture is not power of two. Texture.wrapS and Texture.wrapT should be set to THREE.ClampToEdgeWrapping."),n.texParameteri(D,n.TEXTURE_MAG_FILTER,A(I.magFilter)),n.texParameteri(D,n.TEXTURE_MIN_FILTER,A(I.minFilter)),I.minFilter!==En&&I.minFilter!==jn&&console.warn("THREE.WebGLRenderer: Texture is not power of two. Texture.minFilter should be set to THREE.NearestFilter or THREE.LinearFilter.")),I.compareFunction&&(n.texParameteri(D,n.TEXTURE_COMPARE_MODE,n.COMPARE_REF_TO_TEXTURE),n.texParameteri(D,n.TEXTURE_COMPARE_FUNC,Ae[I.compareFunction])),e.has("EXT_texture_filter_anisotropic")===!0){const he=e.get("EXT_texture_filter_anisotropic");if(I.magFilter===En||I.minFilter!==Vd&&I.minFilter!==go||I.type===Ds&&e.has("OES_texture_float_linear")===!1||a===!1&&I.type===oc&&e.has("OES_texture_half_float_linear")===!1)return;(I.anisotropy>1||i.get(I).__currentAnisotropy)&&(n.texParameterf(D,he.TEXTURE_MAX_ANISOTROPY_EXT,Math.min(I.anisotropy,s.getMaxAnisotropy())),i.get(I).__currentAnisotropy=I.anisotropy)}}function me(D,I){let z=!1;D.__webglInit===void 0&&(D.__webglInit=!0,I.addEventListener("dispose",U));const he=I.source;let X=b.get(he);X===void 0&&(X={},b.set(he,X));const se=Y(I);if(se!==D.__cacheKey){X[se]===void 0&&(X[se]={texture:n.createTexture(),usedTimes:0},o.memory.textures++,z=!0),X[se].usedTimes++;const Re=X[D.__cacheKey];Re!==void 0&&(X[D.__cacheKey].usedTimes--,Re.usedTimes===0&&L(I)),D.__cacheKey=se,D.__webglTexture=X[se].texture}return z}function ee(D,I,z){let he=n.TEXTURE_2D;(I.isDataArrayTexture||I.isCompressedArrayTexture)&&(he=n.TEXTURE_2D_ARRAY),I.isData3DTexture&&(he=n.TEXTURE_3D);const X=me(D,I),se=I.source;t.bindTexture(he,D.__webglTexture,n.TEXTURE0+z);const Re=i.get(se);if(se.version!==Re.__version||X===!0){t.activeTexture(n.TEXTURE0+z);const Te=Ft.getPrimaries(Ft.workingColorSpace),Me=I.colorSpace===Ei?null:Ft.getPrimaries(I.colorSpace),ze=I.colorSpace===Ei||Te===Me?n.NONE:n.BROWSER_DEFAULT_WEBGL;n.pixelStorei(n.UNPACK_FLIP_Y_WEBGL,I.flipY),n.pixelStorei(n.UNPACK_PREMULTIPLY_ALPHA_WEBGL,I.premultiplyAlpha),n.pixelStorei(n.UNPACK_ALIGNMENT,I.unpackAlignment),n.pixelStorei(n.UNPACK_COLORSPACE_CONVERSION_WEBGL,ze);const st=C(I)&&T(I.image)===!1;let De=y(I.image,st,!1,c);De=ae(I,De);const lt=T(De)||a,Qe=r.convert(I.format,I.colorSpace);let He=r.convert(I.type),Je=R(I.internalFormat,Qe,He,I.colorSpace,I.isVideoTexture);J(he,I,lt);let Fe;const ut=I.mipmaps,ue=a&&I.isVideoTexture!==!0&&Je!==tI,We=Re.__version===void 0||X===!0,Ue=S(I,De,lt);if(I.isDepthTexture)Je=n.DEPTH_COMPONENT,a?I.type===Ds?Je=n.DEPTH_COMPONENT32F:I.type===gr?Je=n.DEPTH_COMPONENT24:I.type===lo?Je=n.DEPTH24_STENCIL8:Je=n.DEPTH_COMPONENT16:I.type===Ds&&console.error("WebGLRenderer: Floating point depth texture requires WebGL2."),I.format===co&&Je===n.DEPTH_COMPONENT&&I.type!==QE&&I.type!==gr&&(console.warn("THREE.WebGLRenderer: Use UnsignedShortType or UnsignedIntType for DepthFormat DepthTexture."),I.type=gr,He=r.convert(I.type)),I.format===Aa&&Je===n.DEPTH_COMPONENT&&(Je=n.DEPTH_STENCIL,I.type!==lo&&(console.warn("THREE.WebGLRenderer: Use UnsignedInt248Type for DepthStencilFormat DepthTexture."),I.type=lo,He=r.convert(I.type))),We&&(ue?t.texStorage2D(n.TEXTURE_2D,1,Je,De.width,De.height):t.texImage2D(n.TEXTURE_2D,0,Je,De.width,De.height,0,Qe,He,null));else if(I.isDataTexture)if(ut.length>0&&lt){ue&&We&&t.texStorage2D(n.TEXTURE_2D,Ue,Je,ut[0].width,ut[0].height);for(let Ne=0,Be=ut.length;Ne<Be;Ne++)Fe=ut[Ne],ue?t.texSubImage2D(n.TEXTURE_2D,Ne,0,0,Fe.width,Fe.height,Qe,He,Fe.data):t.texImage2D(n.TEXTURE_2D,Ne,Je,Fe.width,Fe.height,0,Qe,He,Fe.data);I.generateMipmaps=!1}else ue?(We&&t.texStorage2D(n.TEXTURE_2D,Ue,Je,De.width,De.height),t.texSubImage2D(n.TEXTURE_2D,0,0,0,De.width,De.height,Qe,He,De.data)):t.texImage2D(n.TEXTURE_2D,0,Je,De.width,De.height,0,Qe,He,De.data);else if(I.isCompressedTexture)if(I.isCompressedArrayTexture){ue&&We&&t.texStorage3D(n.TEXTURE_2D_ARRAY,Ue,Je,ut[0].width,ut[0].height,De.depth);for(let Ne=0,Be=ut.length;Ne<Be;Ne++)Fe=ut[Ne],I.format!==bi?Qe!==null?ue?t.compressedTexSubImage3D(n.TEXTURE_2D_ARRAY,Ne,0,0,0,Fe.width,Fe.height,De.depth,Qe,Fe.data,0,0):t.compressedTexImage3D(n.TEXTURE_2D_ARRAY,Ne,Je,Fe.width,Fe.height,De.depth,0,Fe.data,0,0):console.warn("THREE.WebGLRenderer: Attempt to load unsupported compressed texture format in .uploadTexture()"):ue?t.texSubImage3D(n.TEXTURE_2D_ARRAY,Ne,0,0,0,Fe.width,Fe.height,De.depth,Qe,He,Fe.data):t.texImage3D(n.TEXTURE_2D_ARRAY,Ne,Je,Fe.width,Fe.height,De.depth,0,Qe,He,Fe.data)}else{ue&&We&&t.texStorage2D(n.TEXTURE_2D,Ue,Je,ut[0].width,ut[0].height);for(let Ne=0,Be=ut.length;Ne<Be;Ne++)Fe=ut[Ne],I.format!==bi?Qe!==null?ue?t.compressedTexSubImage2D(n.TEXTURE_2D,Ne,0,0,Fe.width,Fe.height,Qe,Fe.data):t.compressedTexImage2D(n.TEXTURE_2D,Ne,Je,Fe.width,Fe.height,0,Fe.data):console.warn("THREE.WebGLRenderer: Attempt to load unsupported compressed texture format in .uploadTexture()"):ue?t.texSubImage2D(n.TEXTURE_2D,Ne,0,0,Fe.width,Fe.height,Qe,He,Fe.data):t.texImage2D(n.TEXTURE_2D,Ne,Je,Fe.width,Fe.height,0,Qe,He,Fe.data)}else if(I.isDataArrayTexture)ue?(We&&t.texStorage3D(n.TEXTURE_2D_ARRAY,Ue,Je,De.width,De.height,De.depth),t.texSubImage3D(n.TEXTURE_2D_ARRAY,0,0,0,0,De.width,De.height,De.depth,Qe,He,De.data)):t.texImage3D(n.TEXTURE_2D_ARRAY,0,Je,De.width,De.height,De.depth,0,Qe,He,De.data);else if(I.isData3DTexture)ue?(We&&t.texStorage3D(n.TEXTURE_3D,Ue,Je,De.width,De.height,De.depth),t.texSubImage3D(n.TEXTURE_3D,0,0,0,0,De.width,De.height,De.depth,Qe,He,De.data)):t.texImage3D(n.TEXTURE_3D,0,Je,De.width,De.height,De.depth,0,Qe,He,De.data);else if(I.isFramebufferTexture){if(We)if(ue)t.texStorage2D(n.TEXTURE_2D,Ue,Je,De.width,De.height);else{let Ne=De.width,Be=De.height;for(let ct=0;ct<Ue;ct++)t.texImage2D(n.TEXTURE_2D,ct,Je,Ne,Be,0,Qe,He,null),Ne>>=1,Be>>=1}}else if(ut.length>0&&lt){ue&&We&&t.texStorage2D(n.TEXTURE_2D,Ue,Je,ut[0].width,ut[0].height);for(let Ne=0,Be=ut.length;Ne<Be;Ne++)Fe=ut[Ne],ue?t.texSubImage2D(n.TEXTURE_2D,Ne,0,0,Qe,He,Fe):t.texImage2D(n.TEXTURE_2D,Ne,Je,Qe,He,Fe);I.generateMipmaps=!1}else ue?(We&&t.texStorage2D(n.TEXTURE_2D,Ue,Je,De.width,De.height),t.texSubImage2D(n.TEXTURE_2D,0,0,0,Qe,He,De)):t.texImage2D(n.TEXTURE_2D,0,Je,Qe,He,De);x(I,lt)&&O(he),Re.__version=se.version,I.onUpdate&&I.onUpdate(I)}D.__version=I.version}function Se(D,I,z){if(I.image.length!==6)return;const he=me(D,I),X=I.source;t.bindTexture(n.TEXTURE_CUBE_MAP,D.__webglTexture,n.TEXTURE0+z);const se=i.get(X);if(X.version!==se.__version||he===!0){t.activeTexture(n.TEXTURE0+z);const Re=Ft.getPrimaries(Ft.workingColorSpace),Te=I.colorSpace===Ei?null:Ft.getPrimaries(I.colorSpace),Me=I.colorSpace===Ei||Re===Te?n.NONE:n.BROWSER_DEFAULT_WEBGL;n.pixelStorei(n.UNPACK_FLIP_Y_WEBGL,I.flipY),n.pixelStorei(n.UNPACK_PREMULTIPLY_ALPHA_WEBGL,I.premultiplyAlpha),n.pixelStorei(n.UNPACK_ALIGNMENT,I.unpackAlignment),n.pixelStorei(n.UNPACK_COLORSPACE_CONVERSION_WEBGL,Me);const ze=I.isCompressedTexture||I.image[0].isCompressedTexture,st=I.image[0]&&I.image[0].isDataTexture,De=[];for(let Ne=0;Ne<6;Ne++)!ze&&!st?De[Ne]=y(I.image[Ne],!1,!0,d):De[Ne]=st?I.image[Ne].image:I.image[Ne],De[Ne]=ae(I,De[Ne]);const lt=De[0],Qe=T(lt)||a,He=r.convert(I.format,I.colorSpace),Je=r.convert(I.type),Fe=R(I.internalFormat,He,Je,I.colorSpace),ut=a&&I.isVideoTexture!==!0,ue=se.__version===void 0||he===!0;let We=S(I,lt,Qe);J(n.TEXTURE_CUBE_MAP,I,Qe);let Ue;if(ze){ut&&ue&&t.texStorage2D(n.TEXTURE_CUBE_MAP,We,Fe,lt.width,lt.height);for(let Ne=0;Ne<6;Ne++){Ue=De[Ne].mipmaps;for(let Be=0;Be<Ue.length;Be++){const ct=Ue[Be];I.format!==bi?He!==null?ut?t.compressedTexSubImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,Be,0,0,ct.width,ct.height,He,ct.data):t.compressedTexImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,Be,Fe,ct.width,ct.height,0,ct.data):console.warn("THREE.WebGLRenderer: Attempt to load unsupported compressed texture format in .setTextureCube()"):ut?t.texSubImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,Be,0,0,ct.width,ct.height,He,Je,ct.data):t.texImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,Be,Fe,ct.width,ct.height,0,He,Je,ct.data)}}}else{Ue=I.mipmaps,ut&&ue&&(Ue.length>0&&We++,t.texStorage2D(n.TEXTURE_CUBE_MAP,We,Fe,De[0].width,De[0].height));for(let Ne=0;Ne<6;Ne++)if(st){ut?t.texSubImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,0,0,0,De[Ne].width,De[Ne].height,He,Je,De[Ne].data):t.texImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,0,Fe,De[Ne].width,De[Ne].height,0,He,Je,De[Ne].data);for(let Be=0;Be<Ue.length;Be++){const Et=Ue[Be].image[Ne].image;ut?t.texSubImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,Be+1,0,0,Et.width,Et.height,He,Je,Et.data):t.texImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,Be+1,Fe,Et.width,Et.height,0,He,Je,Et.data)}}else{ut?t.texSubImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,0,0,0,He,Je,De[Ne]):t.texImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,0,Fe,He,Je,De[Ne]);for(let Be=0;Be<Ue.length;Be++){const ct=Ue[Be];ut?t.texSubImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,Be+1,0,0,He,Je,ct.image[Ne]):t.texImage2D(n.TEXTURE_CUBE_MAP_POSITIVE_X+Ne,Be+1,Fe,He,Je,ct.image[Ne])}}}x(I,Qe)&&O(n.TEXTURE_CUBE_MAP),se.__version=X.version,I.onUpdate&&I.onUpdate(I)}D.__version=I.version}function Oe(D,I,z,he,X,se){const Re=r.convert(z.format,z.colorSpace),Te=r.convert(z.type),Me=R(z.internalFormat,Re,Te,z.colorSpace);if(!i.get(I).__hasExternalTextures){const st=Math.max(1,I.width>>se),De=Math.max(1,I.height>>se);X===n.TEXTURE_3D||X===n.TEXTURE_2D_ARRAY?t.texImage3D(X,se,Me,st,De,I.depth,0,Re,Te,null):t.texImage2D(X,se,Me,st,De,0,Re,Te,null)}t.bindFramebuffer(n.FRAMEBUFFER,D),_e(I)?f.framebufferTexture2DMultisampleEXT(n.FRAMEBUFFER,he,X,i.get(z).__webglTexture,0,V(I)):(X===n.TEXTURE_2D||X>=n.TEXTURE_CUBE_MAP_POSITIVE_X&&X<=n.TEXTURE_CUBE_MAP_NEGATIVE_Z)&&n.framebufferTexture2D(n.FRAMEBUFFER,he,X,i.get(z).__webglTexture,se),t.bindFramebuffer(n.FRAMEBUFFER,null)}function k(D,I,z){if(n.bindRenderbuffer(n.RENDERBUFFER,D),I.depthBuffer&&!I.stencilBuffer){let he=a===!0?n.DEPTH_COMPONENT24:n.DEPTH_COMPONENT16;if(z||_e(I)){const X=I.depthTexture;X&&X.isDepthTexture&&(X.type===Ds?he=n.DEPTH_COMPONENT32F:X.type===gr&&(he=n.DEPTH_COMPONENT24));const se=V(I);_e(I)?f.renderbufferStorageMultisampleEXT(n.RENDERBUFFER,se,he,I.width,I.height):n.renderbufferStorageMultisample(n.RENDERBUFFER,se,he,I.width,I.height)}else n.renderbufferStorage(n.RENDERBUFFER,he,I.width,I.height);n.framebufferRenderbuffer(n.FRAMEBUFFER,n.DEPTH_ATTACHMENT,n.RENDERBUFFER,D)}else if(I.depthBuffer&&I.stencilBuffer){const he=V(I);z&&_e(I)===!1?n.renderbufferStorageMultisample(n.RENDERBUFFER,he,n.DEPTH24_STENCIL8,I.width,I.height):_e(I)?f.renderbufferStorageMultisampleEXT(n.RENDERBUFFER,he,n.DEPTH24_STENCIL8,I.width,I.height):n.renderbufferStorage(n.RENDERBUFFER,n.DEPTH_STENCIL,I.width,I.height),n.framebufferRenderbuffer(n.FRAMEBUFFER,n.DEPTH_STENCIL_ATTACHMENT,n.RENDERBUFFER,D)}else{const he=I.isWebGLMultipleRenderTargets===!0?I.texture:[I.texture];for(let X=0;X<he.length;X++){const se=he[X],Re=r.convert(se.format,se.colorSpace),Te=r.convert(se.type),Me=R(se.internalFormat,Re,Te,se.colorSpace),ze=V(I);z&&_e(I)===!1?n.renderbufferStorageMultisample(n.RENDERBUFFER,ze,Me,I.width,I.height):_e(I)?f.renderbufferStorageMultisampleEXT(n.RENDERBUFFER,ze,Me,I.width,I.height):n.renderbufferStorage(n.RENDERBUFFER,Me,I.width,I.height)}}n.bindRenderbuffer(n.RENDERBUFFER,null)}function B(D,I){if(I&&I.isWebGLCubeRenderTarget)throw new Error("Depth Texture with cube render targets is not supported");if(t.bindFramebuffer(n.FRAMEBUFFER,D),!(I.depthTexture&&I.depthTexture.isDepthTexture))throw new Error("renderTarget.depthTexture must be an instance of THREE.DepthTexture");(!i.get(I.depthTexture).__webglTexture||I.depthTexture.image.width!==I.width||I.depthTexture.image.height!==I.height)&&(I.depthTexture.image.width=I.width,I.depthTexture.image.height=I.height,I.depthTexture.needsUpdate=!0),Q(I.depthTexture,0);const he=i.get(I.depthTexture).__webglTexture,X=V(I);if(I.depthTexture.format===co)_e(I)?f.framebufferTexture2DMultisampleEXT(n.FRAMEBUFFER,n.DEPTH_ATTACHMENT,n.TEXTURE_2D,he,0,X):n.framebufferTexture2D(n.FRAMEBUFFER,n.DEPTH_ATTACHMENT,n.TEXTURE_2D,he,0);else if(I.depthTexture.format===Aa)_e(I)?f.framebufferTexture2DMultisampleEXT(n.FRAMEBUFFER,n.DEPTH_STENCIL_ATTACHMENT,n.TEXTURE_2D,he,0,X):n.framebufferTexture2D(n.FRAMEBUFFER,n.DEPTH_STENCIL_ATTACHMENT,n.TEXTURE_2D,he,0);else throw new Error("Unknown depthTexture format")}function $(D){const I=i.get(D),z=D.isWebGLCubeRenderTarget===!0;if(D.depthTexture&&!I.__autoAllocateDepthBuffer){if(z)throw new Error("target.depthTexture not supported in Cube render targets");B(I.__webglFramebuffer,D)}else if(z){I.__webglDepthbuffer=[];for(let he=0;he<6;he++)t.bindFramebuffer(n.FRAMEBUFFER,I.__webglFramebuffer[he]),I.__webglDepthbuffer[he]=n.createRenderbuffer(),k(I.__webglDepthbuffer[he],D,!1)}else t.bindFramebuffer(n.FRAMEBUFFER,I.__webglFramebuffer),I.__webglDepthbuffer=n.createRenderbuffer(),k(I.__webglDepthbuffer,D,!1);t.bindFramebuffer(n.FRAMEBUFFER,null)}function ce(D,I,z){const he=i.get(D);I!==void 0&&Oe(he.__webglFramebuffer,D,D.texture,n.COLOR_ATTACHMENT0,n.TEXTURE_2D,0),z!==void 0&&$(D)}function ne(D){const I=D.texture,z=i.get(D),he=i.get(I);D.addEventListener("dispose",F),D.isWebGLMultipleRenderTargets!==!0&&(he.__webglTexture===void 0&&(he.__webglTexture=n.createTexture()),he.__version=I.version,o.memory.textures++);const X=D.isWebGLCubeRenderTarget===!0,se=D.isWebGLMultipleRenderTargets===!0,Re=T(D)||a;if(X){z.__webglFramebuffer=[];for(let Te=0;Te<6;Te++)if(a&&I.mipmaps&&I.mipmaps.length>0){z.__webglFramebuffer[Te]=[];for(let Me=0;Me<I.mipmaps.length;Me++)z.__webglFramebuffer[Te][Me]=n.createFramebuffer()}else z.__webglFramebuffer[Te]=n.createFramebuffer()}else{if(a&&I.mipmaps&&I.mipmaps.length>0){z.__webglFramebuffer=[];for(let Te=0;Te<I.mipmaps.length;Te++)z.__webglFramebuffer[Te]=n.createFramebuffer()}else z.__webglFramebuffer=n.createFramebuffer();if(se)if(s.drawBuffers){const Te=D.texture;for(let Me=0,ze=Te.length;Me<ze;Me++){const st=i.get(Te[Me]);st.__webglTexture===void 0&&(st.__webglTexture=n.createTexture(),o.memory.textures++)}}else console.warn("THREE.WebGLRenderer: WebGLMultipleRenderTargets can only be used with WebGL2 or WEBGL_draw_buffers extension.");if(a&&D.samples>0&&_e(D)===!1){const Te=se?I:[I];z.__webglMultisampledFramebuffer=n.createFramebuffer(),z.__webglColorRenderbuffer=[],t.bindFramebuffer(n.FRAMEBUFFER,z.__webglMultisampledFramebuffer);for(let Me=0;Me<Te.length;Me++){const ze=Te[Me];z.__webglColorRenderbuffer[Me]=n.createRenderbuffer(),n.bindRenderbuffer(n.RENDERBUFFER,z.__webglColorRenderbuffer[Me]);const st=r.convert(ze.format,ze.colorSpace),De=r.convert(ze.type),lt=R(ze.internalFormat,st,De,ze.colorSpace,D.isXRRenderTarget===!0),Qe=V(D);n.renderbufferStorageMultisample(n.RENDERBUFFER,Qe,lt,D.width,D.height),n.framebufferRenderbuffer(n.FRAMEBUFFER,n.COLOR_ATTACHMENT0+Me,n.RENDERBUFFER,z.__webglColorRenderbuffer[Me])}n.bindRenderbuffer(n.RENDERBUFFER,null),D.depthBuffer&&(z.__webglDepthRenderbuffer=n.createRenderbuffer(),k(z.__webglDepthRenderbuffer,D,!0)),t.bindFramebuffer(n.FRAMEBUFFER,null)}}if(X){t.bindTexture(n.TEXTURE_CUBE_MAP,he.__webglTexture),J(n.TEXTURE_CUBE_MAP,I,Re);for(let Te=0;Te<6;Te++)if(a&&I.mipmaps&&I.mipmaps.length>0)for(let Me=0;Me<I.mipmaps.length;Me++)Oe(z.__webglFramebuffer[Te][Me],D,I,n.COLOR_ATTACHMENT0,n.TEXTURE_CUBE_MAP_POSITIVE_X+Te,Me);else Oe(z.__webglFramebuffer[Te],D,I,n.COLOR_ATTACHMENT0,n.TEXTURE_CUBE_MAP_POSITIVE_X+Te,0);x(I,Re)&&O(n.TEXTURE_CUBE_MAP),t.unbindTexture()}else if(se){const Te=D.texture;for(let Me=0,ze=Te.length;Me<ze;Me++){const st=Te[Me],De=i.get(st);t.bindTexture(n.TEXTURE_2D,De.__webglTexture),J(n.TEXTURE_2D,st,Re),Oe(z.__webglFramebuffer,D,st,n.COLOR_ATTACHMENT0+Me,n.TEXTURE_2D,0),x(st,Re)&&O(n.TEXTURE_2D)}t.unbindTexture()}else{let Te=n.TEXTURE_2D;if((D.isWebGL3DRenderTarget||D.isWebGLArrayRenderTarget)&&(a?Te=D.isWebGL3DRenderTarget?n.TEXTURE_3D:n.TEXTURE_2D_ARRAY:console.error("THREE.WebGLTextures: THREE.Data3DTexture and THREE.DataArrayTexture only supported with WebGL2.")),t.bindTexture(Te,he.__webglTexture),J(Te,I,Re),a&&I.mipmaps&&I.mipmaps.length>0)for(let Me=0;Me<I.mipmaps.length;Me++)Oe(z.__webglFramebuffer[Me],D,I,n.COLOR_ATTACHMENT0,Te,Me);else Oe(z.__webglFramebuffer,D,I,n.COLOR_ATTACHMENT0,Te,0);x(I,Re)&&O(Te),t.unbindTexture()}D.depthBuffer&&$(D)}function Ce(D){const I=T(D)||a,z=D.isWebGLMultipleRenderTargets===!0?D.texture:[D.texture];for(let he=0,X=z.length;he<X;he++){const se=z[he];if(x(se,I)){const Re=D.isWebGLCubeRenderTarget?n.TEXTURE_CUBE_MAP:n.TEXTURE_2D,Te=i.get(se).__webglTexture;t.bindTexture(Re,Te),O(Re),t.unbindTexture()}}}function we(D){if(a&&D.samples>0&&_e(D)===!1){const I=D.isWebGLMultipleRenderTargets?D.texture:[D.texture],z=D.width,he=D.height;let X=n.COLOR_BUFFER_BIT;const se=[],Re=D.stencilBuffer?n.DEPTH_STENCIL_ATTACHMENT:n.DEPTH_ATTACHMENT,Te=i.get(D),Me=D.isWebGLMultipleRenderTargets===!0;if(Me)for(let ze=0;ze<I.length;ze++)t.bindFramebuffer(n.FRAMEBUFFER,Te.__webglMultisampledFramebuffer),n.framebufferRenderbuffer(n.FRAMEBUFFER,n.COLOR_ATTACHMENT0+ze,n.RENDERBUFFER,null),t.bindFramebuffer(n.FRAMEBUFFER,Te.__webglFramebuffer),n.framebufferTexture2D(n.DRAW_FRAMEBUFFER,n.COLOR_ATTACHMENT0+ze,n.TEXTURE_2D,null,0);t.bindFramebuffer(n.READ_FRAMEBUFFER,Te.__webglMultisampledFramebuffer),t.bindFramebuffer(n.DRAW_FRAMEBUFFER,Te.__webglFramebuffer);for(let ze=0;ze<I.length;ze++){se.push(n.COLOR_ATTACHMENT0+ze),D.depthBuffer&&se.push(Re);const st=Te.__ignoreDepthValues!==void 0?Te.__ignoreDepthValues:!1;if(st===!1&&(D.depthBuffer&&(X|=n.DEPTH_BUFFER_BIT),D.stencilBuffer&&(X|=n.STENCIL_BUFFER_BIT)),Me&&n.framebufferRenderbuffer(n.READ_FRAMEBUFFER,n.COLOR_ATTACHMENT0,n.RENDERBUFFER,Te.__webglColorRenderbuffer[ze]),st===!0&&(n.invalidateFramebuffer(n.READ_FRAMEBUFFER,[Re]),n.invalidateFramebuffer(n.DRAW_FRAMEBUFFER,[Re])),Me){const De=i.get(I[ze]).__webglTexture;n.framebufferTexture2D(n.DRAW_FRAMEBUFFER,n.COLOR_ATTACHMENT0,n.TEXTURE_2D,De,0)}n.blitFramebuffer(0,0,z,he,0,0,z,he,X,n.NEAREST),m&&n.invalidateFramebuffer(n.READ_FRAMEBUFFER,se)}if(t.bindFramebuffer(n.READ_FRAMEBUFFER,null),t.bindFramebuffer(n.DRAW_FRAMEBUFFER,null),Me)for(let ze=0;ze<I.length;ze++){t.bindFramebuffer(n.FRAMEBUFFER,Te.__webglMultisampledFramebuffer),n.framebufferRenderbuffer(n.FRAMEBUFFER,n.COLOR_ATTACHMENT0+ze,n.RENDERBUFFER,Te.__webglColorRenderbuffer[ze]);const st=i.get(I[ze]).__webglTexture;t.bindFramebuffer(n.FRAMEBUFFER,Te.__webglFramebuffer),n.framebufferTexture2D(n.DRAW_FRAMEBUFFER,n.COLOR_ATTACHMENT0+ze,n.TEXTURE_2D,st,0)}t.bindFramebuffer(n.DRAW_FRAMEBUFFER,Te.__webglMultisampledFramebuffer)}}function V(D){return Math.min(_,D.samples)}function _e(D){const I=i.get(D);return a&&D.samples>0&&e.has("WEBGL_multisampled_render_to_texture")===!0&&I.__useRenderToTexture!==!1}function ie(D){const I=o.render.frame;h.get(D)!==I&&(h.set(D,I),D.update())}function ae(D,I){const z=D.colorSpace,he=D.format,X=D.type;return D.isCompressedTexture===!0||D.isVideoTexture===!0||D.format===_b||z!==Nn&&z!==Ei&&(Ft.getTransfer(z)===Xt?a===!1?e.has("EXT_sRGB")===!0&&he===bi?(D.format=_b,D.minFilter=jn,D.generateMipmaps=!1):I=oI.sRGBToLinear(I):(he!==bi||X!==Tr)&&console.warn("THREE.WebGLTextures: sRGB encoded textures have to use RGBAFormat and UnsignedByteType."):console.error("THREE.WebGLTextures: Unsupported texture color space:",z)),I}this.allocateTextureUnit=j,this.resetTextureUnits=P,this.setTexture2D=Q,this.setTexture2DArray=re,this.setTexture3D=te,this.setTextureCube=Z,this.rebindTextures=ce,this.setupRenderTarget=ne,this.updateRenderTargetMipmap=Ce,this.updateMultisampleRenderTarget=we,this.setupDepthRenderbuffer=$,this.setupFrameBufferTexture=Oe,this.useMultisampledRTT=_e}function vwt(n,e,t){const i=t.isWebGL2;function s(r,o=Ei){let a;const l=Ft.getTransfer(o);if(r===Tr)return n.UNSIGNED_BYTE;if(r===QO)return n.UNSIGNED_SHORT_4_4_4_4;if(r===XO)return n.UNSIGNED_SHORT_5_5_5_1;if(r===zTt)return n.BYTE;if(r===VTt)return n.SHORT;if(r===QE)return n.UNSIGNED_SHORT;if(r===jO)return n.INT;if(r===gr)return n.UNSIGNED_INT;if(r===Ds)return n.FLOAT;if(r===oc)return i?n.HALF_FLOAT:(a=e.get("OES_texture_half_float"),a!==null?a.HALF_FLOAT_OES:null);if(r===HTt)return n.ALPHA;if(r===bi)return n.RGBA;if(r===qTt)return n.LUMINANCE;if(r===YTt)return n.LUMINANCE_ALPHA;if(r===co)return n.DEPTH_COMPONENT;if(r===Aa)return n.DEPTH_STENCIL;if(r===_b)return a=e.get("EXT_sRGB"),a!==null?a.SRGB_ALPHA_EXT:null;if(r===$Tt)return n.RED;if(r===ZO)return n.RED_INTEGER;if(r===WTt)return n.RG;if(r===JO)return n.RG_INTEGER;if(r===eI)return n.RGBA_INTEGER;if(r===Fm||r===Bm||r===Gm||r===zm)if(l===Xt)if(a=e.get("WEBGL_compressed_texture_s3tc_srgb"),a!==null){if(r===Fm)return a.COMPRESSED_SRGB_S3TC_DXT1_EXT;if(r===Bm)return a.COMPRESSED_SRGB_ALPHA_S3TC_DXT1_EXT;if(r===Gm)return a.COMPRESSED_SRGB_ALPHA_S3TC_DXT3_EXT;if(r===zm)return a.COMPRESSED_SRGB_ALPHA_S3TC_DXT5_EXT}else return null;else if(a=e.get("WEBGL_compressed_texture_s3tc"),a!==null){if(r===Fm)return a.COMPRESSED_RGB_S3TC_DXT1_EXT;if(r===Bm)return a.COMPRESSED_RGBA_S3TC_DXT1_EXT;if(r===Gm)return a.COMPRESSED_RGBA_S3TC_DXT3_EXT;if(r===zm)return a.COMPRESSED_RGBA_S3TC_DXT5_EXT}else return null;if(r===jC||r===QC||r===XC||r===ZC)if(a=e.get("WEBGL_compressed_texture_pvrtc"),a!==null){if(r===jC)return a.COMPRESSED_RGB_PVRTC_4BPPV1_IMG;if(r===QC)return a.COMPRESSED_RGB_PVRTC_2BPPV1_IMG;if(r===XC)return a.COMPRESSED_RGBA_PVRTC_4BPPV1_IMG;if(r===ZC)return a.COMPRESSED_RGBA_PVRTC_2BPPV1_IMG}else return null;if(r===tI)return a=e.get("WEBGL_compressed_texture_etc1"),a!==null?a.COMPRESSED_RGB_ETC1_WEBGL:null;if(r===JC||r===e1)if(a=e.get("WEBGL_compressed_texture_etc"),a!==null){if(r===JC)return l===Xt?a.COMPRESSED_SRGB8_ETC2:a.COMPRESSED_RGB8_ETC2;if(r===e1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ETC2_EAC:a.COMPRESSED_RGBA8_ETC2_EAC}else return null;if(r===t1||r===n1||r===i1||r===s1||r===r1||r===o1||r===a1||r===l1||r===c1||r===d1||r===u1||r===p1||r===_1||r===h1)if(a=e.get("WEBGL_compressed_texture_astc"),a!==null){if(r===t1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_4x4_KHR:a.COMPRESSED_RGBA_ASTC_4x4_KHR;if(r===n1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_5x4_KHR:a.COMPRESSED_RGBA_ASTC_5x4_KHR;if(r===i1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_5x5_KHR:a.COMPRESSED_RGBA_ASTC_5x5_KHR;if(r===s1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_6x5_KHR:a.COMPRESSED_RGBA_ASTC_6x5_KHR;if(r===r1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_6x6_KHR:a.COMPRESSED_RGBA_ASTC_6x6_KHR;if(r===o1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_8x5_KHR:a.COMPRESSED_RGBA_ASTC_8x5_KHR;if(r===a1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_8x6_KHR:a.COMPRESSED_RGBA_ASTC_8x6_KHR;if(r===l1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_8x8_KHR:a.COMPRESSED_RGBA_ASTC_8x8_KHR;if(r===c1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_10x5_KHR:a.COMPRESSED_RGBA_ASTC_10x5_KHR;if(r===d1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_10x6_KHR:a.COMPRESSED_RGBA_ASTC_10x6_KHR;if(r===u1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_10x8_KHR:a.COMPRESSED_RGBA_ASTC_10x8_KHR;if(r===p1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_10x10_KHR:a.COMPRESSED_RGBA_ASTC_10x10_KHR;if(r===_1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_12x10_KHR:a.COMPRESSED_RGBA_ASTC_12x10_KHR;if(r===h1)return l===Xt?a.COMPRESSED_SRGB8_ALPHA8_ASTC_12x12_KHR:a.COMPRESSED_RGBA_ASTC_12x12_KHR}else return null;if(r===Vm||r===f1||r===m1)if(a=e.get("EXT_texture_compression_bptc"),a!==null){if(r===Vm)return l===Xt?a.COMPRESSED_SRGB_ALPHA_BPTC_UNORM_EXT:a.COMPRESSED_RGBA_BPTC_UNORM_EXT;if(r===f1)return a.COMPRESSED_RGB_BPTC_SIGNED_FLOAT_EXT;if(r===m1)return a.COMPRESSED_RGB_BPTC_UNSIGNED_FLOAT_EXT}else return null;if(r===KTt||r===g1||r===b1||r===E1)if(a=e.get("EXT_texture_compression_rgtc"),a!==null){if(r===Vm)return a.COMPRESSED_RED_RGTC1_EXT;if(r===g1)return a.COMPRESSED_SIGNED_RED_RGTC1_EXT;if(r===b1)return a.COMPRESSED_RED_GREEN_RGTC2_EXT;if(r===E1)return a.COMPRESSED_SIGNED_RED_GREEN_RGTC2_EXT}else return null;return r===lo?i?n.UNSIGNED_INT_24_8:(a=e.get("WEBGL_depth_texture"),a!==null?a.UNSIGNED_INT_24_8_WEBGL:null):n[r]!==void 0?n[r]:null}return{convert:s}}class ywt extends Vn{constructor(e=[]){super(),this.isArrayCamera=!0,this.cameras=e}}class io extends sn{constructor(){super(),this.isGroup=!0,this.type="Group"}}const Swt={type:"move"};class pg{constructor(){this._targetRay=null,this._grip=null,this._hand=null}getHandSpace(){return this._hand===null&&(this._hand=new io,this._hand.matrixAutoUpdate=!1,this._hand.visible=!1,this._hand.joints={},this._hand.inputState={pinching:!1}),this._hand}getTargetRaySpace(){return this._targetRay===null&&(this._targetRay=new io,this._targetRay.matrixAutoUpdate=!1,this._targetRay.visible=!1,this._targetRay.hasLinearVelocity=!1,this._targetRay.linearVelocity=new be,this._targetRay.hasAngularVelocity=!1,this._targetRay.angularVelocity=new be),this._targetRay}getGripSpace(){return this._grip===null&&(this._grip=new io,this._grip.matrixAutoUpdate=!1,this._grip.visible=!1,this._grip.hasLinearVelocity=!1,this._grip.linearVelocity=new be,this._grip.hasAngularVelocity=!1,this._grip.angularVelocity=new be),this._grip}dispatchEvent(e){return this._targetRay!==null&&this._targetRay.dispatchEvent(e),this._grip!==null&&this._grip.dispatchEvent(e),this._hand!==null&&this._hand.dispatchEvent(e),this}connect(e){if(e&&e.hand){const t=this._hand;if(t)for(const i of e.hand.values())this._getHandJoint(t,i)}return this.dispatchEvent({type:"connected",data:e}),this}disconnect(e){return this.dispatchEvent({type:"disconnected",data:e}),this._targetRay!==null&&(this._targetRay.visible=!1),this._grip!==null&&(this._grip.visible=!1),this._hand!==null&&(this._hand.visible=!1),this}update(e,t,i){let s=null,r=null,o=null;const a=this._targetRay,l=this._grip,d=this._hand;if(e&&t.session.visibilityState!=="visible-blurred"){if(d&&e.hand){o=!0;for(const E of e.hand.values()){const b=t.getJointPose(E,i),g=this._getHandJoint(d,E);b!==null&&(g.matrix.fromArray(b.transform.matrix),g.matrix.decompose(g.position,g.rotation,g.scale),g.matrixWorldNeedsUpdate=!0,g.jointRadius=b.radius),g.visible=b!==null}const c=d.joints["index-finger-tip"],_=d.joints["thumb-tip"],f=c.position.distanceTo(_.position),m=.02,h=.005;d.inputState.pinching&&f>m+h?(d.inputState.pinching=!1,this.dispatchEvent({type:"pinchend",handedness:e.handedness,target:this})):!d.inputState.pinching&&f<=m-h&&(d.inputState.pinching=!0,this.dispatchEvent({type:"pinchstart",handedness:e.handedness,target:this}))}else l!==null&&e.gripSpace&&(r=t.getPose(e.gripSpace,i),r!==null&&(l.matrix.fromArray(r.transform.matrix),l.matrix.decompose(l.position,l.rotation,l.scale),l.matrixWorldNeedsUpdate=!0,r.linearVelocity?(l.hasLinearVelocity=!0,l.linearVelocity.copy(r.linearVelocity)):l.hasLinearVelocity=!1,r.angularVelocity?(l.hasAngularVelocity=!0,l.angularVelocity.copy(r.angularVelocity)):l.hasAngularVelocity=!1));a!==null&&(s=t.getPose(e.targetRaySpace,i),s===null&&r!==null&&(s=r),s!==null&&(a.matrix.fromArray(s.transform.matrix),a.matrix.decompose(a.position,a.rotation,a.scale),a.matrixWorldNeedsUpdate=!0,s.linearVelocity?(a.hasLinearVelocity=!0,a.linearVelocity.copy(s.linearVelocity)):a.hasLinearVelocity=!1,s.angularVelocity?(a.hasAngularVelocity=!0,a.angularVelocity.copy(s.angularVelocity)):a.hasAngularVelocity=!1,this.dispatchEvent(Swt)))}return a!==null&&(a.visible=s!==null),l!==null&&(l.visible=r!==null),d!==null&&(d.visible=o!==null),this}_getHandJoint(e,t){if(e.joints[t.jointName]===void 0){const i=new io;i.matrixAutoUpdate=!1,i.visible=!1,e.joints[t.jointName]=i,e.add(i)}return e.joints[t.jointName]}}class Twt extends ja{constructor(e,t){super();const i=this;let s=null,r=1,o=null,a="local-floor",l=1,d=null,c=null,_=null,f=null,m=null,h=null;const E=t.getContextAttributes();let b=null,g=null;const v=[],y=[],T=new It;let C=null;const x=new Vn;x.layers.enable(1),x.viewport=new Wt;const O=new Vn;O.layers.enable(2),O.viewport=new Wt;const R=[x,O],S=new ywt;S.layers.enable(1),S.layers.enable(2);let A=null,U=null;this.cameraAutoUpdate=!0,this.enabled=!1,this.isPresenting=!1,this.getController=function(Z){let fe=v[Z];return fe===void 0&&(fe=new pg,v[Z]=fe),fe.getTargetRaySpace()},this.getControllerGrip=function(Z){let fe=v[Z];return fe===void 0&&(fe=new pg,v[Z]=fe),fe.getGripSpace()},this.getHand=function(Z){let fe=v[Z];return fe===void 0&&(fe=new pg,v[Z]=fe),fe.getHandSpace()};function F(Z){const fe=y.indexOf(Z.inputSource);if(fe===-1)return;const ve=v[fe];ve!==void 0&&(ve.update(Z.inputSource,Z.frame,d||o),ve.dispatchEvent({type:Z.type,data:Z.inputSource}))}function K(){s.removeEventListener("select",F),s.removeEventListener("selectstart",F),s.removeEventListener("selectend",F),s.removeEventListener("squeeze",F),s.removeEventListener("squeezestart",F),s.removeEventListener("squeezeend",F),s.removeEventListener("end",K),s.removeEventListener("inputsourceschange",L);for(let Z=0;Z<v.length;Z++){const fe=y[Z];fe!==null&&(y[Z]=null,v[Z].disconnect(fe))}A=null,U=null,e.setRenderTarget(b),m=null,f=null,_=null,s=null,g=null,te.stop(),i.isPresenting=!1,e.setPixelRatio(C),e.setSize(T.width,T.height,!1),i.dispatchEvent({type:"sessionend"})}this.setFramebufferScaleFactor=function(Z){r=Z,i.isPresenting===!0&&console.warn("THREE.WebXRManager: Cannot change framebuffer scale while presenting.")},this.setReferenceSpaceType=function(Z){a=Z,i.isPresenting===!0&&console.warn("THREE.WebXRManager: Cannot change reference space type while presenting.")},this.getReferenceSpace=function(){return d||o},this.setReferenceSpace=function(Z){d=Z},this.getBaseLayer=function(){return f!==null?f:m},this.getBinding=function(){return _},this.getFrame=function(){return h},this.getSession=function(){return s},this.setSession=async function(Z){if(s=Z,s!==null){if(b=e.getRenderTarget(),s.addEventListener("select",F),s.addEventListener("selectstart",F),s.addEventListener("selectend",F),s.addEventListener("squeeze",F),s.addEventListener("squeezestart",F),s.addEventListener("squeezeend",F),s.addEventListener("end",K),s.addEventListener("inputsourceschange",L),E.xrCompatible!==!0&&await t.makeXRCompatible(),C=e.getPixelRatio(),e.getSize(T),s.renderState.layers===void 0||e.capabilities.isWebGL2===!1){const fe={antialias:s.renderState.layers===void 0?E.antialias:!0,alpha:!0,depth:E.depth,stencil:E.stencil,framebufferScaleFactor:r};m=new XRWebGLLayer(s,t,fe),s.updateRenderState({baseLayer:m}),e.setPixelRatio(1),e.setSize(m.framebufferWidth,m.framebufferHeight,!1),g=new bo(m.framebufferWidth,m.framebufferHeight,{format:bi,type:Tr,colorSpace:e.outputColorSpace,stencilBuffer:E.stencil})}else{let fe=null,ve=null,Ae=null;E.depth&&(Ae=E.stencil?t.DEPTH24_STENCIL8:t.DEPTH_COMPONENT24,fe=E.stencil?Aa:co,ve=E.stencil?lo:gr);const J={colorFormat:t.RGBA8,depthFormat:Ae,scaleFactor:r};_=new XRWebGLBinding(s,t),f=_.createProjectionLayer(J),s.updateRenderState({layers:[f]}),e.setPixelRatio(1),e.setSize(f.textureWidth,f.textureHeight,!1),g=new bo(f.textureWidth,f.textureHeight,{format:bi,type:Tr,depthTexture:new gI(f.textureWidth,f.textureHeight,ve,void 0,void 0,void 0,void 0,void 0,void 0,fe),stencilBuffer:E.stencil,colorSpace:e.outputColorSpace,samples:E.antialias?4:0});const me=e.properties.get(g);me.__ignoreDepthValues=f.ignoreDepthValues}g.isXRRenderTarget=!0,this.setFoveation(l),d=null,o=await s.requestReferenceSpace(a),te.setContext(s),te.start(),i.isPresenting=!0,i.dispatchEvent({type:"sessionstart"})}},this.getEnvironmentBlendMode=function(){if(s!==null)return s.environmentBlendMode};function L(Z){for(let fe=0;fe<Z.removed.length;fe++){const ve=Z.removed[fe],Ae=y.indexOf(ve);Ae>=0&&(y[Ae]=null,v[Ae].disconnect(ve))}for(let fe=0;fe<Z.added.length;fe++){const ve=Z.added[fe];let Ae=y.indexOf(ve);if(Ae===-1){for(let me=0;me<v.length;me++)if(me>=y.length){y.push(ve),Ae=me;break}else if(y[me]===null){y[me]=ve,Ae=me;break}if(Ae===-1)break}const J=v[Ae];J&&J.connect(ve)}}const H=new be,G=new be;function P(Z,fe,ve){H.setFromMatrixPosition(fe.matrixWorld),G.setFromMatrixPosition(ve.matrixWorld);const Ae=H.distanceTo(G),J=fe.projectionMatrix.elements,me=ve.projectionMatrix.elements,ee=J[14]/(J[10]-1),Se=J[14]/(J[10]+1),Oe=(J[9]+1)/J[5],k=(J[9]-1)/J[5],B=(J[8]-1)/J[0],$=(me[8]+1)/me[0],ce=ee*B,ne=ee*$,Ce=Ae/(-B+$),we=Ce*-B;fe.matrixWorld.decompose(Z.position,Z.quaternion,Z.scale),Z.translateX(we),Z.translateZ(Ce),Z.matrixWorld.compose(Z.position,Z.quaternion,Z.scale),Z.matrixWorldInverse.copy(Z.matrixWorld).invert();const V=ee+Ce,_e=Se+Ce,ie=ce-we,ae=ne+(Ae-we),D=Oe*Se/_e*V,I=k*Se/_e*V;Z.projectionMatrix.makePerspective(ie,ae,D,I,V,_e),Z.projectionMatrixInverse.copy(Z.projectionMatrix).invert()}function j(Z,fe){fe===null?Z.matrixWorld.copy(Z.matrix):Z.matrixWorld.multiplyMatrices(fe.matrixWorld,Z.matrix),Z.matrixWorldInverse.copy(Z.matrixWorld).invert()}this.updateCamera=function(Z){if(s===null)return;S.near=O.near=x.near=Z.near,S.far=O.far=x.far=Z.far,(A!==S.near||U!==S.far)&&(s.updateRenderState({depthNear:S.near,depthFar:S.far}),A=S.near,U=S.far);const fe=Z.parent,ve=S.cameras;j(S,fe);for(let Ae=0;Ae<ve.length;Ae++)j(ve[Ae],fe);ve.length===2?P(S,x,O):S.projectionMatrix.copy(x.projectionMatrix),Y(Z,S,fe)};function Y(Z,fe,ve){ve===null?Z.matrix.copy(fe.matrixWorld):(Z.matrix.copy(ve.matrixWorld),Z.matrix.invert(),Z.matrix.multiply(fe.matrixWorld)),Z.matrix.decompose(Z.position,Z.quaternion,Z.scale),Z.updateMatrixWorld(!0),Z.projectionMatrix.copy(fe.projectionMatrix),Z.projectionMatrixInverse.copy(fe.projectionMatrixInverse),Z.isPerspectiveCamera&&(Z.fov=Na*2*Math.atan(1/Z.projectionMatrix.elements[5]),Z.zoom=1)}this.getCamera=function(){return S},this.getFoveation=function(){if(!(f===null&&m===null))return l},this.setFoveation=function(Z){l=Z,f!==null&&(f.fixedFoveation=Z),m!==null&&m.fixedFoveation!==void 0&&(m.fixedFoveation=Z)};let Q=null;function re(Z,fe){if(c=fe.getViewerPose(d||o),h=fe,c!==null){const ve=c.views;m!==null&&(e.setRenderTargetFramebuffer(g,m.framebuffer),e.setRenderTarget(g));let Ae=!1;ve.length!==S.cameras.length&&(S.cameras.length=0,Ae=!0);for(let J=0;J<ve.length;J++){const me=ve[J];let ee=null;if(m!==null)ee=m.getViewport(me);else{const Oe=_.getViewSubImage(f,me);ee=Oe.viewport,J===0&&(e.setRenderTargetTextures(g,Oe.colorTexture,f.ignoreDepthValues?void 0:Oe.depthStencilTexture),e.setRenderTarget(g))}let Se=R[J];Se===void 0&&(Se=new Vn,Se.layers.enable(J),Se.viewport=new Wt,R[J]=Se),Se.matrix.fromArray(me.transform.matrix),Se.matrix.decompose(Se.position,Se.quaternion,Se.scale),Se.projectionMatrix.fromArray(me.projectionMatrix),Se.projectionMatrixInverse.copy(Se.projectionMatrix).invert(),Se.viewport.set(ee.x,ee.y,ee.width,ee.height),J===0&&(S.matrix.copy(Se.matrix),S.matrix.decompose(S.position,S.quaternion,S.scale)),Ae===!0&&S.cameras.push(Se)}}for(let ve=0;ve<v.length;ve++){const Ae=y[ve],J=v[ve];Ae!==null&&J!==void 0&&J.update(Ae,fe,d||o)}Q&&Q(Z,fe),fe.detectedPlanes&&i.dispatchEvent({type:"planesdetected",data:fe}),h=null}const te=new mI;te.setAnimationLoop(re),this.setAnimationLoop=function(Z){Q=Z},this.dispose=function(){}}}function xwt(n,e){function t(b,g){b.matrixAutoUpdate===!0&&b.updateMatrix(),g.value.copy(b.matrix)}function i(b,g){g.color.getRGB(b.fogColor.value,_I(n)),g.isFog?(b.fogNear.value=g.near,b.fogFar.value=g.far):g.isFogExp2&&(b.fogDensity.value=g.density)}function s(b,g,v,y,T){g.isMeshBasicMaterial||g.isMeshLambertMaterial?r(b,g):g.isMeshToonMaterial?(r(b,g),_(b,g)):g.isMeshPhongMaterial?(r(b,g),c(b,g)):g.isMeshStandardMaterial?(r(b,g),f(b,g),g.isMeshPhysicalMaterial&&m(b,g,T)):g.isMeshMatcapMaterial?(r(b,g),h(b,g)):g.isMeshDepthMaterial?r(b,g):g.isMeshDistanceMaterial?(r(b,g),E(b,g)):g.isMeshNormalMaterial?r(b,g):g.isLineBasicMaterial?(o(b,g),g.isLineDashedMaterial&&a(b,g)):g.isPointsMaterial?l(b,g,v,y):g.isSpriteMaterial?d(b,g):g.isShadowMaterial?(b.color.value.copy(g.color),b.opacity.value=g.opacity):g.isShaderMaterial&&(g.uniformsNeedUpdate=!1)}function r(b,g){b.opacity.value=g.opacity,g.color&&b.diffuse.value.copy(g.color),g.emissive&&b.emissive.value.copy(g.emissive).multiplyScalar(g.emissiveIntensity),g.map&&(b.map.value=g.map,t(g.map,b.mapTransform)),g.alphaMap&&(b.alphaMap.value=g.alphaMap,t(g.alphaMap,b.alphaMapTransform)),g.bumpMap&&(b.bumpMap.value=g.bumpMap,t(g.bumpMap,b.bumpMapTransform),b.bumpScale.value=g.bumpScale,g.side===Zn&&(b.bumpScale.value*=-1)),g.normalMap&&(b.normalMap.value=g.normalMap,t(g.normalMap,b.normalMapTransform),b.normalScale.value.copy(g.normalScale),g.side===Zn&&b.normalScale.value.negate()),g.displacementMap&&(b.displacementMap.value=g.displacementMap,t(g.displacementMap,b.displacementMapTransform),b.displacementScale.value=g.displacementScale,b.displacementBias.value=g.displacementBias),g.emissiveMap&&(b.emissiveMap.value=g.emissiveMap,t(g.emissiveMap,b.emissiveMapTransform)),g.specularMap&&(b.specularMap.value=g.specularMap,t(g.specularMap,b.specularMapTransform)),g.alphaTest>0&&(b.alphaTest.value=g.alphaTest);const v=e.get(g).envMap;if(v&&(b.envMap.value=v,b.flipEnvMap.value=v.isCubeTexture&&v.isRenderTargetTexture===!1?-1:1,b.reflectivity.value=g.reflectivity,b.ior.value=g.ior,b.refractionRatio.value=g.refractionRatio),g.lightMap){b.lightMap.value=g.lightMap;const y=n._useLegacyLights===!0?Math.PI:1;b.lightMapIntensity.value=g.lightMapIntensity*y,t(g.lightMap,b.lightMapTransform)}g.aoMap&&(b.aoMap.value=g.aoMap,b.aoMapIntensity.value=g.aoMapIntensity,t(g.aoMap,b.aoMapTransform))}function o(b,g){b.diffuse.value.copy(g.color),b.opacity.value=g.opacity,g.map&&(b.map.value=g.map,t(g.map,b.mapTransform))}function a(b,g){b.dashSize.value=g.dashSize,b.totalSize.value=g.dashSize+g.gapSize,b.scale.value=g.scale}function l(b,g,v,y){b.diffuse.value.copy(g.color),b.opacity.value=g.opacity,b.size.value=g.size*v,b.scale.value=y*.5,g.map&&(b.map.value=g.map,t(g.map,b.uvTransform)),g.alphaMap&&(b.alphaMap.value=g.alphaMap,t(g.alphaMap,b.alphaMapTransform)),g.alphaTest>0&&(b.alphaTest.value=g.alphaTest)}function d(b,g){b.diffuse.value.copy(g.color),b.opacity.value=g.opacity,b.rotation.value=g.rotation,g.map&&(b.map.value=g.map,t(g.map,b.mapTransform)),g.alphaMap&&(b.alphaMap.value=g.alphaMap,t(g.alphaMap,b.alphaMapTransform)),g.alphaTest>0&&(b.alphaTest.value=g.alphaTest)}function c(b,g){b.specular.value.copy(g.specular),b.shininess.value=Math.max(g.shininess,1e-4)}function _(b,g){g.gradientMap&&(b.gradientMap.value=g.gradientMap)}function f(b,g){b.metalness.value=g.metalness,g.metalnessMap&&(b.metalnessMap.value=g.metalnessMap,t(g.metalnessMap,b.metalnessMapTransform)),b.roughness.value=g.roughness,g.roughnessMap&&(b.roughnessMap.value=g.roughnessMap,t(g.roughnessMap,b.roughnessMapTransform)),e.get(g).envMap&&(b.envMapIntensity.value=g.envMapIntensity)}function m(b,g,v){b.ior.value=g.ior,g.sheen>0&&(b.sheenColor.value.copy(g.sheenColor).multiplyScalar(g.sheen),b.sheenRoughness.value=g.sheenRoughness,g.sheenColorMap&&(b.sheenColorMap.value=g.sheenColorMap,t(g.sheenColorMap,b.sheenColorMapTransform)),g.sheenRoughnessMap&&(b.sheenRoughnessMap.value=g.sheenRoughnessMap,t(g.sheenRoughnessMap,b.sheenRoughnessMapTransform))),g.clearcoat>0&&(b.clearcoat.value=g.clearcoat,b.clearcoatRoughness.value=g.clearcoatRoughness,g.clearcoatMap&&(b.clearcoatMap.value=g.clearcoatMap,t(g.clearcoatMap,b.clearcoatMapTransform)),g.clearcoatRoughnessMap&&(b.clearcoatRoughnessMap.value=g.clearcoatRoughnessMap,t(g.clearcoatRoughnessMap,b.clearcoatRoughnessMapTransform)),g.clearcoatNormalMap&&(b.clearcoatNormalMap.value=g.clearcoatNormalMap,t(g.clearcoatNormalMap,b.clearcoatNormalMapTransform),b.clearcoatNormalScale.value.copy(g.clearcoatNormalScale),g.side===Zn&&b.clearcoatNormalScale.value.negate())),g.iridescence>0&&(b.iridescence.value=g.iridescence,b.iridescenceIOR.value=g.iridescenceIOR,b.iridescenceThicknessMinimum.value=g.iridescenceThicknessRange[0],b.iridescenceThicknessMaximum.value=g.iridescenceThicknessRange[1],g.iridescenceMap&&(b.iridescenceMap.value=g.iridescenceMap,t(g.iridescenceMap,b.iridescenceMapTransform)),g.iridescenceThicknessMap&&(b.iridescenceThicknessMap.value=g.iridescenceThicknessMap,t(g.iridescenceThicknessMap,b.iridescenceThicknessMapTransform))),g.transmission>0&&(b.transmission.value=g.transmission,b.transmissionSamplerMap.value=v.texture,b.transmissionSamplerSize.value.set(v.width,v.height),g.transmissionMap&&(b.transmissionMap.value=g.transmissionMap,t(g.transmissionMap,b.transmissionMapTransform)),b.thickness.value=g.thickness,g.thicknessMap&&(b.thicknessMap.value=g.thicknessMap,t(g.thicknessMap,b.thicknessMapTransform)),b.attenuationDistance.value=g.attenuationDistance,b.attenuationColor.value.copy(g.attenuationColor)),g.anisotropy>0&&(b.anisotropyVector.value.set(g.anisotropy*Math.cos(g.anisotropyRotation),g.anisotropy*Math.sin(g.anisotropyRotation)),g.anisotropyMap&&(b.anisotropyMap.value=g.anisotropyMap,t(g.anisotropyMap,b.anisotropyMapTransform))),b.specularIntensity.value=g.specularIntensity,b.specularColor.value.copy(g.specularColor),g.specularColorMap&&(b.specularColorMap.value=g.specularColorMap,t(g.specularColorMap,b.specularColorMapTransform)),g.specularIntensityMap&&(b.specularIntensityMap.value=g.specularIntensityMap,t(g.specularIntensityMap,b.specularIntensityMapTransform))}function h(b,g){g.matcap&&(b.matcap.value=g.matcap)}function E(b,g){const v=e.get(g).light;b.referencePosition.value.setFromMatrixPosition(v.matrixWorld),b.nearDistance.value=v.shadow.camera.near,b.farDistance.value=v.shadow.camera.far}return{refreshFogUniforms:i,refreshMaterialUniforms:s}}function Cwt(n,e,t,i){let s={},r={},o=[];const a=t.isWebGL2?n.getParameter(n.MAX_UNIFORM_BUFFER_BINDINGS):0;function l(v,y){const T=y.program;i.uniformBlockBinding(v,T)}function d(v,y){let T=s[v.id];T===void 0&&(h(v),T=c(v),s[v.id]=T,v.addEventListener("dispose",b));const C=y.program;i.updateUBOMapping(v,C);const x=e.render.frame;r[v.id]!==x&&(f(v),r[v.id]=x)}function c(v){const y=_();v.__bindingPointIndex=y;const T=n.createBuffer(),C=v.__size,x=v.usage;return n.bindBuffer(n.UNIFORM_BUFFER,T),n.bufferData(n.UNIFORM_BUFFER,C,x),n.bindBuffer(n.UNIFORM_BUFFER,null),n.bindBufferBase(n.UNIFORM_BUFFER,y,T),T}function _(){for(let v=0;v<a;v++)if(o.indexOf(v)===-1)return o.push(v),v;return console.error("THREE.WebGLRenderer: Maximum number of simultaneously usable uniforms groups reached."),0}function f(v){const y=s[v.id],T=v.uniforms,C=v.__cache;n.bindBuffer(n.UNIFORM_BUFFER,y);for(let x=0,O=T.length;x<O;x++){const R=T[x];if(m(R,x,C)===!0){const S=R.__offset,A=Array.isArray(R.value)?R.value:[R.value];let U=0;for(let F=0;F<A.length;F++){const K=A[F],L=E(K);typeof K=="number"?(R.__data[0]=K,n.bufferSubData(n.UNIFORM_BUFFER,S+U,R.__data)):K.isMatrix3?(R.__data[0]=K.elements[0],R.__data[1]=K.elements[1],R.__data[2]=K.elements[2],R.__data[3]=K.elements[0],R.__data[4]=K.elements[3],R.__data[5]=K.elements[4],R.__data[6]=K.elements[5],R.__data[7]=K.elements[0],R.__data[8]=K.elements[6],R.__data[9]=K.elements[7],R.__data[10]=K.elements[8],R.__data[11]=K.elements[0]):(K.toArray(R.__data,U),U+=L.storage/Float32Array.BYTES_PER_ELEMENT)}n.bufferSubData(n.UNIFORM_BUFFER,S,R.__data)}}n.bindBuffer(n.UNIFORM_BUFFER,null)}function m(v,y,T){const C=v.value;if(T[y]===void 0){if(typeof C=="number")T[y]=C;else{const x=Array.isArray(C)?C:[C],O=[];for(let R=0;R<x.length;R++)O.push(x[R].clone());T[y]=O}return!0}else if(typeof C=="number"){if(T[y]!==C)return T[y]=C,!0}else{const x=Array.isArray(T[y])?T[y]:[T[y]],O=Array.isArray(C)?C:[C];for(let R=0;R<x.length;R++){const S=x[R];if(S.equals(O[R])===!1)return S.copy(O[R]),!0}}return!1}function h(v){const y=v.uniforms;let T=0;const C=16;let x=0;for(let O=0,R=y.length;O<R;O++){const S=y[O],A={boundary:0,storage:0},U=Array.isArray(S.value)?S.value:[S.value];for(let F=0,K=U.length;F<K;F++){const L=U[F],H=E(L);A.boundary+=H.boundary,A.storage+=H.storage}if(S.__data=new Float32Array(A.storage/Float32Array.BYTES_PER_ELEMENT),S.__offset=T,O>0){x=T%C;const F=C-x;x!==0&&F-A.boundary<0&&(T+=C-x,S.__offset=T)}T+=A.storage}return x=T%C,x>0&&(T+=C-x),v.__size=T,v.__cache={},this}function E(v){const y={boundary:0,storage:0};return typeof v=="number"?(y.boundary=4,y.storage=4):v.isVector2?(y.boundary=8,y.storage=8):v.isVector3||v.isColor?(y.boundary=16,y.storage=12):v.isVector4?(y.boundary=16,y.storage=16):v.isMatrix3?(y.boundary=48,y.storage=48):v.isMatrix4?(y.boundary=64,y.storage=64):v.isTexture?console.warn("THREE.WebGLRenderer: Texture samplers can not be part of an uniforms group."):console.warn("THREE.WebGLRenderer: Unsupported uniform value type.",v),y}function b(v){const y=v.target;y.removeEventListener("dispose",b);const T=o.indexOf(y.__bindingPointIndex);o.splice(T,1),n.deleteBuffer(s[y.id]),delete s[y.id],delete r[y.id]}function g(){for(const v in s)n.deleteBuffer(s[v]);o=[],s={},r={}}return{bind:l,update:d,dispose:g}}class TI{constructor(e={}){const{canvas:t=Sxt(),context:i=null,depth:s=!0,stencil:r=!0,alpha:o=!1,antialias:a=!1,premultipliedAlpha:l=!0,preserveDrawingBuffer:d=!1,powerPreference:c="default",failIfMajorPerformanceCaveat:_=!1}=e;this.isWebGLRenderer=!0;let f;i!==null?f=i.getContextAttributes().alpha:f=o;const m=new Uint32Array(4),h=new Int32Array(4);let E=null,b=null;const g=[],v=[];this.domElement=t,this.debug={checkShaderErrors:!0,onShaderError:null},this.autoClear=!0,this.autoClearColor=!0,this.autoClearDepth=!0,this.autoClearStencil=!0,this.sortObjects=!0,this.clippingPlanes=[],this.localClippingEnabled=!1,this._outputColorSpace=rn,this._useLegacyLights=!1,this.toneMapping=Sr,this.toneMappingExposure=1;const y=this;let T=!1,C=0,x=0,O=null,R=-1,S=null;const A=new Wt,U=new Wt;let F=null;const K=new gt(0);let L=0,H=t.width,G=t.height,P=1,j=null,Y=null;const Q=new Wt(0,0,H,G),re=new Wt(0,0,H,G);let te=!1;const Z=new ev;let fe=!1,ve=!1,Ae=null;const J=new Rt,me=new It,ee=new be,Se={background:null,fog:null,environment:null,overrideMaterial:null,isScene:!0};function Oe(){return O===null?P:1}let k=i;function B(w,W){for(let oe=0;oe<w.length;oe++){const ye=w[oe],Ee=t.getContext(ye,W);if(Ee!==null)return Ee}return null}try{const w={alpha:!0,depth:s,stencil:r,antialias:a,premultipliedAlpha:l,preserveDrawingBuffer:d,powerPreference:c,failIfMajorPerformanceCaveat:_};if("setAttribute"in t&&t.setAttribute("data-engine",`three.js r${KE}`),t.addEventListener("webglcontextlost",ut,!1),t.addEventListener("webglcontextrestored",ue,!1),t.addEventListener("webglcontextcreationerror",We,!1),k===null){const W=["webgl2","webgl","experimental-webgl"];if(y.isWebGL1Renderer===!0&&W.shift(),k=B(W,w),k===null)throw B(W)?new Error("Error creating WebGL context with your selected attributes."):new Error("Error creating WebGL context.")}typeof WebGLRenderingContext<"u"&&k instanceof WebGLRenderingContext&&console.warn("THREE.WebGLRenderer: WebGL 1 support was deprecated in r153 and will be removed in r163."),k.getShaderPrecisionFormat===void 0&&(k.getShaderPrecisionFormat=function(){return{rangeMin:1,rangeMax:1,precision:1}})}catch(w){throw console.error("THREE.WebGLRenderer: "+w.message),w}let $,ce,ne,Ce,we,V,_e,ie,ae,D,I,z,he,X,se,Re,Te,Me,ze,st,De,lt,Qe,He;function Je(){$=new PRt(k),ce=new ORt(k,$,e),$.init(ce),lt=new vwt(k,$,ce),ne=new bwt(k,$,ce),Ce=new BRt(k),we=new swt,V=new Ewt(k,$,ne,we,ce,lt,Ce),_e=new MRt(y),ie=new LRt(y),ae=new Wxt(k,ce),Qe=new wRt(k,$,ae,ce),D=new URt(k,ae,Ce,Qe),I=new HRt(k,D,ae,Ce),ze=new VRt(k,ce,V),Re=new IRt(we),z=new iwt(y,_e,ie,$,ce,Qe,Re),he=new xwt(y,we),X=new owt,se=new pwt($,ce),Me=new ARt(y,_e,ie,ne,I,f,l),Te=new gwt(y,I,ce),He=new Cwt(k,Ce,ce,ne),st=new NRt(k,$,Ce,ce),De=new FRt(k,$,Ce,ce),Ce.programs=z.programs,y.capabilities=ce,y.extensions=$,y.properties=we,y.renderLists=X,y.shadowMap=Te,y.state=ne,y.info=Ce}Je();const Fe=new Twt(y,k);this.xr=Fe,this.getContext=function(){return k},this.getContextAttributes=function(){return k.getContextAttributes()},this.forceContextLoss=function(){const w=$.get("WEBGL_lose_context");w&&w.loseContext()},this.forceContextRestore=function(){const w=$.get("WEBGL_lose_context");w&&w.restoreContext()},this.getPixelRatio=function(){return P},this.setPixelRatio=function(w){w!==void 0&&(P=w,this.setSize(H,G,!1))},this.getSize=function(w){return w.set(H,G)},this.setSize=function(w,W,oe=!0){if(Fe.isPresenting){console.warn("THREE.WebGLRenderer: Can't change size while VR device is presenting.");return}H=w,G=W,t.width=Math.floor(w*P),t.height=Math.floor(W*P),oe===!0&&(t.style.width=w+"px",t.style.height=W+"px"),this.setViewport(0,0,w,W)},this.getDrawingBufferSize=function(w){return w.set(H*P,G*P).floor()},this.setDrawingBufferSize=function(w,W,oe){H=w,G=W,P=oe,t.width=Math.floor(w*oe),t.height=Math.floor(W*oe),this.setViewport(0,0,w,W)},this.getCurrentViewport=function(w){return w.copy(A)},this.getViewport=function(w){return w.copy(Q)},this.setViewport=function(w,W,oe,ye){w.isVector4?Q.set(w.x,w.y,w.z,w.w):Q.set(w,W,oe,ye),ne.viewport(A.copy(Q).multiplyScalar(P).floor())},this.getScissor=function(w){return w.copy(re)},this.setScissor=function(w,W,oe,ye){w.isVector4?re.set(w.x,w.y,w.z,w.w):re.set(w,W,oe,ye),ne.scissor(U.copy(re).multiplyScalar(P).floor())},this.getScissorTest=function(){return te},this.setScissorTest=function(w){ne.setScissorTest(te=w)},this.setOpaqueSort=function(w){j=w},this.setTransparentSort=function(w){Y=w},this.getClearColor=function(w){return w.copy(Me.getClearColor())},this.setClearColor=function(){Me.setClearColor.apply(Me,arguments)},this.getClearAlpha=function(){return Me.getClearAlpha()},this.setClearAlpha=function(){Me.setClearAlpha.apply(Me,arguments)},this.clear=function(w=!0,W=!0,oe=!0){let ye=0;if(w){let Ee=!1;if(O!==null){const Ge=O.texture.format;Ee=Ge===eI||Ge===JO||Ge===ZO}if(Ee){const Ge=O.texture.type,Xe=Ge===Tr||Ge===gr||Ge===QE||Ge===lo||Ge===QO||Ge===XO,nt=Me.getClearColor(),at=Me.getClearAlpha(),rt=nt.r,pt=nt.g,_t=nt.b;Xe?(m[0]=rt,m[1]=pt,m[2]=_t,m[3]=at,k.clearBufferuiv(k.COLOR,0,m)):(h[0]=rt,h[1]=pt,h[2]=_t,h[3]=at,k.clearBufferiv(k.COLOR,0,h))}else ye|=k.COLOR_BUFFER_BIT}W&&(ye|=k.DEPTH_BUFFER_BIT),oe&&(ye|=k.STENCIL_BUFFER_BIT,this.state.buffers.stencil.setMask(4294967295)),k.clear(ye)},this.clearColor=function(){this.clear(!0,!1,!1)},this.clearDepth=function(){this.clear(!1,!0,!1)},this.clearStencil=function(){this.clear(!1,!1,!0)},this.dispose=function(){t.removeEventListener("webglcontextlost",ut,!1),t.removeEventListener("webglcontextrestored",ue,!1),t.removeEventListener("webglcontextcreationerror",We,!1),X.dispose(),se.dispose(),we.dispose(),_e.dispose(),ie.dispose(),I.dispose(),Qe.dispose(),He.dispose(),z.dispose(),Fe.dispose(),Fe.removeEventListener("sessionstart",ln),Fe.removeEventListener("sessionend",xt),Ae&&(Ae.dispose(),Ae=null),$t.stop()};function ut(w){w.preventDefault(),console.log("THREE.WebGLRenderer: Context Lost."),T=!0}function ue(){console.log("THREE.WebGLRenderer: Context Restored."),T=!1;const w=Ce.autoReset,W=Te.enabled,oe=Te.autoUpdate,ye=Te.needsUpdate,Ee=Te.type;Je(),Ce.autoReset=w,Te.enabled=W,Te.autoUpdate=oe,Te.needsUpdate=ye,Te.type=Ee}function We(w){console.error("THREE.WebGLRenderer: A WebGL context could not be created. Reason: ",w.statusMessage)}function Ue(w){const W=w.target;W.removeEventListener("dispose",Ue),Ne(W)}function Ne(w){Be(w),we.remove(w)}function Be(w){const W=we.get(w).programs;W!==void 0&&(W.forEach(function(oe){z.releaseProgram(oe)}),w.isShaderMaterial&&z.releaseShaderCache(w))}this.renderBufferDirect=function(w,W,oe,ye,Ee,Ge){W===null&&(W=Se);const Xe=Ee.isMesh&&Ee.matrixWorld.determinant()<0,nt=bs(w,W,oe,ye,Ee);ne.setMaterial(ye,Xe);let at=oe.index,rt=1;if(ye.wireframe===!0){if(at=D.getWireframeAttribute(oe),at===void 0)return;rt=2}const pt=oe.drawRange,_t=oe.attributes.position;let Kt=pt.start*rt,Tn=(pt.start+pt.count)*rt;Ge!==null&&(Kt=Math.max(Kt,Ge.start*rt),Tn=Math.min(Tn,(Ge.start+Ge.count)*rt)),at!==null?(Kt=Math.max(Kt,0),Tn=Math.min(Tn,at.count)):_t!=null&&(Kt=Math.max(Kt,0),Tn=Math.min(Tn,_t.count));const nn=Tn-Kt;if(nn<0||nn===1/0)return;Qe.setup(Ee,ye,nt,oe,at);let On,Qt=st;if(at!==null&&(On=ae.get(at),Qt=De,Qt.setIndex(On)),Ee.isMesh)ye.wireframe===!0?(ne.setLineWidth(ye.wireframeLinewidth*Oe()),Qt.setMode(k.LINES)):Qt.setMode(k.TRIANGLES);else if(Ee.isLine){let yt=ye.linewidth;yt===void 0&&(yt=1),ne.setLineWidth(yt*Oe()),Ee.isLineSegments?Qt.setMode(k.LINES):Ee.isLineLoop?Qt.setMode(k.LINE_LOOP):Qt.setMode(k.LINE_STRIP)}else Ee.isPoints?Qt.setMode(k.POINTS):Ee.isSprite&&Qt.setMode(k.TRIANGLES);if(Ee.isBatchedMesh)Qt.renderMultiDraw(Ee._multiDrawStarts,Ee._multiDrawCounts,Ee._multiDrawCount);else if(Ee.isInstancedMesh)Qt.renderInstances(Kt,nn,Ee.count);else if(oe.isInstancedBufferGeometry){const yt=oe._maxInstanceCount!==void 0?oe._maxInstanceCount:1/0,tl=Math.min(oe.instanceCount,yt);Qt.renderInstances(Kt,nn,tl)}else Qt.render(Kt,nn)};function ct(w,W,oe){w.transparent===!0&&w.side===Ji&&w.forceSinglePass===!1?(w.side=Zn,w.needsUpdate=!0,di(w,W,oe),w.side=Vs,w.needsUpdate=!0,di(w,W,oe),w.side=Ji):di(w,W,oe)}this.compile=function(w,W,oe=null){oe===null&&(oe=w),b=se.get(oe),b.init(),v.push(b),oe.traverseVisible(function(Ee){Ee.isLight&&Ee.layers.test(W.layers)&&(b.pushLight(Ee),Ee.castShadow&&b.pushShadow(Ee))}),w!==oe&&w.traverseVisible(function(Ee){Ee.isLight&&Ee.layers.test(W.layers)&&(b.pushLight(Ee),Ee.castShadow&&b.pushShadow(Ee))}),b.setupLights(y._useLegacyLights);const ye=new Set;return w.traverse(function(Ee){const Ge=Ee.material;if(Ge)if(Array.isArray(Ge))for(let Xe=0;Xe<Ge.length;Xe++){const nt=Ge[Xe];ct(nt,oe,Ee),ye.add(nt)}else ct(Ge,oe,Ee),ye.add(Ge)}),v.pop(),b=null,ye},this.compileAsync=function(w,W,oe=null){const ye=this.compile(w,W,oe);return new Promise(Ee=>{function Ge(){if(ye.forEach(function(Xe){we.get(Xe).currentProgram.isReady()&&ye.delete(Xe)}),ye.size===0){Ee(w);return}setTimeout(Ge,10)}$.get("KHR_parallel_shader_compile")!==null?Ge():setTimeout(Ge,10)})};let Et=null;function jt(w){Et&&Et(w)}function ln(){$t.stop()}function xt(){$t.start()}const $t=new mI;$t.setAnimationLoop(jt),typeof self<"u"&&$t.setContext(self),this.setAnimationLoop=function(w){Et=w,Fe.setAnimationLoop(w),w===null?$t.stop():$t.start()},Fe.addEventListener("sessionstart",ln),Fe.addEventListener("sessionend",xt),this.render=function(w,W){if(W!==void 0&&W.isCamera!==!0){console.error("THREE.WebGLRenderer.render: camera is not an instance of THREE.Camera.");return}if(T===!0)return;w.matrixWorldAutoUpdate===!0&&w.updateMatrixWorld(),W.parent===null&&W.matrixWorldAutoUpdate===!0&&W.updateMatrixWorld(),Fe.enabled===!0&&Fe.isPresenting===!0&&(Fe.cameraAutoUpdate===!0&&Fe.updateCamera(W),W=Fe.getCamera()),w.isScene===!0&&w.onBeforeRender(y,w,W,O),b=se.get(w,v.length),b.init(),v.push(b),J.multiplyMatrices(W.projectionMatrix,W.matrixWorldInverse),Z.setFromProjectionMatrix(J),ve=this.localClippingEnabled,fe=Re.init(this.clippingPlanes,ve),E=X.get(w,g.length),E.init(),g.push(E),yn(w,W,0,y.sortObjects),E.finish(),y.sortObjects===!0&&E.sort(j,Y),this.info.render.frame++,fe===!0&&Re.beginShadows();const oe=b.state.shadowsArray;if(Te.render(oe,w,W),fe===!0&&Re.endShadows(),this.info.autoReset===!0&&this.info.reset(),Me.render(E,w),b.setupLights(y._useLegacyLights),W.isArrayCamera){const ye=W.cameras;for(let Ee=0,Ge=ye.length;Ee<Ge;Ee++){const Xe=ye[Ee];ms(E,w,Xe,Xe.viewport)}}else ms(E,w,W);O!==null&&(V.updateMultisampleRenderTarget(O),V.updateRenderTargetMipmap(O)),w.isScene===!0&&w.onAfterRender(y,w,W),Qe.resetDefaultState(),R=-1,S=null,v.pop(),v.length>0?b=v[v.length-1]:b=null,g.pop(),g.length>0?E=g[g.length-1]:E=null};function yn(w,W,oe,ye){if(w.visible===!1)return;if(w.layers.test(W.layers)){if(w.isGroup)oe=w.renderOrder;else if(w.isLOD)w.autoUpdate===!0&&w.update(W);else if(w.isLight)b.pushLight(w),w.castShadow&&b.pushShadow(w);else if(w.isSprite){if(!w.frustumCulled||Z.intersectsSprite(w)){ye&&ee.setFromMatrixPosition(w.matrixWorld).applyMatrix4(J);const Xe=I.update(w),nt=w.material;nt.visible&&E.push(w,Xe,nt,oe,ee.z,null)}}else if((w.isMesh||w.isLine||w.isPoints)&&(!w.frustumCulled||Z.intersectsObject(w))){const Xe=I.update(w),nt=w.material;if(ye&&(w.boundingSphere!==void 0?(w.boundingSphere===null&&w.computeBoundingSphere(),ee.copy(w.boundingSphere.center)):(Xe.boundingSphere===null&&Xe.computeBoundingSphere(),ee.copy(Xe.boundingSphere.center)),ee.applyMatrix4(w.matrixWorld).applyMatrix4(J)),Array.isArray(nt)){const at=Xe.groups;for(let rt=0,pt=at.length;rt<pt;rt++){const _t=at[rt],Kt=nt[_t.materialIndex];Kt&&Kt.visible&&E.push(w,Xe,Kt,oe,ee.z,_t)}}else nt.visible&&E.push(w,Xe,nt,oe,ee.z,null)}}const Ge=w.children;for(let Xe=0,nt=Ge.length;Xe<nt;Xe++)yn(Ge[Xe],W,oe,ye)}function ms(w,W,oe,ye){const Ee=w.opaque,Ge=w.transmissive,Xe=w.transparent;b.setupLightsView(oe),fe===!0&&Re.setGlobalState(y.clippingPlanes,oe),Ge.length>0&&Dr(Ee,Ge,W,oe),ye&&ne.viewport(A.copy(ye)),Ee.length>0&&ci(Ee,W,oe),Ge.length>0&&ci(Ge,W,oe),Xe.length>0&&ci(Xe,W,oe),ne.buffers.depth.setTest(!0),ne.buffers.depth.setMask(!0),ne.buffers.color.setMask(!0),ne.setPolygonOffset(!1)}function Dr(w,W,oe,ye){if((oe.isScene===!0?oe.overrideMaterial:null)!==null)return;const Ge=ce.isWebGL2;Ae===null&&(Ae=new bo(1,1,{generateMipmaps:!0,type:$.has("EXT_color_buffer_half_float")?oc:Tr,minFilter:go,samples:Ge?4:0})),y.getDrawingBufferSize(me),Ge?Ae.setSize(me.x,me.y):Ae.setSize(Su(me.x),Su(me.y));const Xe=y.getRenderTarget();y.setRenderTarget(Ae),y.getClearColor(K),L=y.getClearAlpha(),L<1&&y.setClearColor(16777215,.5),y.clear();const nt=y.toneMapping;y.toneMapping=Sr,ci(w,oe,ye),V.updateMultisampleRenderTarget(Ae),V.updateRenderTargetMipmap(Ae);let at=!1;for(let rt=0,pt=W.length;rt<pt;rt++){const _t=W[rt],Kt=_t.object,Tn=_t.geometry,nn=_t.material,On=_t.group;if(nn.side===Ji&&Kt.layers.test(ye.layers)){const Qt=nn.side;nn.side=Zn,nn.needsUpdate=!0,Sn(Kt,oe,ye,Tn,nn,On),nn.side=Qt,nn.needsUpdate=!0,at=!0}}at===!0&&(V.updateMultisampleRenderTarget(Ae),V.updateRenderTargetMipmap(Ae)),y.setRenderTarget(Xe),y.setClearColor(K,L),y.toneMapping=nt}function ci(w,W,oe){const ye=W.isScene===!0?W.overrideMaterial:null;for(let Ee=0,Ge=w.length;Ee<Ge;Ee++){const Xe=w[Ee],nt=Xe.object,at=Xe.geometry,rt=ye===null?Xe.material:ye,pt=Xe.group;nt.layers.test(oe.layers)&&Sn(nt,W,oe,at,rt,pt)}}function Sn(w,W,oe,ye,Ee,Ge){w.onBeforeRender(y,W,oe,ye,Ee,Ge),w.modelViewMatrix.multiplyMatrices(oe.matrixWorldInverse,w.matrixWorld),w.normalMatrix.getNormalMatrix(w.modelViewMatrix),Ee.onBeforeRender(y,W,oe,ye,w,Ge),Ee.transparent===!0&&Ee.side===Ji&&Ee.forceSinglePass===!1?(Ee.side=Zn,Ee.needsUpdate=!0,y.renderBufferDirect(oe,W,ye,Ee,w,Ge),Ee.side=Vs,Ee.needsUpdate=!0,y.renderBufferDirect(oe,W,ye,Ee,w,Ge),Ee.side=Ji):y.renderBufferDirect(oe,W,ye,Ee,w,Ge),w.onAfterRender(y,W,oe,ye,Ee,Ge)}function di(w,W,oe){W.isScene!==!0&&(W=Se);const ye=we.get(w),Ee=b.state.lights,Ge=b.state.shadowsArray,Xe=Ee.state.version,nt=z.getParameters(w,Ee.state,Ge,W,oe),at=z.getProgramCacheKey(nt);let rt=ye.programs;ye.environment=w.isMeshStandardMaterial?W.environment:null,ye.fog=W.fog,ye.envMap=(w.isMeshStandardMaterial?ie:_e).get(w.envMap||ye.environment),rt===void 0&&(w.addEventListener("dispose",Ue),rt=new Map,ye.programs=rt);let pt=rt.get(at);if(pt!==void 0){if(ye.currentProgram===pt&&ye.lightsStateVersion===Xe)return gs(w,nt),pt}else nt.uniforms=z.getUniforms(w),w.onBuild(oe,nt,y),w.onBeforeCompile(nt,y),pt=z.acquireProgram(nt,at),rt.set(at,pt),ye.uniforms=nt.uniforms;const _t=ye.uniforms;return(!w.isShaderMaterial&&!w.isRawShaderMaterial||w.clipping===!0)&&(_t.clippingPlanes=Re.uniform),gs(w,nt),ye.needsLights=et(w),ye.lightsStateVersion=Xe,ye.needsLights&&(_t.ambientLightColor.value=Ee.state.ambient,_t.lightProbe.value=Ee.state.probe,_t.directionalLights.value=Ee.state.directional,_t.directionalLightShadows.value=Ee.state.directionalShadow,_t.spotLights.value=Ee.state.spot,_t.spotLightShadows.value=Ee.state.spotShadow,_t.rectAreaLights.value=Ee.state.rectArea,_t.ltc_1.value=Ee.state.rectAreaLTC1,_t.ltc_2.value=Ee.state.rectAreaLTC2,_t.pointLights.value=Ee.state.point,_t.pointLightShadows.value=Ee.state.pointShadow,_t.hemisphereLights.value=Ee.state.hemi,_t.directionalShadowMap.value=Ee.state.directionalShadowMap,_t.directionalShadowMatrix.value=Ee.state.directionalShadowMatrix,_t.spotShadowMap.value=Ee.state.spotShadowMap,_t.spotLightMatrix.value=Ee.state.spotLightMatrix,_t.spotLightMap.value=Ee.state.spotLightMap,_t.pointShadowMap.value=Ee.state.pointShadowMap,_t.pointShadowMatrix.value=Ee.state.pointShadowMatrix),ye.currentProgram=pt,ye.uniformsList=null,pt}function Ki(w){if(w.uniformsList===null){const W=w.currentProgram.getUniforms();w.uniformsList=Hd.seqWithValue(W.seq,w.uniforms)}return w.uniformsList}function gs(w,W){const oe=we.get(w);oe.outputColorSpace=W.outputColorSpace,oe.batching=W.batching,oe.instancing=W.instancing,oe.instancingColor=W.instancingColor,oe.skinning=W.skinning,oe.morphTargets=W.morphTargets,oe.morphNormals=W.morphNormals,oe.morphColors=W.morphColors,oe.morphTargetsCount=W.morphTargetsCount,oe.numClippingPlanes=W.numClippingPlanes,oe.numIntersection=W.numClipIntersection,oe.vertexAlphas=W.vertexAlphas,oe.vertexTangents=W.vertexTangents,oe.toneMapping=W.toneMapping}function bs(w,W,oe,ye,Ee){W.isScene!==!0&&(W=Se),V.resetTextureUnits();const Ge=W.fog,Xe=ye.isMeshStandardMaterial?W.environment:null,nt=O===null?y.outputColorSpace:O.isXRRenderTarget===!0?O.texture.colorSpace:Nn,at=(ye.isMeshStandardMaterial?ie:_e).get(ye.envMap||Xe),rt=ye.vertexColors===!0&&!!oe.attributes.color&&oe.attributes.color.itemSize===4,pt=!!oe.attributes.tangent&&(!!ye.normalMap||ye.anisotropy>0),_t=!!oe.morphAttributes.position,Kt=!!oe.morphAttributes.normal,Tn=!!oe.morphAttributes.color;let nn=Sr;ye.toneMapped&&(O===null||O.isXRRenderTarget===!0)&&(nn=y.toneMapping);const On=oe.morphAttributes.position||oe.morphAttributes.normal||oe.morphAttributes.color,Qt=On!==void 0?On.length:0,yt=we.get(ye),tl=b.state.lights;if(fe===!0&&(ve===!0||w!==S)){const Wn=w===S&&ye.id===R;Re.setState(ye,w,Wn)}let en=!1;ye.version===yt.__version?(yt.needsLights&&yt.lightsStateVersion!==tl.state.version||yt.outputColorSpace!==nt||Ee.isBatchedMesh&&yt.batching===!1||!Ee.isBatchedMesh&&yt.batching===!0||Ee.isInstancedMesh&&yt.instancing===!1||!Ee.isInstancedMesh&&yt.instancing===!0||Ee.isSkinnedMesh&&yt.skinning===!1||!Ee.isSkinnedMesh&&yt.skinning===!0||Ee.isInstancedMesh&&yt.instancingColor===!0&&Ee.instanceColor===null||Ee.isInstancedMesh&&yt.instancingColor===!1&&Ee.instanceColor!==null||yt.envMap!==at||ye.fog===!0&&yt.fog!==Ge||yt.numClippingPlanes!==void 0&&(yt.numClippingPlanes!==Re.numPlanes||yt.numIntersection!==Re.numIntersection)||yt.vertexAlphas!==rt||yt.vertexTangents!==pt||yt.morphTargets!==_t||yt.morphNormals!==Kt||yt.morphColors!==Tn||yt.toneMapping!==nn||ce.isWebGL2===!0&&yt.morphTargetsCount!==Qt)&&(en=!0):(en=!0,yt.__version=ye.version);let vs=yt.currentProgram;en===!0&&(vs=di(ye,W,Ee));let Ac=!1,kr=!1,nl=!1;const gn=vs.getUniforms(),ys=yt.uniforms;if(ne.useProgram(vs.program)&&(Ac=!0,kr=!0,nl=!0),ye.id!==R&&(R=ye.id,kr=!0),Ac||S!==w){gn.setValue(k,"projectionMatrix",w.projectionMatrix),gn.setValue(k,"viewMatrix",w.matrixWorldInverse);const Wn=gn.map.cameraPosition;Wn!==void 0&&Wn.setValue(k,ee.setFromMatrixPosition(w.matrixWorld)),ce.logarithmicDepthBuffer&&gn.setValue(k,"logDepthBufFC",2/(Math.log(w.far+1)/Math.LN2)),(ye.isMeshPhongMaterial||ye.isMeshToonMaterial||ye.isMeshLambertMaterial||ye.isMeshBasicMaterial||ye.isMeshStandardMaterial||ye.isShaderMaterial)&&gn.setValue(k,"isOrthographic",w.isOrthographicCamera===!0),S!==w&&(S=w,kr=!0,nl=!0)}if(Ee.isSkinnedMesh){gn.setOptional(k,Ee,"bindMatrix"),gn.setOptional(k,Ee,"bindMatrixInverse");const Wn=Ee.skeleton;Wn&&(ce.floatVertexTextures?(Wn.boneTexture===null&&Wn.computeBoneTexture(),gn.setValue(k,"boneTexture",Wn.boneTexture,V)):console.warn("THREE.WebGLRenderer: SkinnedMesh can only be used with WebGL 2. With WebGL 1 OES_texture_float and vertex textures support is required."))}Ee.isBatchedMesh&&(gn.setOptional(k,Ee,"batchingTexture"),gn.setValue(k,"batchingTexture",Ee._matricesTexture,V));const il=oe.morphAttributes;if((il.position!==void 0||il.normal!==void 0||il.color!==void 0&&ce.isWebGL2===!0)&&ze.update(Ee,oe,vs),(kr||yt.receiveShadow!==Ee.receiveShadow)&&(yt.receiveShadow=Ee.receiveShadow,gn.setValue(k,"receiveShadow",Ee.receiveShadow)),ye.isMeshGouraudMaterial&&ye.envMap!==null&&(ys.envMap.value=at,ys.flipEnvMap.value=at.isCubeTexture&&at.isRenderTargetTexture===!1?-1:1),kr&&(gn.setValue(k,"toneMappingExposure",y.toneMappingExposure),yt.needsLights&&Es(ys,nl),Ge&&ye.fog===!0&&he.refreshFogUniforms(ys,Ge),he.refreshMaterialUniforms(ys,ye,P,G,Ae),Hd.upload(k,Ki(yt),ys,V)),ye.isShaderMaterial&&ye.uniformsNeedUpdate===!0&&(Hd.upload(k,Ki(yt),ys,V),ye.uniformsNeedUpdate=!1),ye.isSpriteMaterial&&gn.setValue(k,"center",Ee.center),gn.setValue(k,"modelViewMatrix",Ee.modelViewMatrix),gn.setValue(k,"normalMatrix",Ee.normalMatrix),gn.setValue(k,"modelMatrix",Ee.matrixWorld),ye.isShaderMaterial||ye.isRawShaderMaterial){const Wn=ye.uniformsGroups;for(let sl=0,bp=Wn.length;sl<bp;sl++)if(ce.isWebGL2){const wc=Wn[sl];He.update(wc,vs),He.bind(wc,vs)}else console.warn("THREE.WebGLRenderer: Uniform Buffer Objects can only be used with WebGL 2.")}return vs}function Es(w,W){w.ambientLightColor.needsUpdate=W,w.lightProbe.needsUpdate=W,w.directionalLights.needsUpdate=W,w.directionalLightShadows.needsUpdate=W,w.pointLights.needsUpdate=W,w.pointLightShadows.needsUpdate=W,w.spotLights.needsUpdate=W,w.spotLightShadows.needsUpdate=W,w.rectAreaLights.needsUpdate=W,w.hemisphereLights.needsUpdate=W}function et(w){return w.isMeshLambertMaterial||w.isMeshToonMaterial||w.isMeshPhongMaterial||w.isMeshStandardMaterial||w.isShadowMaterial||w.isShaderMaterial&&w.lights===!0}this.getActiveCubeFace=function(){return C},this.getActiveMipmapLevel=function(){return x},this.getRenderTarget=function(){return O},this.setRenderTargetTextures=function(w,W,oe){we.get(w.texture).__webglTexture=W,we.get(w.depthTexture).__webglTexture=oe;const ye=we.get(w);ye.__hasExternalTextures=!0,ye.__hasExternalTextures&&(ye.__autoAllocateDepthBuffer=oe===void 0,ye.__autoAllocateDepthBuffer||$.has("WEBGL_multisampled_render_to_texture")===!0&&(console.warn("THREE.WebGLRenderer: Render-to-texture extension was disabled because an external texture was provided"),ye.__useRenderToTexture=!1))},this.setRenderTargetFramebuffer=function(w,W){const oe=we.get(w);oe.__webglFramebuffer=W,oe.__useDefaultFramebuffer=W===void 0},this.setRenderTarget=function(w,W=0,oe=0){O=w,C=W,x=oe;let ye=!0,Ee=null,Ge=!1,Xe=!1;if(w){const at=we.get(w);at.__useDefaultFramebuffer!==void 0?(ne.bindFramebuffer(k.FRAMEBUFFER,null),ye=!1):at.__webglFramebuffer===void 0?V.setupRenderTarget(w):at.__hasExternalTextures&&V.rebindTextures(w,we.get(w.texture).__webglTexture,we.get(w.depthTexture).__webglTexture);const rt=w.texture;(rt.isData3DTexture||rt.isDataArrayTexture||rt.isCompressedArrayTexture)&&(Xe=!0);const pt=we.get(w).__webglFramebuffer;w.isWebGLCubeRenderTarget?(Array.isArray(pt[W])?Ee=pt[W][oe]:Ee=pt[W],Ge=!0):ce.isWebGL2&&w.samples>0&&V.useMultisampledRTT(w)===!1?Ee=we.get(w).__webglMultisampledFramebuffer:Array.isArray(pt)?Ee=pt[oe]:Ee=pt,A.copy(w.viewport),U.copy(w.scissor),F=w.scissorTest}else A.copy(Q).multiplyScalar(P).floor(),U.copy(re).multiplyScalar(P).floor(),F=te;if(ne.bindFramebuffer(k.FRAMEBUFFER,Ee)&&ce.drawBuffers&&ye&&ne.drawBuffers(w,Ee),ne.viewport(A),ne.scissor(U),ne.setScissorTest(F),Ge){const at=we.get(w.texture);k.framebufferTexture2D(k.FRAMEBUFFER,k.COLOR_ATTACHMENT0,k.TEXTURE_CUBE_MAP_POSITIVE_X+W,at.__webglTexture,oe)}else if(Xe){const at=we.get(w.texture),rt=W||0;k.framebufferTextureLayer(k.FRAMEBUFFER,k.COLOR_ATTACHMENT0,at.__webglTexture,oe||0,rt)}R=-1},this.readRenderTargetPixels=function(w,W,oe,ye,Ee,Ge,Xe){if(!(w&&w.isWebGLRenderTarget)){console.error("THREE.WebGLRenderer.readRenderTargetPixels: renderTarget is not THREE.WebGLRenderTarget.");return}let nt=we.get(w).__webglFramebuffer;if(w.isWebGLCubeRenderTarget&&Xe!==void 0&&(nt=nt[Xe]),nt){ne.bindFramebuffer(k.FRAMEBUFFER,nt);try{const at=w.texture,rt=at.format,pt=at.type;if(rt!==bi&&lt.convert(rt)!==k.getParameter(k.IMPLEMENTATION_COLOR_READ_FORMAT)){console.error("THREE.WebGLRenderer.readRenderTargetPixels: renderTarget is not in RGBA or implementation defined format.");return}const _t=pt===oc&&($.has("EXT_color_buffer_half_float")||ce.isWebGL2&&$.has("EXT_color_buffer_float"));if(pt!==Tr&&lt.convert(pt)!==k.getParameter(k.IMPLEMENTATION_COLOR_READ_TYPE)&&!(pt===Ds&&(ce.isWebGL2||$.has("OES_texture_float")||$.has("WEBGL_color_buffer_float")))&&!_t){console.error("THREE.WebGLRenderer.readRenderTargetPixels: renderTarget is not in UnsignedByteType or implementation defined type.");return}W>=0&&W<=w.width-ye&&oe>=0&&oe<=w.height-Ee&&k.readPixels(W,oe,ye,Ee,lt.convert(rt),lt.convert(pt),Ge)}finally{const at=O!==null?we.get(O).__webglFramebuffer:null;ne.bindFramebuffer(k.FRAMEBUFFER,at)}}},this.copyFramebufferToTexture=function(w,W,oe=0){const ye=Math.pow(2,-oe),Ee=Math.floor(W.image.width*ye),Ge=Math.floor(W.image.height*ye);V.setTexture2D(W,0),k.copyTexSubImage2D(k.TEXTURE_2D,oe,0,0,w.x,w.y,Ee,Ge),ne.unbindTexture()},this.copyTextureToTexture=function(w,W,oe,ye=0){const Ee=W.image.width,Ge=W.image.height,Xe=lt.convert(oe.format),nt=lt.convert(oe.type);V.setTexture2D(oe,0),k.pixelStorei(k.UNPACK_FLIP_Y_WEBGL,oe.flipY),k.pixelStorei(k.UNPACK_PREMULTIPLY_ALPHA_WEBGL,oe.premultiplyAlpha),k.pixelStorei(k.UNPACK_ALIGNMENT,oe.unpackAlignment),W.isDataTexture?k.texSubImage2D(k.TEXTURE_2D,ye,w.x,w.y,Ee,Ge,Xe,nt,W.image.data):W.isCompressedTexture?k.compressedTexSubImage2D(k.TEXTURE_2D,ye,w.x,w.y,W.mipmaps[0].width,W.mipmaps[0].height,Xe,W.mipmaps[0].data):k.texSubImage2D(k.TEXTURE_2D,ye,w.x,w.y,Xe,nt,W.image),ye===0&&oe.generateMipmaps&&k.generateMipmap(k.TEXTURE_2D),ne.unbindTexture()},this.copyTextureToTexture3D=function(w,W,oe,ye,Ee=0){if(y.isWebGL1Renderer){console.warn("THREE.WebGLRenderer.copyTextureToTexture3D: can only be used with WebGL2.");return}const Ge=w.max.x-w.min.x+1,Xe=w.max.y-w.min.y+1,nt=w.max.z-w.min.z+1,at=lt.convert(ye.format),rt=lt.convert(ye.type);let pt;if(ye.isData3DTexture)V.setTexture3D(ye,0),pt=k.TEXTURE_3D;else if(ye.isDataArrayTexture)V.setTexture2DArray(ye,0),pt=k.TEXTURE_2D_ARRAY;else{console.warn("THREE.WebGLRenderer.copyTextureToTexture3D: only supports THREE.DataTexture3D and THREE.DataTexture2DArray.");return}k.pixelStorei(k.UNPACK_FLIP_Y_WEBGL,ye.flipY),k.pixelStorei(k.UNPACK_PREMULTIPLY_ALPHA_WEBGL,ye.premultiplyAlpha),k.pixelStorei(k.UNPACK_ALIGNMENT,ye.unpackAlignment);const _t=k.getParameter(k.UNPACK_ROW_LENGTH),Kt=k.getParameter(k.UNPACK_IMAGE_HEIGHT),Tn=k.getParameter(k.UNPACK_SKIP_PIXELS),nn=k.getParameter(k.UNPACK_SKIP_ROWS),On=k.getParameter(k.UNPACK_SKIP_IMAGES),Qt=oe.isCompressedTexture?oe.mipmaps[0]:oe.image;k.pixelStorei(k.UNPACK_ROW_LENGTH,Qt.width),k.pixelStorei(k.UNPACK_IMAGE_HEIGHT,Qt.height),k.pixelStorei(k.UNPACK_SKIP_PIXELS,w.min.x),k.pixelStorei(k.UNPACK_SKIP_ROWS,w.min.y),k.pixelStorei(k.UNPACK_SKIP_IMAGES,w.min.z),oe.isDataTexture||oe.isData3DTexture?k.texSubImage3D(pt,Ee,W.x,W.y,W.z,Ge,Xe,nt,at,rt,Qt.data):oe.isCompressedArrayTexture?(console.warn("THREE.WebGLRenderer.copyTextureToTexture3D: untested support for compressed srcTexture."),k.compressedTexSubImage3D(pt,Ee,W.x,W.y,W.z,Ge,Xe,nt,at,Qt.data)):k.texSubImage3D(pt,Ee,W.x,W.y,W.z,Ge,Xe,nt,at,rt,Qt),k.pixelStorei(k.UNPACK_ROW_LENGTH,_t),k.pixelStorei(k.UNPACK_IMAGE_HEIGHT,Kt),k.pixelStorei(k.UNPACK_SKIP_PIXELS,Tn),k.pixelStorei(k.UNPACK_SKIP_ROWS,nn),k.pixelStorei(k.UNPACK_SKIP_IMAGES,On),Ee===0&&ye.generateMipmaps&&k.generateMipmap(pt),ne.unbindTexture()},this.initTexture=function(w){w.isCubeTexture?V.setTextureCube(w,0):w.isData3DTexture?V.setTexture3D(w,0):w.isDataArrayTexture||w.isCompressedArrayTexture?V.setTexture2DArray(w,0):V.setTexture2D(w,0),ne.unbindTexture()},this.resetState=function(){C=0,x=0,O=null,ne.reset(),Qe.reset()},typeof __THREE_DEVTOOLS__<"u"&&__THREE_DEVTOOLS__.dispatchEvent(new CustomEvent("observe",{detail:this}))}get coordinateSystem(){return ks}get outputColorSpace(){return this._outputColorSpace}set outputColorSpace(e){this._outputColorSpace=e;const t=this.getContext();t.drawingBufferColorSpace=e===ZE?"display-p3":"srgb",t.unpackColorSpace=Ft.workingColorSpace===up?"display-p3":"srgb"}get physicallyCorrectLights(){return console.warn("THREE.WebGLRenderer: The property .physicallyCorrectLights has been removed. Set renderer.useLegacyLights instead."),!this.useLegacyLights}set physicallyCorrectLights(e){console.warn("THREE.WebGLRenderer: The property .physicallyCorrectLights has been removed. Set renderer.useLegacyLights instead."),this.useLegacyLights=!e}get outputEncoding(){return console.warn("THREE.WebGLRenderer: Property .outputEncoding has been removed. Use .outputColorSpace instead."),this.outputColorSpace===rn?uo:iI}set outputEncoding(e){console.warn("THREE.WebGLRenderer: Property .outputEncoding has been removed. Use .outputColorSpace instead."),this.outputColorSpace=e===uo?rn:Nn}get useLegacyLights(){return console.warn("THREE.WebGLRenderer: The property .useLegacyLights has been deprecated. Migrate your lighting according to the following guide: https://discourse.threejs.org/t/updates-to-lighting-in-three-js-r155/53733."),this._useLegacyLights}set useLegacyLights(e){console.warn("THREE.WebGLRenderer: The property .useLegacyLights has been deprecated. Migrate your lighting according to the following guide: https://discourse.threejs.org/t/updates-to-lighting-in-three-js-r155/53733."),this._useLegacyLights=e}}class Rwt extends TI{}Rwt.prototype.isWebGL1Renderer=!0;class Awt extends sn{constructor(){super(),this.isScene=!0,this.type="Scene",this.background=null,this.environment=null,this.fog=null,this.backgroundBlurriness=0,this.backgroundIntensity=1,this.overrideMaterial=null,typeof __THREE_DEVTOOLS__<"u"&&__THREE_DEVTOOLS__.dispatchEvent(new CustomEvent("observe",{detail:this}))}copy(e,t){return super.copy(e,t),e.background!==null&&(this.background=e.background.clone()),e.environment!==null&&(this.environment=e.environment.clone()),e.fog!==null&&(this.fog=e.fog.clone()),this.backgroundBlurriness=e.backgroundBlurriness,this.backgroundIntensity=e.backgroundIntensity,e.overrideMaterial!==null&&(this.overrideMaterial=e.overrideMaterial.clone()),this.matrixAutoUpdate=e.matrixAutoUpdate,this}toJSON(e){const t=super.toJSON(e);return this.fog!==null&&(t.object.fog=this.fog.toJSON()),this.backgroundBlurriness>0&&(t.object.backgroundBlurriness=this.backgroundBlurriness),this.backgroundIntensity!==1&&(t.object.backgroundIntensity=this.backgroundIntensity),t}}class wwt{constructor(e,t){this.isInterleavedBuffer=!0,this.array=e,this.stride=t,this.count=e!==void 0?e.length/t:0,this.usage=pb,this._updateRange={offset:0,count:-1},this.updateRanges=[],this.version=0,this.uuid=zi()}onUploadCallback(){}set needsUpdate(e){e===!0&&this.version++}get updateRange(){return console.warn('THREE.InterleavedBuffer: "updateRange" is deprecated and removed in r169. Use "addUpdateRange()" instead.'),this._updateRange}setUsage(e){return this.usage=e,this}addUpdateRange(e,t){this.updateRanges.push({start:e,count:t})}clearUpdateRanges(){this.updateRanges.length=0}copy(e){return this.array=new e.array.constructor(e.array),this.count=e.count,this.stride=e.stride,this.usage=e.usage,this}copyAt(e,t,i){e*=this.stride,i*=t.stride;for(let s=0,r=this.stride;s<r;s++)this.array[e+s]=t.array[i+s];return this}set(e,t=0){return this.array.set(e,t),this}clone(e){e.arrayBuffers===void 0&&(e.arrayBuffers={}),this.array.buffer._uuid===void 0&&(this.array.buffer._uuid=zi()),e.arrayBuffers[this.array.buffer._uuid]===void 0&&(e.arrayBuffers[this.array.buffer._uuid]=this.array.slice(0).buffer);const t=new this.array.constructor(e.arrayBuffers[this.array.buffer._uuid]),i=new this.constructor(t,this.stride);return i.setUsage(this.usage),i}onUpload(e){return this.onUploadCallback=e,this}toJSON(e){return e.arrayBuffers===void 0&&(e.arrayBuffers={}),this.array.buffer._uuid===void 0&&(this.array.buffer._uuid=zi()),e.arrayBuffers[this.array.buffer._uuid]===void 0&&(e.arrayBuffers[this.array.buffer._uuid]=Array.from(new Uint32Array(this.array.buffer))),{uuid:this.uuid,buffer:this.array.buffer._uuid,type:this.array.constructor.name,stride:this.stride}}}const Fn=new be;class sv{constructor(e,t,i,s=!1){this.isInterleavedBufferAttribute=!0,this.name="",this.data=e,this.itemSize=t,this.offset=i,this.normalized=s}get count(){return this.data.count}get array(){return this.data.array}set needsUpdate(e){this.data.needsUpdate=e}applyMatrix4(e){for(let t=0,i=this.data.count;t<i;t++)Fn.fromBufferAttribute(this,t),Fn.applyMatrix4(e),this.setXYZ(t,Fn.x,Fn.y,Fn.z);return this}applyNormalMatrix(e){for(let t=0,i=this.count;t<i;t++)Fn.fromBufferAttribute(this,t),Fn.applyNormalMatrix(e),this.setXYZ(t,Fn.x,Fn.y,Fn.z);return this}transformDirection(e){for(let t=0,i=this.count;t<i;t++)Fn.fromBufferAttribute(this,t),Fn.transformDirection(e),this.setXYZ(t,Fn.x,Fn.y,Fn.z);return this}setX(e,t){return this.normalized&&(t=Ht(t,this.array)),this.data.array[e*this.data.stride+this.offset]=t,this}setY(e,t){return this.normalized&&(t=Ht(t,this.array)),this.data.array[e*this.data.stride+this.offset+1]=t,this}setZ(e,t){return this.normalized&&(t=Ht(t,this.array)),this.data.array[e*this.data.stride+this.offset+2]=t,this}setW(e,t){return this.normalized&&(t=Ht(t,this.array)),this.data.array[e*this.data.stride+this.offset+3]=t,this}getX(e){let t=this.data.array[e*this.data.stride+this.offset];return this.normalized&&(t=es(t,this.array)),t}getY(e){let t=this.data.array[e*this.data.stride+this.offset+1];return this.normalized&&(t=es(t,this.array)),t}getZ(e){let t=this.data.array[e*this.data.stride+this.offset+2];return this.normalized&&(t=es(t,this.array)),t}getW(e){let t=this.data.array[e*this.data.stride+this.offset+3];return this.normalized&&(t=es(t,this.array)),t}setXY(e,t,i){return e=e*this.data.stride+this.offset,this.normalized&&(t=Ht(t,this.array),i=Ht(i,this.array)),this.data.array[e+0]=t,this.data.array[e+1]=i,this}setXYZ(e,t,i,s){return e=e*this.data.stride+this.offset,this.normalized&&(t=Ht(t,this.array),i=Ht(i,this.array),s=Ht(s,this.array)),this.data.array[e+0]=t,this.data.array[e+1]=i,this.data.array[e+2]=s,this}setXYZW(e,t,i,s,r){return e=e*this.data.stride+this.offset,this.normalized&&(t=Ht(t,this.array),i=Ht(i,this.array),s=Ht(s,this.array),r=Ht(r,this.array)),this.data.array[e+0]=t,this.data.array[e+1]=i,this.data.array[e+2]=s,this.data.array[e+3]=r,this}clone(e){if(e===void 0){console.log("THREE.InterleavedBufferAttribute.clone(): Cloning an interleaved buffer attribute will de-interleave buffer data.");const t=[];for(let i=0;i<this.count;i++){const s=i*this.data.stride+this.offset;for(let r=0;r<this.itemSize;r++)t.push(this.data.array[s+r])}return new Yn(new this.array.constructor(t),this.itemSize,this.normalized)}else return e.interleavedBuffers===void 0&&(e.interleavedBuffers={}),e.interleavedBuffers[this.data.uuid]===void 0&&(e.interleavedBuffers[this.data.uuid]=this.data.clone(e)),new sv(e.interleavedBuffers[this.data.uuid],this.itemSize,this.offset,this.normalized)}toJSON(e){if(e===void 0){console.log("THREE.InterleavedBufferAttribute.toJSON(): Serializing an interleaved buffer attribute will de-interleave buffer data.");const t=[];for(let i=0;i<this.count;i++){const s=i*this.data.stride+this.offset;for(let r=0;r<this.itemSize;r++)t.push(this.data.array[s+r])}return{itemSize:this.itemSize,type:this.array.constructor.name,array:t,normalized:this.normalized}}else return e.interleavedBuffers===void 0&&(e.interleavedBuffers={}),e.interleavedBuffers[this.data.uuid]===void 0&&(e.interleavedBuffers[this.data.uuid]=this.data.toJSON(e)),{isInterleavedBufferAttribute:!0,itemSize:this.itemSize,data:this.data.uuid,offset:this.offset,normalized:this.normalized}}}const dR=new be,uR=new Wt,pR=new Wt,Nwt=new be,_R=new Rt,yd=new be,_g=new _s,hR=new Rt,hg=new pp;class Owt extends Hn{constructor(e,t){super(e,t),this.isSkinnedMesh=!0,this.type="SkinnedMesh",this.bindMode=KC,this.bindMatrix=new Rt,this.bindMatrixInverse=new Rt,this.boundingBox=null,this.boundingSphere=null}computeBoundingBox(){const e=this.geometry;this.boundingBox===null&&(this.boundingBox=new Ks),this.boundingBox.makeEmpty();const t=e.getAttribute("position");for(let i=0;i<t.count;i++)this.getVertexPosition(i,yd),this.boundingBox.expandByPoint(yd)}computeBoundingSphere(){const e=this.geometry;this.boundingSphere===null&&(this.boundingSphere=new _s),this.boundingSphere.makeEmpty();const t=e.getAttribute("position");for(let i=0;i<t.count;i++)this.getVertexPosition(i,yd),this.boundingSphere.expandByPoint(yd)}copy(e,t){return super.copy(e,t),this.bindMode=e.bindMode,this.bindMatrix.copy(e.bindMatrix),this.bindMatrixInverse.copy(e.bindMatrixInverse),this.skeleton=e.skeleton,e.boundingBox!==null&&(this.boundingBox=e.boundingBox.clone()),e.boundingSphere!==null&&(this.boundingSphere=e.boundingSphere.clone()),this}raycast(e,t){const i=this.material,s=this.matrixWorld;i!==void 0&&(this.boundingSphere===null&&this.computeBoundingSphere(),_g.copy(this.boundingSphere),_g.applyMatrix4(s),e.ray.intersectsSphere(_g)!==!1&&(hR.copy(s).invert(),hg.copy(e.ray).applyMatrix4(hR),!(this.boundingBox!==null&&hg.intersectsBox(this.boundingBox)===!1)&&this._computeIntersections(e,t,hg)))}getVertexPosition(e,t){return super.getVertexPosition(e,t),this.applyBoneTransform(e,t),t}bind(e,t){this.skeleton=e,t===void 0&&(this.updateMatrixWorld(!0),this.skeleton.calculateInverses(),t=this.matrixWorld),this.bindMatrix.copy(t),this.bindMatrixInverse.copy(t).invert()}pose(){this.skeleton.pose()}normalizeSkinWeights(){const e=new Wt,t=this.geometry.attributes.skinWeight;for(let i=0,s=t.count;i<s;i++){e.fromBufferAttribute(t,i);const r=1/e.manhattanLength();r!==1/0?e.multiplyScalar(r):e.set(1,0,0,0),t.setXYZW(i,e.x,e.y,e.z,e.w)}}updateMatrixWorld(e){super.updateMatrixWorld(e),this.bindMode===KC?this.bindMatrixInverse.copy(this.matrixWorld).invert():this.bindMode===GTt?this.bindMatrixInverse.copy(this.bindMatrix).invert():console.warn("THREE.SkinnedMesh: Unrecognized bindMode: "+this.bindMode)}applyBoneTransform(e,t){const i=this.skeleton,s=this.geometry;uR.fromBufferAttribute(s.attributes.skinIndex,e),pR.fromBufferAttribute(s.attributes.skinWeight,e),dR.copy(t).applyMatrix4(this.bindMatrix),t.set(0,0,0);for(let r=0;r<4;r++){const o=pR.getComponent(r);if(o!==0){const a=uR.getComponent(r);_R.multiplyMatrices(i.bones[a].matrixWorld,i.boneInverses[a]),t.addScaledVector(Nwt.copy(dR).applyMatrix4(_R),o)}}return t.applyMatrix4(this.bindMatrixInverse)}boneTransform(e,t){return console.warn("THREE.SkinnedMesh: .boneTransform() was renamed to .applyBoneTransform() in r151."),this.applyBoneTransform(e,t)}}class xI extends sn{constructor(){super(),this.isBone=!0,this.type="Bone"}}class Iwt extends wn{constructor(e=null,t=1,i=1,s,r,o,a,l,d=En,c=En,_,f){super(null,o,a,l,d,c,s,r,_,f),this.isDataTexture=!0,this.image={data:e,width:t,height:i},this.generateMipmaps=!1,this.flipY=!1,this.unpackAlignment=1}}const fR=new Rt,Mwt=new Rt;class rv{constructor(e=[],t=[]){this.uuid=zi(),this.bones=e.slice(0),this.boneInverses=t,this.boneMatrices=null,this.boneTexture=null,this.init()}init(){const e=this.bones,t=this.boneInverses;if(this.boneMatrices=new Float32Array(e.length*16),t.length===0)this.calculateInverses();else if(e.length!==t.length){console.warn("THREE.Skeleton: Number of inverse bone matrices does not match amount of bones."),this.boneInverses=[];for(let i=0,s=this.bones.length;i<s;i++)this.boneInverses.push(new Rt)}}calculateInverses(){this.boneInverses.length=0;for(let e=0,t=this.bones.length;e<t;e++){const i=new Rt;this.bones[e]&&i.copy(this.bones[e].matrixWorld).invert(),this.boneInverses.push(i)}}pose(){for(let e=0,t=this.bones.length;e<t;e++){const i=this.bones[e];i&&i.matrixWorld.copy(this.boneInverses[e]).invert()}for(let e=0,t=this.bones.length;e<t;e++){const i=this.bones[e];i&&(i.parent&&i.parent.isBone?(i.matrix.copy(i.parent.matrixWorld).invert(),i.matrix.multiply(i.matrixWorld)):i.matrix.copy(i.matrixWorld),i.matrix.decompose(i.position,i.quaternion,i.scale))}}update(){const e=this.bones,t=this.boneInverses,i=this.boneMatrices,s=this.boneTexture;for(let r=0,o=e.length;r<o;r++){const a=e[r]?e[r].matrixWorld:Mwt;fR.multiplyMatrices(a,t[r]),fR.toArray(i,r*16)}s!==null&&(s.needsUpdate=!0)}clone(){return new rv(this.bones,this.boneInverses)}computeBoneTexture(){let e=Math.sqrt(this.bones.length*4);e=Math.ceil(e/4)*4,e=Math.max(e,4);const t=new Float32Array(e*e*4);t.set(this.boneMatrices);const i=new Iwt(t,e,e,bi,Ds);return i.needsUpdate=!0,this.boneMatrices=t,this.boneTexture=i,this}getBoneByName(e){for(let t=0,i=this.bones.length;t<i;t++){const s=this.bones[t];if(s.name===e)return s}}dispose(){this.boneTexture!==null&&(this.boneTexture.dispose(),this.boneTexture=null)}fromJSON(e,t){this.uuid=e.uuid;for(let i=0,s=e.bones.length;i<s;i++){const r=e.bones[i];let o=t[r];o===void 0&&(console.warn("THREE.Skeleton: No bone found with UUID:",r),o=new xI),this.bones.push(o),this.boneInverses.push(new Rt().fromArray(e.boneInverses[i]))}return this.init(),this}toJSON(){const e={metadata:{version:4.6,type:"Skeleton",generator:"Skeleton.toJSON"},bones:[],boneInverses:[]};e.uuid=this.uuid;const t=this.bones,i=this.boneInverses;for(let s=0,r=t.length;s<r;s++){const o=t[s];e.bones.push(o.uuid);const a=i[s];e.boneInverses.push(a.toArray())}return e}}class mb extends Yn{constructor(e,t,i,s=1){super(e,t,i),this.isInstancedBufferAttribute=!0,this.meshPerAttribute=s}copy(e){return super.copy(e),this.meshPerAttribute=e.meshPerAttribute,this}toJSON(){const e=super.toJSON();return e.meshPerAttribute=this.meshPerAttribute,e.isInstancedBufferAttribute=!0,e}}const $o=new Rt,mR=new Rt,Sd=[],gR=new Ks,Dwt=new Rt,vl=new Hn,yl=new _s;class kwt extends Hn{constructor(e,t,i){super(e,t),this.isInstancedMesh=!0,this.instanceMatrix=new mb(new Float32Array(i*16),16),this.instanceColor=null,this.count=i,this.boundingBox=null,this.boundingSphere=null;for(let s=0;s<i;s++)this.setMatrixAt(s,Dwt)}computeBoundingBox(){const e=this.geometry,t=this.count;this.boundingBox===null&&(this.boundingBox=new Ks),e.boundingBox===null&&e.computeBoundingBox(),this.boundingBox.makeEmpty();for(let i=0;i<t;i++)this.getMatrixAt(i,$o),gR.copy(e.boundingBox).applyMatrix4($o),this.boundingBox.union(gR)}computeBoundingSphere(){const e=this.geometry,t=this.count;this.boundingSphere===null&&(this.boundingSphere=new _s),e.boundingSphere===null&&e.computeBoundingSphere(),this.boundingSphere.makeEmpty();for(let i=0;i<t;i++)this.getMatrixAt(i,$o),yl.copy(e.boundingSphere).applyMatrix4($o),this.boundingSphere.union(yl)}copy(e,t){return super.copy(e,t),this.instanceMatrix.copy(e.instanceMatrix),e.instanceColor!==null&&(this.instanceColor=e.instanceColor.clone()),this.count=e.count,e.boundingBox!==null&&(this.boundingBox=e.boundingBox.clone()),e.boundingSphere!==null&&(this.boundingSphere=e.boundingSphere.clone()),this}getColorAt(e,t){t.fromArray(this.instanceColor.array,e*3)}getMatrixAt(e,t){t.fromArray(this.instanceMatrix.array,e*16)}raycast(e,t){const i=this.matrixWorld,s=this.count;if(vl.geometry=this.geometry,vl.material=this.material,vl.material!==void 0&&(this.boundingSphere===null&&this.computeBoundingSphere(),yl.copy(this.boundingSphere),yl.applyMatrix4(i),e.ray.intersectsSphere(yl)!==!1))for(let r=0;r<s;r++){this.getMatrixAt(r,$o),mR.multiplyMatrices(i,$o),vl.matrixWorld=mR,vl.raycast(e,Sd);for(let o=0,a=Sd.length;o<a;o++){const l=Sd[o];l.instanceId=r,l.object=this,t.push(l)}Sd.length=0}}setColorAt(e,t){this.instanceColor===null&&(this.instanceColor=new mb(new Float32Array(this.instanceMatrix.count*3),3)),t.toArray(this.instanceColor.array,e*3)}setMatrixAt(e,t){t.toArray(this.instanceMatrix.array,e*16)}updateMorphTargets(){}dispose(){this.dispatchEvent({type:"dispose"})}}class CI extends Vi{constructor(e){super(),this.isLineBasicMaterial=!0,this.type="LineBasicMaterial",this.color=new gt(16777215),this.map=null,this.linewidth=1,this.linecap="round",this.linejoin="round",this.fog=!0,this.setValues(e)}copy(e){return super.copy(e),this.color.copy(e.color),this.map=e.map,this.linewidth=e.linewidth,this.linecap=e.linecap,this.linejoin=e.linejoin,this.fog=e.fog,this}}const bR=new be,ER=new be,vR=new Rt,fg=new pp,Td=new _s;class ov extends sn{constructor(e=new hs,t=new CI){super(),this.isLine=!0,this.type="Line",this.geometry=e,this.material=t,this.updateMorphTargets()}copy(e,t){return super.copy(e,t),this.material=Array.isArray(e.material)?e.material.slice():e.material,this.geometry=e.geometry,this}computeLineDistances(){const e=this.geometry;if(e.index===null){const t=e.attributes.position,i=[0];for(let s=1,r=t.count;s<r;s++)bR.fromBufferAttribute(t,s-1),ER.fromBufferAttribute(t,s),i[s]=i[s-1],i[s]+=bR.distanceTo(ER);e.setAttribute("lineDistance",new Us(i,1))}else console.warn("THREE.Line.computeLineDistances(): Computation only possible with non-indexed BufferGeometry.");return this}raycast(e,t){const i=this.geometry,s=this.matrixWorld,r=e.params.Line.threshold,o=i.drawRange;if(i.boundingSphere===null&&i.computeBoundingSphere(),Td.copy(i.boundingSphere),Td.applyMatrix4(s),Td.radius+=r,e.ray.intersectsSphere(Td)===!1)return;vR.copy(s).invert(),fg.copy(e.ray).applyMatrix4(vR);const a=r/((this.scale.x+this.scale.y+this.scale.z)/3),l=a*a,d=new be,c=new be,_=new be,f=new be,m=this.isLineSegments?2:1,h=i.index,b=i.attributes.position;if(h!==null){const g=Math.max(0,o.start),v=Math.min(h.count,o.start+o.count);for(let y=g,T=v-1;y<T;y+=m){const C=h.getX(y),x=h.getX(y+1);if(d.fromBufferAttribute(b,C),c.fromBufferAttribute(b,x),fg.distanceSqToSegment(d,c,f,_)>l)continue;f.applyMatrix4(this.matrixWorld);const R=e.ray.origin.distanceTo(f);R<e.near||R>e.far||t.push({distance:R,point:_.clone().applyMatrix4(this.matrixWorld),index:y,face:null,faceIndex:null,object:this})}}else{const g=Math.max(0,o.start),v=Math.min(b.count,o.start+o.count);for(let y=g,T=v-1;y<T;y+=m){if(d.fromBufferAttribute(b,y),c.fromBufferAttribute(b,y+1),fg.distanceSqToSegment(d,c,f,_)>l)continue;f.applyMatrix4(this.matrixWorld);const x=e.ray.origin.distanceTo(f);x<e.near||x>e.far||t.push({distance:x,point:_.clone().applyMatrix4(this.matrixWorld),index:y,face:null,faceIndex:null,object:this})}}}updateMorphTargets(){const t=this.geometry.morphAttributes,i=Object.keys(t);if(i.length>0){const s=t[i[0]];if(s!==void 0){this.morphTargetInfluences=[],this.morphTargetDictionary={};for(let r=0,o=s.length;r<o;r++){const a=s[r].name||String(r);this.morphTargetInfluences.push(0),this.morphTargetDictionary[a]=r}}}}}const yR=new be,SR=new be;class Lwt extends ov{constructor(e,t){super(e,t),this.isLineSegments=!0,this.type="LineSegments"}computeLineDistances(){const e=this.geometry;if(e.index===null){const t=e.attributes.position,i=[];for(let s=0,r=t.count;s<r;s+=2)yR.fromBufferAttribute(t,s),SR.fromBufferAttribute(t,s+1),i[s]=s===0?0:i[s-1],i[s+1]=i[s]+yR.distanceTo(SR);e.setAttribute("lineDistance",new Us(i,1))}else console.warn("THREE.LineSegments.computeLineDistances(): Computation only possible with non-indexed BufferGeometry.");return this}}class Pwt extends ov{constructor(e,t){super(e,t),this.isLineLoop=!0,this.type="LineLoop"}}class RI extends Vi{constructor(e){super(),this.isPointsMaterial=!0,this.type="PointsMaterial",this.color=new gt(16777215),this.map=null,this.alphaMap=null,this.size=1,this.sizeAttenuation=!0,this.fog=!0,this.setValues(e)}copy(e){return super.copy(e),this.color.copy(e.color),this.map=e.map,this.alphaMap=e.alphaMap,this.size=e.size,this.sizeAttenuation=e.sizeAttenuation,this.fog=e.fog,this}}const TR=new Rt,gb=new pp,xd=new _s,Cd=new be;class Uwt extends sn{constructor(e=new hs,t=new RI){super(),this.isPoints=!0,this.type="Points",this.geometry=e,this.material=t,this.updateMorphTargets()}copy(e,t){return super.copy(e,t),this.material=Array.isArray(e.material)?e.material.slice():e.material,this.geometry=e.geometry,this}raycast(e,t){const i=this.geometry,s=this.matrixWorld,r=e.params.Points.threshold,o=i.drawRange;if(i.boundingSphere===null&&i.computeBoundingSphere(),xd.copy(i.boundingSphere),xd.applyMatrix4(s),xd.radius+=r,e.ray.intersectsSphere(xd)===!1)return;TR.copy(s).invert(),gb.copy(e.ray).applyMatrix4(TR);const a=r/((this.scale.x+this.scale.y+this.scale.z)/3),l=a*a,d=i.index,_=i.attributes.position;if(d!==null){const f=Math.max(0,o.start),m=Math.min(d.count,o.start+o.count);for(let h=f,E=m;h<E;h++){const b=d.getX(h);Cd.fromBufferAttribute(_,b),xR(Cd,b,l,s,e,t,this)}}else{const f=Math.max(0,o.start),m=Math.min(_.count,o.start+o.count);for(let h=f,E=m;h<E;h++)Cd.fromBufferAttribute(_,h),xR(Cd,h,l,s,e,t,this)}}updateMorphTargets(){const t=this.geometry.morphAttributes,i=Object.keys(t);if(i.length>0){const s=t[i[0]];if(s!==void 0){this.morphTargetInfluences=[],this.morphTargetDictionary={};for(let r=0,o=s.length;r<o;r++){const a=s[r].name||String(r);this.morphTargetInfluences.push(0),this.morphTargetDictionary[a]=r}}}}}function xR(n,e,t,i,s,r,o){const a=gb.distanceSqToPoint(n);if(a<t){const l=new be;gb.closestPointToPoint(n,l),l.applyMatrix4(i);const d=s.ray.origin.distanceTo(l);if(d<s.near||d>s.far)return;r.push({distance:d,distanceToRay:Math.sqrt(a),point:l,index:e,face:null,object:o})}}class av extends Vi{constructor(e){super(),this.isMeshStandardMaterial=!0,this.defines={STANDARD:""},this.type="MeshStandardMaterial",this.color=new gt(16777215),this.roughness=1,this.metalness=0,this.map=null,this.lightMap=null,this.lightMapIntensity=1,this.aoMap=null,this.aoMapIntensity=1,this.emissive=new gt(0),this.emissiveIntensity=1,this.emissiveMap=null,this.bumpMap=null,this.bumpScale=1,this.normalMap=null,this.normalMapType=XE,this.normalScale=new It(1,1),this.displacementMap=null,this.displacementScale=1,this.displacementBias=0,this.roughnessMap=null,this.metalnessMap=null,this.alphaMap=null,this.envMap=null,this.envMapIntensity=1,this.wireframe=!1,this.wireframeLinewidth=1,this.wireframeLinecap="round",this.wireframeLinejoin="round",this.flatShading=!1,this.fog=!0,this.setValues(e)}copy(e){return super.copy(e),this.defines={STANDARD:""},this.color.copy(e.color),this.roughness=e.roughness,this.metalness=e.metalness,this.map=e.map,this.lightMap=e.lightMap,this.lightMapIntensity=e.lightMapIntensity,this.aoMap=e.aoMap,this.aoMapIntensity=e.aoMapIntensity,this.emissive.copy(e.emissive),this.emissiveMap=e.emissiveMap,this.emissiveIntensity=e.emissiveIntensity,this.bumpMap=e.bumpMap,this.bumpScale=e.bumpScale,this.normalMap=e.normalMap,this.normalMapType=e.normalMapType,this.normalScale.copy(e.normalScale),this.displacementMap=e.displacementMap,this.displacementScale=e.displacementScale,this.displacementBias=e.displacementBias,this.roughnessMap=e.roughnessMap,this.metalnessMap=e.metalnessMap,this.alphaMap=e.alphaMap,this.envMap=e.envMap,this.envMapIntensity=e.envMapIntensity,this.wireframe=e.wireframe,this.wireframeLinewidth=e.wireframeLinewidth,this.wireframeLinecap=e.wireframeLinecap,this.wireframeLinejoin=e.wireframeLinejoin,this.flatShading=e.flatShading,this.fog=e.fog,this}}class js extends av{constructor(e){super(),this.isMeshPhysicalMaterial=!0,this.defines={STANDARD:"",PHYSICAL:""},this.type="MeshPhysicalMaterial",this.anisotropyRotation=0,this.anisotropyMap=null,this.clearcoatMap=null,this.clearcoatRoughness=0,this.clearcoatRoughnessMap=null,this.clearcoatNormalScale=new It(1,1),this.clearcoatNormalMap=null,this.ior=1.5,Object.defineProperty(this,"reflectivity",{get:function(){return kn(2.5*(this.ior-1)/(this.ior+1),0,1)},set:function(t){this.ior=(1+.4*t)/(1-.4*t)}}),this.iridescenceMap=null,this.iridescenceIOR=1.3,this.iridescenceThicknessRange=[100,400],this.iridescenceThicknessMap=null,this.sheenColor=new gt(0),this.sheenColorMap=null,this.sheenRoughness=1,this.sheenRoughnessMap=null,this.transmissionMap=null,this.thickness=0,this.thicknessMap=null,this.attenuationDistance=1/0,this.attenuationColor=new gt(1,1,1),this.specularIntensity=1,this.specularIntensityMap=null,this.specularColor=new gt(1,1,1),this.specularColorMap=null,this._anisotropy=0,this._clearcoat=0,this._iridescence=0,this._sheen=0,this._transmission=0,this.setValues(e)}get anisotropy(){return this._anisotropy}set anisotropy(e){this._anisotropy>0!=e>0&&this.version++,this._anisotropy=e}get clearcoat(){return this._clearcoat}set clearcoat(e){this._clearcoat>0!=e>0&&this.version++,this._clearcoat=e}get iridescence(){return this._iridescence}set iridescence(e){this._iridescence>0!=e>0&&this.version++,this._iridescence=e}get sheen(){return this._sheen}set sheen(e){this._sheen>0!=e>0&&this.version++,this._sheen=e}get transmission(){return this._transmission}set transmission(e){this._transmission>0!=e>0&&this.version++,this._transmission=e}copy(e){return super.copy(e),this.defines={STANDARD:"",PHYSICAL:""},this.anisotropy=e.anisotropy,this.anisotropyRotation=e.anisotropyRotation,this.anisotropyMap=e.anisotropyMap,this.clearcoat=e.clearcoat,this.clearcoatMap=e.clearcoatMap,this.clearcoatRoughness=e.clearcoatRoughness,this.clearcoatRoughnessMap=e.clearcoatRoughnessMap,this.clearcoatNormalMap=e.clearcoatNormalMap,this.clearcoatNormalScale.copy(e.clearcoatNormalScale),this.ior=e.ior,this.iridescence=e.iridescence,this.iridescenceMap=e.iridescenceMap,this.iridescenceIOR=e.iridescenceIOR,this.iridescenceThicknessRange=[...e.iridescenceThicknessRange],this.iridescenceThicknessMap=e.iridescenceThicknessMap,this.sheen=e.sheen,this.sheenColor.copy(e.sheenColor),this.sheenColorMap=e.sheenColorMap,this.sheenRoughness=e.sheenRoughness,this.sheenRoughnessMap=e.sheenRoughnessMap,this.transmission=e.transmission,this.transmissionMap=e.transmissionMap,this.thickness=e.thickness,this.thicknessMap=e.thicknessMap,this.attenuationDistance=e.attenuationDistance,this.attenuationColor.copy(e.attenuationColor),this.specularIntensity=e.specularIntensity,this.specularIntensityMap=e.specularIntensityMap,this.specularColor.copy(e.specularColor),this.specularColorMap=e.specularColorMap,this}}class CR extends Vi{constructor(e){super(),this.isMeshPhongMaterial=!0,this.type="MeshPhongMaterial",this.color=new gt(16777215),this.specular=new gt(1118481),this.shininess=30,this.map=null,this.lightMap=null,this.lightMapIntensity=1,this.aoMap=null,this.aoMapIntensity=1,this.emissive=new gt(0),this.emissiveIntensity=1,this.emissiveMap=null,this.bumpMap=null,this.bumpScale=1,this.normalMap=null,this.normalMapType=XE,this.normalScale=new It(1,1),this.displacementMap=null,this.displacementScale=1,this.displacementBias=0,this.specularMap=null,this.alphaMap=null,this.envMap=null,this.combine=jE,this.reflectivity=1,this.refractionRatio=.98,this.wireframe=!1,this.wireframeLinewidth=1,this.wireframeLinecap="round",this.wireframeLinejoin="round",this.flatShading=!1,this.fog=!0,this.setValues(e)}copy(e){return super.copy(e),this.color.copy(e.color),this.specular.copy(e.specular),this.shininess=e.shininess,this.map=e.map,this.lightMap=e.lightMap,this.lightMapIntensity=e.lightMapIntensity,this.aoMap=e.aoMap,this.aoMapIntensity=e.aoMapIntensity,this.emissive.copy(e.emissive),this.emissiveMap=e.emissiveMap,this.emissiveIntensity=e.emissiveIntensity,this.bumpMap=e.bumpMap,this.bumpScale=e.bumpScale,this.normalMap=e.normalMap,this.normalMapType=e.normalMapType,this.normalScale.copy(e.normalScale),this.displacementMap=e.displacementMap,this.displacementScale=e.displacementScale,this.displacementBias=e.displacementBias,this.specularMap=e.specularMap,this.alphaMap=e.alphaMap,this.envMap=e.envMap,this.combine=e.combine,this.reflectivity=e.reflectivity,this.refractionRatio=e.refractionRatio,this.wireframe=e.wireframe,this.wireframeLinewidth=e.wireframeLinewidth,this.wireframeLinecap=e.wireframeLinecap,this.wireframeLinejoin=e.wireframeLinejoin,this.flatShading=e.flatShading,this.fog=e.fog,this}}function Rd(n,e,t){return!n||!t&&n.constructor===e?n:typeof e.BYTES_PER_ELEMENT=="number"?new e(n):Array.prototype.slice.call(n)}function Fwt(n){return ArrayBuffer.isView(n)&&!(n instanceof DataView)}function Bwt(n){function e(s,r){return n[s]-n[r]}const t=n.length,i=new Array(t);for(let s=0;s!==t;++s)i[s]=s;return i.sort(e),i}function RR(n,e,t){const i=n.length,s=new n.constructor(i);for(let r=0,o=0;o!==i;++r){const a=t[r]*e;for(let l=0;l!==e;++l)s[o++]=n[a+l]}return s}function AI(n,e,t,i){let s=1,r=n[0];for(;r!==void 0&&r[i]===void 0;)r=n[s++];if(r===void 0)return;let o=r[i];if(o!==void 0)if(Array.isArray(o))do o=r[i],o!==void 0&&(e.push(r.time),t.push.apply(t,o)),r=n[s++];while(r!==void 0);else if(o.toArray!==void 0)do o=r[i],o!==void 0&&(e.push(r.time),o.toArray(t,t.length)),r=n[s++];while(r!==void 0);else do o=r[i],o!==void 0&&(e.push(r.time),t.push(o)),r=n[s++];while(r!==void 0)}class xc{constructor(e,t,i,s){this.parameterPositions=e,this._cachedIndex=0,this.resultBuffer=s!==void 0?s:new t.constructor(i),this.sampleValues=t,this.valueSize=i,this.settings=null,this.DefaultSettings_={}}evaluate(e){const t=this.parameterPositions;let i=this._cachedIndex,s=t[i],r=t[i-1];e:{t:{let o;n:{i:if(!(e<s)){for(let a=i+2;;){if(s===void 0){if(e<r)break i;return i=t.length,this._cachedIndex=i,this.copySampleValue_(i-1)}if(i===a)break;if(r=s,s=t[++i],e<s)break t}o=t.length;break n}if(!(e>=r)){const a=t[1];e<a&&(i=2,r=a);for(let l=i-2;;){if(r===void 0)return this._cachedIndex=0,this.copySampleValue_(0);if(i===l)break;if(s=r,r=t[--i-1],e>=r)break t}o=i,i=0;break n}break e}for(;i<o;){const a=i+o>>>1;e<t[a]?o=a:i=a+1}if(s=t[i],r=t[i-1],r===void 0)return this._cachedIndex=0,this.copySampleValue_(0);if(s===void 0)return i=t.length,this._cachedIndex=i,this.copySampleValue_(i-1)}this._cachedIndex=i,this.intervalChanged_(i,r,s)}return this.interpolate_(i,r,e,s)}getSettings_(){return this.settings||this.DefaultSettings_}copySampleValue_(e){const t=this.resultBuffer,i=this.sampleValues,s=this.valueSize,r=e*s;for(let o=0;o!==s;++o)t[o]=i[r+o];return t}interpolate_(){throw new Error("call to abstract method")}intervalChanged_(){}}class Gwt extends xc{constructor(e,t,i,s){super(e,t,i,s),this._weightPrev=-0,this._offsetPrev=-0,this._weightNext=-0,this._offsetNext=-0,this.DefaultSettings_={endingStart:v1,endingEnd:v1}}intervalChanged_(e,t,i){const s=this.parameterPositions;let r=e-2,o=e+1,a=s[r],l=s[o];if(a===void 0)switch(this.getSettings_().endingStart){case y1:r=e,a=2*t-i;break;case S1:r=s.length-2,a=t+s[r]-s[r+1];break;default:r=e,a=i}if(l===void 0)switch(this.getSettings_().endingEnd){case y1:o=e,l=2*i-t;break;case S1:o=1,l=i+s[1]-s[0];break;default:o=e-1,l=t}const d=(i-t)*.5,c=this.valueSize;this._weightPrev=d/(t-a),this._weightNext=d/(l-i),this._offsetPrev=r*c,this._offsetNext=o*c}interpolate_(e,t,i,s){const r=this.resultBuffer,o=this.sampleValues,a=this.valueSize,l=e*a,d=l-a,c=this._offsetPrev,_=this._offsetNext,f=this._weightPrev,m=this._weightNext,h=(i-t)/(s-t),E=h*h,b=E*h,g=-f*b+2*f*E-f*h,v=(1+f)*b+(-1.5-2*f)*E+(-.5+f)*h+1,y=(-1-m)*b+(1.5+m)*E+.5*h,T=m*b-m*E;for(let C=0;C!==a;++C)r[C]=g*o[c+C]+v*o[d+C]+y*o[l+C]+T*o[_+C];return r}}class zwt extends xc{constructor(e,t,i,s){super(e,t,i,s)}interpolate_(e,t,i,s){const r=this.resultBuffer,o=this.sampleValues,a=this.valueSize,l=e*a,d=l-a,c=(i-t)/(s-t),_=1-c;for(let f=0;f!==a;++f)r[f]=o[d+f]*_+o[l+f]*c;return r}}class Vwt extends xc{constructor(e,t,i,s){super(e,t,i,s)}interpolate_(e){return this.copySampleValue_(e-1)}}class fs{constructor(e,t,i,s){if(e===void 0)throw new Error("THREE.KeyframeTrack: track name is undefined");if(t===void 0||t.length===0)throw new Error("THREE.KeyframeTrack: no keyframes in track named "+e);this.name=e,this.times=Rd(t,this.TimeBufferType),this.values=Rd(i,this.ValueBufferType),this.setInterpolation(s||this.DefaultInterpolation)}static toJSON(e){const t=e.constructor;let i;if(t.toJSON!==this.toJSON)i=t.toJSON(e);else{i={name:e.name,times:Rd(e.times,Array),values:Rd(e.values,Array)};const s=e.getInterpolation();s!==e.DefaultInterpolation&&(i.interpolation=s)}return i.type=e.ValueTypeName,i}InterpolantFactoryMethodDiscrete(e){return new Vwt(this.times,this.values,this.getValueSize(),e)}InterpolantFactoryMethodLinear(e){return new zwt(this.times,this.values,this.getValueSize(),e)}InterpolantFactoryMethodSmooth(e){return new Gwt(this.times,this.values,this.getValueSize(),e)}setInterpolation(e){let t;switch(e){case ac:t=this.InterpolantFactoryMethodDiscrete;break;case wa:t=this.InterpolantFactoryMethodLinear;break;case Hm:t=this.InterpolantFactoryMethodSmooth;break}if(t===void 0){const i="unsupported interpolation for "+this.ValueTypeName+" keyframe track named "+this.name;if(this.createInterpolant===void 0)if(e!==this.DefaultInterpolation)this.setInterpolation(this.DefaultInterpolation);else throw new Error(i);return console.warn("THREE.KeyframeTrack:",i),this}return this.createInterpolant=t,this}getInterpolation(){switch(this.createInterpolant){case this.InterpolantFactoryMethodDiscrete:return ac;case this.InterpolantFactoryMethodLinear:return wa;case this.InterpolantFactoryMethodSmooth:return Hm}}getValueSize(){return this.values.length/this.times.length}shift(e){if(e!==0){const t=this.times;for(let i=0,s=t.length;i!==s;++i)t[i]+=e}return this}scale(e){if(e!==1){const t=this.times;for(let i=0,s=t.length;i!==s;++i)t[i]*=e}return this}trim(e,t){const i=this.times,s=i.length;let r=0,o=s-1;for(;r!==s&&i[r]<e;)++r;for(;o!==-1&&i[o]>t;)--o;if(++o,r!==0||o!==s){r>=o&&(o=Math.max(o,1),r=o-1);const a=this.getValueSize();this.times=i.slice(r,o),this.values=this.values.slice(r*a,o*a)}return this}validate(){let e=!0;const t=this.getValueSize();t-Math.floor(t)!==0&&(console.error("THREE.KeyframeTrack: Invalid value size in track.",this),e=!1);const i=this.times,s=this.values,r=i.length;r===0&&(console.error("THREE.KeyframeTrack: Track is empty.",this),e=!1);let o=null;for(let a=0;a!==r;a++){const l=i[a];if(typeof l=="number"&&isNaN(l)){console.error("THREE.KeyframeTrack: Time is not a valid number.",this,a,l),e=!1;break}if(o!==null&&o>l){console.error("THREE.KeyframeTrack: Out of order keys.",this,a,l,o),e=!1;break}o=l}if(s!==void 0&&Fwt(s))for(let a=0,l=s.length;a!==l;++a){const d=s[a];if(isNaN(d)){console.error("THREE.KeyframeTrack: Value is not a valid number.",this,a,d),e=!1;break}}return e}optimize(){const e=this.times.slice(),t=this.values.slice(),i=this.getValueSize(),s=this.getInterpolation()===Hm,r=e.length-1;let o=1;for(let a=1;a<r;++a){let l=!1;const d=e[a],c=e[a+1];if(d!==c&&(a!==1||d!==e[0]))if(s)l=!0;else{const _=a*i,f=_-i,m=_+i;for(let h=0;h!==i;++h){const E=t[_+h];if(E!==t[f+h]||E!==t[m+h]){l=!0;break}}}if(l){if(a!==o){e[o]=e[a];const _=a*i,f=o*i;for(let m=0;m!==i;++m)t[f+m]=t[_+m]}++o}}if(r>0){e[o]=e[r];for(let a=r*i,l=o*i,d=0;d!==i;++d)t[l+d]=t[a+d];++o}return o!==e.length?(this.times=e.slice(0,o),this.values=t.slice(0,o*i)):(this.times=e,this.values=t),this}clone(){const e=this.times.slice(),t=this.values.slice(),i=this.constructor,s=new i(this.name,e,t);return s.createInterpolant=this.createInterpolant,s}}fs.prototype.TimeBufferType=Float32Array;fs.prototype.ValueBufferType=Float32Array;fs.prototype.DefaultInterpolation=wa;class Xa extends fs{}Xa.prototype.ValueTypeName="bool";Xa.prototype.ValueBufferType=Array;Xa.prototype.DefaultInterpolation=ac;Xa.prototype.InterpolantFactoryMethodLinear=void 0;Xa.prototype.InterpolantFactoryMethodSmooth=void 0;class wI extends fs{}wI.prototype.ValueTypeName="color";class Ia extends fs{}Ia.prototype.ValueTypeName="number";class Hwt extends xc{constructor(e,t,i,s){super(e,t,i,s)}interpolate_(e,t,i,s){const r=this.resultBuffer,o=this.sampleValues,a=this.valueSize,l=(i-t)/(s-t);let d=e*a;for(let c=d+a;d!==c;d+=4)Mr.slerpFlat(r,0,o,d-a,o,d,l);return r}}class vo extends fs{InterpolantFactoryMethodLinear(e){return new Hwt(this.times,this.values,this.getValueSize(),e)}}vo.prototype.ValueTypeName="quaternion";vo.prototype.DefaultInterpolation=wa;vo.prototype.InterpolantFactoryMethodSmooth=void 0;class Za extends fs{}Za.prototype.ValueTypeName="string";Za.prototype.ValueBufferType=Array;Za.prototype.DefaultInterpolation=ac;Za.prototype.InterpolantFactoryMethodLinear=void 0;Za.prototype.InterpolantFactoryMethodSmooth=void 0;class Ma extends fs{}Ma.prototype.ValueTypeName="vector";class qwt{constructor(e,t=-1,i,s=jTt){this.name=e,this.tracks=i,this.duration=t,this.blendMode=s,this.uuid=zi(),this.duration<0&&this.resetDuration()}static parse(e){const t=[],i=e.tracks,s=1/(e.fps||1);for(let o=0,a=i.length;o!==a;++o)t.push($wt(i[o]).scale(s));const r=new this(e.name,e.duration,t,e.blendMode);return r.uuid=e.uuid,r}static toJSON(e){const t=[],i=e.tracks,s={name:e.name,duration:e.duration,tracks:t,uuid:e.uuid,blendMode:e.blendMode};for(let r=0,o=i.length;r!==o;++r)t.push(fs.toJSON(i[r]));return s}static CreateFromMorphTargetSequence(e,t,i,s){const r=t.length,o=[];for(let a=0;a<r;a++){let l=[],d=[];l.push((a+r-1)%r,a,(a+1)%r),d.push(0,1,0);const c=Bwt(l);l=RR(l,1,c),d=RR(d,1,c),!s&&l[0]===0&&(l.push(r),d.push(d[0])),o.push(new Ia(".morphTargetInfluences["+t[a].name+"]",l,d).scale(1/i))}return new this(e,-1,o)}static findByName(e,t){let i=e;if(!Array.isArray(e)){const s=e;i=s.geometry&&s.geometry.animations||s.animations}for(let s=0;s<i.length;s++)if(i[s].name===t)return i[s];return null}static CreateClipsFromMorphTargetSequences(e,t,i){const s={},r=/^([\w-]*?)([\d]+)$/;for(let a=0,l=e.length;a<l;a++){const d=e[a],c=d.name.match(r);if(c&&c.length>1){const _=c[1];let f=s[_];f||(s[_]=f=[]),f.push(d)}}const o=[];for(const a in s)o.push(this.CreateFromMorphTargetSequence(a,s[a],t,i));return o}static parseAnimation(e,t){if(!e)return console.error("THREE.AnimationClip: No animation in JSONLoader data."),null;const i=function(_,f,m,h,E){if(m.length!==0){const b=[],g=[];AI(m,b,g,h),b.length!==0&&E.push(new _(f,b,g))}},s=[],r=e.name||"default",o=e.fps||30,a=e.blendMode;let l=e.length||-1;const d=e.hierarchy||[];for(let _=0;_<d.length;_++){const f=d[_].keys;if(!(!f||f.length===0))if(f[0].morphTargets){const m={};let h;for(h=0;h<f.length;h++)if(f[h].morphTargets)for(let E=0;E<f[h].morphTargets.length;E++)m[f[h].morphTargets[E]]=-1;for(const E in m){const b=[],g=[];for(let v=0;v!==f[h].morphTargets.length;++v){const y=f[h];b.push(y.time),g.push(y.morphTarget===E?1:0)}s.push(new Ia(".morphTargetInfluence["+E+"]",b,g))}l=m.length*o}else{const m=".bones["+t[_].name+"]";i(Ma,m+".position",f,"pos",s),i(vo,m+".quaternion",f,"rot",s),i(Ma,m+".scale",f,"scl",s)}}return s.length===0?null:new this(r,l,s,a)}resetDuration(){const e=this.tracks;let t=0;for(let i=0,s=e.length;i!==s;++i){const r=this.tracks[i];t=Math.max(t,r.times[r.times.length-1])}return this.duration=t,this}trim(){for(let e=0;e<this.tracks.length;e++)this.tracks[e].trim(0,this.duration);return this}validate(){let e=!0;for(let t=0;t<this.tracks.length;t++)e=e&&this.tracks[t].validate();return e}optimize(){for(let e=0;e<this.tracks.length;e++)this.tracks[e].optimize();return this}clone(){const e=[];for(let t=0;t<this.tracks.length;t++)e.push(this.tracks[t].clone());return new this.constructor(this.name,this.duration,e,this.blendMode)}toJSON(){return this.constructor.toJSON(this)}}function Ywt(n){switch(n.toLowerCase()){case"scalar":case"double":case"float":case"number":case"integer":return Ia;case"vector":case"vector2":case"vector3":case"vector4":return Ma;case"color":return wI;case"quaternion":return vo;case"bool":case"boolean":return Xa;case"string":return Za}throw new Error("THREE.KeyframeTrack: Unsupported typeName: "+n)}function $wt(n){if(n.type===void 0)throw new Error("THREE.KeyframeTrack: track type undefined, can not parse");const e=Ywt(n.type);if(n.times===void 0){const t=[],i=[];AI(n.keys,t,i,"value"),n.times=t,n.values=i}return e.parse!==void 0?e.parse(n):new e(n.name,n.times,n.values,n.interpolation)}const Da={enabled:!1,files:{},add:function(n,e){this.enabled!==!1&&(this.files[n]=e)},get:function(n){if(this.enabled!==!1)return this.files[n]},remove:function(n){delete this.files[n]},clear:function(){this.files={}}};class Wwt{constructor(e,t,i){const s=this;let r=!1,o=0,a=0,l;const d=[];this.onStart=void 0,this.onLoad=e,this.onProgress=t,this.onError=i,this.itemStart=function(c){a++,r===!1&&s.onStart!==void 0&&s.onStart(c,o,a),r=!0},this.itemEnd=function(c){o++,s.onProgress!==void 0&&s.onProgress(c,o,a),o===a&&(r=!1,s.onLoad!==void 0&&s.onLoad())},this.itemError=function(c){s.onError!==void 0&&s.onError(c)},this.resolveURL=function(c){return l?l(c):c},this.setURLModifier=function(c){return l=c,this},this.addHandler=function(c,_){return d.push(c,_),this},this.removeHandler=function(c){const _=d.indexOf(c);return _!==-1&&d.splice(_,2),this},this.getHandler=function(c){for(let _=0,f=d.length;_<f;_+=2){const m=d[_],h=d[_+1];if(m.global&&(m.lastIndex=0),m.test(c))return h}return null}}}const Kwt=new Wwt;class Ja{constructor(e){this.manager=e!==void 0?e:Kwt,this.crossOrigin="anonymous",this.withCredentials=!1,this.path="",this.resourcePath="",this.requestHeader={}}load(){}loadAsync(e,t){const i=this;return new Promise(function(s,r){i.load(e,s,t,r)})}parse(){}setCrossOrigin(e){return this.crossOrigin=e,this}setWithCredentials(e){return this.withCredentials=e,this}setPath(e){return this.path=e,this}setResourcePath(e){return this.resourcePath=e,this}setRequestHeader(e){return this.requestHeader=e,this}}Ja.DEFAULT_MATERIAL_NAME="__DEFAULT";const ws={};class jwt extends Error{constructor(e,t){super(e),this.response=t}}class NI extends Ja{constructor(e){super(e)}load(e,t,i,s){e===void 0&&(e=""),this.path!==void 0&&(e=this.path+e),e=this.manager.resolveURL(e);const r=Da.get(e);if(r!==void 0)return this.manager.itemStart(e),setTimeout(()=>{t&&t(r),this.manager.itemEnd(e)},0),r;if(ws[e]!==void 0){ws[e].push({onLoad:t,onProgress:i,onError:s});return}ws[e]=[],ws[e].push({onLoad:t,onProgress:i,onError:s});const o=new Request(e,{headers:new Headers(this.requestHeader),credentials:this.withCredentials?"include":"same-origin"}),a=this.mimeType,l=this.responseType;fetch(o).then(d=>{if(d.status===200||d.status===0){if(d.status===0&&console.warn("THREE.FileLoader: HTTP Status 0 received."),typeof ReadableStream>"u"||d.body===void 0||d.body.getReader===void 0)return d;const c=ws[e],_=d.body.getReader(),f=d.headers.get("Content-Length")||d.headers.get("X-File-Size"),m=f?parseInt(f):0,h=m!==0;let E=0;const b=new ReadableStream({start(g){v();function v(){_.read().then(({done:y,value:T})=>{if(y)g.close();else{E+=T.byteLength;const C=new ProgressEvent("progress",{lengthComputable:h,loaded:E,total:m});for(let x=0,O=c.length;x<O;x++){const R=c[x];R.onProgress&&R.onProgress(C)}g.enqueue(T),v()}})}}});return new Response(b)}else throw new jwt(`fetch for "${d.url}" responded with ${d.status}: ${d.statusText}`,d)}).then(d=>{switch(l){case"arraybuffer":return d.arrayBuffer();case"blob":return d.blob();case"document":return d.text().then(c=>new DOMParser().parseFromString(c,a));case"json":return d.json();default:if(a===void 0)return d.text();{const _=/charset="?([^;"\s]*)"?/i.exec(a),f=_&&_[1]?_[1].toLowerCase():void 0,m=new TextDecoder(f);return d.arrayBuffer().then(h=>m.decode(h))}}}).then(d=>{Da.add(e,d);const c=ws[e];delete ws[e];for(let _=0,f=c.length;_<f;_++){const m=c[_];m.onLoad&&m.onLoad(d)}}).catch(d=>{const c=ws[e];if(c===void 0)throw this.manager.itemError(e),d;delete ws[e];for(let _=0,f=c.length;_<f;_++){const m=c[_];m.onError&&m.onError(d)}this.manager.itemError(e)}).finally(()=>{this.manager.itemEnd(e)}),this.manager.itemStart(e)}setResponseType(e){return this.responseType=e,this}setMimeType(e){return this.mimeType=e,this}}class Qwt extends Ja{constructor(e){super(e)}load(e,t,i,s){this.path!==void 0&&(e=this.path+e),e=this.manager.resolveURL(e);const r=this,o=Da.get(e);if(o!==void 0)return r.manager.itemStart(e),setTimeout(function(){t&&t(o),r.manager.itemEnd(e)},0),o;const a=lc("img");function l(){c(),Da.add(e,this),t&&t(this),r.manager.itemEnd(e)}function d(_){c(),s&&s(_),r.manager.itemError(e),r.manager.itemEnd(e)}function c(){a.removeEventListener("load",l,!1),a.removeEventListener("error",d,!1)}return a.addEventListener("load",l,!1),a.addEventListener("error",d,!1),e.slice(0,5)!=="data:"&&this.crossOrigin!==void 0&&(a.crossOrigin=this.crossOrigin),r.manager.itemStart(e),a.src=e,a}}class OI extends Ja{constructor(e){super(e)}load(e,t,i,s){const r=new wn,o=new Qwt(this.manager);return o.setCrossOrigin(this.crossOrigin),o.setPath(this.path),o.load(e,function(a){r.image=a,r.needsUpdate=!0,t!==void 0&&t(r)},i,s),r}}class fp extends sn{constructor(e,t=1){super(),this.isLight=!0,this.type="Light",this.color=new gt(e),this.intensity=t}dispose(){}copy(e,t){return super.copy(e,t),this.color.copy(e.color),this.intensity=e.intensity,this}toJSON(e){const t=super.toJSON(e);return t.object.color=this.color.getHex(),t.object.intensity=this.intensity,this.groundColor!==void 0&&(t.object.groundColor=this.groundColor.getHex()),this.distance!==void 0&&(t.object.distance=this.distance),this.angle!==void 0&&(t.object.angle=this.angle),this.decay!==void 0&&(t.object.decay=this.decay),this.penumbra!==void 0&&(t.object.penumbra=this.penumbra),this.shadow!==void 0&&(t.object.shadow=this.shadow.toJSON()),t}}const mg=new Rt,AR=new be,wR=new be;class lv{constructor(e){this.camera=e,this.bias=0,this.normalBias=0,this.radius=1,this.blurSamples=8,this.mapSize=new It(512,512),this.map=null,this.mapPass=null,this.matrix=new Rt,this.autoUpdate=!0,this.needsUpdate=!1,this._frustum=new ev,this._frameExtents=new It(1,1),this._viewportCount=1,this._viewports=[new Wt(0,0,1,1)]}getViewportCount(){return this._viewportCount}getFrustum(){return this._frustum}updateMatrices(e){const t=this.camera,i=this.matrix;AR.setFromMatrixPosition(e.matrixWorld),t.position.copy(AR),wR.setFromMatrixPosition(e.target.matrixWorld),t.lookAt(wR),t.updateMatrixWorld(),mg.multiplyMatrices(t.projectionMatrix,t.matrixWorldInverse),this._frustum.setFromProjectionMatrix(mg),i.set(.5,0,0,.5,0,.5,0,.5,0,0,.5,.5,0,0,0,1),i.multiply(mg)}getViewport(e){return this._viewports[e]}getFrameExtents(){return this._frameExtents}dispose(){this.map&&this.map.dispose(),this.mapPass&&this.mapPass.dispose()}copy(e){return this.camera=e.camera.clone(),this.bias=e.bias,this.radius=e.radius,this.mapSize.copy(e.mapSize),this}clone(){return new this.constructor().copy(this)}toJSON(){const e={};return this.bias!==0&&(e.bias=this.bias),this.normalBias!==0&&(e.normalBias=this.normalBias),this.radius!==1&&(e.radius=this.radius),(this.mapSize.x!==512||this.mapSize.y!==512)&&(e.mapSize=this.mapSize.toArray()),e.camera=this.camera.toJSON(!1).object,delete e.camera.matrix,e}}class Xwt extends lv{constructor(){super(new Vn(50,1,.5,500)),this.isSpotLightShadow=!0,this.focus=1}updateMatrices(e){const t=this.camera,i=Na*2*e.angle*this.focus,s=this.mapSize.width/this.mapSize.height,r=e.distance||t.far;(i!==t.fov||s!==t.aspect||r!==t.far)&&(t.fov=i,t.aspect=s,t.far=r,t.updateProjectionMatrix()),super.updateMatrices(e)}copy(e){return super.copy(e),this.focus=e.focus,this}}class Zwt extends fp{constructor(e,t,i=0,s=Math.PI/3,r=0,o=2){super(e,t),this.isSpotLight=!0,this.type="SpotLight",this.position.copy(sn.DEFAULT_UP),this.updateMatrix(),this.target=new sn,this.distance=i,this.angle=s,this.penumbra=r,this.decay=o,this.map=null,this.shadow=new Xwt}get power(){return this.intensity*Math.PI}set power(e){this.intensity=e/Math.PI}dispose(){this.shadow.dispose()}copy(e,t){return super.copy(e,t),this.distance=e.distance,this.angle=e.angle,this.penumbra=e.penumbra,this.decay=e.decay,this.target=e.target.clone(),this.shadow=e.shadow.clone(),this}}const NR=new Rt,Sl=new be,gg=new be;class Jwt extends lv{constructor(){super(new Vn(90,1,.5,500)),this.isPointLightShadow=!0,this._frameExtents=new It(4,2),this._viewportCount=6,this._viewports=[new Wt(2,1,1,1),new Wt(0,1,1,1),new Wt(3,1,1,1),new Wt(1,1,1,1),new Wt(3,0,1,1),new Wt(1,0,1,1)],this._cubeDirections=[new be(1,0,0),new be(-1,0,0),new be(0,0,1),new be(0,0,-1),new be(0,1,0),new be(0,-1,0)],this._cubeUps=[new be(0,1,0),new be(0,1,0),new be(0,1,0),new be(0,1,0),new be(0,0,1),new be(0,0,-1)]}updateMatrices(e,t=0){const i=this.camera,s=this.matrix,r=e.distance||i.far;r!==i.far&&(i.far=r,i.updateProjectionMatrix()),Sl.setFromMatrixPosition(e.matrixWorld),i.position.copy(Sl),gg.copy(i.position),gg.add(this._cubeDirections[t]),i.up.copy(this._cubeUps[t]),i.lookAt(gg),i.updateMatrixWorld(),s.makeTranslation(-Sl.x,-Sl.y,-Sl.z),NR.multiplyMatrices(i.projectionMatrix,i.matrixWorldInverse),this._frustum.setFromProjectionMatrix(NR)}}class eNt extends fp{constructor(e,t,i=0,s=2){super(e,t),this.isPointLight=!0,this.type="PointLight",this.distance=i,this.decay=s,this.shadow=new Jwt}get power(){return this.intensity*4*Math.PI}set power(e){this.intensity=e/(4*Math.PI)}dispose(){this.shadow.dispose()}copy(e,t){return super.copy(e,t),this.distance=e.distance,this.decay=e.decay,this.shadow=e.shadow.clone(),this}}class tNt extends lv{constructor(){super(new nv(-5,5,5,-5,.5,500)),this.isDirectionalLightShadow=!0}}class II extends fp{constructor(e,t){super(e,t),this.isDirectionalLight=!0,this.type="DirectionalLight",this.position.copy(sn.DEFAULT_UP),this.updateMatrix(),this.target=new sn,this.shadow=new tNt}dispose(){this.shadow.dispose()}copy(e){return super.copy(e),this.target=e.target.clone(),this.shadow=e.shadow.clone(),this}}class nNt extends fp{constructor(e,t){super(e,t),this.isAmbientLight=!0,this.type="AmbientLight"}}class zl{static decodeText(e){if(typeof TextDecoder<"u")return new TextDecoder().decode(e);let t="";for(let i=0,s=e.length;i<s;i++)t+=String.fromCharCode(e[i]);try{return decodeURIComponent(escape(t))}catch{return t}}static extractUrlBase(e){const t=e.lastIndexOf("/");return t===-1?"./":e.slice(0,t+1)}static resolveURL(e,t){return typeof e!="string"||e===""?"":(/^https?:\/\//i.test(t)&&/^\//.test(e)&&(t=t.replace(/(^https?:\/\/[^\/]+).*/i,"$1")),/^(https?:)?\/\//i.test(e)||/^data:.*,.*$/i.test(e)||/^blob:.*$/i.test(e)?e:t+e)}}class iNt extends Ja{constructor(e){super(e),this.isImageBitmapLoader=!0,typeof createImageBitmap>"u"&&console.warn("THREE.ImageBitmapLoader: createImageBitmap() not supported."),typeof fetch>"u"&&console.warn("THREE.ImageBitmapLoader: fetch() not supported."),this.options={premultiplyAlpha:"none"}}setOptions(e){return this.options=e,this}load(e,t,i,s){e===void 0&&(e=""),this.path!==void 0&&(e=this.path+e),e=this.manager.resolveURL(e);const r=this,o=Da.get(e);if(o!==void 0)return r.manager.itemStart(e),setTimeout(function(){t&&t(o),r.manager.itemEnd(e)},0),o;const a={};a.credentials=this.crossOrigin==="anonymous"?"same-origin":"include",a.headers=this.requestHeader,fetch(e,a).then(function(l){return l.blob()}).then(function(l){return createImageBitmap(l,Object.assign(r.options,{colorSpaceConversion:"none"}))}).then(function(l){Da.add(e,l),t&&t(l),r.manager.itemEnd(e)}).catch(function(l){s&&s(l),r.manager.itemError(e),r.manager.itemEnd(e)}),r.manager.itemStart(e)}}const cv="\\[\\]\\.:\\/",sNt=new RegExp("["+cv+"]","g"),dv="[^"+cv+"]",rNt="[^"+cv.replace("\\.","")+"]",oNt=/((?:WC+[\/:])*)/.source.replace("WC",dv),aNt=/(WCOD+)?/.source.replace("WCOD",rNt),lNt=/(?:\.(WC+)(?:\[(.+)\])?)?/.source.replace("WC",dv),cNt=/\.(WC+)(?:\[(.+)\])?/.source.replace("WC",dv),dNt=new RegExp("^"+oNt+aNt+lNt+cNt+"$"),uNt=["material","materials","bones","map"];class pNt{constructor(e,t,i){const s=i||Gt.parseTrackName(t);this._targetGroup=e,this._bindings=e.subscribe_(t,s)}getValue(e,t){this.bind();const i=this._targetGroup.nCachedObjects_,s=this._bindings[i];s!==void 0&&s.getValue(e,t)}setValue(e,t){const i=this._bindings;for(let s=this._targetGroup.nCachedObjects_,r=i.length;s!==r;++s)i[s].setValue(e,t)}bind(){const e=this._bindings;for(let t=this._targetGroup.nCachedObjects_,i=e.length;t!==i;++t)e[t].bind()}unbind(){const e=this._bindings;for(let t=this._targetGroup.nCachedObjects_,i=e.length;t!==i;++t)e[t].unbind()}}class Gt{constructor(e,t,i){this.path=t,this.parsedPath=i||Gt.parseTrackName(t),this.node=Gt.findNode(e,this.parsedPath.nodeName),this.rootNode=e,this.getValue=this._getValue_unbound,this.setValue=this._setValue_unbound}static create(e,t,i){return e&&e.isAnimationObjectGroup?new Gt.Composite(e,t,i):new Gt(e,t,i)}static sanitizeNodeName(e){return e.replace(/\s/g,"_").replace(sNt,"")}static parseTrackName(e){const t=dNt.exec(e);if(t===null)throw new Error("PropertyBinding: Cannot parse trackName: "+e);const i={nodeName:t[2],objectName:t[3],objectIndex:t[4],propertyName:t[5],propertyIndex:t[6]},s=i.nodeName&&i.nodeName.lastIndexOf(".");if(s!==void 0&&s!==-1){const r=i.nodeName.substring(s+1);uNt.indexOf(r)!==-1&&(i.nodeName=i.nodeName.substring(0,s),i.objectName=r)}if(i.propertyName===null||i.propertyName.length===0)throw new Error("PropertyBinding: can not parse propertyName from trackName: "+e);return i}static findNode(e,t){if(t===void 0||t===""||t==="."||t===-1||t===e.name||t===e.uuid)return e;if(e.skeleton){const i=e.skeleton.getBoneByName(t);if(i!==void 0)return i}if(e.children){const i=function(r){for(let o=0;o<r.length;o++){const a=r[o];if(a.name===t||a.uuid===t)return a;const l=i(a.children);if(l)return l}return null},s=i(e.children);if(s)return s}return null}_getValue_unavailable(){}_setValue_unavailable(){}_getValue_direct(e,t){e[t]=this.targetObject[this.propertyName]}_getValue_array(e,t){const i=this.resolvedProperty;for(let s=0,r=i.length;s!==r;++s)e[t++]=i[s]}_getValue_arrayElement(e,t){e[t]=this.resolvedProperty[this.propertyIndex]}_getValue_toArray(e,t){this.resolvedProperty.toArray(e,t)}_setValue_direct(e,t){this.targetObject[this.propertyName]=e[t]}_setValue_direct_setNeedsUpdate(e,t){this.targetObject[this.propertyName]=e[t],this.targetObject.needsUpdate=!0}_setValue_direct_setMatrixWorldNeedsUpdate(e,t){this.targetObject[this.propertyName]=e[t],this.targetObject.matrixWorldNeedsUpdate=!0}_setValue_array(e,t){const i=this.resolvedProperty;for(let s=0,r=i.length;s!==r;++s)i[s]=e[t++]}_setValue_array_setNeedsUpdate(e,t){const i=this.resolvedProperty;for(let s=0,r=i.length;s!==r;++s)i[s]=e[t++];this.targetObject.needsUpdate=!0}_setValue_array_setMatrixWorldNeedsUpdate(e,t){const i=this.resolvedProperty;for(let s=0,r=i.length;s!==r;++s)i[s]=e[t++];this.targetObject.matrixWorldNeedsUpdate=!0}_setValue_arrayElement(e,t){this.resolvedProperty[this.propertyIndex]=e[t]}_setValue_arrayElement_setNeedsUpdate(e,t){this.resolvedProperty[this.propertyIndex]=e[t],this.targetObject.needsUpdate=!0}_setValue_arrayElement_setMatrixWorldNeedsUpdate(e,t){this.resolvedProperty[this.propertyIndex]=e[t],this.targetObject.matrixWorldNeedsUpdate=!0}_setValue_fromArray(e,t){this.resolvedProperty.fromArray(e,t)}_setValue_fromArray_setNeedsUpdate(e,t){this.resolvedProperty.fromArray(e,t),this.targetObject.needsUpdate=!0}_setValue_fromArray_setMatrixWorldNeedsUpdate(e,t){this.resolvedProperty.fromArray(e,t),this.targetObject.matrixWorldNeedsUpdate=!0}_getValue_unbound(e,t){this.bind(),this.getValue(e,t)}_setValue_unbound(e,t){this.bind(),this.setValue(e,t)}bind(){let e=this.node;const t=this.parsedPath,i=t.objectName,s=t.propertyName;let r=t.propertyIndex;if(e||(e=Gt.findNode(this.rootNode,t.nodeName),this.node=e),this.getValue=this._getValue_unavailable,this.setValue=this._setValue_unavailable,!e){console.warn("THREE.PropertyBinding: No target node found for track: "+this.path+".");return}if(i){let d=t.objectIndex;switch(i){case"materials":if(!e.material){console.error("THREE.PropertyBinding: Can not bind to material as node does not have a material.",this);return}if(!e.material.materials){console.error("THREE.PropertyBinding: Can not bind to material.materials as node.material does not have a materials array.",this);return}e=e.material.materials;break;case"bones":if(!e.skeleton){console.error("THREE.PropertyBinding: Can not bind to bones as node does not have a skeleton.",this);return}e=e.skeleton.bones;for(let c=0;c<e.length;c++)if(e[c].name===d){d=c;break}break;case"map":if("map"in e){e=e.map;break}if(!e.material){console.error("THREE.PropertyBinding: Can not bind to material as node does not have a material.",this);return}if(!e.material.map){console.error("THREE.PropertyBinding: Can not bind to material.map as node.material does not have a map.",this);return}e=e.material.map;break;default:if(e[i]===void 0){console.error("THREE.PropertyBinding: Can not bind to objectName of node undefined.",this);return}e=e[i]}if(d!==void 0){if(e[d]===void 0){console.error("THREE.PropertyBinding: Trying to bind to objectIndex of objectName, but is undefined.",this,e);return}e=e[d]}}const o=e[s];if(o===void 0){const d=t.nodeName;console.error("THREE.PropertyBinding: Trying to update property for track: "+d+"."+s+" but it wasn't found.",e);return}let a=this.Versioning.None;this.targetObject=e,e.needsUpdate!==void 0?a=this.Versioning.NeedsUpdate:e.matrixWorldNeedsUpdate!==void 0&&(a=this.Versioning.MatrixWorldNeedsUpdate);let l=this.BindingType.Direct;if(r!==void 0){if(s==="morphTargetInfluences"){if(!e.geometry){console.error("THREE.PropertyBinding: Can not bind to morphTargetInfluences because node does not have a geometry.",this);return}if(!e.geometry.morphAttributes){console.error("THREE.PropertyBinding: Can not bind to morphTargetInfluences because node does not have a geometry.morphAttributes.",this);return}e.morphTargetDictionary[r]!==void 0&&(r=e.morphTargetDictionary[r])}l=this.BindingType.ArrayElement,this.resolvedProperty=o,this.propertyIndex=r}else o.fromArray!==void 0&&o.toArray!==void 0?(l=this.BindingType.HasFromToArray,this.resolvedProperty=o):Array.isArray(o)?(l=this.BindingType.EntireArray,this.resolvedProperty=o):this.propertyName=s;this.getValue=this.GetterByBindingType[l],this.setValue=this.SetterByBindingTypeAndVersioning[l][a]}unbind(){this.node=null,this.getValue=this._getValue_unbound,this.setValue=this._setValue_unbound}}Gt.Composite=pNt;Gt.prototype.BindingType={Direct:0,EntireArray:1,ArrayElement:2,HasFromToArray:3};Gt.prototype.Versioning={None:0,NeedsUpdate:1,MatrixWorldNeedsUpdate:2};Gt.prototype.GetterByBindingType=[Gt.prototype._getValue_direct,Gt.prototype._getValue_array,Gt.prototype._getValue_arrayElement,Gt.prototype._getValue_toArray];Gt.prototype.SetterByBindingTypeAndVersioning=[[Gt.prototype._setValue_direct,Gt.prototype._setValue_direct_setNeedsUpdate,Gt.prototype._setValue_direct_setMatrixWorldNeedsUpdate],[Gt.prototype._setValue_array,Gt.prototype._setValue_array_setNeedsUpdate,Gt.prototype._setValue_array_setMatrixWorldNeedsUpdate],[Gt.prototype._setValue_arrayElement,Gt.prototype._setValue_arrayElement_setNeedsUpdate,Gt.prototype._setValue_arrayElement_setMatrixWorldNeedsUpdate],[Gt.prototype._setValue_fromArray,Gt.prototype._setValue_fromArray_setNeedsUpdate,Gt.prototype._setValue_fromArray_setMatrixWorldNeedsUpdate]];typeof __THREE_DEVTOOLS__<"u"&&__THREE_DEVTOOLS__.dispatchEvent(new CustomEvent("register",{detail:{revision:KE}}));typeof window<"u"&&(window.__THREE__?console.warn("WARNING: Multiple instances of Three.js being imported."):window.__THREE__=KE);function OR(n,e){if(e===QTt)return console.warn("THREE.BufferGeometryUtils.toTrianglesDrawMode(): Geometry already defined as triangles."),n;if(e===ub||e===nI){let t=n.getIndex();if(t===null){const o=[],a=n.getAttribute("position");if(a!==void 0){for(let l=0;l<a.count;l++)o.push(l);n.setIndex(o),t=n.getIndex()}else return console.error("THREE.BufferGeometryUtils.toTrianglesDrawMode(): Undefined position attribute. Processing not possible."),n}const i=t.count-2,s=[];if(e===ub)for(let o=1;o<=i;o++)s.push(t.getX(0)),s.push(t.getX(o)),s.push(t.getX(o+1));else for(let o=0;o<i;o++)o%2===0?(s.push(t.getX(o)),s.push(t.getX(o+1)),s.push(t.getX(o+2))):(s.push(t.getX(o+2)),s.push(t.getX(o+1)),s.push(t.getX(o)));s.length/3!==i&&console.error("THREE.BufferGeometryUtils.toTrianglesDrawMode(): Unable to generate correct amount of triangles.");const r=n.clone();return r.setIndex(s),r.clearGroups(),r}else return console.error("THREE.BufferGeometryUtils.toTrianglesDrawMode(): Unknown draw mode:",e),n}class _Nt extends Ja{constructor(e){super(e),this.dracoLoader=null,this.ktx2Loader=null,this.meshoptDecoder=null,this.pluginCallbacks=[],this.register(function(t){return new bNt(t)}),this.register(function(t){return new ANt(t)}),this.register(function(t){return new wNt(t)}),this.register(function(t){return new NNt(t)}),this.register(function(t){return new vNt(t)}),this.register(function(t){return new yNt(t)}),this.register(function(t){return new SNt(t)}),this.register(function(t){return new TNt(t)}),this.register(function(t){return new gNt(t)}),this.register(function(t){return new xNt(t)}),this.register(function(t){return new ENt(t)}),this.register(function(t){return new RNt(t)}),this.register(function(t){return new CNt(t)}),this.register(function(t){return new fNt(t)}),this.register(function(t){return new ONt(t)}),this.register(function(t){return new INt(t)})}load(e,t,i,s){const r=this;let o;if(this.resourcePath!=="")o=this.resourcePath;else if(this.path!==""){const d=zl.extractUrlBase(e);o=zl.resolveURL(d,this.path)}else o=zl.extractUrlBase(e);this.manager.itemStart(e);const a=function(d){s?s(d):console.error(d),r.manager.itemError(e),r.manager.itemEnd(e)},l=new NI(this.manager);l.setPath(this.path),l.setResponseType("arraybuffer"),l.setRequestHeader(this.requestHeader),l.setWithCredentials(this.withCredentials),l.load(e,function(d){try{r.parse(d,o,function(c){t(c),r.manager.itemEnd(e)},a)}catch(c){a(c)}},i,a)}setDRACOLoader(e){return this.dracoLoader=e,this}setDDSLoader(){throw new Error('THREE.GLTFLoader: "MSFT_texture_dds" no longer supported. Please update to "KHR_texture_basisu".')}setKTX2Loader(e){return this.ktx2Loader=e,this}setMeshoptDecoder(e){return this.meshoptDecoder=e,this}register(e){return this.pluginCallbacks.indexOf(e)===-1&&this.pluginCallbacks.push(e),this}unregister(e){return this.pluginCallbacks.indexOf(e)!==-1&&this.pluginCallbacks.splice(this.pluginCallbacks.indexOf(e),1),this}parse(e,t,i,s){let r;const o={},a={},l=new TextDecoder;if(typeof e=="string")r=JSON.parse(e);else if(e instanceof ArrayBuffer)if(l.decode(new Uint8Array(e,0,4))===MI){try{o[Nt.KHR_BINARY_GLTF]=new MNt(e)}catch(_){s&&s(_);return}r=JSON.parse(o[Nt.KHR_BINARY_GLTF].content)}else r=JSON.parse(l.decode(e));else r=e;if(r.asset===void 0||r.asset.version[0]<2){s&&s(new Error("THREE.GLTFLoader: Unsupported asset. glTF versions >=2.0 are supported."));return}const d=new YNt(r,{path:t||this.resourcePath||"",crossOrigin:this.crossOrigin,requestHeader:this.requestHeader,manager:this.manager,ktx2Loader:this.ktx2Loader,meshoptDecoder:this.meshoptDecoder});d.fileLoader.setRequestHeader(this.requestHeader);for(let c=0;c<this.pluginCallbacks.length;c++){const _=this.pluginCallbacks[c](d);_.name||console.error("THREE.GLTFLoader: Invalid plugin found: missing name"),a[_.name]=_,o[_.name]=!0}if(r.extensionsUsed)for(let c=0;c<r.extensionsUsed.length;++c){const _=r.extensionsUsed[c],f=r.extensionsRequired||[];switch(_){case Nt.KHR_MATERIALS_UNLIT:o[_]=new mNt;break;case Nt.KHR_DRACO_MESH_COMPRESSION:o[_]=new DNt(r,this.dracoLoader);break;case Nt.KHR_TEXTURE_TRANSFORM:o[_]=new kNt;break;case Nt.KHR_MESH_QUANTIZATION:o[_]=new LNt;break;default:f.indexOf(_)>=0&&a[_]===void 0&&console.warn('THREE.GLTFLoader: Unknown extension "'+_+'".')}}d.setExtensions(o),d.setPlugins(a),d.parse(i,s)}parseAsync(e,t){const i=this;return new Promise(function(s,r){i.parse(e,t,s,r)})}}function hNt(){let n={};return{get:function(e){return n[e]},add:function(e,t){n[e]=t},remove:function(e){delete n[e]},removeAll:function(){n={}}}}const Nt={KHR_BINARY_GLTF:"KHR_binary_glTF",KHR_DRACO_MESH_COMPRESSION:"KHR_draco_mesh_compression",KHR_LIGHTS_PUNCTUAL:"KHR_lights_punctual",KHR_MATERIALS_CLEARCOAT:"KHR_materials_clearcoat",KHR_MATERIALS_IOR:"KHR_materials_ior",KHR_MATERIALS_SHEEN:"KHR_materials_sheen",KHR_MATERIALS_SPECULAR:"KHR_materials_specular",KHR_MATERIALS_TRANSMISSION:"KHR_materials_transmission",KHR_MATERIALS_IRIDESCENCE:"KHR_materials_iridescence",KHR_MATERIALS_ANISOTROPY:"KHR_materials_anisotropy",KHR_MATERIALS_UNLIT:"KHR_materials_unlit",KHR_MATERIALS_VOLUME:"KHR_materials_volume",KHR_TEXTURE_BASISU:"KHR_texture_basisu",KHR_TEXTURE_TRANSFORM:"KHR_texture_transform",KHR_MESH_QUANTIZATION:"KHR_mesh_quantization",KHR_MATERIALS_EMISSIVE_STRENGTH:"KHR_materials_emissive_strength",EXT_MATERIALS_BUMP:"EXT_materials_bump",EXT_TEXTURE_WEBP:"EXT_texture_webp",EXT_TEXTURE_AVIF:"EXT_texture_avif",EXT_MESHOPT_COMPRESSION:"EXT_meshopt_compression",EXT_MESH_GPU_INSTANCING:"EXT_mesh_gpu_instancing"};class fNt{constructor(e){this.parser=e,this.name=Nt.KHR_LIGHTS_PUNCTUAL,this.cache={refs:{},uses:{}}}_markDefs(){const e=this.parser,t=this.parser.json.nodes||[];for(let i=0,s=t.length;i<s;i++){const r=t[i];r.extensions&&r.extensions[this.name]&&r.extensions[this.name].light!==void 0&&e._addNodeRef(this.cache,r.extensions[this.name].light)}}_loadLight(e){const t=this.parser,i="light:"+e;let s=t.cache.get(i);if(s)return s;const r=t.json,l=((r.extensions&&r.extensions[this.name]||{}).lights||[])[e];let d;const c=new gt(16777215);l.color!==void 0&&c.setRGB(l.color[0],l.color[1],l.color[2],Nn);const _=l.range!==void 0?l.range:0;switch(l.type){case"directional":d=new II(c),d.target.position.set(0,0,-1),d.add(d.target);break;case"point":d=new eNt(c),d.distance=_;break;case"spot":d=new Zwt(c),d.distance=_,l.spot=l.spot||{},l.spot.innerConeAngle=l.spot.innerConeAngle!==void 0?l.spot.innerConeAngle:0,l.spot.outerConeAngle=l.spot.outerConeAngle!==void 0?l.spot.outerConeAngle:Math.PI/4,d.angle=l.spot.outerConeAngle,d.penumbra=1-l.spot.innerConeAngle/l.spot.outerConeAngle,d.target.position.set(0,0,-1),d.add(d.target);break;default:throw new Error("THREE.GLTFLoader: Unexpected light type: "+l.type)}return d.position.set(0,0,0),d.decay=2,hr(d,l),l.intensity!==void 0&&(d.intensity=l.intensity),d.name=t.createUniqueName(l.name||"light_"+e),s=Promise.resolve(d),t.cache.add(i,s),s}getDependency(e,t){if(e==="light")return this._loadLight(t)}createNodeAttachment(e){const t=this,i=this.parser,r=i.json.nodes[e],a=(r.extensions&&r.extensions[this.name]||{}).light;return a===void 0?null:this._loadLight(a).then(function(l){return i._getNodeRef(t.cache,a,l)})}}class mNt{constructor(){this.name=Nt.KHR_MATERIALS_UNLIT}getMaterialType(){return br}extendParams(e,t,i){const s=[];e.color=new gt(1,1,1),e.opacity=1;const r=t.pbrMetallicRoughness;if(r){if(Array.isArray(r.baseColorFactor)){const o=r.baseColorFactor;e.color.setRGB(o[0],o[1],o[2],Nn),e.opacity=o[3]}r.baseColorTexture!==void 0&&s.push(i.assignTexture(e,"map",r.baseColorTexture,rn))}return Promise.all(s)}}class gNt{constructor(e){this.parser=e,this.name=Nt.KHR_MATERIALS_EMISSIVE_STRENGTH}extendMaterialParams(e,t){const s=this.parser.json.materials[e];if(!s.extensions||!s.extensions[this.name])return Promise.resolve();const r=s.extensions[this.name].emissiveStrength;return r!==void 0&&(t.emissiveIntensity=r),Promise.resolve()}}class bNt{constructor(e){this.parser=e,this.name=Nt.KHR_MATERIALS_CLEARCOAT}getMaterialType(e){const i=this.parser.json.materials[e];return!i.extensions||!i.extensions[this.name]?null:js}extendMaterialParams(e,t){const i=this.parser,s=i.json.materials[e];if(!s.extensions||!s.extensions[this.name])return Promise.resolve();const r=[],o=s.extensions[this.name];if(o.clearcoatFactor!==void 0&&(t.clearcoat=o.clearcoatFactor),o.clearcoatTexture!==void 0&&r.push(i.assignTexture(t,"clearcoatMap",o.clearcoatTexture)),o.clearcoatRoughnessFactor!==void 0&&(t.clearcoatRoughness=o.clearcoatRoughnessFactor),o.clearcoatRoughnessTexture!==void 0&&r.push(i.assignTexture(t,"clearcoatRoughnessMap",o.clearcoatRoughnessTexture)),o.clearcoatNormalTexture!==void 0&&(r.push(i.assignTexture(t,"clearcoatNormalMap",o.clearcoatNormalTexture)),o.clearcoatNormalTexture.scale!==void 0)){const a=o.clearcoatNormalTexture.scale;t.clearcoatNormalScale=new It(a,a)}return Promise.all(r)}}class ENt{constructor(e){this.parser=e,this.name=Nt.KHR_MATERIALS_IRIDESCENCE}getMaterialType(e){const i=this.parser.json.materials[e];return!i.extensions||!i.extensions[this.name]?null:js}extendMaterialParams(e,t){const i=this.parser,s=i.json.materials[e];if(!s.extensions||!s.extensions[this.name])return Promise.resolve();const r=[],o=s.extensions[this.name];return o.iridescenceFactor!==void 0&&(t.iridescence=o.iridescenceFactor),o.iridescenceTexture!==void 0&&r.push(i.assignTexture(t,"iridescenceMap",o.iridescenceTexture)),o.iridescenceIor!==void 0&&(t.iridescenceIOR=o.iridescenceIor),t.iridescenceThicknessRange===void 0&&(t.iridescenceThicknessRange=[100,400]),o.iridescenceThicknessMinimum!==void 0&&(t.iridescenceThicknessRange[0]=o.iridescenceThicknessMinimum),o.iridescenceThicknessMaximum!==void 0&&(t.iridescenceThicknessRange[1]=o.iridescenceThicknessMaximum),o.iridescenceThicknessTexture!==void 0&&r.push(i.assignTexture(t,"iridescenceThicknessMap",o.iridescenceThicknessTexture)),Promise.all(r)}}class vNt{constructor(e){this.parser=e,this.name=Nt.KHR_MATERIALS_SHEEN}getMaterialType(e){const i=this.parser.json.materials[e];return!i.extensions||!i.extensions[this.name]?null:js}extendMaterialParams(e,t){const i=this.parser,s=i.json.materials[e];if(!s.extensions||!s.extensions[this.name])return Promise.resolve();const r=[];t.sheenColor=new gt(0,0,0),t.sheenRoughness=0,t.sheen=1;const o=s.extensions[this.name];if(o.sheenColorFactor!==void 0){const a=o.sheenColorFactor;t.sheenColor.setRGB(a[0],a[1],a[2],Nn)}return o.sheenRoughnessFactor!==void 0&&(t.sheenRoughness=o.sheenRoughnessFactor),o.sheenColorTexture!==void 0&&r.push(i.assignTexture(t,"sheenColorMap",o.sheenColorTexture,rn)),o.sheenRoughnessTexture!==void 0&&r.push(i.assignTexture(t,"sheenRoughnessMap",o.sheenRoughnessTexture)),Promise.all(r)}}class yNt{constructor(e){this.parser=e,this.name=Nt.KHR_MATERIALS_TRANSMISSION}getMaterialType(e){const i=this.parser.json.materials[e];return!i.extensions||!i.extensions[this.name]?null:js}extendMaterialParams(e,t){const i=this.parser,s=i.json.materials[e];if(!s.extensions||!s.extensions[this.name])return Promise.resolve();const r=[],o=s.extensions[this.name];return o.transmissionFactor!==void 0&&(t.transmission=o.transmissionFactor),o.transmissionTexture!==void 0&&r.push(i.assignTexture(t,"transmissionMap",o.transmissionTexture)),Promise.all(r)}}class SNt{constructor(e){this.parser=e,this.name=Nt.KHR_MATERIALS_VOLUME}getMaterialType(e){const i=this.parser.json.materials[e];return!i.extensions||!i.extensions[this.name]?null:js}extendMaterialParams(e,t){const i=this.parser,s=i.json.materials[e];if(!s.extensions||!s.extensions[this.name])return Promise.resolve();const r=[],o=s.extensions[this.name];t.thickness=o.thicknessFactor!==void 0?o.thicknessFactor:0,o.thicknessTexture!==void 0&&r.push(i.assignTexture(t,"thicknessMap",o.thicknessTexture)),t.attenuationDistance=o.attenuationDistance||1/0;const a=o.attenuationColor||[1,1,1];return t.attenuationColor=new gt().setRGB(a[0],a[1],a[2],Nn),Promise.all(r)}}class TNt{constructor(e){this.parser=e,this.name=Nt.KHR_MATERIALS_IOR}getMaterialType(e){const i=this.parser.json.materials[e];return!i.extensions||!i.extensions[this.name]?null:js}extendMaterialParams(e,t){const s=this.parser.json.materials[e];if(!s.extensions||!s.extensions[this.name])return Promise.resolve();const r=s.extensions[this.name];return t.ior=r.ior!==void 0?r.ior:1.5,Promise.resolve()}}class xNt{constructor(e){this.parser=e,this.name=Nt.KHR_MATERIALS_SPECULAR}getMaterialType(e){const i=this.parser.json.materials[e];return!i.extensions||!i.extensions[this.name]?null:js}extendMaterialParams(e,t){const i=this.parser,s=i.json.materials[e];if(!s.extensions||!s.extensions[this.name])return Promise.resolve();const r=[],o=s.extensions[this.name];t.specularIntensity=o.specularFactor!==void 0?o.specularFactor:1,o.specularTexture!==void 0&&r.push(i.assignTexture(t,"specularIntensityMap",o.specularTexture));const a=o.specularColorFactor||[1,1,1];return t.specularColor=new gt().setRGB(a[0],a[1],a[2],Nn),o.specularColorTexture!==void 0&&r.push(i.assignTexture(t,"specularColorMap",o.specularColorTexture,rn)),Promise.all(r)}}class CNt{constructor(e){this.parser=e,this.name=Nt.EXT_MATERIALS_BUMP}getMaterialType(e){const i=this.parser.json.materials[e];return!i.extensions||!i.extensions[this.name]?null:js}extendMaterialParams(e,t){const i=this.parser,s=i.json.materials[e];if(!s.extensions||!s.extensions[this.name])return Promise.resolve();const r=[],o=s.extensions[this.name];return t.bumpScale=o.bumpFactor!==void 0?o.bumpFactor:1,o.bumpTexture!==void 0&&r.push(i.assignTexture(t,"bumpMap",o.bumpTexture)),Promise.all(r)}}class RNt{constructor(e){this.parser=e,this.name=Nt.KHR_MATERIALS_ANISOTROPY}getMaterialType(e){const i=this.parser.json.materials[e];return!i.extensions||!i.extensions[this.name]?null:js}extendMaterialParams(e,t){const i=this.parser,s=i.json.materials[e];if(!s.extensions||!s.extensions[this.name])return Promise.resolve();const r=[],o=s.extensions[this.name];return o.anisotropyStrength!==void 0&&(t.anisotropy=o.anisotropyStrength),o.anisotropyRotation!==void 0&&(t.anisotropyRotation=o.anisotropyRotation),o.anisotropyTexture!==void 0&&r.push(i.assignTexture(t,"anisotropyMap",o.anisotropyTexture)),Promise.all(r)}}class ANt{constructor(e){this.parser=e,this.name=Nt.KHR_TEXTURE_BASISU}loadTexture(e){const t=this.parser,i=t.json,s=i.textures[e];if(!s.extensions||!s.extensions[this.name])return null;const r=s.extensions[this.name],o=t.options.ktx2Loader;if(!o){if(i.extensionsRequired&&i.extensionsRequired.indexOf(this.name)>=0)throw new Error("THREE.GLTFLoader: setKTX2Loader must be called before loading KTX2 textures");return null}return t.loadTextureImage(e,r.source,o)}}class wNt{constructor(e){this.parser=e,this.name=Nt.EXT_TEXTURE_WEBP,this.isSupported=null}loadTexture(e){const t=this.name,i=this.parser,s=i.json,r=s.textures[e];if(!r.extensions||!r.extensions[t])return null;const o=r.extensions[t],a=s.images[o.source];let l=i.textureLoader;if(a.uri){const d=i.options.manager.getHandler(a.uri);d!==null&&(l=d)}return this.detectSupport().then(function(d){if(d)return i.loadTextureImage(e,o.source,l);if(s.extensionsRequired&&s.extensionsRequired.indexOf(t)>=0)throw new Error("THREE.GLTFLoader: WebP required by asset but unsupported.");return i.loadTexture(e)})}detectSupport(){return this.isSupported||(this.isSupported=new Promise(function(e){const t=new Image;t.src="data:image/webp;base64,UklGRiIAAABXRUJQVlA4IBYAAAAwAQCdASoBAAEADsD+JaQAA3AAAAAA",t.onload=t.onerror=function(){e(t.height===1)}})),this.isSupported}}class NNt{constructor(e){this.parser=e,this.name=Nt.EXT_TEXTURE_AVIF,this.isSupported=null}loadTexture(e){const t=this.name,i=this.parser,s=i.json,r=s.textures[e];if(!r.extensions||!r.extensions[t])return null;const o=r.extensions[t],a=s.images[o.source];let l=i.textureLoader;if(a.uri){const d=i.options.manager.getHandler(a.uri);d!==null&&(l=d)}return this.detectSupport().then(function(d){if(d)return i.loadTextureImage(e,o.source,l);if(s.extensionsRequired&&s.extensionsRequired.indexOf(t)>=0)throw new Error("THREE.GLTFLoader: AVIF required by asset but unsupported.");return i.loadTexture(e)})}detectSupport(){return this.isSupported||(this.isSupported=new Promise(function(e){const t=new Image;t.src="data:image/avif;base64,AAAAIGZ0eXBhdmlmAAAAAGF2aWZtaWYxbWlhZk1BMUIAAADybWV0YQAAAAAAAAAoaGRscgAAAAAAAAAAcGljdAAAAAAAAAAAAAAAAGxpYmF2aWYAAAAADnBpdG0AAAAAAAEAAAAeaWxvYwAAAABEAAABAAEAAAABAAABGgAAABcAAAAoaWluZgAAAAAAAQAAABppbmZlAgAAAAABAABhdjAxQ29sb3IAAAAAamlwcnAAAABLaXBjbwAAABRpc3BlAAAAAAAAAAEAAAABAAAAEHBpeGkAAAAAAwgICAAAAAxhdjFDgQAMAAAAABNjb2xybmNseAACAAIABoAAAAAXaXBtYQAAAAAAAAABAAEEAQKDBAAAAB9tZGF0EgAKCBgABogQEDQgMgkQAAAAB8dSLfI=",t.onload=t.onerror=function(){e(t.height===1)}})),this.isSupported}}class ONt{constructor(e){this.name=Nt.EXT_MESHOPT_COMPRESSION,this.parser=e}loadBufferView(e){const t=this.parser.json,i=t.bufferViews[e];if(i.extensions&&i.extensions[this.name]){const s=i.extensions[this.name],r=this.parser.getDependency("buffer",s.buffer),o=this.parser.options.meshoptDecoder;if(!o||!o.supported){if(t.extensionsRequired&&t.extensionsRequired.indexOf(this.name)>=0)throw new Error("THREE.GLTFLoader: setMeshoptDecoder must be called before loading compressed files");return null}return r.then(function(a){const l=s.byteOffset||0,d=s.byteLength||0,c=s.count,_=s.byteStride,f=new Uint8Array(a,l,d);return o.decodeGltfBufferAsync?o.decodeGltfBufferAsync(c,_,f,s.mode,s.filter).then(function(m){return m.buffer}):o.ready.then(function(){const m=new ArrayBuffer(c*_);return o.decodeGltfBuffer(new Uint8Array(m),c,_,f,s.mode,s.filter),m})})}else return null}}class INt{constructor(e){this.name=Nt.EXT_MESH_GPU_INSTANCING,this.parser=e}createNodeMesh(e){const t=this.parser.json,i=t.nodes[e];if(!i.extensions||!i.extensions[this.name]||i.mesh===void 0)return null;const s=t.meshes[i.mesh];for(const d of s.primitives)if(d.mode!==fi.TRIANGLES&&d.mode!==fi.TRIANGLE_STRIP&&d.mode!==fi.TRIANGLE_FAN&&d.mode!==void 0)return null;const o=i.extensions[this.name].attributes,a=[],l={};for(const d in o)a.push(this.parser.getDependency("accessor",o[d]).then(c=>(l[d]=c,l[d])));return a.length<1?null:(a.push(this.parser.createNodeMesh(e)),Promise.all(a).then(d=>{const c=d.pop(),_=c.isGroup?c.children:[c],f=d[0].count,m=[];for(const h of _){const E=new Rt,b=new be,g=new Mr,v=new be(1,1,1),y=new kwt(h.geometry,h.material,f);for(let T=0;T<f;T++)l.TRANSLATION&&b.fromBufferAttribute(l.TRANSLATION,T),l.ROTATION&&g.fromBufferAttribute(l.ROTATION,T),l.SCALE&&v.fromBufferAttribute(l.SCALE,T),y.setMatrixAt(T,E.compose(b,g,v));for(const T in l)if(T==="_COLOR_0"){const C=l[T];y.instanceColor=new mb(C.array,C.itemSize,C.normalized)}else T!=="TRANSLATION"&&T!=="ROTATION"&&T!=="SCALE"&&h.geometry.setAttribute(T,l[T]);sn.prototype.copy.call(y,h),this.parser.assignFinalMaterial(y),m.push(y)}return c.isGroup?(c.clear(),c.add(...m),c):m[0]}))}}const MI="glTF",Tl=12,IR={JSON:1313821514,BIN:5130562};class MNt{constructor(e){this.name=Nt.KHR_BINARY_GLTF,this.content=null,this.body=null;const t=new DataView(e,0,Tl),i=new TextDecoder;if(this.header={magic:i.decode(new Uint8Array(e.slice(0,4))),version:t.getUint32(4,!0),length:t.getUint32(8,!0)},this.header.magic!==MI)throw new Error("THREE.GLTFLoader: Unsupported glTF-Binary header.");if(this.header.version<2)throw new Error("THREE.GLTFLoader: Legacy binary file detected.");const s=this.header.length-Tl,r=new DataView(e,Tl);let o=0;for(;o<s;){const a=r.getUint32(o,!0);o+=4;const l=r.getUint32(o,!0);if(o+=4,l===IR.JSON){const d=new Uint8Array(e,Tl+o,a);this.content=i.decode(d)}else if(l===IR.BIN){const d=Tl+o;this.body=e.slice(d,d+a)}o+=a}if(this.content===null)throw new Error("THREE.GLTFLoader: JSON content not found.")}}class DNt{constructor(e,t){if(!t)throw new Error("THREE.GLTFLoader: No DRACOLoader instance provided.");this.name=Nt.KHR_DRACO_MESH_COMPRESSION,this.json=e,this.dracoLoader=t,this.dracoLoader.preload()}decodePrimitive(e,t){const i=this.json,s=this.dracoLoader,r=e.extensions[this.name].bufferView,o=e.extensions[this.name].attributes,a={},l={},d={};for(const c in o){const _=bb[c]||c.toLowerCase();a[_]=o[c]}for(const c in e.attributes){const _=bb[c]||c.toLowerCase();if(o[c]!==void 0){const f=i.accessors[e.attributes[c]],m=ca[f.componentType];d[_]=m.name,l[_]=f.normalized===!0}}return t.getDependency("bufferView",r).then(function(c){return new Promise(function(_){s.decodeDracoFile(c,function(f){for(const m in f.attributes){const h=f.attributes[m],E=l[m];E!==void 0&&(h.normalized=E)}_(f)},a,d)})})}}class kNt{constructor(){this.name=Nt.KHR_TEXTURE_TRANSFORM}extendTexture(e,t){return(t.texCoord===void 0||t.texCoord===e.channel)&&t.offset===void 0&&t.rotation===void 0&&t.scale===void 0||(e=e.clone(),t.texCoord!==void 0&&(e.channel=t.texCoord),t.offset!==void 0&&e.offset.fromArray(t.offset),t.rotation!==void 0&&(e.rotation=t.rotation),t.scale!==void 0&&e.repeat.fromArray(t.scale),e.needsUpdate=!0),e}}class LNt{constructor(){this.name=Nt.KHR_MESH_QUANTIZATION}}class DI extends xc{constructor(e,t,i,s){super(e,t,i,s)}copySampleValue_(e){const t=this.resultBuffer,i=this.sampleValues,s=this.valueSize,r=e*s*3+s;for(let o=0;o!==s;o++)t[o]=i[r+o];return t}interpolate_(e,t,i,s){const r=this.resultBuffer,o=this.sampleValues,a=this.valueSize,l=a*2,d=a*3,c=s-t,_=(i-t)/c,f=_*_,m=f*_,h=e*d,E=h-d,b=-2*m+3*f,g=m-f,v=1-b,y=g-f+_;for(let T=0;T!==a;T++){const C=o[E+T+a],x=o[E+T+l]*c,O=o[h+T+a],R=o[h+T]*c;r[T]=v*C+y*x+b*O+g*R}return r}}const PNt=new Mr;class UNt extends DI{interpolate_(e,t,i,s){const r=super.interpolate_(e,t,i,s);return PNt.fromArray(r).normalize().toArray(r),r}}const fi={FLOAT:5126,FLOAT_MAT3:35675,FLOAT_MAT4:35676,FLOAT_VEC2:35664,FLOAT_VEC3:35665,FLOAT_VEC4:35666,LINEAR:9729,REPEAT:10497,SAMPLER_2D:35678,POINTS:0,LINES:1,LINE_LOOP:2,LINE_STRIP:3,TRIANGLES:4,TRIANGLE_STRIP:5,TRIANGLE_FAN:6,UNSIGNED_BYTE:5121,UNSIGNED_SHORT:5123},ca={5120:Int8Array,5121:Uint8Array,5122:Int16Array,5123:Uint16Array,5125:Uint32Array,5126:Float32Array},MR={9728:En,9729:jn,9984:db,9985:KO,9986:Vd,9987:go},DR={33071:gi,33648:gu,10497:Ra},bg={SCALAR:1,VEC2:2,VEC3:3,VEC4:4,MAT2:4,MAT3:9,MAT4:16},bb={POSITION:"position",NORMAL:"normal",TANGENT:"tangent",TEXCOORD_0:"uv",TEXCOORD_1:"uv1",TEXCOORD_2:"uv2",TEXCOORD_3:"uv3",COLOR_0:"color",WEIGHTS_0:"skinWeight",JOINTS_0:"skinIndex"},rr={scale:"scale",translation:"position",rotation:"quaternion",weights:"morphTargetInfluences"},FNt={CUBICSPLINE:void 0,LINEAR:wa,STEP:ac},Eg={OPAQUE:"OPAQUE",MASK:"MASK",BLEND:"BLEND"};function BNt(n){return n.DefaultMaterial===void 0&&(n.DefaultMaterial=new av({color:16777215,emissive:0,metalness:1,roughness:1,transparent:!1,depthTest:!0,side:Vs})),n.DefaultMaterial}function Hr(n,e,t){for(const i in t.extensions)n[i]===void 0&&(e.userData.gltfExtensions=e.userData.gltfExtensions||{},e.userData.gltfExtensions[i]=t.extensions[i])}function hr(n,e){e.extras!==void 0&&(typeof e.extras=="object"?Object.assign(n.userData,e.extras):console.warn("THREE.GLTFLoader: Ignoring primitive type .extras, "+e.extras))}function GNt(n,e,t){let i=!1,s=!1,r=!1;for(let d=0,c=e.length;d<c;d++){const _=e[d];if(_.POSITION!==void 0&&(i=!0),_.NORMAL!==void 0&&(s=!0),_.COLOR_0!==void 0&&(r=!0),i&&s&&r)break}if(!i&&!s&&!r)return Promise.resolve(n);const o=[],a=[],l=[];for(let d=0,c=e.length;d<c;d++){const _=e[d];if(i){const f=_.POSITION!==void 0?t.getDependency("accessor",_.POSITION):n.attributes.position;o.push(f)}if(s){const f=_.NORMAL!==void 0?t.getDependency("accessor",_.NORMAL):n.attributes.normal;a.push(f)}if(r){const f=_.COLOR_0!==void 0?t.getDependency("accessor",_.COLOR_0):n.attributes.color;l.push(f)}}return Promise.all([Promise.all(o),Promise.all(a),Promise.all(l)]).then(function(d){const c=d[0],_=d[1],f=d[2];return i&&(n.morphAttributes.position=c),s&&(n.morphAttributes.normal=_),r&&(n.morphAttributes.color=f),n.morphTargetsRelative=!0,n})}function zNt(n,e){if(n.updateMorphTargets(),e.weights!==void 0)for(let t=0,i=e.weights.length;t<i;t++)n.morphTargetInfluences[t]=e.weights[t];if(e.extras&&Array.isArray(e.extras.targetNames)){const t=e.extras.targetNames;if(n.morphTargetInfluences.length===t.length){n.morphTargetDictionary={};for(let i=0,s=t.length;i<s;i++)n.morphTargetDictionary[t[i]]=i}else console.warn("THREE.GLTFLoader: Invalid extras.targetNames length. Ignoring names.")}}function VNt(n){let e;const t=n.extensions&&n.extensions[Nt.KHR_DRACO_MESH_COMPRESSION];if(t?e="draco:"+t.bufferView+":"+t.indices+":"+vg(t.attributes):e=n.indices+":"+vg(n.attributes)+":"+n.mode,n.targets!==void 0)for(let i=0,s=n.targets.length;i<s;i++)e+=":"+vg(n.targets[i]);return e}function vg(n){let e="";const t=Object.keys(n).sort();for(let i=0,s=t.length;i<s;i++)e+=t[i]+":"+n[t[i]]+";";return e}function Eb(n){switch(n){case Int8Array:return 1/127;case Uint8Array:return 1/255;case Int16Array:return 1/32767;case Uint16Array:return 1/65535;default:throw new Error("THREE.GLTFLoader: Unsupported normalized accessor component type.")}}function HNt(n){return n.search(/\.jpe?g($|\?)/i)>0||n.search(/^data\:image\/jpeg/)===0?"image/jpeg":n.search(/\.webp($|\?)/i)>0||n.search(/^data\:image\/webp/)===0?"image/webp":"image/png"}const qNt=new Rt;class YNt{constructor(e={},t={}){this.json=e,this.extensions={},this.plugins={},this.options=t,this.cache=new hNt,this.associations=new Map,this.primitiveCache={},this.nodeCache={},this.meshCache={refs:{},uses:{}},this.cameraCache={refs:{},uses:{}},this.lightCache={refs:{},uses:{}},this.sourceCache={},this.textureCache={},this.nodeNamesUsed={};let i=!1,s=!1,r=-1;typeof navigator<"u"&&(i=/^((?!chrome|android).)*safari/i.test(navigator.userAgent)===!0,s=navigator.userAgent.indexOf("Firefox")>-1,r=s?navigator.userAgent.match(/Firefox\/([0-9]+)\./)[1]:-1),typeof createImageBitmap>"u"||i||s&&r<98?this.textureLoader=new OI(this.options.manager):this.textureLoader=new iNt(this.options.manager),this.textureLoader.setCrossOrigin(this.options.crossOrigin),this.textureLoader.setRequestHeader(this.options.requestHeader),this.fileLoader=new NI(this.options.manager),this.fileLoader.setResponseType("arraybuffer"),this.options.crossOrigin==="use-credentials"&&this.fileLoader.setWithCredentials(!0)}setExtensions(e){this.extensions=e}setPlugins(e){this.plugins=e}parse(e,t){const i=this,s=this.json,r=this.extensions;this.cache.removeAll(),this.nodeCache={},this._invokeAll(function(o){return o._markDefs&&o._markDefs()}),Promise.all(this._invokeAll(function(o){return o.beforeRoot&&o.beforeRoot()})).then(function(){return Promise.all([i.getDependencies("scene"),i.getDependencies("animation"),i.getDependencies("camera")])}).then(function(o){const a={scene:o[0][s.scene||0],scenes:o[0],animations:o[1],cameras:o[2],asset:s.asset,parser:i,userData:{}};return Hr(r,a,s),hr(a,s),Promise.all(i._invokeAll(function(l){return l.afterRoot&&l.afterRoot(a)})).then(function(){e(a)})}).catch(t)}_markDefs(){const e=this.json.nodes||[],t=this.json.skins||[],i=this.json.meshes||[];for(let s=0,r=t.length;s<r;s++){const o=t[s].joints;for(let a=0,l=o.length;a<l;a++)e[o[a]].isBone=!0}for(let s=0,r=e.length;s<r;s++){const o=e[s];o.mesh!==void 0&&(this._addNodeRef(this.meshCache,o.mesh),o.skin!==void 0&&(i[o.mesh].isSkinnedMesh=!0)),o.camera!==void 0&&this._addNodeRef(this.cameraCache,o.camera)}}_addNodeRef(e,t){t!==void 0&&(e.refs[t]===void 0&&(e.refs[t]=e.uses[t]=0),e.refs[t]++)}_getNodeRef(e,t,i){if(e.refs[t]<=1)return i;const s=i.clone(),r=(o,a)=>{const l=this.associations.get(o);l!=null&&this.associations.set(a,l);for(const[d,c]of o.children.entries())r(c,a.children[d])};return r(i,s),s.name+="_instance_"+e.uses[t]++,s}_invokeOne(e){const t=Object.values(this.plugins);t.push(this);for(let i=0;i<t.length;i++){const s=e(t[i]);if(s)return s}return null}_invokeAll(e){const t=Object.values(this.plugins);t.unshift(this);const i=[];for(let s=0;s<t.length;s++){const r=e(t[s]);r&&i.push(r)}return i}getDependency(e,t){const i=e+":"+t;let s=this.cache.get(i);if(!s){switch(e){case"scene":s=this.loadScene(t);break;case"node":s=this._invokeOne(function(r){return r.loadNode&&r.loadNode(t)});break;case"mesh":s=this._invokeOne(function(r){return r.loadMesh&&r.loadMesh(t)});break;case"accessor":s=this.loadAccessor(t);break;case"bufferView":s=this._invokeOne(function(r){return r.loadBufferView&&r.loadBufferView(t)});break;case"buffer":s=this.loadBuffer(t);break;case"material":s=this._invokeOne(function(r){return r.loadMaterial&&r.loadMaterial(t)});break;case"texture":s=this._invokeOne(function(r){return r.loadTexture&&r.loadTexture(t)});break;case"skin":s=this.loadSkin(t);break;case"animation":s=this._invokeOne(function(r){return r.loadAnimation&&r.loadAnimation(t)});break;case"camera":s=this.loadCamera(t);break;default:if(s=this._invokeOne(function(r){return r!=this&&r.getDependency&&r.getDependency(e,t)}),!s)throw new Error("Unknown type: "+e);break}this.cache.add(i,s)}return s}getDependencies(e){let t=this.cache.get(e);if(!t){const i=this,s=this.json[e+(e==="mesh"?"es":"s")]||[];t=Promise.all(s.map(function(r,o){return i.getDependency(e,o)})),this.cache.add(e,t)}return t}loadBuffer(e){const t=this.json.buffers[e],i=this.fileLoader;if(t.type&&t.type!=="arraybuffer")throw new Error("THREE.GLTFLoader: "+t.type+" buffer type is not supported.");if(t.uri===void 0&&e===0)return Promise.resolve(this.extensions[Nt.KHR_BINARY_GLTF].body);const s=this.options;return new Promise(function(r,o){i.load(zl.resolveURL(t.uri,s.path),r,void 0,function(){o(new Error('THREE.GLTFLoader: Failed to load buffer "'+t.uri+'".'))})})}loadBufferView(e){const t=this.json.bufferViews[e];return this.getDependency("buffer",t.buffer).then(function(i){const s=t.byteLength||0,r=t.byteOffset||0;return i.slice(r,r+s)})}loadAccessor(e){const t=this,i=this.json,s=this.json.accessors[e];if(s.bufferView===void 0&&s.sparse===void 0){const o=bg[s.type],a=ca[s.componentType],l=s.normalized===!0,d=new a(s.count*o);return Promise.resolve(new Yn(d,o,l))}const r=[];return s.bufferView!==void 0?r.push(this.getDependency("bufferView",s.bufferView)):r.push(null),s.sparse!==void 0&&(r.push(this.getDependency("bufferView",s.sparse.indices.bufferView)),r.push(this.getDependency("bufferView",s.sparse.values.bufferView))),Promise.all(r).then(function(o){const a=o[0],l=bg[s.type],d=ca[s.componentType],c=d.BYTES_PER_ELEMENT,_=c*l,f=s.byteOffset||0,m=s.bufferView!==void 0?i.bufferViews[s.bufferView].byteStride:void 0,h=s.normalized===!0;let E,b;if(m&&m!==_){const g=Math.floor(f/m),v="InterleavedBuffer:"+s.bufferView+":"+s.componentType+":"+g+":"+s.count;let y=t.cache.get(v);y||(E=new d(a,g*m,s.count*m/c),y=new wwt(E,m/c),t.cache.add(v,y)),b=new sv(y,l,f%m/c,h)}else a===null?E=new d(s.count*l):E=new d(a,f,s.count*l),b=new Yn(E,l,h);if(s.sparse!==void 0){const g=bg.SCALAR,v=ca[s.sparse.indices.componentType],y=s.sparse.indices.byteOffset||0,T=s.sparse.values.byteOffset||0,C=new v(o[1],y,s.sparse.count*g),x=new d(o[2],T,s.sparse.count*l);a!==null&&(b=new Yn(b.array.slice(),b.itemSize,b.normalized));for(let O=0,R=C.length;O<R;O++){const S=C[O];if(b.setX(S,x[O*l]),l>=2&&b.setY(S,x[O*l+1]),l>=3&&b.setZ(S,x[O*l+2]),l>=4&&b.setW(S,x[O*l+3]),l>=5)throw new Error("THREE.GLTFLoader: Unsupported itemSize in sparse BufferAttribute.")}}return b})}loadTexture(e){const t=this.json,i=this.options,r=t.textures[e].source,o=t.images[r];let a=this.textureLoader;if(o.uri){const l=i.manager.getHandler(o.uri);l!==null&&(a=l)}return this.loadTextureImage(e,r,a)}loadTextureImage(e,t,i){const s=this,r=this.json,o=r.textures[e],a=r.images[t],l=(a.uri||a.bufferView)+":"+o.sampler;if(this.textureCache[l])return this.textureCache[l];const d=this.loadImageSource(t,i).then(function(c){c.flipY=!1,c.name=o.name||a.name||"",c.name===""&&typeof a.uri=="string"&&a.uri.startsWith("data:image/")===!1&&(c.name=a.uri);const f=(r.samplers||{})[o.sampler]||{};return c.magFilter=MR[f.magFilter]||jn,c.minFilter=MR[f.minFilter]||go,c.wrapS=DR[f.wrapS]||Ra,c.wrapT=DR[f.wrapT]||Ra,s.associations.set(c,{textures:e}),c}).catch(function(){return null});return this.textureCache[l]=d,d}loadImageSource(e,t){const i=this,s=this.json,r=this.options;if(this.sourceCache[e]!==void 0)return this.sourceCache[e].then(_=>_.clone());const o=s.images[e],a=self.URL||self.webkitURL;let l=o.uri||"",d=!1;if(o.bufferView!==void 0)l=i.getDependency("bufferView",o.bufferView).then(function(_){d=!0;const f=new Blob([_],{type:o.mimeType});return l=a.createObjectURL(f),l});else if(o.uri===void 0)throw new Error("THREE.GLTFLoader: Image "+e+" is missing URI and bufferView");const c=Promise.resolve(l).then(function(_){return new Promise(function(f,m){let h=f;t.isImageBitmapLoader===!0&&(h=function(E){const b=new wn(E);b.needsUpdate=!0,f(b)}),t.load(zl.resolveURL(_,r.path),h,void 0,m)})}).then(function(_){return d===!0&&a.revokeObjectURL(l),_.userData.mimeType=o.mimeType||HNt(o.uri),_}).catch(function(_){throw console.error("THREE.GLTFLoader: Couldn't load texture",l),_});return this.sourceCache[e]=c,c}assignTexture(e,t,i,s){const r=this;return this.getDependency("texture",i.index).then(function(o){if(!o)return null;if(i.texCoord!==void 0&&i.texCoord>0&&(o=o.clone(),o.channel=i.texCoord),r.extensions[Nt.KHR_TEXTURE_TRANSFORM]){const a=i.extensions!==void 0?i.extensions[Nt.KHR_TEXTURE_TRANSFORM]:void 0;if(a){const l=r.associations.get(o);o=r.extensions[Nt.KHR_TEXTURE_TRANSFORM].extendTexture(o,a),r.associations.set(o,l)}}return s!==void 0&&(o.colorSpace=s),e[t]=o,o})}assignFinalMaterial(e){const t=e.geometry;let i=e.material;const s=t.attributes.tangent===void 0,r=t.attributes.color!==void 0,o=t.attributes.normal===void 0;if(e.isPoints){const a="PointsMaterial:"+i.uuid;let l=this.cache.get(a);l||(l=new RI,Vi.prototype.copy.call(l,i),l.color.copy(i.color),l.map=i.map,l.sizeAttenuation=!1,this.cache.add(a,l)),i=l}else if(e.isLine){const a="LineBasicMaterial:"+i.uuid;let l=this.cache.get(a);l||(l=new CI,Vi.prototype.copy.call(l,i),l.color.copy(i.color),l.map=i.map,this.cache.add(a,l)),i=l}if(s||r||o){let a="ClonedMaterial:"+i.uuid+":";s&&(a+="derivative-tangents:"),r&&(a+="vertex-colors:"),o&&(a+="flat-shading:");let l=this.cache.get(a);l||(l=i.clone(),r&&(l.vertexColors=!0),o&&(l.flatShading=!0),s&&(l.normalScale&&(l.normalScale.y*=-1),l.clearcoatNormalScale&&(l.clearcoatNormalScale.y*=-1)),this.cache.add(a,l),this.associations.set(l,this.associations.get(i))),i=l}e.material=i}getMaterialType(){return av}loadMaterial(e){const t=this,i=this.json,s=this.extensions,r=i.materials[e];let o;const a={},l=r.extensions||{},d=[];if(l[Nt.KHR_MATERIALS_UNLIT]){const _=s[Nt.KHR_MATERIALS_UNLIT];o=_.getMaterialType(),d.push(_.extendParams(a,r,t))}else{const _=r.pbrMetallicRoughness||{};if(a.color=new gt(1,1,1),a.opacity=1,Array.isArray(_.baseColorFactor)){const f=_.baseColorFactor;a.color.setRGB(f[0],f[1],f[2],Nn),a.opacity=f[3]}_.baseColorTexture!==void 0&&d.push(t.assignTexture(a,"map",_.baseColorTexture,rn)),a.metalness=_.metallicFactor!==void 0?_.metallicFactor:1,a.roughness=_.roughnessFactor!==void 0?_.roughnessFactor:1,_.metallicRoughnessTexture!==void 0&&(d.push(t.assignTexture(a,"metalnessMap",_.metallicRoughnessTexture)),d.push(t.assignTexture(a,"roughnessMap",_.metallicRoughnessTexture))),o=this._invokeOne(function(f){return f.getMaterialType&&f.getMaterialType(e)}),d.push(Promise.all(this._invokeAll(function(f){return f.extendMaterialParams&&f.extendMaterialParams(e,a)})))}r.doubleSided===!0&&(a.side=Ji);const c=r.alphaMode||Eg.OPAQUE;if(c===Eg.BLEND?(a.transparent=!0,a.depthWrite=!1):(a.transparent=!1,c===Eg.MASK&&(a.alphaTest=r.alphaCutoff!==void 0?r.alphaCutoff:.5)),r.normalTexture!==void 0&&o!==br&&(d.push(t.assignTexture(a,"normalMap",r.normalTexture)),a.normalScale=new It(1,1),r.normalTexture.scale!==void 0)){const _=r.normalTexture.scale;a.normalScale.set(_,_)}if(r.occlusionTexture!==void 0&&o!==br&&(d.push(t.assignTexture(a,"aoMap",r.occlusionTexture)),r.occlusionTexture.strength!==void 0&&(a.aoMapIntensity=r.occlusionTexture.strength)),r.emissiveFactor!==void 0&&o!==br){const _=r.emissiveFactor;a.emissive=new gt().setRGB(_[0],_[1],_[2],Nn)}return r.emissiveTexture!==void 0&&o!==br&&d.push(t.assignTexture(a,"emissiveMap",r.emissiveTexture,rn)),Promise.all(d).then(function(){const _=new o(a);return r.name&&(_.name=r.name),hr(_,r),t.associations.set(_,{materials:e}),r.extensions&&Hr(s,_,r),_})}createUniqueName(e){const t=Gt.sanitizeNodeName(e||"");return t in this.nodeNamesUsed?t+"_"+ ++this.nodeNamesUsed[t]:(this.nodeNamesUsed[t]=0,t)}loadGeometries(e){const t=this,i=this.extensions,s=this.primitiveCache;function r(a){return i[Nt.KHR_DRACO_MESH_COMPRESSION].decodePrimitive(a,t).then(function(l){return kR(l,a,t)})}const o=[];for(let a=0,l=e.length;a<l;a++){const d=e[a],c=VNt(d),_=s[c];if(_)o.push(_.promise);else{let f;d.extensions&&d.extensions[Nt.KHR_DRACO_MESH_COMPRESSION]?f=r(d):f=kR(new hs,d,t),s[c]={primitive:d,promise:f},o.push(f)}}return Promise.all(o)}loadMesh(e){const t=this,i=this.json,s=this.extensions,r=i.meshes[e],o=r.primitives,a=[];for(let l=0,d=o.length;l<d;l++){const c=o[l].material===void 0?BNt(this.cache):this.getDependency("material",o[l].material);a.push(c)}return a.push(t.loadGeometries(o)),Promise.all(a).then(function(l){const d=l.slice(0,l.length-1),c=l[l.length-1],_=[];for(let m=0,h=c.length;m<h;m++){const E=c[m],b=o[m];let g;const v=d[m];if(b.mode===fi.TRIANGLES||b.mode===fi.TRIANGLE_STRIP||b.mode===fi.TRIANGLE_FAN||b.mode===void 0)g=r.isSkinnedMesh===!0?new Owt(E,v):new Hn(E,v),g.isSkinnedMesh===!0&&g.normalizeSkinWeights(),b.mode===fi.TRIANGLE_STRIP?g.geometry=OR(g.geometry,nI):b.mode===fi.TRIANGLE_FAN&&(g.geometry=OR(g.geometry,ub));else if(b.mode===fi.LINES)g=new Lwt(E,v);else if(b.mode===fi.LINE_STRIP)g=new ov(E,v);else if(b.mode===fi.LINE_LOOP)g=new Pwt(E,v);else if(b.mode===fi.POINTS)g=new Uwt(E,v);else throw new Error("THREE.GLTFLoader: Primitive mode unsupported: "+b.mode);Object.keys(g.geometry.morphAttributes).length>0&&zNt(g,r),g.name=t.createUniqueName(r.name||"mesh_"+e),hr(g,r),b.extensions&&Hr(s,g,b),t.assignFinalMaterial(g),_.push(g)}for(let m=0,h=_.length;m<h;m++)t.associations.set(_[m],{meshes:e,primitives:m});if(_.length===1)return r.extensions&&Hr(s,_[0],r),_[0];const f=new io;r.extensions&&Hr(s,f,r),t.associations.set(f,{meshes:e});for(let m=0,h=_.length;m<h;m++)f.add(_[m]);return f})}loadCamera(e){let t;const i=this.json.cameras[e],s=i[i.type];if(!s){console.warn("THREE.GLTFLoader: Missing camera parameters.");return}return i.type==="perspective"?t=new Vn(yxt.radToDeg(s.yfov),s.aspectRatio||1,s.znear||1,s.zfar||2e6):i.type==="orthographic"&&(t=new nv(-s.xmag,s.xmag,s.ymag,-s.ymag,s.znear,s.zfar)),i.name&&(t.name=this.createUniqueName(i.name)),hr(t,i),Promise.resolve(t)}loadSkin(e){const t=this.json.skins[e],i=[];for(let s=0,r=t.joints.length;s<r;s++)i.push(this._loadNodeShallow(t.joints[s]));return t.inverseBindMatrices!==void 0?i.push(this.getDependency("accessor",t.inverseBindMatrices)):i.push(null),Promise.all(i).then(function(s){const r=s.pop(),o=s,a=[],l=[];for(let d=0,c=o.length;d<c;d++){const _=o[d];if(_){a.push(_);const f=new Rt;r!==null&&f.fromArray(r.array,d*16),l.push(f)}else console.warn('THREE.GLTFLoader: Joint "%s" could not be found.',t.joints[d])}return new rv(a,l)})}loadAnimation(e){const t=this.json,i=this,s=t.animations[e],r=s.name?s.name:"animation_"+e,o=[],a=[],l=[],d=[],c=[];for(let _=0,f=s.channels.length;_<f;_++){const m=s.channels[_],h=s.samplers[m.sampler],E=m.target,b=E.node,g=s.parameters!==void 0?s.parameters[h.input]:h.input,v=s.parameters!==void 0?s.parameters[h.output]:h.output;E.node!==void 0&&(o.push(this.getDependency("node",b)),a.push(this.getDependency("accessor",g)),l.push(this.getDependency("accessor",v)),d.push(h),c.push(E))}return Promise.all([Promise.all(o),Promise.all(a),Promise.all(l),Promise.all(d),Promise.all(c)]).then(function(_){const f=_[0],m=_[1],h=_[2],E=_[3],b=_[4],g=[];for(let v=0,y=f.length;v<y;v++){const T=f[v],C=m[v],x=h[v],O=E[v],R=b[v];if(T===void 0)continue;T.updateMatrix&&T.updateMatrix();const S=i._createAnimationTracks(T,C,x,O,R);if(S)for(let A=0;A<S.length;A++)g.push(S[A])}return new qwt(r,void 0,g)})}createNodeMesh(e){const t=this.json,i=this,s=t.nodes[e];return s.mesh===void 0?null:i.getDependency("mesh",s.mesh).then(function(r){const o=i._getNodeRef(i.meshCache,s.mesh,r);return s.weights!==void 0&&o.traverse(function(a){if(a.isMesh)for(let l=0,d=s.weights.length;l<d;l++)a.morphTargetInfluences[l]=s.weights[l]}),o})}loadNode(e){const t=this.json,i=this,s=t.nodes[e],r=i._loadNodeShallow(e),o=[],a=s.children||[];for(let d=0,c=a.length;d<c;d++)o.push(i.getDependency("node",a[d]));const l=s.skin===void 0?Promise.resolve(null):i.getDependency("skin",s.skin);return Promise.all([r,Promise.all(o),l]).then(function(d){const c=d[0],_=d[1],f=d[2];f!==null&&c.traverse(function(m){m.isSkinnedMesh&&m.bind(f,qNt)});for(let m=0,h=_.length;m<h;m++)c.add(_[m]);return c})}_loadNodeShallow(e){const t=this.json,i=this.extensions,s=this;if(this.nodeCache[e]!==void 0)return this.nodeCache[e];const r=t.nodes[e],o=r.name?s.createUniqueName(r.name):"",a=[],l=s._invokeOne(function(d){return d.createNodeMesh&&d.createNodeMesh(e)});return l&&a.push(l),r.camera!==void 0&&a.push(s.getDependency("camera",r.camera).then(function(d){return s._getNodeRef(s.cameraCache,r.camera,d)})),s._invokeAll(function(d){return d.createNodeAttachment&&d.createNodeAttachment(e)}).forEach(function(d){a.push(d)}),this.nodeCache[e]=Promise.all(a).then(function(d){let c;if(r.isBone===!0?c=new xI:d.length>1?c=new io:d.length===1?c=d[0]:c=new sn,c!==d[0])for(let _=0,f=d.length;_<f;_++)c.add(d[_]);if(r.name&&(c.userData.name=r.name,c.name=o),hr(c,r),r.extensions&&Hr(i,c,r),r.matrix!==void 0){const _=new Rt;_.fromArray(r.matrix),c.applyMatrix4(_)}else r.translation!==void 0&&c.position.fromArray(r.translation),r.rotation!==void 0&&c.quaternion.fromArray(r.rotation),r.scale!==void 0&&c.scale.fromArray(r.scale);return s.associations.has(c)||s.associations.set(c,{}),s.associations.get(c).nodes=e,c}),this.nodeCache[e]}loadScene(e){const t=this.extensions,i=this.json.scenes[e],s=this,r=new io;i.name&&(r.name=s.createUniqueName(i.name)),hr(r,i),i.extensions&&Hr(t,r,i);const o=i.nodes||[],a=[];for(let l=0,d=o.length;l<d;l++)a.push(s.getDependency("node",o[l]));return Promise.all(a).then(function(l){for(let c=0,_=l.length;c<_;c++)r.add(l[c]);const d=c=>{const _=new Map;for(const[f,m]of s.associations)(f instanceof Vi||f instanceof wn)&&_.set(f,m);return c.traverse(f=>{const m=s.associations.get(f);m!=null&&_.set(f,m)}),_};return s.associations=d(r),r})}_createAnimationTracks(e,t,i,s,r){const o=[],a=e.name?e.name:e.uuid,l=[];rr[r.path]===rr.weights?e.traverse(function(f){f.morphTargetInfluences&&l.push(f.name?f.name:f.uuid)}):l.push(a);let d;switch(rr[r.path]){case rr.weights:d=Ia;break;case rr.rotation:d=vo;break;case rr.position:case rr.scale:d=Ma;break;default:switch(i.itemSize){case 1:d=Ia;break;case 2:case 3:default:d=Ma;break}break}const c=s.interpolation!==void 0?FNt[s.interpolation]:wa,_=this._getArrayFromAccessor(i);for(let f=0,m=l.length;f<m;f++){const h=new d(l[f]+"."+rr[r.path],t.array,_,c);s.interpolation==="CUBICSPLINE"&&this._createCubicSplineTrackInterpolant(h),o.push(h)}return o}_getArrayFromAccessor(e){let t=e.array;if(e.normalized){const i=Eb(t.constructor),s=new Float32Array(t.length);for(let r=0,o=t.length;r<o;r++)s[r]=t[r]*i;t=s}return t}_createCubicSplineTrackInterpolant(e){e.createInterpolant=function(i){const s=this instanceof vo?UNt:DI;return new s(this.times,this.values,this.getValueSize()/3,i)},e.createInterpolant.isInterpolantFactoryMethodGLTFCubicSpline=!0}}function $Nt(n,e,t){const i=e.attributes,s=new Ks;if(i.POSITION!==void 0){const a=t.json.accessors[i.POSITION],l=a.min,d=a.max;if(l!==void 0&&d!==void 0){if(s.set(new be(l[0],l[1],l[2]),new be(d[0],d[1],d[2])),a.normalized){const c=Eb(ca[a.componentType]);s.min.multiplyScalar(c),s.max.multiplyScalar(c)}}else{console.warn("THREE.GLTFLoader: Missing min/max properties for accessor POSITION.");return}}else return;const r=e.targets;if(r!==void 0){const a=new be,l=new be;for(let d=0,c=r.length;d<c;d++){const _=r[d];if(_.POSITION!==void 0){const f=t.json.accessors[_.POSITION],m=f.min,h=f.max;if(m!==void 0&&h!==void 0){if(l.setX(Math.max(Math.abs(m[0]),Math.abs(h[0]))),l.setY(Math.max(Math.abs(m[1]),Math.abs(h[1]))),l.setZ(Math.max(Math.abs(m[2]),Math.abs(h[2]))),f.normalized){const E=Eb(ca[f.componentType]);l.multiplyScalar(E)}a.max(l)}else console.warn("THREE.GLTFLoader: Missing min/max properties for accessor POSITION.")}}s.expandByVector(a)}n.boundingBox=s;const o=new _s;s.getCenter(o.center),o.radius=s.min.distanceTo(s.max)/2,n.boundingSphere=o}function kR(n,e,t){const i=e.attributes,s=[];function r(o,a){return t.getDependency("accessor",o).then(function(l){n.setAttribute(a,l)})}for(const o in i){const a=bb[o]||o.toLowerCase();a in n.attributes||s.push(r(i[o],a))}if(e.indices!==void 0&&!n.index){const o=t.getDependency("accessor",e.indices).then(function(a){n.setIndex(a)});s.push(o)}return Ft.workingColorSpace!==Nn&&"COLOR_0"in i&&console.warn(`THREE.GLTFLoader: Converting vertex colors from "srgb-linear" to "${Ft.workingColorSpace}" not supported.`),hr(n,e),$Nt(n,e,t),Promise.all(s).then(function(){return e.targets!==void 0?GNt(n,e.targets,t):n})}const WNt={data(){return{isVideoActive:!1,imageDataUrl:null,isDragging:!1,position:{bottom:0,right:0},dragStart:{x:0,y:0},zIndex:0,frameRate:0,frameCount:0,lastFrameTime:Date.now()}},methods:{startVideoStream(){this.isVideoActive=!0,je.emit("start_webcam_video_stream"),Ve(()=>{qe.replace()})},stopVideoStream(){this.isVideoActive=!1,this.imageData=null,je.emit("stop_webcam_video_stream"),Ve(()=>{qe.replace()})},startDrag(n){this.isDragging=!0,this.zIndex=5001,this.dragStart.x=n.clientX,this.dragStart.y=n.clientY,document.addEventListener("mousemove",this.drag),document.addEventListener("mouseup",this.stopDrag)},drag(n){if(this.isDragging){const e=n.clientX-this.dragStart.x,t=n.clientY-this.dragStart.y;this.position.bottom-=t,this.position.right-=e,this.dragStart.x=n.clientX,this.dragStart.y=n.clientY}},stopDrag(){this.isDragging=!1,this.zIndex=0,document.removeEventListener("mousemove",this.drag),document.removeEventListener("mouseup",this.stopDrag)}},mounted(){qe.replace(),je.on("video_stream_image",n=>{if(this.isVideoActive){this.imageDataUrl="data:image/jpeg;base64,"+n,this.frameCount++;const e=Date.now();e-this.lastFrameTime>=1e3&&(this.frameRate=this.frameCount,this.frameCount=0,this.lastFrameTime=e)}})}},KNt=["src"],jNt=["src"],QNt={class:"controls"},XNt=u("i",{"data-feather":"video"},null,-1),ZNt=[XNt],JNt=u("i",{"data-feather":"video"},null,-1),eOt=[JNt],tOt={key:2};function nOt(n,e,t,i,s,r){return N(),M("div",{class:"floating-frame bg-white",style:Jt({bottom:s.position.bottom+"px",right:s.position.right+"px","z-index":s.zIndex}),onMousedown:e[4]||(e[4]=xe((...o)=>r.startDrag&&r.startDrag(...o),["stop"])),onMouseup:e[5]||(e[5]=xe((...o)=>r.stopDrag&&r.stopDrag(...o),["stop"]))},[u("div",{class:"handle",onMousedown:e[0]||(e[0]=xe((...o)=>r.startDrag&&r.startDrag(...o),["stop"])),onMouseup:e[1]||(e[1]=xe((...o)=>r.stopDrag&&r.stopDrag(...o),["stop"]))},"Drag Me",32),s.isVideoActive&&s.imageDataUrl!=null?(N(),M("img",{key:0,src:s.imageDataUrl,alt:"Webcam Frame",width:"300",height:"300"},null,8,KNt)):q("",!0),s.isVideoActive&&s.imageDataUrl==null?(N(),M("p",{key:1,src:s.imageDataUrl,alt:"Webcam Frame",width:"300",height:"300"},"Loading. Please wait...",8,jNt)):q("",!0),u("div",QNt,[s.isVideoActive?q("",!0):(N(),M("button",{key:0,class:"bg-green-500 hover:bg-green-700 text-white font-bold py-2 px-4 rounded",onClick:e[2]||(e[2]=(...o)=>r.startVideoStream&&r.startVideoStream(...o))},ZNt)),s.isVideoActive?(N(),M("button",{key:1,class:"bg-red-500 hover:bg-red-700 text-white font-bold py-2 px-4 rounded",onClick:e[3]||(e[3]=(...o)=>r.stopVideoStream&&r.stopVideoStream(...o))},eOt)):q("",!0),s.isVideoActive?(N(),M("span",tOt,"FPS: "+ge(s.frameRate),1)):q("",!0)])],36)}const iOt=bt(WNt,[["render",nOt]]);const sOt={data(){return{isAudioActive:!1,imageDataUrl:null,isDragging:!1,position:{bottom:0,right:0},dragStart:{x:0,y:0},zIndex:0,frameRate:0,frameCount:0,lastFrameTime:Date.now()}},methods:{startAudioStream(){je.emit("start_audio_stream",()=>{this.isAudioActive=!0}),Ve(()=>{qe.replace()})},stopAudioStream(){je.emit("stop_audio_stream",()=>{this.isAudioActive=!1,this.imageDataUrl=null}),Ve(()=>{qe.replace()})},startDrag(n){this.isDragging=!0,this.zIndex=5001,this.dragStart.x=n.clientX,this.dragStart.y=n.clientY,document.addEventListener("mousemove",this.drag),document.addEventListener("mouseup",this.stopDrag)},drag(n){if(this.isDragging){const e=n.clientX-this.dragStart.x,t=n.clientY-this.dragStart.y;this.position.bottom-=t,this.position.right-=e,this.dragStart.x=n.clientX,this.dragStart.y=n.clientY}},stopDrag(){this.isDragging=!1,this.zIndex=0,document.removeEventListener("mousemove",this.drag),document.removeEventListener("mouseup",this.stopDrag)}},mounted(){qe.replace(),je.on("update_spectrogram",n=>{if(this.isAudioActive){this.imageDataUrl="data:image/jpeg;base64,"+n,this.frameCount++;const e=Date.now();e-this.lastFrameTime>=1e3&&(this.frameRate=this.frameCount,this.frameCount=0,this.lastFrameTime=e)}})}},rOt=["src"],oOt={class:"controls"},aOt=u("i",{"data-feather":"mic"},null,-1),lOt=[aOt],cOt=u("i",{"data-feather":"mic"},null,-1),dOt=[cOt],uOt={key:2};function pOt(n,e,t,i,s,r){return N(),M("div",{class:"floating-frame bg-white",style:Jt({bottom:s.position.bottom+"px",right:s.position.right+"px","z-index":s.zIndex}),onMousedown:e[4]||(e[4]=xe((...o)=>r.startDrag&&r.startDrag(...o),["stop"])),onMouseup:e[5]||(e[5]=xe((...o)=>r.stopDrag&&r.stopDrag(...o),["stop"]))},[u("div",{class:"handle",onMousedown:e[0]||(e[0]=xe((...o)=>r.startDrag&&r.startDrag(...o),["stop"])),onMouseup:e[1]||(e[1]=xe((...o)=>r.stopDrag&&r.stopDrag(...o),["stop"]))},"Drag Me",32),s.isAudioActive&&s.imageDataUrl!=null?(N(),M("img",{key:0,src:s.imageDataUrl,alt:"Spectrogram",width:"300",height:"300"},null,8,rOt)):q("",!0),u("div",oOt,[s.isAudioActive?q("",!0):(N(),M("button",{key:0,class:"bg-green-500 hover:bg-green-700 text-white font-bold py-2 px-4 rounded",onClick:e[2]||(e[2]=(...o)=>r.startAudioStream&&r.startAudioStream(...o))},lOt)),s.isAudioActive?(N(),M("button",{key:1,class:"bg-red-500 hover:bg-red-700 text-white font-bold py-2 px-4 rounded",onClick:e[3]||(e[3]=(...o)=>r.stopAudioStream&&r.stopAudioStream(...o))},dOt)):q("",!0),s.isAudioActive?(N(),M("span",uOt,"FPS: "+ge(s.frameRate),1)):q("",!0)])],36)}const _Ot=bt(sOt,[["render",pOt]]);const hOt={data(){return{activePersonality:null}},props:{personality:{type:Object,default:()=>({})}},components:{VideoFrame:iOt,AudioFrame:_Ot},computed:{isReady:{get(){return this.$store.state.ready}}},watch:{"$store.state.mountedPersArr":"updatePersonality","$store.state.config.active_personality_id":"updatePersonality"},async mounted(){for(;this.isReady===!1;)await new Promise(n=>setTimeout(n,100));console.log("Personality:",this.personality),this.initWebGLScene(),this.updatePersonality(),Ve(()=>{qe.replace()}),this.$refs.video_frame.position={bottom:0,right:0},this.$refs.audio_frame.position={bottom:0,right:100}},beforeDestroy(){},methods:{initWebGLScene(){this.scene=new Awt,this.camera=new Vn(75,window.innerWidth/window.innerHeight,.1,1e3),this.renderer=new TI,this.renderer.setSize(window.innerWidth,window.innerHeight),this.$refs.webglContainer.appendChild(this.renderer.domElement);const n=new xr,e=new CR({color:65280});this.cube=new Hn(n,e),this.scene.add(this.cube);const t=new nNt(4210752),i=new II(16777215,.5);i.position.set(0,1,0),this.scene.add(t),this.scene.add(i),this.camera.position.z=5,this.animate()},updatePersonality(){const{mountedPersArr:n,config:e}=this.$store.state;this.activePersonality=n[e.active_personality_id],this.activePersonality.avatar?this.showBoxWithAvatar(this.activePersonality.avatar):this.showDefaultCube(),this.$emit("update:personality",this.activePersonality)},loadScene(n){new _Nt().load(n,t=>{this.scene.remove(this.cube),this.cube=t.scene,this.scene.add(this.cube)})},showBoxWithAvatar(n){this.cube&&this.scene.remove(this.cube);const e=new xr,t=new OI().load(n),i=new br({map:t});this.cube=new Hn(e,i),this.scene.add(this.cube)},showDefaultCube(){this.scene.remove(this.cube);const n=new xr,e=new CR({color:65280});this.cube=new Hn(n,e),this.scene.add(this.cube)},animate(){requestAnimationFrame(this.animate),this.cube&&(this.cube.rotation.x+=.01,this.cube.rotation.y+=.01),this.renderer.render(this.scene,this.camera)}}},fOt={ref:"webglContainer"},mOt={class:"flex-col y-overflow scrollbar-thin scrollbar-track-bg-light-tone scrollbar-thumb-bg-light-tone-panel hover:scrollbar-thumb-primary dark:scrollbar-track-bg-dark-tone dark:scrollbar-thumb-bg-dark-tone-panel dark:hover:scrollbar-thumb-primary active:scrollbar-thumb-secondary"},gOt={key:0,class:"text-center"},bOt={key:1,class:"text-center"},EOt={class:"floating-frame2"},vOt=["innerHTML"];function yOt(n,e,t,i,s,r){const o=mt("VideoFrame"),a=mt("AudioFrame");return N(),M($e,null,[u("div",fOt,null,512),u("div",mOt,[!s.activePersonality||!s.activePersonality.scene_path?(N(),M("div",gOt," Personality does not have a 3d avatar. ")):q("",!0),!s.activePersonality||!s.activePersonality.avatar||s.activePersonality.avatar===""?(N(),M("div",bOt," Personality does not have an avatar. ")):q("",!0),u("div",EOt,[u("div",{innerHTML:n.htmlContent},null,8,vOt)])]),Ie(o,{ref:"video_frame"},null,512),Ie(a,{ref:"audio_frame"},null,512)],64)}const SOt=bt(hOt,[["render",yOt]]);let Ad;const TOt=new Uint8Array(16);function xOt(){if(!Ad&&(Ad=typeof crypto<"u"&&crypto.getRandomValues&&crypto.getRandomValues.bind(crypto),!Ad))throw new Error("crypto.getRandomValues() not supported. See https://github.com/uuidjs/uuid#getrandomvalues-not-supported");return Ad(TOt)}const xn=[];for(let n=0;n<256;++n)xn.push((n+256).toString(16).slice(1));function COt(n,e=0){return xn[n[e+0]]+xn[n[e+1]]+xn[n[e+2]]+xn[n[e+3]]+"-"+xn[n[e+4]]+xn[n[e+5]]+"-"+xn[n[e+6]]+xn[n[e+7]]+"-"+xn[n[e+8]]+xn[n[e+9]]+"-"+xn[n[e+10]]+xn[n[e+11]]+xn[n[e+12]]+xn[n[e+13]]+xn[n[e+14]]+xn[n[e+15]]}const ROt=typeof crypto<"u"&&crypto.randomUUID&&crypto.randomUUID.bind(crypto),LR={randomUUID:ROt};function Fs(n,e,t){if(LR.randomUUID&&!e&&!n)return LR.randomUUID();n=n||{};const i=n.random||(n.rng||xOt)();if(i[6]=i[6]&15|64,i[8]=i[8]&63|128,e){t=t||0;for(let s=0;s<16;++s)e[t+s]=i[s];return e}return COt(i)}class po{constructor(){this.listenerMap=new Map,this._listeners=[],this.proxyMap=new Map,this.proxies=[]}get listeners(){return this._listeners.concat(this.proxies.flatMap(e=>e()))}subscribe(e,t){this.listenerMap.has(e)&&(console.warn(`Already subscribed. Unsubscribing for you.
Please check that you don't accidentally use the same token twice to register two different handlers for the same event/hook.`),this.unsubscribe(e)),this.listenerMap.set(e,t),this._listeners.push(t)}unsubscribe(e){if(this.listenerMap.has(e)){const t=this.listenerMap.get(e);this.listenerMap.delete(e);const i=this._listeners.indexOf(t);i>=0&&this._listeners.splice(i,1)}}registerProxy(e,t){this.proxyMap.has(e)&&(console.warn(`Already subscribed. Unsubscribing for you.
Please check that you don't accidentally use the same token twice to register two different proxies for the same event/hook.`),this.unregisterProxy(e)),this.proxyMap.set(e,t),this.proxies.push(t)}unregisterProxy(e){if(!this.proxyMap.has(e))return;const t=this.proxyMap.get(e);this.proxyMap.delete(e);const i=this.proxies.indexOf(t);i>=0&&this.proxies.splice(i,1)}}class qt extends po{constructor(e){super(),this.entity=e}emit(e){this.listeners.forEach(t=>t(e,this.entity))}}class Pn extends po{constructor(e){super(),this.entity=e}emit(e){let t=!1;const i=()=>[t=!0];for(const s of Array.from(this.listeners.values()))if(s(e,i,this.entity),t)return{prevented:!0};return{prevented:!1}}}class kI extends po{execute(e,t){let i=e;for(const s of this.listeners)i=s(i,t);return i}}class li extends kI{constructor(e){super(),this.entity=e}execute(e){return super.execute(e,this.entity)}}class AOt extends po{constructor(e){super(),this.entity=e}execute(e){const t=[];for(const i of this.listeners)t.push(i(e,this.entity));return t}}function ji(){const n=Symbol(),e=new Map,t=new Set,i=(l,d)=>{d instanceof po&&d.registerProxy(n,()=>{var c,_;return(_=(c=e.get(l))===null||c===void 0?void 0:c.listeners)!==null&&_!==void 0?_:[]})},s=l=>{const d=new po;e.set(l,d),t.forEach(c=>i(l,c[l]))},r=l=>{t.add(l);for(const d of e.keys())i(d,l[d])},o=l=>{for(const d of e.keys())l[d]instanceof po&&l[d].unregisterProxy(n);t.delete(l)},a=()=>{t.forEach(l=>o(l)),e.clear()};return new Proxy({},{get(l,d){return d==="addTarget"?r:d==="removeTarget"?o:d==="destroy"?a:typeof d!="string"||d.startsWith("_")?l[d]:(e.has(d)||s(d),e.get(d))}})}class PR{constructor(e,t){if(this.destructed=!1,this.events={destruct:new qt(this)},!e||!t)throw new Error("Cannot initialize connection with null/undefined for 'from' or 'to' values");this.id=Fs(),this.from=e,this.to=t,this.from.connectionCount++,this.to.connectionCount++}destruct(){this.events.destruct.emit(),this.from.connectionCount--,this.to.connectionCount--,this.destructed=!0}}class LI{constructor(e,t){if(!e||!t)throw new Error("Cannot initialize connection with null/undefined for 'from' or 'to' values");this.id=Fs(),this.from=e,this.to=t}}function vb(n,e){return Object.fromEntries(Object.entries(n).map(([t,i])=>[t,e(i)]))}class PI{constructor(){this._title="",this.id=Fs(),this.events={loaded:new qt(this),beforeAddInput:new Pn(this),addInput:new qt(this),beforeRemoveInput:new Pn(this),removeInput:new qt(this),beforeAddOutput:new Pn(this),addOutput:new qt(this),beforeRemoveOutput:new Pn(this),removeOutput:new qt(this),beforeTitleChanged:new Pn(this),titleChanged:new qt(this),update:new qt(this)},this.hooks={beforeLoad:new li(this),afterSave:new li(this)}}get graph(){return this.graphInstance}get title(){return this._title}set title(e){this.events.beforeTitleChanged.emit(e).prevented||(this._title=e,this.events.titleChanged.emit(e))}addInput(e,t){return this.addInterface("input",e,t)}addOutput(e,t){return this.addInterface("output",e,t)}removeInput(e){return this.removeInterface("input",e)}removeOutput(e){return this.removeInterface("output",e)}registerGraph(e){this.graphInstance=e}load(e){this.hooks.beforeLoad.execute(e),this.id=e.id,this._title=e.title,Object.entries(e.inputs).forEach(([t,i])=>{this.inputs[t]&&(this.inputs[t].load(i),this.inputs[t].nodeId=this.id)}),Object.entries(e.outputs).forEach(([t,i])=>{this.outputs[t]&&(this.outputs[t].load(i),this.outputs[t].nodeId=this.id)}),this.events.loaded.emit(this)}save(){const e=vb(this.inputs,s=>s.save()),t=vb(this.outputs,s=>s.save()),i={type:this.type,id:this.id,title:this.title,inputs:e,outputs:t};return this.hooks.afterSave.execute(i)}onPlaced(){}onDestroy(){}initializeIo(){Object.entries(this.inputs).forEach(([e,t])=>this.initializeIntf("input",e,t)),Object.entries(this.outputs).forEach(([e,t])=>this.initializeIntf("output",e,t))}initializeIntf(e,t,i){i.isInput=e==="input",i.nodeId=this.id,i.events.setValue.subscribe(this,()=>this.events.update.emit({type:e,name:t,intf:i}))}addInterface(e,t,i){const s=e==="input"?this.events.beforeAddInput:this.events.beforeAddOutput,r=e==="input"?this.events.addInput:this.events.addOutput,o=e==="input"?this.inputs:this.outputs;return s.emit(i).prevented?!1:(o[t]=i,this.initializeIntf(e,t,i),r.emit(i),!0)}removeInterface(e,t){const i=e==="input"?this.events.beforeRemoveInput:this.events.beforeRemoveOutput,s=e==="input"?this.events.removeInput:this.events.removeOutput,r=e==="input"?this.inputs[t]:this.outputs[t];if(!r||i.emit(r).prevented)return!1;if(r.connectionCount>0)if(this.graphInstance)this.graphInstance.connections.filter(a=>a.from===r||a.to===r).forEach(a=>{this.graphInstance.removeConnection(a)});else throw new Error("Interface is connected, but no graph instance is specified. Unable to delete interface");return r.events.setValue.unsubscribe(this),e==="input"?delete this.inputs[t]:delete this.outputs[t],s.emit(r),!0}}let UI=class extends PI{load(e){super.load(e)}save(){return super.save()}};function el(n){return class extends UI{constructor(){var e,t;super(),this.type=n.type,this.inputs={},this.outputs={},this.calculate=n.calculate?(i,s)=>n.calculate.call(this,i,s):void 0,this._title=(e=n.title)!==null&&e!==void 0?e:n.type,this.executeFactory("input",n.inputs),this.executeFactory("output",n.outputs),(t=n.onCreate)===null||t===void 0||t.call(this)}onPlaced(){var e;(e=n.onPlaced)===null||e===void 0||e.call(this)}onDestroy(){var e;(e=n.onDestroy)===null||e===void 0||e.call(this)}executeFactory(e,t){Object.keys(t||{}).forEach(i=>{const s=t[i]();e==="input"?this.addInput(i,s):this.addOutput(i,s)})}}}class tn{set connectionCount(e){this._connectionCount=e,this.events.setConnectionCount.emit(e)}get connectionCount(){return this._connectionCount}set value(e){this.events.beforeSetValue.emit(e).prevented||(this._value=e,this.events.setValue.emit(e))}get value(){return this._value}constructor(e,t){this.id=Fs(),this.nodeId="",this.port=!0,this.hidden=!1,this.events={setConnectionCount:new qt(this),beforeSetValue:new Pn(this),setValue:new qt(this),updated:new qt(this)},this.hooks={load:new li(this),save:new li(this)},this._connectionCount=0,this.name=e,this._value=t}load(e){this.id=e.id,this.templateId=e.templateId,this.value=e.value,this.hooks.load.execute(e)}save(){const e={id:this.id,templateId:this.templateId,value:this.value};return this.hooks.save.execute(e)}setComponent(e){return this.component=e,this}setPort(e){return this.port=e,this}setHidden(e){return this.hidden=e,this}use(e,...t){return e(this,...t),this}}const ka="__baklava_SubgraphInputNode",La="__baklava_SubgraphOutputNode";class FI extends UI{constructor(){super(),this.graphInterfaceId=Fs()}onPlaced(){super.onPlaced(),this.initializeIo()}save(){return{...super.save(),graphInterfaceId:this.graphInterfaceId}}load(e){super.load(e),this.graphInterfaceId=e.graphInterfaceId}}class BI extends FI{constructor(){super(...arguments),this.type=ka,this.inputs={name:new tn("Name","Input")},this.outputs={placeholder:new tn("Value",void 0)}}static isGraphInputNode(e){return e.type===ka}}class GI extends FI{constructor(){super(...arguments),this.type=La,this.inputs={name:new tn("Name","Output"),placeholder:new tn("Value",void 0)},this.outputs={output:new tn("Output",void 0).setHidden(!0)},this.calculate=({placeholder:e})=>({output:e})}static isGraphOutputNode(e){return e.type===La}}class Cc{get nodes(){return this._nodes}get connections(){return this._connections}get loading(){return this._loading}get destroying(){return this._destroying}get inputs(){return this.nodes.filter(t=>t.type===ka).map(t=>({id:t.graphInterfaceId,name:t.inputs.name.value,nodeId:t.id,nodeInterfaceId:t.outputs.placeholder.id}))}get outputs(){return this.nodes.filter(t=>t.type===La).map(t=>({id:t.graphInterfaceId,name:t.inputs.name.value,nodeId:t.id,nodeInterfaceId:t.outputs.output.id}))}constructor(e,t){this.id=Fs(),this.activeTransactions=0,this._nodes=[],this._connections=[],this._loading=!1,this._destroying=!1,this.events={beforeAddNode:new Pn(this),addNode:new qt(this),beforeRemoveNode:new Pn(this),removeNode:new qt(this),beforeAddConnection:new Pn(this),addConnection:new qt(this),checkConnection:new Pn(this),beforeRemoveConnection:new Pn(this),removeConnection:new qt(this)},this.hooks={save:new li(this),load:new li(this),checkConnection:new AOt(this)},this.nodeEvents=ji(),this.nodeHooks=ji(),this.connectionEvents=ji(),this.editor=e,this.template=t,e.registerGraph(this)}addNode(e){if(!this.events.beforeAddNode.emit(e).prevented)return this.nodeEvents.addTarget(e.events),this.nodeHooks.addTarget(e.hooks),e.registerGraph(this),this._nodes.push(e),e=this.nodes.find(t=>t.id===e.id),e.onPlaced(),this.events.addNode.emit(e),e}removeNode(e){if(this.nodes.includes(e)){if(this.events.beforeRemoveNode.emit(e).prevented)return;const t=[...Object.values(e.inputs),...Object.values(e.outputs)];this.connections.filter(i=>t.includes(i.from)||t.includes(i.to)).forEach(i=>this.removeConnection(i)),this._nodes.splice(this.nodes.indexOf(e),1),this.events.removeNode.emit(e),e.onDestroy(),this.nodeEvents.removeTarget(e.events),this.nodeHooks.removeTarget(e.hooks)}}addConnection(e,t){const i=this.checkConnection(e,t);if(!i.connectionAllowed||this.events.beforeAddConnection.emit({from:e,to:t}).prevented)return;for(const r of i.connectionsInDanger){const o=this.connections.find(a=>a.id===r.id);o&&this.removeConnection(o)}const s=new PR(i.dummyConnection.from,i.dummyConnection.to);return this.internalAddConnection(s),s}removeConnection(e){if(this.connections.includes(e)){if(this.events.beforeRemoveConnection.emit(e).prevented)return;e.destruct(),this._connections.splice(this.connections.indexOf(e),1),this.events.removeConnection.emit(e),this.connectionEvents.removeTarget(e.events)}}checkConnection(e,t){if(!e||!t)return{connectionAllowed:!1};const i=this.findNodeById(e.nodeId),s=this.findNodeById(t.nodeId);if(i&&s&&i===s)return{connectionAllowed:!1};if(e.isInput&&!t.isInput){const a=e;e=t,t=a}if(e.isInput||!t.isInput)return{connectionAllowed:!1};if(this.connections.some(a=>a.from===e&&a.to===t))return{connectionAllowed:!1};if(this.events.checkConnection.emit({from:e,to:t}).prevented)return{connectionAllowed:!1};const r=this.hooks.checkConnection.execute({from:e,to:t});if(r.some(a=>!a.connectionAllowed))return{connectionAllowed:!1};const o=Array.from(new Set(r.flatMap(a=>a.connectionsInDanger)));return{connectionAllowed:!0,dummyConnection:new LI(e,t),connectionsInDanger:o}}findNodeInterface(e){for(const t of this.nodes){for(const i in t.inputs){const s=t.inputs[i];if(s.id===e)return s}for(const i in t.outputs){const s=t.outputs[i];if(s.id===e)return s}}}findNodeById(e){return this.nodes.find(t=>t.id===e)}load(e){try{this._loading=!0;const t=[];for(let i=this.connections.length-1;i>=0;i--)this.removeConnection(this.connections[i]);for(let i=this.nodes.length-1;i>=0;i--)this.removeNode(this.nodes[i]);this.id=e.id;for(const i of e.nodes){const s=this.editor.nodeTypes.get(i.type);if(!s){t.push(`Node type ${i.type} is not registered`);continue}const r=new s.type;this.addNode(r),r.load(i)}for(const i of e.connections){const s=this.findNodeInterface(i.from),r=this.findNodeInterface(i.to);if(s)if(r){const o=new PR(s,r);o.id=i.id,this.internalAddConnection(o)}else{t.push(`Could not find interface with id ${i.to}`);continue}else{t.push(`Could not find interface with id ${i.from}`);continue}}return this.hooks.load.execute(e),t}finally{this._loading=!1}}save(){const e={id:this.id,nodes:this.nodes.map(t=>t.save()),connections:this.connections.map(t=>({id:t.id,from:t.from.id,to:t.to.id})),inputs:this.inputs,outputs:this.outputs};return this.hooks.save.execute(e)}destroy(){this._destroying=!0;for(const e of this.nodes)this.removeNode(e);this.editor.unregisterGraph(this)}internalAddConnection(e){this.connectionEvents.addTarget(e.events),this._connections.push(e),this.events.addConnection.emit(e)}}const cc="__baklava_GraphNode-";function Pa(n){return cc+n.id}function wOt(n){return class extends PI{constructor(){super(...arguments),this.type=Pa(n),this.inputs={},this.outputs={},this.template=n,this.calculate=async(t,i)=>{var s;if(!this.subgraph)throw new Error(`GraphNode ${this.id}: calculate called without subgraph being initialized`);if(!i.engine||typeof i.engine!="object")throw new Error(`GraphNode ${this.id}: calculate called but no engine provided in context`);const r=i.engine.getInputValues(this.subgraph);for(const l of this.subgraph.inputs)r.set(l.nodeInterfaceId,t[l.id]);const o=await i.engine.runGraph(this.subgraph,r,i.globalValues),a={};for(const l of this.subgraph.outputs)a[l.id]=(s=o.get(l.nodeId))===null||s===void 0?void 0:s.get("output");return a._calculationResults=o,a}}get title(){return this._title}set title(t){this.template.name=t}load(t){if(!this.subgraph)throw new Error("Cannot load a graph node without a graph");if(!this.template)throw new Error("Unable to load graph node without graph template");this.subgraph.load(t.graphState),super.load(t)}save(){if(!this.subgraph)throw new Error("Cannot save a graph node without a graph");return{...super.save(),graphState:this.subgraph.save()}}onPlaced(){this.template.events.updated.subscribe(this,()=>this.initialize()),this.template.events.nameChanged.subscribe(this,t=>{this._title=t}),this.initialize()}onDestroy(){var t;this.template.events.updated.unsubscribe(this),this.template.events.nameChanged.unsubscribe(this),(t=this.subgraph)===null||t===void 0||t.destroy()}initialize(){this.subgraph&&this.subgraph.destroy(),this.subgraph=this.template.createGraph(),this._title=this.template.name,this.updateInterfaces(),this.events.update.emit(null)}updateInterfaces(){if(!this.subgraph)throw new Error("Trying to update interfaces without graph instance");for(const t of this.subgraph.inputs)t.id in this.inputs?this.inputs[t.id].name=t.name:this.addInput(t.id,new tn(t.name,void 0));for(const t of Object.keys(this.inputs))this.subgraph.inputs.some(i=>i.id===t)||this.removeInput(t);for(const t of this.subgraph.outputs)t.id in this.outputs?this.outputs[t.id].name=t.name:this.addOutput(t.id,new tn(t.name,void 0));for(const t of Object.keys(this.outputs))this.subgraph.outputs.some(i=>i.id===t)||this.removeOutput(t);this.addOutput("_calculationResults",new tn("_calculationResults",void 0).setHidden(!0))}}}class mp{static fromGraph(e,t){return new mp(e.save(),t)}get name(){return this._name}set name(e){this._name=e,this.events.nameChanged.emit(e);const t=this.editor.nodeTypes.get(Pa(this));t&&(t.title=e)}get inputs(){return this.nodes.filter(t=>t.type===ka).map(t=>({id:t.graphInterfaceId,name:t.inputs.name.value,nodeId:t.id,nodeInterfaceId:t.outputs.placeholder.id}))}get outputs(){return this.nodes.filter(t=>t.type===La).map(t=>({id:t.graphInterfaceId,name:t.inputs.name.value,nodeId:t.id,nodeInterfaceId:t.outputs.output.id}))}constructor(e,t){this.id=Fs(),this._name="Subgraph",this.events={nameChanged:new qt(this),updated:new qt(this)},this.hooks={beforeLoad:new li(this),afterSave:new li(this)},this.editor=t,e.id&&(this.id=e.id),e.name&&(this._name=e.name),this.update(e)}update(e){this.nodes=e.nodes,this.connections=e.connections,this.events.updated.emit()}save(){return{id:this.id,name:this.name,nodes:this.nodes,connections:this.connections,inputs:this.inputs,outputs:this.outputs}}createGraph(e){const t=new Map,i=f=>{const m=Fs();return t.set(f,m),m},s=f=>{const m=t.get(f);if(!m)throw new Error(`Unable to create graph from template: Could not map old id ${f} to new id`);return m},r=f=>vb(f,m=>({id:i(m.id),templateId:m.id,value:m.value})),o=this.nodes.map(f=>({...f,id:i(f.id),inputs:r(f.inputs),outputs:r(f.outputs)})),a=this.connections.map(f=>({id:i(f.id),from:s(f.from),to:s(f.to)})),l=this.inputs.map(f=>({id:f.id,name:f.name,nodeId:s(f.nodeId),nodeInterfaceId:s(f.nodeInterfaceId)})),d=this.outputs.map(f=>({id:f.id,name:f.name,nodeId:s(f.nodeId),nodeInterfaceId:s(f.nodeInterfaceId)})),c={id:Fs(),nodes:o,connections:a,inputs:l,outputs:d};return e||(e=new Cc(this.editor)),e.load(c).forEach(f=>console.warn(f)),e.template=this,e}}class NOt{get nodeTypes(){return this._nodeTypes}get graph(){return this._graph}get graphTemplates(){return this._graphTemplates}get graphs(){return this._graphs}get loading(){return this._loading}constructor(){this.events={loaded:new qt(this),beforeRegisterNodeType:new Pn(this),registerNodeType:new qt(this),beforeUnregisterNodeType:new Pn(this),unregisterNodeType:new qt(this),beforeAddGraphTemplate:new Pn(this),addGraphTemplate:new qt(this),beforeRemoveGraphTemplate:new Pn(this),removeGraphTemplate:new qt(this),registerGraph:new qt(this),unregisterGraph:new qt(this)},this.hooks={save:new li(this),load:new li(this)},this.graphTemplateEvents=ji(),this.graphTemplateHooks=ji(),this.graphEvents=ji(),this.graphHooks=ji(),this.nodeEvents=ji(),this.nodeHooks=ji(),this.connectionEvents=ji(),this._graphs=new Set,this._nodeTypes=new Map,this._graph=new Cc(this),this._graphTemplates=[],this._loading=!1,this.registerNodeType(BI),this.registerNodeType(GI)}registerNodeType(e,t){var i,s;if(this.events.beforeRegisterNodeType.emit({type:e,options:t}).prevented)return;const r=new e;this._nodeTypes.set(r.type,{type:e,category:(i=t==null?void 0:t.category)!==null&&i!==void 0?i:"default",title:(s=t==null?void 0:t.title)!==null&&s!==void 0?s:r.title}),this.events.registerNodeType.emit({type:e,options:t})}unregisterNodeType(e){const t=typeof e=="string"?e:new e().type;if(this.nodeTypes.has(t)){if(this.events.beforeUnregisterNodeType.emit(t).prevented)return;this._nodeTypes.delete(t),this.events.unregisterNodeType.emit(t)}}addGraphTemplate(e){if(this.events.beforeAddGraphTemplate.emit(e).prevented)return;this._graphTemplates.push(e),this.graphTemplateEvents.addTarget(e.events),this.graphTemplateHooks.addTarget(e.hooks);const t=wOt(e);this.registerNodeType(t,{category:"Subgraphs",title:e.name}),this.events.addGraphTemplate.emit(e)}removeGraphTemplate(e){if(this.graphTemplates.includes(e)){if(this.events.beforeRemoveGraphTemplate.emit(e).prevented)return;const t=Pa(e);for(const i of[this.graph,...this.graphs.values()]){const s=i.nodes.filter(r=>r.type===t);for(const r of s)i.removeNode(r)}this.unregisterNodeType(t),this._graphTemplates.splice(this._graphTemplates.indexOf(e),1),this.graphTemplateEvents.removeTarget(e.events),this.graphTemplateHooks.removeTarget(e.hooks),this.events.removeGraphTemplate.emit(e)}}registerGraph(e){this.graphEvents.addTarget(e.events),this.graphHooks.addTarget(e.hooks),this.nodeEvents.addTarget(e.nodeEvents),this.nodeHooks.addTarget(e.nodeHooks),this.connectionEvents.addTarget(e.connectionEvents),this.events.registerGraph.emit(e),this._graphs.add(e)}unregisterGraph(e){this.graphEvents.removeTarget(e.events),this.graphHooks.removeTarget(e.hooks),this.nodeEvents.removeTarget(e.nodeEvents),this.nodeHooks.removeTarget(e.nodeHooks),this.connectionEvents.removeTarget(e.connectionEvents),this.events.unregisterGraph.emit(e),this._graphs.delete(e)}load(e){try{this._loading=!0,e=this.hooks.load.execute(e),e.graphTemplates.forEach(i=>{const s=new mp(i,this);this.addGraphTemplate(s)});const t=this._graph.load(e.graph);return this.events.loaded.emit(),t.forEach(i=>console.warn(i)),t}finally{this._loading=!1}}save(){const e={graph:this.graph.save(),graphTemplates:this.graphTemplates.map(t=>t.save())};return this.hooks.save.execute(e)}}function OOt(n,e){const t=new Map;e.graphs.forEach(i=>{i.nodes.forEach(s=>t.set(s.id,s))}),n.forEach((i,s)=>{const r=t.get(s);r&&i.forEach((o,a)=>{const l=r.outputs[a];l&&(l.value=o)})})}class zI extends Error{constructor(){super("Cycle detected")}}function IOt(n){return typeof n=="string"}function VI(n,e){const t=new Map,i=new Map,s=new Map;let r,o;if(n instanceof Cc)r=n.nodes,o=n.connections;else{if(!e)throw new Error("Invalid argument value: expected array of connections");r=n,o=e}r.forEach(d=>{Object.values(d.inputs).forEach(c=>t.set(c.id,d.id)),Object.values(d.outputs).forEach(c=>t.set(c.id,d.id))}),r.forEach(d=>{const c=o.filter(f=>f.from&&t.get(f.from.id)===d.id),_=new Set(c.map(f=>t.get(f.to.id)).filter(IOt));i.set(d.id,_),s.set(d,c)});const a=r.slice();o.forEach(d=>{const c=a.findIndex(_=>t.get(d.to.id)===_.id);c>=0&&a.splice(c,1)});const l=[];for(;a.length>0;){const d=a.pop();l.push(d);const c=i.get(d.id);for(;c.size>0;){const _=c.values().next().value;if(c.delete(_),Array.from(i.values()).every(f=>!f.has(_))){const f=r.find(m=>m.id===_);a.push(f)}}}if(Array.from(i.values()).some(d=>d.size>0))throw new zI;return{calculationOrder:l,connectionsFromNode:s,interfaceIdToNodeId:t}}function MOt(n,e){try{return VI(n,e),!1}catch(t){if(t instanceof zI)return!0;throw t}}var Kn;(function(n){n.Running="Running",n.Idle="Idle",n.Paused="Paused",n.Stopped="Stopped"})(Kn||(Kn={}));class DOt{get status(){return this.isRunning?Kn.Running:this.internalStatus}constructor(e){this.editor=e,this.events={beforeRun:new Pn(this),afterRun:new qt(this),statusChange:new qt(this),beforeNodeCalculation:new qt(this),afterNodeCalculation:new qt(this)},this.hooks={gatherCalculationData:new li(this),transferData:new kI},this.recalculateOrder=!0,this.internalStatus=Kn.Stopped,this.isRunning=!1,this.editor.nodeEvents.update.subscribe(this,(t,i)=>{i.graph&&!i.graph.loading&&i.graph.activeTransactions===0&&this.internalOnChange(i,t??void 0)}),this.editor.graphEvents.addNode.subscribe(this,(t,i)=>{this.recalculateOrder=!0,!i.loading&&i.activeTransactions===0&&this.internalOnChange()}),this.editor.graphEvents.removeNode.subscribe(this,(t,i)=>{this.recalculateOrder=!0,!i.loading&&i.activeTransactions===0&&this.internalOnChange()}),this.editor.graphEvents.addConnection.subscribe(this,(t,i)=>{this.recalculateOrder=!0,!i.loading&&i.activeTransactions===0&&this.internalOnChange()}),this.editor.graphEvents.removeConnection.subscribe(this,(t,i)=>{this.recalculateOrder=!0,!i.loading&&i.activeTransactions===0&&this.internalOnChange()}),this.editor.graphHooks.checkConnection.subscribe(this,t=>this.checkConnection(t.from,t.to))}start(){this.internalStatus===Kn.Stopped&&(this.internalStatus=Kn.Idle,this.events.statusChange.emit(this.status))}pause(){this.internalStatus===Kn.Idle&&(this.internalStatus=Kn.Paused,this.events.statusChange.emit(this.status))}resume(){this.internalStatus===Kn.Paused&&(this.internalStatus=Kn.Idle,this.events.statusChange.emit(this.status))}stop(){(this.internalStatus===Kn.Idle||this.internalStatus===Kn.Paused)&&(this.internalStatus=Kn.Stopped,this.events.statusChange.emit(this.status))}async runOnce(e,...t){if(this.events.beforeRun.emit(e).prevented)return null;try{this.isRunning=!0,this.events.statusChange.emit(this.status),this.recalculateOrder&&this.calculateOrder();const i=await this.execute(e,...t);return this.events.afterRun.emit(i),i}finally{this.isRunning=!1,this.events.statusChange.emit(this.status)}}checkConnection(e,t){if(e.templateId){const r=this.findInterfaceByTemplateId(this.editor.graph.nodes,e.templateId);if(!r)return{connectionAllowed:!0,connectionsInDanger:[]};e=r}if(t.templateId){const r=this.findInterfaceByTemplateId(this.editor.graph.nodes,t.templateId);if(!r)return{connectionAllowed:!0,connectionsInDanger:[]};t=r}const i=new LI(e,t);let s=this.editor.graph.connections.slice();return t.allowMultipleConnections||(s=s.filter(r=>r.to!==t)),s.push(i),MOt(this.editor.graph.nodes,s)?{connectionAllowed:!1,connectionsInDanger:[]}:{connectionAllowed:!0,connectionsInDanger:t.allowMultipleConnections?[]:this.editor.graph.connections.filter(r=>r.to===t)}}calculateOrder(){this.recalculateOrder=!0}async calculateWithoutData(...e){const t=this.hooks.gatherCalculationData.execute(void 0);return await this.runOnce(t,...e)}validateNodeCalculationOutput(e,t){if(typeof t!="object")throw new Error(`Invalid calculation return value from node ${e.id} (type ${e.type})`);Object.keys(e.outputs).forEach(i=>{if(!(i in t))throw new Error(`Calculation return value from node ${e.id} (type ${e.type}) is missing key "${i}"`)})}internalOnChange(e,t){this.internalStatus===Kn.Idle&&this.onChange(this.recalculateOrder,e,t)}findInterfaceByTemplateId(e,t){for(const i of e)for(const s of[...Object.values(i.inputs),...Object.values(i.outputs)])if(s.templateId===t)return s;return null}}class kOt extends DOt{constructor(e){super(e),this.order=new Map}start(){super.start(),this.recalculateOrder=!0,this.calculateWithoutData()}async runGraph(e,t,i){this.order.has(e.id)||this.order.set(e.id,VI(e));const{calculationOrder:s,connectionsFromNode:r}=this.order.get(e.id),o=new Map;for(const a of s){const l={};Object.entries(a.inputs).forEach(([c,_])=>{l[c]=this.getInterfaceValue(t,_.id)}),this.events.beforeNodeCalculation.emit({inputValues:l,node:a});let d;if(a.calculate)d=await a.calculate(l,{globalValues:i,engine:this});else{d={};for(const[c,_]of Object.entries(a.outputs))d[c]=this.getInterfaceValue(t,_.id)}this.validateNodeCalculationOutput(a,d),this.events.afterNodeCalculation.emit({outputValues:d,node:a}),o.set(a.id,new Map(Object.entries(d))),r.has(a)&&r.get(a).forEach(c=>{var _;const f=(_=Object.entries(a.outputs).find(([,h])=>h.id===c.from.id))===null||_===void 0?void 0:_[0];if(!f)throw new Error(`Could not find key for interface ${c.from.id}
This is likely a Baklava internal issue. Please report it on GitHub.`);const m=this.hooks.transferData.execute(d[f],c);c.to.allowMultipleConnections?t.has(c.to.id)?t.get(c.to.id).push(m):t.set(c.to.id,[m]):t.set(c.to.id,m)})}return o}async execute(e){this.recalculateOrder&&(this.order.clear(),this.recalculateOrder=!1);const t=this.getInputValues(this.editor.graph);return await this.runGraph(this.editor.graph,t,e)}getInputValues(e){const t=new Map;for(const i of e.nodes)Object.values(i.inputs).forEach(s=>{s.connectionCount===0&&t.set(s.id,s.value)}),i.calculate||Object.values(i.outputs).forEach(s=>{t.set(s.id,s.value)});return t}onChange(e){this.recalculateOrder=e||this.recalculateOrder,this.calculateWithoutData()}getInterfaceValue(e,t){if(!e.has(t))throw new Error(`Could not find value for interface ${t}
This is likely a Baklava internal issue. Please report it on GitHub.`);return e.get(t)}}let yb=null;function LOt(n){yb=n}function Oi(){if(!yb)throw new Error("providePlugin() must be called before usePlugin()");return{viewModel:yb}}function Wi(){const{viewModel:n}=Oi();return{graph:jd(n.value,"displayedGraph"),switchGraph:n.value.switchGraph}}function HI(n){const{graph:e}=Wi(),t=ft(null),i=ft(null);return{dragging:it(()=>!!t.value),onPointerDown:l=>{t.value={x:l.pageX,y:l.pageY},i.value={x:n.value.x,y:n.value.y}},onPointerMove:l=>{if(t.value){const d=l.pageX-t.value.x,c=l.pageY-t.value.y;n.value.x=i.value.x+d/e.value.scaling,n.value.y=i.value.y+c/e.value.scaling}},onPointerUp:()=>{t.value=null,i.value=null}}}function qI(n,e,t){if(!e.template)return!1;if(Pa(e.template)===t)return!0;const i=n.graphTemplates.find(r=>Pa(r)===t);return i?i.nodes.filter(r=>r.type.startsWith(cc)).some(r=>qI(n,e,r.type)):!1}function YI(n){return it(()=>{const e=Array.from(n.value.editor.nodeTypes.entries()),t=new Set(e.map(([,s])=>s.category)),i=[];for(const s of t.values()){let r=e.filter(([,o])=>o.category===s);n.value.displayedGraph.template?r=r.filter(([o])=>!qI(n.value.editor,n.value.displayedGraph,o)):r=r.filter(([o])=>![ka,La].includes(o)),r.length>0&&i.push({name:s,nodeTypes:Object.fromEntries(r)})}return i.sort((s,r)=>s.name==="default"?-1:r.name==="default"||s.name>r.name?1:-1),i})}function $I(){const{graph:n}=Wi();return{transform:(t,i)=>{const s=t/n.value.scaling-n.value.panning.x,r=i/n.value.scaling-n.value.panning.y;return[s,r]}}}function POt(){const{graph:n}=Wi();let e=[],t=-1,i={x:0,y:0};const s=it(()=>n.value.panning),r=HI(s),o=it(()=>({"transform-origin":"0 0",transform:`scale(${n.value.scaling}) translate(${n.value.panning.x}px, ${n.value.panning.y}px)`})),a=(m,h,E)=>{const b=[m/n.value.scaling-n.value.panning.x,h/n.value.scaling-n.value.panning.y],g=[m/E-n.value.panning.x,h/E-n.value.panning.y],v=[g[0]-b[0],g[1]-b[1]];n.value.panning.x+=v[0],n.value.panning.y+=v[1],n.value.scaling=E},l=m=>{m.preventDefault();let h=m.deltaY;m.deltaMode===1&&(h*=32);const E=n.value.scaling*(1-h/3e3);a(m.offsetX,m.offsetY,E)},d=()=>({ax:e[0].clientX,ay:e[0].clientY,bx:e[1].clientX,by:e[1].clientY});return{styles:o,...r,onPointerDown:m=>{if(e.push(m),r.onPointerDown(m),e.length===2){const{ax:h,ay:E,bx:b,by:g}=d();i={x:h+(b-h)/2,y:E+(g-E)/2}}},onPointerMove:m=>{for(let h=0;h<e.length;h++)if(m.pointerId==e[h].pointerId){e[h]=m;break}if(e.length==2){const{ax:h,ay:E,bx:b,by:g}=d(),v=h-b,y=E-g,T=Math.sqrt(v*v+y*y);if(t>0){const C=n.value.scaling*(1+(T-t)/500);a(i.x,i.y,C)}t=T}else r.onPointerMove(m)},onPointerUp:m=>{e=e.filter(h=>h.pointerId!==m.pointerId),t=-1,r.onPointerUp()},onMouseWheel:l}}var vi=(n=>(n[n.NONE=0]="NONE",n[n.ALLOWED=1]="ALLOWED",n[n.FORBIDDEN=2]="FORBIDDEN",n))(vi||{});const WI=Symbol();function UOt(){const{graph:n}=Wi(),e=ft(null),t=ft(null),i=a=>{e.value&&(e.value.mx=a.offsetX/n.value.scaling-n.value.panning.x,e.value.my=a.offsetY/n.value.scaling-n.value.panning.y)},s=()=>{if(t.value){if(e.value)return;const a=n.value.connections.find(l=>l.to===t.value);t.value.isInput&&a?(e.value={status:vi.NONE,from:a.from},n.value.removeConnection(a)):e.value={status:vi.NONE,from:t.value},e.value.mx=void 0,e.value.my=void 0}},r=()=>{if(e.value&&t.value){if(e.value.from===t.value)return;n.value.addConnection(e.value.from,e.value.to)}e.value=null},o=a=>{if(t.value=a??null,a&&e.value){e.value.to=a;const l=n.value.checkConnection(e.value.from,e.value.to);if(e.value.status=l.connectionAllowed?vi.ALLOWED:vi.FORBIDDEN,l.connectionAllowed){const d=l.connectionsInDanger.map(c=>c.id);n.value.connections.forEach(c=>{d.includes(c.id)&&(c.isInDanger=!0)})}}else!a&&e.value&&(e.value.to=void 0,e.value.status=vi.NONE,n.value.connections.forEach(l=>{l.isInDanger=!1}))};return sa(WI,{temporaryConnection:e,hoveredOver:o}),{temporaryConnection:e,onMouseMove:i,onMouseDown:s,onMouseUp:r,hoveredOver:o}}function FOt(n){const e=ft(!1),t=ft(0),i=ft(0),s=YI(n),{transform:r}=$I(),o=it(()=>{let c=[];const _={};for(const m of s.value){const h=Object.entries(m.nodeTypes).map(([E,b])=>({label:b.title,value:"addNode:"+E}));m.name==="default"?c=h:_[m.name]=h}const f=[...Object.entries(_).map(([m,h])=>({label:m,submenu:h}))];return f.length>0&&c.length>0&&f.push({isDivider:!0}),f.push(...c),f}),a=it(()=>n.value.settings.contextMenu.additionalItems.length===0?o.value:[{label:"Add node",submenu:o.value},...n.value.settings.contextMenu.additionalItems.map(c=>"isDivider"in c||"submenu"in c?c:{label:c.label,value:"command:"+c.command,disabled:!n.value.commandHandler.canExecuteCommand(c.command)})]);function l(c){e.value=!0,t.value=c.offsetX,i.value=c.offsetY}function d(c){if(c.startsWith("addNode:")){const _=c.substring(8),f=n.value.editor.nodeTypes.get(_);if(!f)return;const m=ei(new f.type);n.value.displayedGraph.addNode(m);const[h,E]=r(t.value,i.value);m.position.x=h,m.position.y=E}else if(c.startsWith("command:")){const _=c.substring(8);n.value.commandHandler.canExecuteCommand(_)&&n.value.commandHandler.executeCommand(_)}}return{show:e,x:t,y:i,items:a,open:l,onClick:d}}const BOt=pn({setup(){const{viewModel:n}=Oi(),{graph:e}=Wi();return{styles:it(()=>{const i=n.value.settings.background,s=e.value.panning.x*e.value.scaling,r=e.value.panning.y*e.value.scaling,o=e.value.scaling*i.gridSize,a=o/i.gridDivision,l=`${o}px ${o}px, ${o}px ${o}px`,d=e.value.scaling>i.subGridVisibleThreshold?`, ${a}px ${a}px, ${a}px ${a}px`:"";return{backgroundPosition:`left ${s}px top ${r}px`,backgroundSize:`${l} ${d}`}})}}}),_n=(n,e)=>{const t=n.__vccOpts||n;for(const[i,s]of e)t[i]=s;return t};function GOt(n,e,t,i,s,r){return N(),M("div",{class:"background",style:Jt(n.styles)},null,4)}const zOt=_n(BOt,[["render",GOt]]);function VOt(n){return nA()?(MM(n),!0):!1}function uv(n){return typeof n=="function"?n():Tt(n)}const KI=typeof window<"u"&&typeof document<"u";typeof WorkerGlobalScope<"u"&&globalThis instanceof WorkerGlobalScope;const HOt=Object.prototype.toString,qOt=n=>HOt.call(n)==="[object Object]",qd=()=>{},YOt=$Ot();function $Ot(){var n,e;return KI&&((n=window==null?void 0:window.navigator)==null?void 0:n.userAgent)&&(/iP(ad|hone|od)/.test(window.navigator.userAgent)||((e=window==null?void 0:window.navigator)==null?void 0:e.maxTouchPoints)>2&&/iPad|Macintosh/.test(window==null?void 0:window.navigator.userAgent))}function WOt(n,e,t=!1){return e.reduce((i,s)=>(s in n&&(!t||n[s]!==void 0)&&(i[s]=n[s]),i),{})}function KOt(n,e={}){if(!hn(n))return _2(n);const t=Array.isArray(n.value)?Array.from({length:n.value.length}):{};for(const i in n.value)t[i]=p2(()=>({get(){return n.value[i]},set(s){var r;if((r=uv(e.replaceRef))!=null?r:!0)if(Array.isArray(n.value)){const a=[...n.value];a[i]=s,n.value=a}else{const a={...n.value,[i]:s};Object.setPrototypeOf(a,Object.getPrototypeOf(n.value)),n.value=a}else n.value[i]=s}}));return t}function Nl(n){var e;const t=uv(n);return(e=t==null?void 0:t.$el)!=null?e:t}const pv=KI?window:void 0;function Vl(...n){let e,t,i,s;if(typeof n[0]=="string"||Array.isArray(n[0])?([t,i,s]=n,e=pv):[e,t,i,s]=n,!e)return qd;Array.isArray(t)||(t=[t]),Array.isArray(i)||(i=[i]);const r=[],o=()=>{r.forEach(c=>c()),r.length=0},a=(c,_,f,m)=>(c.addEventListener(_,f,m),()=>c.removeEventListener(_,f,m)),l=qn(()=>[Nl(e),uv(s)],([c,_])=>{if(o(),!c)return;const f=qOt(_)?{..._}:_;r.push(...t.flatMap(m=>i.map(h=>a(c,m,h,f))))},{immediate:!0,flush:"post"}),d=()=>{l(),o()};return VOt(d),d}let UR=!1;function jI(n,e,t={}){const{window:i=pv,ignore:s=[],capture:r=!0,detectIframe:o=!1}=t;if(!i)return qd;YOt&&!UR&&(UR=!0,Array.from(i.document.body.children).forEach(f=>f.addEventListener("click",qd)),i.document.documentElement.addEventListener("click",qd));let a=!0;const l=f=>s.some(m=>{if(typeof m=="string")return Array.from(i.document.querySelectorAll(m)).some(h=>h===f.target||f.composedPath().includes(h));{const h=Nl(m);return h&&(f.target===h||f.composedPath().includes(h))}}),c=[Vl(i,"click",f=>{const m=Nl(n);if(!(!m||m===f.target||f.composedPath().includes(m))){if(f.detail===0&&(a=!l(f)),!a){a=!0;return}e(f)}},{passive:!0,capture:r}),Vl(i,"pointerdown",f=>{const m=Nl(n);a=!l(f)&&!!(m&&!f.composedPath().includes(m))},{passive:!0}),o&&Vl(i,"blur",f=>{setTimeout(()=>{var m;const h=Nl(n);((m=i.document.activeElement)==null?void 0:m.tagName)==="IFRAME"&&!(h!=null&&h.contains(i.document.activeElement))&&e(f)},0)})].filter(Boolean);return()=>c.forEach(f=>f())}const QI={x:0,y:0,pointerId:0,pressure:0,tiltX:0,tiltY:0,width:0,height:0,twist:0,pointerType:null},jOt=Object.keys(QI);function QOt(n={}){const{target:e=pv}=n,t=ft(!1),i=ft(n.initialValue||{});Object.assign(i.value,QI,i.value);const s=r=>{t.value=!0,!(n.pointerTypes&&!n.pointerTypes.includes(r.pointerType))&&(i.value=WOt(r,jOt,!1))};if(e){const r={passive:!0};Vl(e,["pointerdown","pointermove","pointerup"],s,r),Vl(e,"pointerleave",()=>t.value=!1,r)}return{...KOt(i),isInside:t}}const XOt=["onMouseenter","onMouseleave","onClick"],ZOt={class:"flex-fill"},JOt={key:0,class:"__submenu-icon",style:{"line-height":"1em"}},eIt=u("svg",{width:"13",height:"13",viewBox:"-60 120 250 250"},[u("path",{d:"M160.875 279.5625 L70.875 369.5625 L70.875 189.5625 L160.875 279.5625 Z",stroke:"none",fill:"white"})],-1),tIt=[eIt],_v=pn({__name:"ContextMenu",props:{modelValue:{type:Boolean},items:{},x:{default:0},y:{default:0},isNested:{type:Boolean,default:!1},isFlipped:{default:()=>({x:!1,y:!1})},flippable:{type:Boolean,default:!1}},emits:["update:modelValue","click"],setup(n,{emit:e}){const t=n,i=e;let s=null;const r=ft(null),o=ft(-1),a=ft(0),l=ft({x:!1,y:!1}),d=it(()=>t.flippable&&(l.value.x||t.isFlipped.x)),c=it(()=>t.flippable&&(l.value.y||t.isFlipped.y)),_=it(()=>{const v={};return t.isNested||(v.top=(c.value?t.y-a.value:t.y)+"px",v.left=t.x+"px"),v}),f=it(()=>({"--flipped-x":d.value,"--flipped-y":c.value,"--nested":t.isNested})),m=it(()=>t.items.map(v=>({...v,hover:!1})));qn([()=>t.y,()=>t.items],()=>{var v,y,T,C;a.value=t.items.length*30;const x=((y=(v=r.value)==null?void 0:v.parentElement)==null?void 0:y.offsetWidth)??0,O=((C=(T=r.value)==null?void 0:T.parentElement)==null?void 0:C.offsetHeight)??0;l.value.x=!t.isNested&&t.x>x*.75,l.value.y=!t.isNested&&t.y+a.value>O-20}),jI(r,()=>{t.modelValue&&i("update:modelValue",!1)});const h=v=>{!v.submenu&&v.value&&(i("click",v.value),i("update:modelValue",!1))},E=v=>{i("click",v),o.value=-1,t.isNested||i("update:modelValue",!1)},b=(v,y)=>{t.items[y].submenu&&(o.value=y,s!==null&&(clearTimeout(s),s=null))},g=(v,y)=>{t.items[y].submenu&&(s=window.setTimeout(()=>{o.value=-1,s=null},200))};return(v,y)=>{const T=mt("ContextMenu",!0);return N(),Ot(as,{name:"slide-fade"},{default:tt(()=>[le(u("div",{ref_key:"el",ref:r,class:Ye(["baklava-context-menu",f.value]),style:Jt(_.value)},[(N(!0),M($e,null,dt(m.value,(C,x)=>(N(),M($e,null,[C.isDivider?(N(),M("div",{key:`d-${x}`,class:"divider"})):(N(),M("div",{key:`i-${x}`,class:Ye(["item",{submenu:!!C.submenu,"--disabled":!!C.disabled}]),onMouseenter:O=>b(O,x),onMouseleave:O=>g(O,x),onClick:xe(O=>h(C),["stop","prevent"])},[u("div",ZOt,ge(C.label),1),C.submenu?(N(),M("div",JOt,tIt)):q("",!0),C.submenu?(N(),Ot(T,{key:1,"model-value":o.value===x,items:C.submenu,"is-nested":!0,"is-flipped":{x:d.value,y:c.value},flippable:v.flippable,onClick:E},null,8,["model-value","items","is-flipped","flippable"])):q("",!0)],42,XOt))],64))),256))],6),[[Mt,v.modelValue]])]),_:1})}}}),nIt={},iIt={xmlns:"http://www.w3.org/2000/svg",class:"baklava-icon",width:"16",height:"16",viewBox:"0 0 24 24","stroke-width":"2",stroke:"currentColor",fill:"none","stroke-linecap":"round","stroke-linejoin":"round"},sIt=u("path",{stroke:"none",d:"M0 0h24v24H0z",fill:"none"},null,-1),rIt=u("circle",{cx:"12",cy:"12",r:"1"},null,-1),oIt=u("circle",{cx:"12",cy:"19",r:"1"},null,-1),aIt=u("circle",{cx:"12",cy:"5",r:"1"},null,-1),lIt=[sIt,rIt,oIt,aIt];function cIt(n,e){return N(),M("svg",iIt,lIt)}const XI=_n(nIt,[["render",cIt]]),dIt=["id"],uIt={key:0,class:"__tooltip"},pIt={key:2,class:"align-middle"},FR=pn({__name:"NodeInterface",props:{node:{},intf:{}},setup(n){const e=(b,g=100)=>{const v=b!=null&&b.toString?b.toString():"";return v.length>g?v.slice(0,g)+"...":v},t=n,{viewModel:i}=Oi(),{hoveredOver:s,temporaryConnection:r}=Gi(WI),o=ft(null),a=it(()=>t.intf.connectionCount>0),l=ft(!1),d=it(()=>i.value.settings.displayValueOnHover&&l.value),c=it(()=>({"--input":t.intf.isInput,"--output":!t.intf.isInput,"--connected":a.value})),_=it(()=>t.intf.component&&(!t.intf.isInput||!t.intf.port||t.intf.connectionCount===0)),f=()=>{l.value=!0,s(t.intf)},m=()=>{l.value=!1,s(void 0)},h=()=>{o.value&&i.value.hooks.renderInterface.execute({intf:t.intf,el:o.value})},E=()=>{const b=i.value.displayedGraph.sidebar;b.nodeId=t.node.id,b.optionName=t.intf.name,b.visible=!0};return qs(h),pc(h),(b,g)=>{var v;return N(),M("div",{id:b.intf.id,ref_key:"el",ref:o,class:Ye(["baklava-node-interface",c.value])},[b.intf.port?(N(),M("div",{key:0,class:Ye(["__port",{"--selected":((v=Tt(r))==null?void 0:v.from)===b.intf}]),onPointerover:f,onPointerout:m},[Dn(b.$slots,"portTooltip",{showTooltip:d.value},()=>[d.value===!0?(N(),M("span",uIt,ge(e(b.intf.value)),1)):q("",!0)])],34)):q("",!0),_.value?(N(),Ot(Fu(b.intf.component),{key:1,modelValue:b.intf.value,"onUpdate:modelValue":g[0]||(g[0]=y=>b.intf.value=y),node:b.node,intf:b.intf,onOpenSidebar:E},null,40,["modelValue","node","intf"])):(N(),M("span",pIt,ge(b.intf.name),1))],10,dIt)}}}),_It=["id","data-node-type"],hIt={class:"__title-label"},fIt={class:"__menu"},mIt={class:"__outputs"},gIt={class:"__inputs"},bIt=pn({__name:"Node",props:{node:{},selected:{type:Boolean,default:!1},dragging:{type:Boolean}},emits:["select","start-drag"],setup(n,{emit:e}){const t=n,i=e,{viewModel:s}=Oi(),{graph:r,switchGraph:o}=Wi(),a=ft(null),l=ft(!1),d=ft(""),c=ft(null),_=ft(!1),f=ft(!1),m=it(()=>{const U=[{value:"rename",label:"Rename"},{value:"delete",label:"Delete"}];return t.node.type.startsWith(cc)&&U.push({value:"editSubgraph",label:"Edit Subgraph"}),U}),h=it(()=>({"--selected":t.selected,"--dragging":t.dragging,"--two-column":!!t.node.twoColumn})),E=it(()=>{var U,F;return{top:`${((U=t.node.position)==null?void 0:U.y)??0}px`,left:`${((F=t.node.position)==null?void 0:F.x)??0}px`,"--width":`${t.node.width??s.value.settings.nodes.defaultWidth}px`}}),b=it(()=>Object.values(t.node.inputs).filter(U=>!U.hidden)),g=it(()=>Object.values(t.node.outputs).filter(U=>!U.hidden)),v=()=>{i("select")},y=U=>{t.selected||v(),i("start-drag",U)},T=()=>{f.value=!0},C=async U=>{var F;switch(U){case"delete":r.value.removeNode(t.node);break;case"rename":d.value=t.node.title,l.value=!0,await Ve(),(F=c.value)==null||F.focus();break;case"editSubgraph":o(t.node.template);break}},x=()=>{t.node.title=d.value,l.value=!1},O=()=>{a.value&&s.value.hooks.renderNode.execute({node:t.node,el:a.value})},R=U=>{_.value=!0,U.preventDefault()},S=U=>{if(!_.value)return;const F=t.node.width+U.movementX/r.value.scaling,K=s.value.settings.nodes.minWidth,L=s.value.settings.nodes.maxWidth;t.node.width=Math.max(K,Math.min(L,F))},A=()=>{_.value=!1};return qs(()=>{O(),window.addEventListener("mousemove",S),window.addEventListener("mouseup",A)}),pc(O),Va(()=>{window.removeEventListener("mousemove",S),window.removeEventListener("mouseup",A)}),(U,F)=>(N(),M("div",{id:U.node.id,ref_key:"el",ref:a,class:Ye(["baklava-node",h.value]),style:Jt(E.value),"data-node-type":U.node.type,onPointerdown:v},[Tt(s).settings.nodes.resizable?(N(),M("div",{key:0,class:"__resize-handle",onMousedown:R},null,32)):q("",!0),Dn(U.$slots,"title",{},()=>[u("div",{class:"__title",onPointerdown:xe(y,["self","stop"])},[l.value?le((N(),M("input",{key:1,ref_key:"renameInputEl",ref:c,"onUpdate:modelValue":F[1]||(F[1]=K=>d.value=K),type:"text",class:"baklava-input",placeholder:"Node Name",onBlur:x,onKeydown:Ar(x,["enter"])},null,544)),[[Pe,d.value]]):(N(),M($e,{key:0},[u("div",hIt,ge(U.node.title),1),u("div",fIt,[Ie(XI,{class:"--clickable",onClick:T}),Ie(_v,{modelValue:f.value,"onUpdate:modelValue":F[0]||(F[0]=K=>f.value=K),x:0,y:0,items:m.value,onClick:C},null,8,["modelValue","items"])])],64))],32)]),Dn(U.$slots,"content",{},()=>[u("div",{class:"__content",onKeydown:F[2]||(F[2]=Ar(xe(()=>{},["stop"]),["delete"]))},[u("div",mIt,[(N(!0),M($e,null,dt(g.value,K=>Dn(U.$slots,"nodeInterface",{key:K.id,type:"output",node:U.node,intf:K},()=>[Ie(FR,{node:U.node,intf:K},null,8,["node","intf"])])),128))]),u("div",gIt,[(N(!0),M($e,null,dt(b.value,K=>Dn(U.$slots,"nodeInterface",{key:K.id,type:"input",node:U.node,intf:K},()=>[Ie(FR,{node:U.node,intf:K},null,8,["node","intf"])])),128))])],32)])],46,_It))}}),EIt=pn({props:{x1:{type:Number,required:!0},y1:{type:Number,required:!0},x2:{type:Number,required:!0},y2:{type:Number,required:!0},state:{type:Number,default:vi.NONE},isTemporary:{type:Boolean,default:!1}},setup(n){const{viewModel:e}=Oi(),{graph:t}=Wi(),i=(o,a)=>{const l=(o+t.value.panning.x)*t.value.scaling,d=(a+t.value.panning.y)*t.value.scaling;return[l,d]},s=it(()=>{const[o,a]=i(n.x1,n.y1),[l,d]=i(n.x2,n.y2);if(e.value.settings.useStraightConnections)return`M ${o} ${a} L ${l} ${d}`;{const c=.3*Math.abs(o-l);return`M ${o} ${a} C ${o+c} ${a}, ${l-c} ${d}, ${l} ${d}`}}),r=it(()=>({"--temporary":n.isTemporary,"--allowed":n.state===vi.ALLOWED,"--forbidden":n.state===vi.FORBIDDEN}));return{d:s,classes:r}}}),vIt=["d"];function yIt(n,e,t,i,s,r){return N(),M("path",{class:Ye(["baklava-connection",n.classes]),d:n.d},null,10,vIt)}const ZI=_n(EIt,[["render",yIt]]);function SIt(n){return document.getElementById(n.id)}function Ua(n){const e=document.getElementById(n.id),t=e==null?void 0:e.getElementsByClassName("__port");return{node:(e==null?void 0:e.closest(".baklava-node"))??null,interface:e,port:t&&t.length>0?t[0]:null}}const TIt=pn({components:{"connection-view":ZI},props:{connection:{type:Object,required:!0}},setup(n){const{graph:e}=Wi();let t;const i=ft({x1:0,y1:0,x2:0,y2:0}),s=it(()=>n.connection.isInDanger?vi.FORBIDDEN:vi.NONE),r=it(()=>{var d;return(d=e.value.findNodeById(n.connection.from.nodeId))==null?void 0:d.position}),o=it(()=>{var d;return(d=e.value.findNodeById(n.connection.to.nodeId))==null?void 0:d.position}),a=d=>d.node&&d.interface&&d.port?[d.node.offsetLeft+d.interface.offsetLeft+d.port.offsetLeft+d.port.clientWidth/2,d.node.offsetTop+d.interface.offsetTop+d.port.offsetTop+d.port.clientHeight/2]:[0,0],l=()=>{const d=Ua(n.connection.from),c=Ua(n.connection.to);d.node&&c.node&&(t||(t=new ResizeObserver(()=>{l()}),t.observe(d.node),t.observe(c.node)));const[_,f]=a(d),[m,h]=a(c);i.value={x1:_,y1:f,x2:m,y2:h}};return qs(async()=>{await Ve(),l()}),Va(()=>{t&&t.disconnect()}),qn([r,o],()=>l(),{deep:!0}),{d:i,state:s}}});function xIt(n,e,t,i,s,r){const o=mt("connection-view");return N(),Ot(o,{x1:n.d.x1,y1:n.d.y1,x2:n.d.x2,y2:n.d.y2,state:n.state},null,8,["x1","y1","x2","y2","state"])}const CIt=_n(TIt,[["render",xIt]]);function Tu(n){return n.node&&n.interface&&n.port?[n.node.offsetLeft+n.interface.offsetLeft+n.port.offsetLeft+n.port.clientWidth/2,n.node.offsetTop+n.interface.offsetTop+n.port.offsetTop+n.port.clientHeight/2]:[0,0]}const RIt=pn({components:{"connection-view":ZI},props:{connection:{type:Object,required:!0}},setup(n){const e=it(()=>n.connection?n.connection.status:vi.NONE);return{d:it(()=>{if(!n.connection)return{input:[0,0],output:[0,0]};const i=Tu(Ua(n.connection.from)),s=n.connection.to?Tu(Ua(n.connection.to)):[n.connection.mx||i[0],n.connection.my||i[1]];return n.connection.from.isInput?{input:s,output:i}:{input:i,output:s}}),status:e}}});function AIt(n,e,t,i,s,r){const o=mt("connection-view");return N(),Ot(o,{x1:n.d.input[0],y1:n.d.input[1],x2:n.d.output[0],y2:n.d.output[1],state:n.status,"is-temporary":""},null,8,["x1","y1","x2","y2","state"])}const wIt=_n(RIt,[["render",AIt]]),NIt=pn({setup(){const{viewModel:n}=Oi(),{graph:e}=Wi(),t=ft(null),i=jd(n.value.settings.sidebar,"width"),s=it(()=>n.value.settings.sidebar.resizable),r=it(()=>{const _=e.value.sidebar.nodeId;return e.value.nodes.find(f=>f.id===_)}),o=it(()=>({width:`${i.value}px`})),a=it(()=>r.value?[...Object.values(r.value.inputs),...Object.values(r.value.outputs)].filter(f=>f.displayInSidebar&&f.component):[]),l=()=>{e.value.sidebar.visible=!1},d=()=>{window.addEventListener("mousemove",c),window.addEventListener("mouseup",()=>{window.removeEventListener("mousemove",c)},{once:!0})},c=_=>{var f,m;const h=((m=(f=t.value)==null?void 0:f.parentElement)==null?void 0:m.getBoundingClientRect().width)??500;let E=i.value-_.movementX;E<300?E=300:E>.9*h&&(E=.9*h),i.value=E};return{el:t,graph:e,resizable:s,node:r,styles:o,displayedInterfaces:a,startResize:d,close:l}}}),OIt={class:"__header"},IIt={class:"__node-name"};function MIt(n,e,t,i,s,r){return N(),M("div",{ref:"el",class:Ye(["baklava-sidebar",{"--open":n.graph.sidebar.visible}]),style:Jt(n.styles)},[n.resizable?(N(),M("div",{key:0,class:"__resizer",onMousedown:e[0]||(e[0]=(...o)=>n.startResize&&n.startResize(...o))},null,32)):q("",!0),u("div",OIt,[u("button",{tabindex:"-1",class:"__close",onClick:e[1]||(e[1]=(...o)=>n.close&&n.close(...o))},"×"),u("div",IIt,[u("b",null,ge(n.node?n.node.title:""),1)])]),(N(!0),M($e,null,dt(n.displayedInterfaces,o=>(N(),M("div",{key:o.id,class:"__interface"},[(N(),Ot(Fu(o.component),{modelValue:o.value,"onUpdate:modelValue":a=>o.value=a,node:n.node,intf:o},null,8,["modelValue","onUpdate:modelValue","node","intf"]))]))),128))],6)}const DIt=_n(NIt,[["render",MIt]]),kIt=pn({__name:"Minimap",setup(n){const{viewModel:e}=Oi(),{graph:t}=Wi(),i=ft(null),s=ft(!1);let r,o=!1,a={x1:0,y1:0,x2:0,y2:0},l;const d=()=>{var x,O;if(!r)return;r.canvas.width=i.value.offsetWidth,r.canvas.height=i.value.offsetHeight;const R=new Map,S=new Map;for(const L of t.value.nodes){const H=SIt(L),G=(H==null?void 0:H.offsetWidth)??0,P=(H==null?void 0:H.offsetHeight)??0,j=((x=L.position)==null?void 0:x.x)??0,Y=((O=L.position)==null?void 0:O.y)??0;R.set(L,{x1:j,y1:Y,x2:j+G,y2:Y+P}),S.set(L,H)}const A={x1:Number.MAX_SAFE_INTEGER,y1:Number.MAX_SAFE_INTEGER,x2:Number.MIN_SAFE_INTEGER,y2:Number.MIN_SAFE_INTEGER};for(const L of R.values())L.x1<A.x1&&(A.x1=L.x1),L.y1<A.y1&&(A.y1=L.y1),L.x2>A.x2&&(A.x2=L.x2),L.y2>A.y2&&(A.y2=L.y2);const U=50;A.x1-=U,A.y1-=U,A.x2+=U,A.y2+=U,a=A;const F=r.canvas.width/r.canvas.height,K=(a.x2-a.x1)/(a.y2-a.y1);if(F>K){const L=(F-K)*(a.y2-a.y1)*.5;a.x1-=L,a.x2+=L}else{const L=a.x2-a.x1,H=a.y2-a.y1,G=(L-F*H)/F*.5;a.y1-=G,a.y2+=G}r.clearRect(0,0,r.canvas.width,r.canvas.height),r.strokeStyle="white";for(const L of t.value.connections){const[H,G]=Tu(Ua(L.from)),[P,j]=Tu(Ua(L.to)),[Y,Q]=c(H,G),[re,te]=c(P,j);if(r.beginPath(),r.moveTo(Y,Q),e.value.settings.useStraightConnections)r.lineTo(re,te);else{const Z=.3*Math.abs(Y-re);r.bezierCurveTo(Y+Z,Q,re-Z,te,re,te)}r.stroke()}r.strokeStyle="lightgray";for(const[L,H]of R.entries()){const[G,P]=c(H.x1,H.y1),[j,Y]=c(H.x2,H.y2);r.fillStyle=f(S.get(L)),r.beginPath(),r.rect(G,P,j-G,Y-P),r.fill(),r.stroke()}if(s.value){const L=h(),[H,G]=c(L.x1,L.y1),[P,j]=c(L.x2,L.y2);r.fillStyle="rgba(255, 255, 255, 0.2)",r.fillRect(H,G,P-H,j-G)}},c=(x,O)=>[(x-a.x1)/(a.x2-a.x1)*r.canvas.width,(O-a.y1)/(a.y2-a.y1)*r.canvas.height],_=(x,O)=>[x*(a.x2-a.x1)/r.canvas.width+a.x1,O*(a.y2-a.y1)/r.canvas.height+a.y1],f=x=>{if(x){const O=x.querySelector(".__content");if(O){const S=m(O);if(S)return S}const R=m(x);if(R)return R}return"gray"},m=x=>{const O=getComputedStyle(x).backgroundColor;if(O&&O!=="rgba(0, 0, 0, 0)")return O},h=()=>{const x=i.value.parentElement.offsetWidth,O=i.value.parentElement.offsetHeight,R=x/t.value.scaling-t.value.panning.x,S=O/t.value.scaling-t.value.panning.y;return{x1:-t.value.panning.x,y1:-t.value.panning.y,x2:R,y2:S}},E=x=>{x.button===0&&(o=!0,b(x))},b=x=>{if(o){const[O,R]=_(x.offsetX,x.offsetY),S=h(),A=(S.x2-S.x1)/2,U=(S.y2-S.y1)/2;t.value.panning.x=-(O-A),t.value.panning.y=-(R-U)}},g=()=>{o=!1},v=()=>{s.value=!0},y=()=>{s.value=!1,g()};qn([s,t.value.panning,()=>t.value.scaling,()=>t.value.connections.length],()=>{d()});const T=it(()=>t.value.nodes.map(x=>x.position)),C=it(()=>t.value.nodes.map(x=>x.width));return qn([T,C],()=>{d()},{deep:!0}),qs(()=>{r=i.value.getContext("2d"),r.imageSmoothingQuality="high",d(),l=setInterval(d,500)}),Va(()=>{clearInterval(l)}),(x,O)=>(N(),M("canvas",{ref_key:"canvas",ref:i,class:"baklava-minimap",onMouseenter:v,onMouseleave:y,onMousedown:xe(E,["self"]),onMousemove:xe(b,["self"]),onMouseup:g},null,544))}}),LIt=pn({components:{ContextMenu:_v,VerticalDots:XI},props:{type:{type:String,required:!0},title:{type:String,required:!0}},setup(n){const{viewModel:e}=Oi(),{switchGraph:t}=Wi(),i=ft(!1),s=it(()=>n.type.startsWith(cc));return{showContextMenu:i,hasContextMenu:s,contextMenuItems:[{label:"Edit Subgraph",value:"editSubgraph"},{label:"Delete Subgraph",value:"deleteSubgraph"}],openContextMenu:()=>{i.value=!0},onContextMenuClick:l=>{const d=n.type.substring(cc.length),c=e.value.editor.graphTemplates.find(_=>_.id===d);if(c)switch(l){case"editSubgraph":t(c);break;case"deleteSubgraph":e.value.editor.removeGraphTemplate(c);break}}}}}),PIt=["data-node-type"],UIt={class:"__title"},FIt={class:"__title-label"},BIt={key:0,class:"__menu"};function GIt(n,e,t,i,s,r){const o=mt("vertical-dots"),a=mt("context-menu");return N(),M("div",{class:"baklava-node --palette","data-node-type":n.type},[u("div",UIt,[u("div",FIt,ge(n.title),1),n.hasContextMenu?(N(),M("div",BIt,[Ie(o,{class:"--clickable",onPointerdown:e[0]||(e[0]=xe(()=>{},["stop","prevent"])),onClick:xe(n.openContextMenu,["stop","prevent"])},null,8,["onClick"]),Ie(a,{modelValue:n.showContextMenu,"onUpdate:modelValue":e[1]||(e[1]=l=>n.showContextMenu=l),x:-100,y:0,items:n.contextMenuItems,onClick:n.onContextMenuClick,onPointerdown:e[2]||(e[2]=xe(()=>{},["stop","prevent"]))},null,8,["modelValue","items","onClick"])])):q("",!0)])],8,PIt)}const BR=_n(LIt,[["render",GIt]]),zIt={class:"baklava-node-palette"},VIt={key:0},HIt=pn({__name:"NodePalette",setup(n){const{viewModel:e}=Oi(),{x:t,y:i}=QOt(),{transform:s}=$I(),r=YI(e),o=Gi("editorEl"),a=ft(null),l=it(()=>{if(!a.value||!(o!=null&&o.value))return{};const{left:c,top:_}=o.value.getBoundingClientRect();return{top:`${i.value-_}px`,left:`${t.value-c}px`}}),d=(c,_)=>{a.value={type:c,nodeInformation:_};const f=()=>{const m=ei(new _.type);e.value.displayedGraph.addNode(m);const h=o.value.getBoundingClientRect(),[E,b]=s(t.value-h.left,i.value-h.top);m.position.x=E,m.position.y=b,a.value=null,document.removeEventListener("pointerup",f)};document.addEventListener("pointerup",f)};return(c,_)=>(N(),M($e,null,[u("div",zIt,[(N(!0),M($e,null,dt(Tt(r),f=>(N(),M("section",{key:f.name},[f.name!=="default"?(N(),M("h1",VIt,ge(f.name),1)):q("",!0),(N(!0),M($e,null,dt(f.nodeTypes,(m,h)=>(N(),Ot(BR,{key:h,type:h,title:m.title,onPointerdown:E=>d(h,m)},null,8,["type","title","onPointerdown"]))),128))]))),128))]),Ie(as,{name:"fade"},{default:tt(()=>[a.value?(N(),M("div",{key:0,class:"baklava-dragged-node",style:Jt(l.value)},[Ie(BR,{type:a.value.type,title:a.value.nodeInformation.title},null,8,["type","title"])],4)):q("",!0)]),_:1})],64))}});let wd;const qIt=new Uint8Array(16);function YIt(){if(!wd&&(wd=typeof crypto<"u"&&crypto.getRandomValues&&crypto.getRandomValues.bind(crypto),!wd))throw new Error("crypto.getRandomValues() not supported. See https://github.com/uuidjs/uuid#getrandomvalues-not-supported");return wd(qIt)}const Cn=[];for(let n=0;n<256;++n)Cn.push((n+256).toString(16).slice(1));function $It(n,e=0){return Cn[n[e+0]]+Cn[n[e+1]]+Cn[n[e+2]]+Cn[n[e+3]]+"-"+Cn[n[e+4]]+Cn[n[e+5]]+"-"+Cn[n[e+6]]+Cn[n[e+7]]+"-"+Cn[n[e+8]]+Cn[n[e+9]]+"-"+Cn[n[e+10]]+Cn[n[e+11]]+Cn[n[e+12]]+Cn[n[e+13]]+Cn[n[e+14]]+Cn[n[e+15]]}const WIt=typeof crypto<"u"&&crypto.randomUUID&&crypto.randomUUID.bind(crypto),GR={randomUUID:WIt};function xu(n,e,t){if(GR.randomUUID&&!e&&!n)return GR.randomUUID();n=n||{};const i=n.random||(n.rng||YIt)();if(i[6]=i[6]&15|64,i[8]=i[8]&63|128,e){t=t||0;for(let s=0;s<16;++s)e[t+s]=i[s];return e}return $It(i)}const dc="SAVE_SUBGRAPH";function KIt(n,e){const t=()=>{const i=n.value;if(!i.template)throw new Error("Graph template property not set");i.template.update(i.save()),i.template.panning=i.panning,i.template.scaling=i.scaling};e.registerCommand(dc,{canExecute:()=>{var i;return n.value!==((i=n.value.editor)==null?void 0:i.graph)},execute:t})}const jIt={},QIt={xmlns:"http://www.w3.org/2000/svg",class:"baklava-icon",width:"24",height:"24",viewBox:"0 0 24 24","stroke-width":"2",stroke:"currentColor",fill:"none","stroke-linecap":"round","stroke-linejoin":"round"},XIt=u("polyline",{points:"6 9 12 15 18 9"},null,-1),ZIt=[XIt];function JIt(n,e){return N(),M("svg",QIt,ZIt)}const eMt=_n(jIt,[["render",JIt]]),tMt=pn({components:{"i-arrow":eMt},props:{intf:{type:Object,required:!0}},setup(n){const e=ft(null),t=ft(!1),i=it(()=>n.intf.items.find(o=>typeof o=="string"?o===n.intf.value:o.value===n.intf.value)),s=it(()=>i.value?typeof i.value=="string"?i.value:i.value.text:""),r=o=>{n.intf.value=typeof o=="string"?o:o.value};return jI(e,()=>{t.value=!1}),{el:e,open:t,selectedItem:i,selectedText:s,setSelected:r}}}),nMt=["title"],iMt={class:"__selected"},sMt={class:"__text"},rMt={class:"__icon"},oMt={class:"__dropdown"},aMt={class:"item --header"},lMt=["onClick"];function cMt(n,e,t,i,s,r){const o=mt("i-arrow");return N(),M("div",{ref:"el",class:Ye(["baklava-select",{"--open":n.open}]),title:n.intf.name,onClick:e[0]||(e[0]=a=>n.open=!n.open)},[u("div",iMt,[u("div",sMt,ge(n.selectedText),1),u("div",rMt,[Ie(o)])]),Ie(as,{name:"slide-fade"},{default:tt(()=>[le(u("div",oMt,[u("div",aMt,ge(n.intf.name),1),(N(!0),M($e,null,dt(n.intf.items,(a,l)=>(N(),M("div",{key:l,class:Ye(["item",{"--active":a===n.selectedItem}]),onClick:d=>n.setSelected(a)},ge(typeof a=="string"?a:a.text),11,lMt))),128))],512),[[Mt,n.open]])]),_:1})],10,nMt)}const dMt=_n(tMt,[["render",cMt]]);class uMt extends tn{constructor(e,t,i){super(e,t),this.component=uc(dMt),this.items=i}}const pMt=pn({props:{intf:{type:Object,required:!0}}});function _Mt(n,e,t,i,s,r){return N(),M("div",null,ge(n.intf.value),1)}const hMt=_n(pMt,[["render",_Mt]]);class fMt extends tn{constructor(e,t){super(e,t),this.component=uc(hMt),this.setPort(!1)}}const mMt=pn({props:{intf:{type:Object,required:!0},modelValue:{type:String,required:!0}},emits:["update:modelValue"],setup(n,{emit:e}){return{v:it({get:()=>n.modelValue,set:i=>{e("update:modelValue",i)}})}}}),gMt=["placeholder","title"];function bMt(n,e,t,i,s,r){return N(),M("div",null,[le(u("input",{"onUpdate:modelValue":e[0]||(e[0]=o=>n.v=o),type:"text",class:"baklava-input",placeholder:n.intf.name,title:n.intf.name},null,8,gMt),[[Pe,n.v]])])}const EMt=_n(mMt,[["render",bMt]]);class Rc extends tn{constructor(){super(...arguments),this.component=uc(EMt)}}class JI extends BI{constructor(){super(...arguments),this._title="Subgraph Input",this.inputs={name:new Rc("Name","Input").setPort(!1)},this.outputs={placeholder:new tn("Connection",void 0)}}}class eM extends GI{constructor(){super(...arguments),this._title="Subgraph Output",this.inputs={name:new Rc("Name","Output").setPort(!1),placeholder:new tn("Connection",void 0)},this.outputs={output:new tn("Output",void 0).setHidden(!0)}}}const tM="CREATE_SUBGRAPH",zR=[ka,La];function vMt(n,e,t){const i=()=>n.value.selectedNodes.filter(r=>!zR.includes(r.type)).length>0,s=()=>{const{viewModel:r}=Oi(),o=n.value,a=n.value.editor;if(o.selectedNodes.length===0)return;const l=o.selectedNodes.filter(S=>!zR.includes(S.type)),d=l.flatMap(S=>Object.values(S.inputs)),c=l.flatMap(S=>Object.values(S.outputs)),_=o.connections.filter(S=>!c.includes(S.from)&&d.includes(S.to)),f=o.connections.filter(S=>c.includes(S.from)&&!d.includes(S.to)),m=o.connections.filter(S=>c.includes(S.from)&&d.includes(S.to)),h=l.map(S=>S.save()),E=m.map(S=>({id:S.id,from:S.from.id,to:S.to.id})),b=new Map,{xLeft:g,xRight:v,yTop:y}=yMt(l);console.log(g,v,y);for(const[S,A]of _.entries()){const U=new JI;U.inputs.name.value=A.to.name,h.push({...U.save(),position:{x:v-r.value.settings.nodes.defaultWidth-100,y:y+S*200}}),E.push({id:xu(),from:U.outputs.placeholder.id,to:A.to.id}),b.set(A.to.id,U.graphInterfaceId)}for(const[S,A]of f.entries()){const U=new eM;U.inputs.name.value=A.from.name,h.push({...U.save(),position:{x:g+100,y:y+S*200}}),E.push({id:xu(),from:A.from.id,to:U.inputs.placeholder.id}),b.set(A.from.id,U.graphInterfaceId)}const T=ei(new mp({connections:E,nodes:h,inputs:[],outputs:[]},a));a.addGraphTemplate(T);const C=a.nodeTypes.get(Pa(T));if(!C)throw new Error("Unable to create subgraph: Could not find corresponding graph node type");const x=ei(new C.type);o.addNode(x);const O=Math.round(l.map(S=>S.position.x).reduce((S,A)=>S+A,0)/l.length),R=Math.round(l.map(S=>S.position.y).reduce((S,A)=>S+A,0)/l.length);x.position.x=O,x.position.y=R,_.forEach(S=>{o.removeConnection(S),o.addConnection(S.from,x.inputs[b.get(S.to.id)])}),f.forEach(S=>{o.removeConnection(S),o.addConnection(x.outputs[b.get(S.from.id)],S.to)}),l.forEach(S=>o.removeNode(S)),e.canExecuteCommand(dc)&&e.executeCommand(dc),t(T),n.value.panning={...o.panning},n.value.scaling=o.scaling};e.registerCommand(tM,{canExecute:i,execute:s})}function yMt(n){const e=n.reduce((s,r)=>{const o=r.position.x;return o<s?o:s},1/0),t=n.reduce((s,r)=>{const o=r.position.y;return o<s?o:s},1/0);return{xLeft:n.reduce((s,r)=>{const o=r.position.x+r.width;return o>s?o:s},-1/0),xRight:e,yTop:t}}const VR="DELETE_NODES";function SMt(n,e){e.registerCommand(VR,{canExecute:()=>n.value.selectedNodes.length>0,execute(){n.value.selectedNodes.forEach(t=>n.value.removeNode(t))}}),e.registerHotkey(["Delete"],VR)}const nM="SWITCH_TO_MAIN_GRAPH";function TMt(n,e,t){e.registerCommand(nM,{canExecute:()=>n.value!==n.value.editor.graph,execute:()=>{e.executeCommand(dc),t(n.value.editor.graph)}})}function xMt(n,e,t){SMt(n,e),vMt(n,e,t),KIt(n,e),TMt(n,e,t)}class HR{constructor(e,t){this.type=e,e==="addNode"?this.nodeId=t:this.nodeState=t}undo(e){this.type==="addNode"?this.removeNode(e):this.addNode(e)}redo(e){this.type==="addNode"&&this.nodeState?this.addNode(e):this.type==="removeNode"&&this.nodeId&&this.removeNode(e)}addNode(e){const t=e.editor.nodeTypes.get(this.nodeState.type);if(!t)return;const i=new t.type;e.addNode(i),i.load(this.nodeState),this.nodeId=i.id}removeNode(e){const t=e.nodes.find(i=>i.id===this.nodeId);t&&(this.nodeState=t.save(),e.removeNode(t))}}class qR{constructor(e,t){if(this.type=e,e==="addConnection")this.connectionId=t;else{const i=t;this.connectionState={id:i.id,from:i.from.id,to:i.to.id}}}undo(e){this.type==="addConnection"?this.removeConnection(e):this.addConnection(e)}redo(e){this.type==="addConnection"&&this.connectionState?this.addConnection(e):this.type==="removeConnection"&&this.connectionId&&this.removeConnection(e)}addConnection(e){const t=e.findNodeInterface(this.connectionState.from),i=e.findNodeInterface(this.connectionState.to);!t||!i||e.addConnection(t,i)}removeConnection(e){const t=e.connections.find(i=>i.id===this.connectionId);t&&(this.connectionState={id:t.id,from:t.from.id,to:t.to.id},e.removeConnection(t))}}class CMt{constructor(e){if(this.type="transaction",e.length===0)throw new Error("Can't create a transaction with no steps");this.steps=e}undo(e){for(let t=this.steps.length-1;t>=0;t--)this.steps[t].undo(e)}redo(e){for(let t=0;t<this.steps.length;t++)this.steps[t].redo(e)}}const Sb="UNDO",Tb="REDO",iM="START_TRANSACTION",sM="COMMIT_TRANSACTION";function RMt(n,e){const t=Symbol("HistoryToken"),i=ft(200),s=ft([]),r=ft(!1),o=ft(-1),a=ft(!1),l=ft([]),d=b=>{if(!r.value)if(a.value)l.value.push(b);else for(o.value!==s.value.length-1&&(s.value=s.value.slice(0,o.value+1)),s.value.push(b),o.value++;s.value.length>i.value;)s.value.shift()},c=()=>{a.value=!0},_=()=>{a.value=!1,l.value.length>0&&(d(new CMt(l.value)),l.value=[])},f=()=>s.value.length!==0&&o.value!==-1,m=()=>{f()&&(r.value=!0,s.value[o.value--].undo(n.value),r.value=!1)},h=()=>s.value.length!==0&&o.value<s.value.length-1,E=()=>{h()&&(r.value=!0,s.value[++o.value].redo(n.value),r.value=!1)};return qn(n,(b,g)=>{g&&(g.events.addNode.unsubscribe(t),g.events.removeNode.unsubscribe(t),g.events.addConnection.unsubscribe(t),g.events.removeConnection.unsubscribe(t)),b&&(b.events.addNode.subscribe(t,v=>{d(new HR("addNode",v.id))}),b.events.removeNode.subscribe(t,v=>{d(new HR("removeNode",v.save()))}),b.events.addConnection.subscribe(t,v=>{d(new qR("addConnection",v.id))}),b.events.removeConnection.subscribe(t,v=>{d(new qR("removeConnection",v))}))},{immediate:!0}),e.registerCommand(Sb,{canExecute:f,execute:m}),e.registerCommand(Tb,{canExecute:h,execute:E}),e.registerCommand(iM,{canExecute:()=>!a.value,execute:c}),e.registerCommand(sM,{canExecute:()=>a.value,execute:_}),e.registerHotkey(["Control","z"],Sb),e.registerHotkey(["Control","y"],Tb),ei({maxSteps:i})}const xb="COPY",Cb="PASTE",AMt="CLEAR_CLIPBOARD";function wMt(n,e,t){const i=Symbol("ClipboardToken"),s=ft(""),r=ft(""),o=it(()=>!s.value),a=()=>{s.value="",r.value=""},l=()=>{const _=n.value.selectedNodes.flatMap(m=>[...Object.values(m.inputs),...Object.values(m.outputs)]),f=n.value.connections.filter(m=>_.includes(m.from)||_.includes(m.to)).map(m=>({from:m.from.id,to:m.to.id}));r.value=JSON.stringify(f),s.value=JSON.stringify(n.value.selectedNodes.map(m=>m.save()))},d=(_,f,m)=>{for(const h of _){let E;if((!m||m==="input")&&(E=Object.values(h.inputs).find(b=>b.id===f)),!E&&(!m||m==="output")&&(E=Object.values(h.outputs).find(b=>b.id===f)),E)return E}},c=()=>{if(o.value)return;const _=new Map,f=JSON.parse(s.value),m=JSON.parse(r.value),h=[],E=[],b=n.value;t.executeCommand(iM);for(const g of f){const v=e.value.nodeTypes.get(g.type);if(!v){console.warn(`Node type ${g.type} not registered`);return}const y=new v.type,T=y.id;h.push(y),y.hooks.beforeLoad.subscribe(i,C=>{const x=C;return x.position&&(x.position.x+=100,x.position.y+=100),y.hooks.beforeLoad.unsubscribe(i),x}),b.addNode(y),y.load({...g,id:T}),y.id=T,_.set(g.id,T);for(const C of Object.values(y.inputs)){const x=xu();_.set(C.id,x),C.id=x}for(const C of Object.values(y.outputs)){const x=xu();_.set(C.id,x),C.id=x}}for(const g of m){const v=d(h,_.get(g.from),"output"),y=d(h,_.get(g.to),"input");if(!v||!y)continue;const T=b.addConnection(v,y);T&&E.push(T)}return n.value.selectedNodes=h,t.executeCommand(sM),{newNodes:h,newConnections:E}};return t.registerCommand(xb,{canExecute:()=>n.value.selectedNodes.length>0,execute:l}),t.registerHotkey(["Control","c"],xb),t.registerCommand(Cb,{canExecute:()=>!o.value,execute:c}),t.registerHotkey(["Control","v"],Cb),t.registerCommand(AMt,{canExecute:()=>!0,execute:a}),ei({isEmpty:o})}const NMt="OPEN_SIDEBAR";function OMt(n,e){e.registerCommand(NMt,{execute:t=>{n.value.sidebar.nodeId=t,n.value.sidebar.visible=!0},canExecute:()=>!0})}function IMt(n,e){OMt(n,e)}const MMt={},DMt={xmlns:"http://www.w3.org/2000/svg",class:"baklava-icon",width:"24",height:"24",viewBox:"0 0 24 24","stroke-width":"2",stroke:"currentColor",fill:"none","stroke-linecap":"round","stroke-linejoin":"round"},kMt=u("path",{stroke:"none",d:"M0 0h24v24H0z",fill:"none"},null,-1),LMt=u("path",{d:"M9 13l-4 -4l4 -4m-4 4h11a4 4 0 0 1 0 8h-1"},null,-1),PMt=[kMt,LMt];function UMt(n,e){return N(),M("svg",DMt,PMt)}const FMt=_n(MMt,[["render",UMt]]),BMt={},GMt={xmlns:"http://www.w3.org/2000/svg",class:"baklava-icon",width:"24",height:"24",viewBox:"0 0 24 24","stroke-width":"2",stroke:"currentColor",fill:"none","stroke-linecap":"round","stroke-linejoin":"round"},zMt=u("path",{stroke:"none",d:"M0 0h24v24H0z",fill:"none"},null,-1),VMt=u("path",{d:"M15 13l4 -4l-4 -4m4 4h-11a4 4 0 0 0 0 8h1"},null,-1),HMt=[zMt,VMt];function qMt(n,e){return N(),M("svg",GMt,HMt)}const YMt=_n(BMt,[["render",qMt]]),$Mt={},WMt={xmlns:"http://www.w3.org/2000/svg",class:"baklava-icon",width:"24",height:"24",viewBox:"0 0 24 24","stroke-width":"2",stroke:"currentColor",fill:"none","stroke-linecap":"round","stroke-linejoin":"round"},KMt=u("path",{stroke:"none",d:"M0 0h24v24H0z",fill:"none"},null,-1),jMt=u("line",{x1:"5",y1:"12",x2:"19",y2:"12"},null,-1),QMt=u("line",{x1:"5",y1:"12",x2:"11",y2:"18"},null,-1),XMt=u("line",{x1:"5",y1:"12",x2:"11",y2:"6"},null,-1),ZMt=[KMt,jMt,QMt,XMt];function JMt(n,e){return N(),M("svg",WMt,ZMt)}const e2t=_n($Mt,[["render",JMt]]),t2t={},n2t={xmlns:"http://www.w3.org/2000/svg",class:"baklava-icon",width:"24",height:"24",viewBox:"0 0 24 24","stroke-width":"2",stroke:"currentColor",fill:"none","stroke-linecap":"round","stroke-linejoin":"round"},i2t=u("path",{stroke:"none",d:"M0 0h24v24H0z",fill:"none"},null,-1),s2t=u("path",{d:"M9 5h-2a2 2 0 0 0 -2 2v12a2 2 0 0 0 2 2h10a2 2 0 0 0 2 -2v-12a2 2 0 0 0 -2 -2h-2"},null,-1),r2t=u("rect",{x:"9",y:"3",width:"6",height:"4",rx:"2"},null,-1),o2t=[i2t,s2t,r2t];function a2t(n,e){return N(),M("svg",n2t,o2t)}const l2t=_n(t2t,[["render",a2t]]),c2t={},d2t={xmlns:"http://www.w3.org/2000/svg",class:"baklava-icon",width:"24",height:"24",viewBox:"0 0 24 24","stroke-width":"2",stroke:"currentColor",fill:"none","stroke-linecap":"round","stroke-linejoin":"round"},u2t=u("path",{stroke:"none",d:"M0 0h24v24H0z",fill:"none"},null,-1),p2t=u("rect",{x:"8",y:"8",width:"12",height:"12",rx:"2"},null,-1),_2t=u("path",{d:"M16 8v-2a2 2 0 0 0 -2 -2h-8a2 2 0 0 0 -2 2v8a2 2 0 0 0 2 2h2"},null,-1),h2t=[u2t,p2t,_2t];function f2t(n,e){return N(),M("svg",d2t,h2t)}const m2t=_n(c2t,[["render",f2t]]),g2t={},b2t={xmlns:"http://www.w3.org/2000/svg",class:"baklava-icon",width:"24",height:"24",viewBox:"0 0 24 24","stroke-width":"2",stroke:"currentColor",fill:"none","stroke-linecap":"round","stroke-linejoin":"round"},E2t=u("path",{stroke:"none",d:"M0 0h24v24H0z",fill:"none"},null,-1),v2t=u("path",{d:"M6 4h10l4 4v10a2 2 0 0 1 -2 2h-12a2 2 0 0 1 -2 -2v-12a2 2 0 0 1 2 -2"},null,-1),y2t=u("circle",{cx:"12",cy:"14",r:"2"},null,-1),S2t=u("polyline",{points:"14 4 14 8 8 8 8 4"},null,-1),T2t=[E2t,v2t,y2t,S2t];function x2t(n,e){return N(),M("svg",b2t,T2t)}const C2t=_n(g2t,[["render",x2t]]),R2t={},A2t={xmlns:"http://www.w3.org/2000/svg",class:"baklava-icon",width:"24",height:"24",viewBox:"0 0 24 24","stroke-width":"2",stroke:"currentColor",fill:"none","stroke-linecap":"round","stroke-linejoin":"round"},w2t=zu('<path stroke="none" d="M0 0h24v24H0z" fill="none"></path><path d="M10 3h4v4h-4z"></path><path d="M3 17h4v4h-4z"></path><path d="M17 17h4v4h-4z"></path><path d="M7 17l5 -4l5 4"></path><line x1="12" y1="7" x2="12" y2="13"></line>',6),N2t=[w2t];function O2t(n,e){return N(),M("svg",A2t,N2t)}const I2t=_n(R2t,[["render",O2t]]),M2t=pn({props:{command:{type:String,required:!0},title:{type:String,required:!0},icon:{type:Object,required:!1,default:void 0}},setup(){const{viewModel:n}=Oi();return{viewModel:n}}}),D2t=["disabled","title"];function k2t(n,e,t,i,s,r){return N(),M("button",{class:"baklava-toolbar-entry baklava-toolbar-button",disabled:!n.viewModel.commandHandler.canExecuteCommand(n.command),title:n.title,onClick:e[0]||(e[0]=o=>n.viewModel.commandHandler.executeCommand(n.command))},[n.icon?(N(),Ot(Fu(n.icon),{key:0})):(N(),M($e,{key:1},[Ze(ge(n.title),1)],64))],8,D2t)}const L2t=_n(M2t,[["render",k2t]]),P2t=pn({components:{ToolbarButton:L2t},setup(){const{viewModel:n}=Oi();return{isSubgraph:it(()=>n.value.displayedGraph!==n.value.editor.graph),commands:[{command:xb,title:"Copy",icon:m2t},{command:Cb,title:"Paste",icon:l2t},{command:Sb,title:"Undo",icon:FMt},{command:Tb,title:"Redo",icon:YMt},{command:tM,title:"Create Subgraph",icon:I2t}],subgraphCommands:[{command:dc,title:"Save Subgraph",icon:C2t},{command:nM,title:"Back to Main Graph",icon:e2t}]}}}),U2t={class:"baklava-toolbar"};function F2t(n,e,t,i,s,r){const o=mt("toolbar-button");return N(),M("div",U2t,[(N(!0),M($e,null,dt(n.commands,a=>(N(),Ot(o,{key:a.command,command:a.command,title:a.title,icon:a.icon},null,8,["command","title","icon"]))),128)),n.isSubgraph?(N(!0),M($e,{key:0},dt(n.subgraphCommands,a=>(N(),Ot(o,{key:a.command,command:a.command,title:a.title,icon:a.icon},null,8,["command","title","icon"]))),128)):q("",!0)])}const B2t=_n(P2t,[["render",F2t]]),G2t={class:"connections-container"},z2t=pn({__name:"Editor",props:{viewModel:{}},setup(n){const e=n,t=Symbol("EditorToken"),i=jd(e,"viewModel");LOt(i);const s=ft(null);sa("editorEl",s);const r=it(()=>e.viewModel.displayedGraph.nodes),o=it(()=>e.viewModel.displayedGraph.nodes.map(O=>HI(jd(O,"position")))),a=it(()=>e.viewModel.displayedGraph.connections),l=it(()=>e.viewModel.displayedGraph.selectedNodes),d=POt(),c=UOt(),_=FOt(i),f=it(()=>({...d.styles.value})),m=ft(0);e.viewModel.editor.hooks.load.subscribe(t,O=>(m.value++,O));const h=O=>{d.onPointerMove(O),c.onMouseMove(O)},E=O=>{O.button===0&&(O.target===s.value&&(T(),d.onPointerDown(O)),c.onMouseDown())},b=O=>{d.onPointerUp(O),c.onMouseUp()},g=O=>{O.key==="Tab"&&O.preventDefault(),e.viewModel.commandHandler.handleKeyDown(O)},v=O=>{e.viewModel.commandHandler.handleKeyUp(O)},y=O=>{["Control","Shift"].some(R=>e.viewModel.commandHandler.pressedKeys.includes(R))||T(),e.viewModel.displayedGraph.selectedNodes.push(O)},T=()=>{e.viewModel.displayedGraph.selectedNodes=[]},C=O=>{for(const R of e.viewModel.displayedGraph.selectedNodes){const S=r.value.indexOf(R),A=o.value[S];A.onPointerDown(O),document.addEventListener("pointermove",A.onPointerMove)}document.addEventListener("pointerup",x)},x=()=>{for(const O of e.viewModel.displayedGraph.selectedNodes){const R=r.value.indexOf(O),S=o.value[R];S.onPointerUp(),document.removeEventListener("pointermove",S.onPointerMove)}document.removeEventListener("pointerup",x)};return(O,R)=>(N(),M("div",{ref_key:"el",ref:s,tabindex:"-1",class:Ye(["baklava-editor",{"baklava-ignore-mouse":!!Tt(c).temporaryConnection.value||Tt(d).dragging.value,"--temporary-connection":!!Tt(c).temporaryConnection.value}]),onPointermove:xe(h,["self"]),onPointerdown:E,onPointerup:b,onWheel:R[1]||(R[1]=xe((...S)=>Tt(d).onMouseWheel&&Tt(d).onMouseWheel(...S),["self"])),onKeydown:g,onKeyup:v,onContextmenu:R[2]||(R[2]=xe((...S)=>Tt(_).open&&Tt(_).open(...S),["self","prevent"]))},[Dn(O.$slots,"background",{},()=>[Ie(zOt)]),Dn(O.$slots,"toolbar",{},()=>[Ie(B2t)]),Dn(O.$slots,"palette",{},()=>[Ie(HIt)]),(N(),M("svg",G2t,[(N(!0),M($e,null,dt(a.value,S=>(N(),M("g",{key:S.id+m.value.toString()},[Dn(O.$slots,"connection",{connection:S},()=>[Ie(CIt,{connection:S},null,8,["connection"])])]))),128)),Dn(O.$slots,"temporaryConnection",{temporaryConnection:Tt(c).temporaryConnection.value},()=>[Tt(c).temporaryConnection.value?(N(),Ot(wIt,{key:0,connection:Tt(c).temporaryConnection.value},null,8,["connection"])):q("",!0)])])),u("div",{class:"node-container",style:Jt(f.value)},[Ie(Ls,{name:"fade"},{default:tt(()=>[(N(!0),M($e,null,dt(r.value,(S,A)=>Dn(O.$slots,"node",{key:S.id+m.value.toString(),node:S,selected:l.value.includes(S),dragging:o.value[A].dragging.value,onSelect:U=>y(S),onStartDrag:C},()=>[Ie(bIt,{node:S,selected:l.value.includes(S),dragging:o.value[A].dragging.value,onSelect:U=>y(S),onStartDrag:C},null,8,["node","selected","dragging","onSelect"])])),128))]),_:3})],4),Dn(O.$slots,"sidebar",{},()=>[Ie(DIt)]),Dn(O.$slots,"minimap",{},()=>[O.viewModel.settings.enableMinimap?(N(),Ot(kIt,{key:0})):q("",!0)]),Dn(O.$slots,"contextMenu",{contextMenu:Tt(_)},()=>[O.viewModel.settings.contextMenu.enabled?(N(),Ot(_v,{key:0,modelValue:Tt(_).show.value,"onUpdate:modelValue":R[0]||(R[0]=S=>Tt(_).show.value=S),items:Tt(_).items.value,x:Tt(_).x.value,y:Tt(_).y.value,onClick:Tt(_).onClick},null,8,["modelValue","items","x","y","onClick"])):q("",!0)])],34))}}),V2t=["INPUT","TEXTAREA","SELECT"];function H2t(n){const e=ft([]),t=ft([]);return{pressedKeys:e,handleKeyDown:o=>{var a;e.value.includes(o.key)||e.value.push(o.key),!V2t.includes(((a=document.activeElement)==null?void 0:a.tagName)??"")&&t.value.forEach(l=>{l.keys.every(d=>e.value.includes(d))&&n(l.commandName)})},handleKeyUp:o=>{const a=e.value.indexOf(o.key);a>=0&&e.value.splice(a,1)},registerHotkey:(o,a)=>{t.value.push({keys:o,commandName:a})}}}const q2t=()=>{const n=ft(new Map),e=(r,o)=>{if(n.value.has(r))throw new Error(`Command "${r}" already exists`);n.value.set(r,o)},t=(r,o=!1,...a)=>{if(!n.value.has(r)){if(o)throw new Error(`[CommandHandler] Command ${r} not registered`);return}return n.value.get(r).execute(...a)},i=(r,o=!1,...a)=>{if(!n.value.has(r)){if(o)throw new Error(`[CommandHandler] Command ${r} not registered`);return!1}return n.value.get(r).canExecute(a)},s=H2t(t);return ei({registerCommand:e,executeCommand:t,canExecuteCommand:i,...s})},Y2t=n=>!(n instanceof Cc);function $2t(n,e){return{switchGraph:i=>{let s;if(Y2t(i))s=new Cc(n.value),i.createGraph(s);else{if(i!==n.value.graph)throw new Error("Can only switch using 'Graph' instance when it is the root graph. Otherwise a 'GraphTemplate' must be used.");s=i}e.value&&e.value!==n.value.graph&&e.value.destroy(),s.panning=s.panning??i.panning??{x:0,y:0},s.scaling=s.scaling??i.scaling??1,s.selectedNodes=s.selectedNodes??[],s.sidebar=s.sidebar??{visible:!1,nodeId:"",optionName:""},e.value=s}}}function W2t(n,e){n.position=n.position??{x:0,y:0},n.disablePointerEvents=!1,n.twoColumn=n.twoColumn??!1,n.width=n.width??e.defaultWidth}const K2t=()=>({useStraightConnections:!1,enableMinimap:!1,background:{gridSize:100,gridDivision:5,subGridVisibleThreshold:.6},sidebar:{width:300,resizable:!0},displayValueOnHover:!1,nodes:{defaultWidth:200,maxWidth:320,minWidth:150,resizable:!1},contextMenu:{enabled:!0,additionalItems:[]}});function j2t(n){const e=ft(n??new NOt),t=Symbol("ViewModelToken"),i=ft(null),s=a2(i),{switchGraph:r}=$2t(e,i),o=it(()=>s.value&&s.value!==e.value.graph),a=ei(K2t()),l=q2t(),d=RMt(s,l),c=wMt(s,e,l),_={renderNode:new li(null),renderInterface:new li(null)};return xMt(s,l,r),IMt(s,l),qn(e,(f,m)=>{m&&(m.events.registerGraph.unsubscribe(t),m.graphEvents.beforeAddNode.unsubscribe(t),f.nodeHooks.beforeLoad.unsubscribe(t),f.nodeHooks.afterSave.unsubscribe(t),f.graphTemplateHooks.beforeLoad.unsubscribe(t),f.graphTemplateHooks.afterSave.unsubscribe(t),f.graph.hooks.load.unsubscribe(t),f.graph.hooks.save.unsubscribe(t)),f&&(f.nodeHooks.beforeLoad.subscribe(t,(h,E)=>(E.position=h.position??{x:0,y:0},E.width=h.width??a.nodes.defaultWidth,E.twoColumn=h.twoColumn??!1,h)),f.nodeHooks.afterSave.subscribe(t,(h,E)=>(h.position=E.position,h.width=E.width,h.twoColumn=E.twoColumn,h)),f.graphTemplateHooks.beforeLoad.subscribe(t,(h,E)=>(E.panning=h.panning,E.scaling=h.scaling,h)),f.graphTemplateHooks.afterSave.subscribe(t,(h,E)=>(h.panning=E.panning,h.scaling=E.scaling,h)),f.graph.hooks.load.subscribe(t,(h,E)=>(E.panning=h.panning,E.scaling=h.scaling,h)),f.graph.hooks.save.subscribe(t,(h,E)=>(h.panning=E.panning,h.scaling=E.scaling,h)),f.graphEvents.beforeAddNode.subscribe(t,h=>W2t(h,{defaultWidth:a.nodes.defaultWidth})),e.value.registerNodeType(JI,{category:"Subgraphs"}),e.value.registerNodeType(eM,{category:"Subgraphs"}),r(f.graph))},{immediate:!0}),ei({editor:e,displayedGraph:s,isSubgraph:o,settings:a,commandHandler:l,history:d,clipboard:c,hooks:_,switchGraph:r})}const Q2t=el({type:"PersonalityNode",title:"Personality",inputs:{request:()=>new tn("Request",""),agent_name:()=>new uMt("Personality","",Cu.state.config.personalities).setPort(!1)},outputs:{response:()=>new tn("Response","")},async calculate({request:n}){console.log(Cu.state.config.personalities);let e="";try{e=(await Le.post("/generate",{params:{text:n}})).data}catch(t){console.error(t)}return{display:e,response:e}}}),X2t=el({type:"RAGNode",title:"RAG",inputs:{request:()=>new tn("Prompt",""),document_path:()=>new Rc("Document path","").setPort(!1)},outputs:{prompt:()=>new tn("Prompt with Data","")},async calculate({request:n,document_path:e}){let t="";try{t=(await Le.get("/rag",{params:{text:n,doc_path:e}})).data}catch(i){console.error(i)}return{response:t}}}),YR=el({type:"Task",title:"Task",inputs:{description:()=>new Rc("Task description","").setPort(!1)},outputs:{prompt:()=>new tn("Prompt")},calculate({description:n}){return{prompt:n}}}),$R=el({type:"TextDisplayNode",title:"TextDisplay",inputs:{text2display:()=>new tn("Input","")},outputs:{response:()=>new fMt("Text","")},async calculate({request:n}){}}),WR=el({type:"LLMNode",title:"LLM",inputs:{request:()=>new tn("Request","")},outputs:{response:()=>new tn("Response","")},async calculate({request:n}){console.log(Cu.state.config.personalities);let e="";try{e=(await Le.post("/generate",{params:{text:n}})).data}catch(t){console.error(t)}return{display:e,response:e}}}),Z2t=el({type:"MultichoiceNode",title:"Multichoice",inputs:{question:()=>new tn("Question",""),outputs:()=>new Rc("choices, one per line","","").setPort(!1)},outputs:{response:()=>new tn("Response","")}}),J2t=pn({components:{"baklava-editor":z2t},setup(){const n=j2t(),e=new kOt(n.editor);n.editor.registerNodeType(Q2t),n.editor.registerNodeType(YR),n.editor.registerNodeType(X2t),n.editor.registerNodeType($R),n.editor.registerNodeType(WR),n.editor.registerNodeType(Z2t);const t=Symbol();e.events.afterRun.subscribe(t,a=>{e.pause(),OOt(a,n.editor),e.resume()}),e.start();function i(a,l,d){const c=new a;return n.displayedGraph.addNode(c),c.position.x=l,c.position.y=d,c}const s=i(YR,300,140),r=i(WR,550,140),o=i($R,850,140);return n.displayedGraph.addConnection(s.outputs.prompt,r.inputs.request),n.displayedGraph.addConnection(r.outputs.response,o.inputs.text2display),{baklava:n,saveGraph:()=>{const a=e.export();localStorage.setItem("myGraph",JSON.stringify(a))},loadGraph:()=>{const a=JSON.parse(localStorage.getItem("myGraph"));e.import(a)}}}}),eDt={style:{width:"100vw",height:"100vh"}};function tDt(n,e,t,i,s,r){const o=mt("baklava-editor");return N(),M("div",eDt,[Ie(o,{"view-model":n.baklava},null,8,["view-model"]),u("button",{onClick:e[0]||(e[0]=(...a)=>n.saveGraph&&n.saveGraph(...a))},"Save Graph"),u("button",{onClick:e[1]||(e[1]=(...a)=>n.loadGraph&&n.loadGraph(...a))},"Load Graph")])}const nDt=bt(J2t,[["render",tDt]]),iDt=VP({history:oP("/"),routes:[{path:"/playground/",name:"playground",component:ltt},{path:"/extensions/",name:"extensions",component:Ett},{path:"/help/",name:"help",component:Btt},{path:"/settings/",name:"settings",component:Fft},{path:"/training/",name:"training",component:rmt},{path:"/quantizing/",name:"quantizing",component:hmt},{path:"/",name:"discussions",component:oTt},{path:"/",name:"interactive",component:SOt},{path:"/",name:"nodes",component:nDt}]});const gp=ZD(hZe);console.log("Loaded main.js");function KR(n){const e={};for(const t in n)n.hasOwnProperty(t)&&(e[t]=n[t]);return e}const Cu=Ck({state(){return{currentTheme:"",personality_editor:null,showPersonalityEditor:!1,selectedPersonality:null,currentPersonConfig:{ai_name:"",ai_author:"",ai_category:"",ai_language:"",ai_description:"",ai_conditionning:"",ai_disclaimer:"",ai_icon:null},client_id:"",yesNoDialog:null,universalForm:null,toast:null,news:null,messageBox:null,api_get_req:null,startSpeechRecognition:null,ready:!1,loading_infos:"",loading_progress:0,version:"unknown",settingsChanged:!1,isConnected:!1,isModelOk:!1,isGenerating:!1,config:null,mountedPers:null,mountedPersArr:[],mountedExtensions:[],bindingsZoo:[],modelsArr:[],selectedModel:null,personalities:[],diskUsage:null,ramUsage:null,vramUsage:null,modelsZoo:[],installedModels:[],currentModel:null,extensionsZoo:[],databases:[]}},mutations:{setIsReady(n,e){n.ready=e},setIsConnected(n,e){n.isConnected=e},setIsModelOk(n,e){n.isModelOk=e},setIsGenerating(n,e){n.isGenerating=e},setConfig(n,e){n.config=e},setPersonalities(n,e){n.personalities=e},setMountedPers(n,e){n.mountedPers=e},setMountedPersArr(n,e){n.mountedPersArr=e},setMountedExtensions(n,e){n.mountedExtensions=e},setbindingsZoo(n,e){n.bindingsZoo=e},setModelsArr(n,e){n.modelsArr=e},setselectedModel(n,e){n.selectedModel=e},setDiskUsage(n,e){n.diskUsage=e},setRamUsage(n,e){n.ramUsage=e},setVramUsage(n,e){n.vramUsage=e},setModelsZoo(n,e){n.modelsZoo=e},setCurrentModel(n,e){n.currentModel=e},setExtensionsZoo(n,e){n.extensionsZoo=e},setDatabases(n,e){n.databases=e},setTheme(n){this.currentTheme=n}},getters:{getIsConnected(n){return n.isConnected},getIsModelOk(n){return n.isModelOk},getIsGenerating(n){return n.isGenerating},getConfig(n){return n.config},getPersonalities(n){return n.personalities},getMountedPersArr(n){return n.mountedPersArr},getmmountedExtensions(n){return n.mountedExtensions},getMountedPers(n){return n.mountedPers},getbindingsZoo(n){return n.bindingsZoo},getModelsArr(n){return n.modelsArr},getDiskUsage(n){return n.diskUsage},getRamUsage(n){return n.ramUsage},getVramUsage(n){return n.vramUsage},getDatabasesList(n){return n.databases},getModelsZoo(n){return n.modelsZoo},getCurrentModel(n){return n.currentModel},getExtensionsZoo(n){return n.extensionsZoo}},actions:{async getVersion(){try{let n=await Le.get("/get_lollms_webui_version",{});n&&(this.state.version=n.data,console.log("version res:",n),console.log("version :",this.state.version))}catch{console.log("Coudln't get version")}},async refreshConfig({commit:n}){console.log("Fetching configuration");try{const e=await _i("get_config");e.active_personality_id<0&&(e.active_personality_id=0);let t=e.personalities[e.active_personality_id].split("/");e.personality_category=t[0],e.personality_folder=t[1],e.extensions.length>0?e.extension_category=e.extensions[-1]:e.extension_category="ai_sensors",console.log("Recovered config"),console.log(e),console.log("Committing config"),console.log(e),console.log(this.state.config),n("setConfig",e)}catch(e){console.log(e.message,"refreshConfig")}},async refreshDatabase({commit:n}){let e=await _i("list_databases");console.log("databases:",e),n("setDatabases",e)},async refreshPersonalitiesZoo({commit:n}){let e=[];const t=await _i("get_all_personalities"),i=Object.keys(t);console.log("Personalities recovered:"+this.state.config.personalities);for(let s=0;s<i.length;s++){const r=i[s],a=t[r].map(l=>{let d=!1;for(const _ of this.state.config.personalities)if(_.includes(r+"/"+l.folder))if(d=!0,_.includes(":")){const f=_.split(":");l.language=f[1]}else l.language=null;let c={};return c=l,c.category=r,c.full_path=r+"/"+l.folder,c.isMounted=d,c});e.length==0?e=a:e=e.concat(a)}e.sort((s,r)=>s.name.localeCompare(r.name)),n("setPersonalities",e),console.log("Done loading personalities")},refreshMountedPersonalities({commit:n}){this.state.config.active_personality_id<0&&(this.state.config.active_personality_id=0);let e=[];const t=[];for(let i=0;i<this.state.config.personalities.length;i++){const s=this.state.config.personalities[i],r=s.split(":"),o=this.state.personalities.findIndex(a=>a.full_path==s||a.full_path==r[0]);if(o>=0){let a=KR(this.state.personalities[o]);r.length>1&&(a.language=r[1]),a?e.push(a):e.push(this.state.personalities[this.state.personalities.findIndex(l=>l.full_path=="generic/lollms")])}else t.push(i),console.log("Couldn't load personality : ",s)}for(let i=t.length-1;i>=0;i--)console.log("Removing personality : ",this.state.config.personalities[t[i]]),this.state.config.personalities.splice(t[i],1),this.state.config.active_personality_id>t[i]&&(this.state.config.active_personality_id-=1);n("setMountedPersArr",e),this.state.mountedPers=this.state.personalities[this.state.personalities.findIndex(i=>i.full_path==this.state.config.personalities[this.state.config.active_personality_id]||i.full_path+":"+i.language==this.state.config.personalities[this.state.config.active_personality_id])]},async refreshBindings({commit:n}){let e=await _i("list_bindings");n("setbindingsZoo",e)},async refreshModelsZoo({commit:n}){console.log("Fetching models");const t=(await Le.get("/get_available_models")).data.filter(i=>i.variants&&i.variants.length>0);console.log(`get_available_models: ${t}`),n("setModelsZoo",t)},async refreshModelStatus({commit:n}){let e=await _i("get_model_status");n("setIsModelOk",e.status)},async refreshModels({commit:n}){console.log("Fetching models");let e=await _i("list_models");console.log(`Found ${e}`);let t=await _i("get_active_model");console.log("Selected model ",t),t!=null&&n("setselectedModel",t.model),n("setModelsArr",e),console.log("setModelsArr",e),console.log("this.state.modelsZoo",this.state.modelsZoo),this.state.modelsZoo.map(s=>{console.log("model found"),s.isInstalled=e.includes(s.name)}),this.state.installedModels=this.state.modelsZoo.filter(s=>s.isInstalled);const i=this.state.modelsZoo.findIndex(s=>s.name==this.state.config.model_name);i!=-1&&n("setCurrentModel",this.state.modelsZoo[i])},async refreshExtensionsZoo({commit:n}){let e=[],t=await _i("list_extensions");const i=Object.keys(t);console.log("Extensions recovered:"+t);for(let s=0;s<i.length;s++){const r=i[s],a=t[r].map(l=>{let d=!1;for(const _ of this.state.config.extensions)_.includes(r+"/"+l.folder)&&(d=!0);let c={};return c=l,c.category=r,c.full_path=r+"/"+l.folder,c.isMounted=d,c});e.length==0?e=a:e=e.concat(a)}e.sort((s,r)=>s.name.localeCompare(r.name)),console.log("Done loading extensions"),n("setExtensionsZoo",e)},refreshmountedExtensions({commit:n}){console.log("Mounting extensions");let e=[];const t=[];for(let i=0;i<this.state.config.extensions.length;i++){const s=this.state.config.extensions[i],r=this.state.extensionsZoo.findIndex(o=>o.full_path==s);if(r>=0){let o=KR(this.state.config.extensions[r]);o&&e.push(o)}else t.push(i),console.log("Couldn't load extension : ",s)}for(let i=t.length-1;i>=0;i--)console.log("Removing extensions : ",this.state.config.extensions[t[i]]),this.state.config.extensions.splice(t[i],1);n("setMountedExtensions",e)},async refreshDiskUsage({commit:n}){this.state.diskUsage=await _i("disk_usage")},async refreshRamUsage({commit:n}){this.state.ramUsage=await _i("ram_usage")},async refreshVramUsage({commit:n}){const e=await _i("vram_usage"),t=[];if(e.nb_gpus>0){for(let s=0;s<e.nb_gpus;s++){const r=e[`gpu_${s}_total_vram`],o=e[`gpu_${s}_used_vram`],a=e[`gpu_${s}_model`],l=o/r*100,d=r-o;t.push({total_vram:r,used_vram:o,gpu_index:s,gpu_model:a,percentage:l.toFixed(2),available_space:d})}const i={nb_gpus:e.nb_gpus,gpus:t};console.log("gpu usage: ",i),this.state.vramUsage=i}else{const i={nb_gpus:0,gpus:[]};console.log("gpu usage: ",i),this.state.vramUsage=i}}}});async function _i(n){try{const e=await Le.get("/"+n);if(e)return e.data}catch(e){throw console.log(e.message,"api_get_req"),e}}async function sDt(n){await n.dispatch("refreshDiskUsage"),await n.dispatch("refreshRamUsage"),await n.dispatch("refreshVramUsage")}let jR=!1;gp.mixin({async created(){if(!jR){this.$store.state.api_get_req=_i,jR=!0,console.log("Calling");try{this.$store.state.loading_infos="Getting version",this.$store.state.loading_progress=30,await this.$store.dispatch("getVersion")}catch(n){console.log("Error cought:",n)}try{this.$store.state.loading_infos="Loading Configuration",this.$store.state.loading_progress=10,await this.$store.dispatch("refreshConfig"),console.log("Config ready")}catch(n){console.log("Error cought:",n)}try{this.$store.state.loading_infos="Loading Database",this.$store.state.loading_progress=20,await this.$store.dispatch("refreshDatabase")}catch(n){console.log("Error cought:",n)}try{this.$store.state.loading_infos="Getting Bindings list",this.$store.state.loading_progress=40,await this.$store.dispatch("refreshBindings")}catch(n){console.log("Error cought:",n)}try{this.$store.state.loading_infos="Getting Hardware usage",await sDt(this.$store)}catch(n){console.log("Error cought:",n)}try{this.$store.state.loading_infos="Getting extensions zoo",this.$store.state.loading_progress=50,await this.$store.dispatch("refreshExtensionsZoo")}catch(n){console.log("Error cought:",n)}try{this.$store.state.loading_infos="Getting mounted extensions",this.$store.state.loading_progress=60,await this.$store.dispatch("refreshmountedExtensions")}catch(n){console.log("Error cought:",n)}try{this.$store.state.loading_infos="Getting personalities zoo",this.$store.state.loading_progress=70,await this.$store.dispatch("refreshPersonalitiesZoo")}catch(n){console.log("Error cought:",n)}try{this.$store.state.loading_infos="Getting mounted personalities",this.$store.state.loading_progress=80,await this.$store.dispatch("refreshMountedPersonalities")}catch(n){console.log("Error cought:",n)}try{this.$store.state.loading_infos="Getting models zoo",this.$store.state.loading_progress=90,await this.$store.dispatch("refreshModelsZoo")}catch(n){console.log("Error cought:",n)}try{this.$store.state.loading_infos="Getting active models",this.$store.state.loading_progress=100,await this.$store.dispatch("refreshModels"),await this.$store.dispatch("refreshModelStatus")}catch(n){console.log("Error cought:",n)}this.$store.state.ready=!0}},beforeMount(){}});function rM(n,e=""){let t=[];for(const i in n)if(n.hasOwnProperty(i)){const s=e?`${e}/${i}`:i;if(typeof n[i]=="object"){const r=rM(n[i],s);t=t.concat(r)}else t.push(s)}return t}gp.use(iDt);gp.use(Cu);gp.mount("#app");